Skip to content
Snippets Groups Projects
Commit 9209e0a9 authored by dwf1m12's avatar dwf1m12
Browse files

update vivado pinmap pullups across zynq platforms

parent 89470900
No related branches found
No related tags found
No related merge requests found
......@@ -38,8 +38,6 @@ set_property PACKAGE_PIN B11 [get_ports {PMOD0_7}]
set_property IOSTANDARD LVCMOS33 [get_ports {PMOD0_7}]
set_property PULLUP true [get_ports {PMOD0_7}];
#set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets PMOD0_7_IBUF_inst/O]
## ######################## PMOD 2 Upper ########################
## set_property PACKAGE_PIN J11 [get_ports {PMOD1_0}]
## set_property IOSTANDARD LVCMOS33 [get_ports {PMOD1_0}]
......
......@@ -39,8 +39,6 @@ set_property PACKAGE_PIN B11 [get_ports {PMOD0_7}]
set_property IOSTANDARD LVCMOS33 [get_ports {PMOD0_7}]
set_property PULLUP true [get_ports {PMOD0_7}];
#set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets PMOD0_7_IBUF_inst/O]
######################## KV260 camera ########################
# PCAM MIPI ISP
......
......@@ -23,11 +23,13 @@ set_property PACKAGE_PIN U19 [get_ports PMOD0_5]
set_property PACKAGE_PIN W18 [get_ports PMOD0_6]
set_property PACKAGE_PIN W19 [get_ports PMOD0_7]
set_property PULLDOWN true [get_ports PMOD0_0]
set_property PULLDOWN true [get_ports PMOD0_1]
set_property PULLUP true [get_ports PMOD0_2]
set_property PULLDOWN true [get_ports PMOD0_3]
set_property PULLUP true [get_ports PMOD0_3]
set_property PULLUP true [get_ports PMOD0_4]
set_property PULLUP true [get_ports PMOD0_5]
set_property PULLUP true [get_ports PMOD0_6]
set_property PULLUP true [get_ports PMOD0_7]
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets PMOD0_7_IBUF]
##set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets PMOD0_7_IBUF]
......@@ -923,14 +923,16 @@ set_property PACKAGE_PIN G6 [get_ports PMOD0_4]
set_property PACKAGE_PIN H6 [get_ports PMOD0_5]
set_property PACKAGE_PIN J6 [get_ports PMOD0_6]
set_property PACKAGE_PIN J7 [get_ports PMOD0_7]
set_property PULLDOWN true [get_ports PMOD0_0]
set_property PULLDOWN true [get_ports PMOD0_1]
set_property PULLUP true [get_ports PMOD0_2]
set_property PULLDOWN true [get_ports PMOD0_3]
set_property PULLUP true [get_ports PMOD0_3]
set_property PULLUP true [get_ports PMOD0_4]
set_property PULLUP true [get_ports PMOD0_5]
set_property PULLUP true [get_ports PMOD0_6]
set_property PULLUP true [get_ports PMOD0_7]
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets PMOD0_7_IBUF_inst/O]
##set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets PMOD0_7_IBUF_inst/O]
#set_property IOSTANDARD LVCMOS33 [get_ports PMOD1_0]
#set_property IOSTANDARD LVCMOS33 [get_ports PMOD1_1]
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Please register or to comment