diff --git a/fpga/targets/pynq_kr260/fpga_pinmap.xdc b/fpga/targets/pynq_kr260/fpga_pinmap.xdc index 991f7e485706a0cb06354f6afe1d738e1c814034..8592e08f64c9ed932cd547efad43330fe440247e 100644 --- a/fpga/targets/pynq_kr260/fpga_pinmap.xdc +++ b/fpga/targets/pynq_kr260/fpga_pinmap.xdc @@ -38,8 +38,6 @@ set_property PACKAGE_PIN B11 [get_ports {PMOD0_7}] set_property IOSTANDARD LVCMOS33 [get_ports {PMOD0_7}] set_property PULLUP true [get_ports {PMOD0_7}]; -#set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets PMOD0_7_IBUF_inst/O] - ## ######################## PMOD 2 Upper ######################## ## set_property PACKAGE_PIN J11 [get_ports {PMOD1_0}] ## set_property IOSTANDARD LVCMOS33 [get_ports {PMOD1_0}] diff --git a/fpga/targets/pynq_kv260/fpga_pinmap.xdc b/fpga/targets/pynq_kv260/fpga_pinmap.xdc index 6ed980ebc29507ef1fd8253e17da6e680c3f7aad..840a5000a030dd75f39cfae5231144b5b812644f 100644 --- a/fpga/targets/pynq_kv260/fpga_pinmap.xdc +++ b/fpga/targets/pynq_kv260/fpga_pinmap.xdc @@ -39,8 +39,6 @@ set_property PACKAGE_PIN B11 [get_ports {PMOD0_7}] set_property IOSTANDARD LVCMOS33 [get_ports {PMOD0_7}] set_property PULLUP true [get_ports {PMOD0_7}]; -#set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets PMOD0_7_IBUF_inst/O] - ######################## KV260 camera ######################## # PCAM MIPI ISP diff --git a/fpga/targets/pynq_z2/fpga_pinmap.xdc b/fpga/targets/pynq_z2/fpga_pinmap.xdc index 5599cd2eec9ca0da4c7b57e21412e609fd7d41de..1dc9791544de0f085c0feb2d0424d88c2ca81946 100644 --- a/fpga/targets/pynq_z2/fpga_pinmap.xdc +++ b/fpga/targets/pynq_z2/fpga_pinmap.xdc @@ -23,11 +23,13 @@ set_property PACKAGE_PIN U19 [get_ports PMOD0_5] set_property PACKAGE_PIN W18 [get_ports PMOD0_6] set_property PACKAGE_PIN W19 [get_ports PMOD0_7] +set_property PULLDOWN true [get_ports PMOD0_0] +set_property PULLDOWN true [get_ports PMOD0_1] set_property PULLUP true [get_ports PMOD0_2] -set_property PULLDOWN true [get_ports PMOD0_3] +set_property PULLUP true [get_ports PMOD0_3] set_property PULLUP true [get_ports PMOD0_4] set_property PULLUP true [get_ports PMOD0_5] set_property PULLUP true [get_ports PMOD0_6] set_property PULLUP true [get_ports PMOD0_7] -set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets PMOD0_7_IBUF] +##set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets PMOD0_7_IBUF] diff --git a/fpga/targets/pynq_zcu104/fpga_pinmap.xdc b/fpga/targets/pynq_zcu104/fpga_pinmap.xdc index 4a635dde3eeee4ba52cd771abdd9df48b7e59231..e2d749787143ee5f635c6096fd5f80d407093414 100644 --- a/fpga/targets/pynq_zcu104/fpga_pinmap.xdc +++ b/fpga/targets/pynq_zcu104/fpga_pinmap.xdc @@ -923,14 +923,16 @@ set_property PACKAGE_PIN G6 [get_ports PMOD0_4] set_property PACKAGE_PIN H6 [get_ports PMOD0_5] set_property PACKAGE_PIN J6 [get_ports PMOD0_6] set_property PACKAGE_PIN J7 [get_ports PMOD0_7] +set_property PULLDOWN true [get_ports PMOD0_0] +set_property PULLDOWN true [get_ports PMOD0_1] set_property PULLUP true [get_ports PMOD0_2] -set_property PULLDOWN true [get_ports PMOD0_3] +set_property PULLUP true [get_ports PMOD0_3] set_property PULLUP true [get_ports PMOD0_4] set_property PULLUP true [get_ports PMOD0_5] set_property PULLUP true [get_ports PMOD0_6] set_property PULLUP true [get_ports PMOD0_7] -set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets PMOD0_7_IBUF_inst/O] +##set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets PMOD0_7_IBUF_inst/O] #set_property IOSTANDARD LVCMOS33 [get_ports PMOD1_0] #set_property IOSTANDARD LVCMOS33 [get_ports PMOD1_1]