Skip to content
Snippets Groups Projects
Commit f4b36d2b authored by dwf1m12's avatar dwf1m12
Browse files

repair SWD IO mapping, and add clock port waiver to SWDCLK on PMOD interface

parent 3af73ae9
No related branches found
No related tags found
No related merge requests found
......@@ -30,3 +30,4 @@ set_property PULLUP true [get_ports PMOD0_5]
set_property PULLUP true [get_ports PMOD0_6]
set_property PULLUP true [get_ports PMOD0_7]
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets PMOD0_7_IBUF]
......@@ -930,6 +930,8 @@ set_property PULLUP true [get_ports PMOD0_5]
set_property PULLUP true [get_ports PMOD0_6]
set_property PULLUP true [get_ports PMOD0_7]
set_property CLOCK_DEDICATED_ROUTE FALSE [get_nets PMOD0_7_IBUF_inst/O]
#set_property IOSTANDARD LVCMOS33 [get_ports PMOD1_0]
#set_property IOSTANDARD LVCMOS33 [get_ports PMOD1_1]
#set_property IOSTANDARD LVCMOS33 [get_ports PMOD1_2]
......
......@@ -1032,12 +1032,20 @@ cmsdk_ahb_ram
// I/O port pin muxing and tristate
//----------------------------------------
assign i_swclktck = swdclk_in;
assign i_swditms = swdio_in;
assign swdio_out = i_swdo;
assign swdio_out_en = i_swdoen;
assign swdio_out_nen = !i_swdoen;
assign swdclk_in = swdclk_i;
assign swdio_in = swdio_i;
assign swdio_o = swdio_out;
assign swdio_e = swdio_out_en;
assign swdio_z = swdio_out_nen;
cmsdk_mcu_pin_mux
u_pin_mux (
// UART
......
0% Loading or .
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment