Skip to content
Snippets Groups Projects
Select Git revision
  • f96494ebb50484bddac8b43f6fb50e5013031deb
  • master default protected
2 results

makefile

Blame
  • makefile 2.24 KiB
    # Copyright (c) 2020 Alex Forencich
    #
    # Permission is hereby granted, free of charge, to any person obtaining a copy
    # of this software and associated documentation files (the "Software"), to deal
    # in the Software without restriction, including without limitation the rights
    # to use, copy, modify, merge, publish, distribute, sublicense, and/or sell
    # copies of the Software, and to permit persons to whom the Software is
    # furnished to do so, subject to the following conditions:
    #
    # The above copyright notice and this permission notice shall be included in
    # all copies or substantial portions of the Software.
    #
    # THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR
    # IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY
    # FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE
    # AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER
    # LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM,
    # OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN
    # THE SOFTWARE.
    
    TOPLEVEL_LANG = verilog
    
    SIM ?= questa
    WAVES ?= 0
    GUI ?= 0
    
    COCOTB_HDL_TIMEUNIT = 1ns
    COCOTB_HDL_TIMEPRECISION = 1ns
    
    DUT      = ahb_qspi_cocotb
    TOPLEVEL = ahb_qspi_cocotb
    MODULE   = ahb_qspi_tests
    
    VERILOG_SOURCES += ./ahb_qspi_cocotb.v
    
    ifeq ($(SIM), icarus)
    	PLUSARGS += -fst
    
    	COMPILE_ARGS += $(foreach v,$(filter PARAM_%,$(.VARIABLES)),-P $(TOPLEVEL).$(subst PARAM_,,$(v))=$($(v)))
    
    	ifeq ($(WAVES), 1)
    		VERILOG_SOURCES += iverilog_dump.v
    		COMPILE_ARGS += -s iverilog_dump
    	endif
    else ifeq ($(SIM), verilator)
    	COMPILE_ARGS += -Wno-SELRANGE -Wno-WIDTH -Wno-CASEINCOMPLETE
    
    	COMPILE_ARGS += $(foreach v,$(filter PARAM_%,$(.VARIABLES)),-G$(subst PARAM_,,$(v))=$($(v)))
    
    	ifeq ($(WAVES), 1)
    		COMPILE_ARGS += --trace-fst
    	endif
    else ifeq ($(SIM), questa)
    	COMPILE_ARGS += +acc
    endif
    #include $(SOCLABS_SRAM_CHIPLET_DIR)/simulate/sim/cocotb/makefile.flist
    include $(shell cocotb-config --makefiles)/Makefile.sim
    
    iverilog_dump.v:
    	echo 'module iverilog_dump();' > $@
    	echo 'initial begin' >> $@
    	echo '    $$dumpfile("$(TOPLEVEL).fst");' >> $@
    	echo '    $$dumpvars(0, $(TOPLEVEL));' >> $@
    	echo 'end' >> $@
    	echo 'endmodule' >> $@
    
    clean::
    	@rm -rf iverilog_dump.v
    	@rm -rf dump.fst $(TOPLEVEL).fst