From e99568a77afdfcfc2027449fb798b5094746c94d Mon Sep 17 00:00:00 2001
From: XiaoanHe <118478606+XiaoanHe@users.noreply.github.com>
Date: Mon, 12 Dec 2022 21:21:56 +0000
Subject: [PATCH] Adding Arithmetics

---
 .../Core_Arithmetic/Arithmetic.cr.mti         |  16 +
 .../{Posit_Arithmetic.mpf => Arithmetic.mpf}  |  12 +-
 .../Core_Arithmetic/Arithmetic_tb.sv          |  35 +-
 .../Core_Arithmetic/Posit_Adder_Arithmetic.sv |  60 ++-
 .../Core_Arithmetic/Posit_Arithmetic.cr.mti   |  20 -
 .../Core_Arithmetic/Post_Processing.sv        |  43 ++
 Individual_Project/Core_Arithmetic/vsim.wlf   | Bin 49152 -> 49152 bytes
 Individual_Project/Core_Arithmetic/wlft2xd3a4 | Bin 0 -> 49152 bytes
 Individual_Project/Core_Arithmetic/work/_info |  76 +--
 .../Core_Arithmetic/work/_lib.qdb             | Bin 49152 -> 49152 bytes
 .../Core_Arithmetic/work/_lib1_2.qpg          | Bin 180224 -> 0 bytes
 .../Core_Arithmetic/work/_lib1_2.qtl          | Bin 61504 -> 0 bytes
 .../Core_Arithmetic/work/_lib1_9.qdb          | Bin 0 -> 32768 bytes
 .../Core_Arithmetic/work/_lib1_9.qpg          | Bin 0 -> 188416 bytes
 .../Core_Arithmetic/work/_lib1_9.qtl          | Bin 0 -> 43536 bytes
 .../Data Extraction/Data_Extraction.cr.mti    |  14 +-
 .../Data Extraction/Data_Extraction.mpf       |  10 +-
 .../FINAL/EXTRACT/Final_Extraction.cr.mti     |  24 +
 .../FINAL/EXTRACT/Final_Extraction.mpf        | 468 +++++++++++++++++
 .../FINAL/EXTRACT/Leading_Bit_Detector.sv     |  60 +++
 .../FINAL/EXTRACT/Posit_Extraction.sv         |  73 +++
 .../EXTRACT}/Test_Data_Extraction.sv          |   2 +-
 .../{ => FINAL/EXTRACT}/log_2.sv              |   0
 Individual_Project/FINAL/EXTRACT/vsim.wlf     | Bin 0 -> 49152 bytes
 Individual_Project/FINAL/EXTRACT/wave.do      |  26 +
 Individual_Project/FINAL/EXTRACT/work/_info   | 143 ++++++
 .../FINAL/EXTRACT/work/_lib.qdb               | Bin 0 -> 49152 bytes
 .../EXTRACT/work/_lib1_0.qdb}                 | Bin 32768 -> 32768 bytes
 .../FINAL/EXTRACT/work/_lib1_0.qpg            | Bin 0 -> 65536 bytes
 .../FINAL/EXTRACT/work/_lib1_0.qtl            | Bin 0 -> 22681 bytes
 Individual_Project/FINAL/EXTRACT/work/_vmake  |   4 +
 Individual_Project/Posit_Adder.cr.mti         |  39 ++
 Individual_Project/Posit_Adder.mpf            | 472 ++++++++++++++++++
 Individual_Project/Posit_Adder.sv             |  48 ++
 Individual_Project/Posit_Adder_Arithmetic.sv  | 140 ++++++
 Individual_Project/Posit_Extraction.sv        |   4 +-
 Individual_Project/tbPositAdder.sv            |  63 +++
 Individual_Project/vsim.wlf                   | Bin 0 -> 49152 bytes
 Progress_Test_Draft.docx                      | Bin 299005 -> 64399 bytes
 T/TEST.cr.mti                                 |  12 +-
 posit_adder_verilog/Test.mpf                  |   4 +-
 ~$ogress_Test_Draft.docx                      | Bin 162 -> 0 bytes
 ~WRL4094.tmp                                  | Bin 247065 -> 0 bytes
 43 files changed, 1749 insertions(+), 119 deletions(-)
 create mode 100644 Individual_Project/Core_Arithmetic/Arithmetic.cr.mti
 rename Individual_Project/Core_Arithmetic/{Posit_Arithmetic.mpf => Arithmetic.mpf} (96%)
 delete mode 100644 Individual_Project/Core_Arithmetic/Posit_Arithmetic.cr.mti
 create mode 100644 Individual_Project/Core_Arithmetic/Post_Processing.sv
 create mode 100644 Individual_Project/Core_Arithmetic/wlft2xd3a4
 delete mode 100644 Individual_Project/Core_Arithmetic/work/_lib1_2.qpg
 delete mode 100644 Individual_Project/Core_Arithmetic/work/_lib1_2.qtl
 create mode 100644 Individual_Project/Core_Arithmetic/work/_lib1_9.qdb
 create mode 100644 Individual_Project/Core_Arithmetic/work/_lib1_9.qpg
 create mode 100644 Individual_Project/Core_Arithmetic/work/_lib1_9.qtl
 create mode 100644 Individual_Project/FINAL/EXTRACT/Final_Extraction.cr.mti
 create mode 100644 Individual_Project/FINAL/EXTRACT/Final_Extraction.mpf
 create mode 100644 Individual_Project/FINAL/EXTRACT/Leading_Bit_Detector.sv
 create mode 100644 Individual_Project/FINAL/EXTRACT/Posit_Extraction.sv
 rename Individual_Project/{ => FINAL/EXTRACT}/Test_Data_Extraction.sv (97%)
 rename Individual_Project/{ => FINAL/EXTRACT}/log_2.sv (100%)
 create mode 100644 Individual_Project/FINAL/EXTRACT/vsim.wlf
 create mode 100644 Individual_Project/FINAL/EXTRACT/wave.do
 create mode 100644 Individual_Project/FINAL/EXTRACT/work/_info
 create mode 100644 Individual_Project/FINAL/EXTRACT/work/_lib.qdb
 rename Individual_Project/{Core_Arithmetic/work/_lib1_2.qdb => FINAL/EXTRACT/work/_lib1_0.qdb} (94%)
 create mode 100644 Individual_Project/FINAL/EXTRACT/work/_lib1_0.qpg
 create mode 100644 Individual_Project/FINAL/EXTRACT/work/_lib1_0.qtl
 create mode 100644 Individual_Project/FINAL/EXTRACT/work/_vmake
 create mode 100644 Individual_Project/Posit_Adder.cr.mti
 create mode 100644 Individual_Project/Posit_Adder.mpf
 create mode 100644 Individual_Project/Posit_Adder.sv
 create mode 100644 Individual_Project/Posit_Adder_Arithmetic.sv
 create mode 100644 Individual_Project/tbPositAdder.sv
 create mode 100644 Individual_Project/vsim.wlf
 delete mode 100644 ~$ogress_Test_Draft.docx
 delete mode 100644 ~WRL4094.tmp

diff --git a/Individual_Project/Core_Arithmetic/Arithmetic.cr.mti b/Individual_Project/Core_Arithmetic/Arithmetic.cr.mti
new file mode 100644
index 0000000..7b07d7e
--- /dev/null
+++ b/Individual_Project/Core_Arithmetic/Arithmetic.cr.mti
@@ -0,0 +1,16 @@
+{H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Leading_Bit_Detector_8b.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Leading_Bit_Detector_8b.sv}
+Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
+-- Compiling module Leading_Bit_Detector_8b
+
+Top level modules:
+	Leading_Bit_Detector_8b
+
+} {} {}} {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv}
+Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
+-- Compiling package Posit_Adder_Arithmetic_sv_unit
+-- Compiling module Alignment
+
+Top level modules:
+	Alignment
+
+} {} {}}
diff --git a/Individual_Project/Core_Arithmetic/Posit_Arithmetic.mpf b/Individual_Project/Core_Arithmetic/Arithmetic.mpf
similarity index 96%
rename from Individual_Project/Core_Arithmetic/Posit_Arithmetic.mpf
rename to Individual_Project/Core_Arithmetic/Arithmetic.mpf
index f4d2107..7b6b82d 100644
--- a/Individual_Project/Core_Arithmetic/Posit_Arithmetic.mpf
+++ b/Individual_Project/Core_Arithmetic/Arithmetic.mpf
@@ -220,7 +220,7 @@ Resolution = ps
 UserTimeUnit = default
 
 ; Default run length
-RunLength = 150 ns
+RunLength = 200 ns
 
 ; Maximum iterations that can be run without advancing simulation time
 IterationLimit = 5000
@@ -412,11 +412,11 @@ Project_DefaultLib = work
 Project_SortMethod = unused
 Project_Files_Count = 3
 Project_File_0 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Leading_Bit_Detector_8b.sv
-Project_File_P_0 = cover_toggle 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1669929498 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 2 cover_expr 0 dont_compile 0 cover_stmt 0
-Project_File_1 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Arithmetic_tb.sv
-Project_File_P_1 = cover_toggle 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1669929943 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 cover_optlevel 3 vlog_hazard 0 vlog_showsource 0 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 0 dont_compile 0 cover_expr 0 cover_stmt 0
-Project_File_2 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv
-Project_File_P_2 = cover_toggle 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1669930498 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0
+Project_File_P_0 = cover_toggle 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1669929498 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0
+Project_File_1 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv
+Project_File_P_1 = cover_toggle 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1670878768 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 2 cover_expr 0 dont_compile 0 cover_stmt 0
+Project_File_2 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Arithmetic_tb.sv
+Project_File_P_2 = cover_toggle 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1670879062 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
 Project_Sim_Count = 0
 Project_Folder_Count = 0
 Echo_Compile_Output = 0
diff --git a/Individual_Project/Core_Arithmetic/Arithmetic_tb.sv b/Individual_Project/Core_Arithmetic/Arithmetic_tb.sv
index 6ff1f66..3844180 100644
--- a/Individual_Project/Core_Arithmetic/Arithmetic_tb.sv
+++ b/Individual_Project/Core_Arithmetic/Arithmetic_tb.sv
@@ -35,10 +35,11 @@ logic [N-ES+2:0] Mantissa1, Mantissa2;
 
 //output logic
 logic [N-1:0] E_diff;
-logic [N-1:0] Add_Mant;
+logic [N:0] Add_Mant;
 logic signed [ES+RS:0] LE_O;
 logic [ES-1:0] E_O;
-logic signed [RS-1:0] R_O;
+logic signed [RS:0] R_O;
+logic signed [N-1:0] Result;
 
 Alignment #(.N(N), .ES(ES)) alignment_tb (.*);
 
@@ -66,26 +67,26 @@ initial
                Mantissa1 = 8'b10000000;
                Mantissa2 = 8'b11000000;
                
-       //  #50ns  InRemain1 = 7'b1110_011;
-       //         InRemain2 = 7'b001_101_1;
-       //         Sign1 = 0;
-       //         Sign2 = 0;
-       //         RegimeValue1 = 2;
-       //         RegimeValue2 = -2;
-       //         Exponent1 = 3'b011;
-       //         Exponent2 = 3'b101;
-       //         Mantissa1 = '0;
-       //         Mantissa2 = '0;
-
-        #50ns  InRemain1 = 7'b01_011_10;
+        #50ns  InRemain1 = 7'b110_100_0;
                InRemain2 = 7'b001_101_1;
                Sign1 = 0;
                Sign2 = 0;
-               RegimeValue1 = -1;
+               RegimeValue1 = 1;
                RegimeValue2 = -2;
-               Exponent1 = 3'b011;
+               Exponent1 = 3'b100;
                Exponent2 = 3'b101;
-               Mantissa1 = 8'b11000000;
+               Mantissa1 = 8'b10000000;
                Mantissa2 = 8'b11000000;
+
+       //  #50ns  InRemain1 = 7'b01_011_10;
+       //         InRemain2 = 7'b001_101_1;
+       //         Sign1 = 0;
+       //         Sign2 = 0;
+       //         RegimeValue1 = -1;
+       //         RegimeValue2 = -2;
+       //         Exponent1 = 3'b011;
+       //         Exponent2 = 3'b101;
+       //         Mantissa1 = 8'b11000000;
+       //         Mantissa2 = 8'b11000000;
     end
 endmodule
\ No newline at end of file
diff --git a/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv b/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv
index 8f0f208..1f794cd 100644
--- a/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv
+++ b/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv
@@ -33,10 +33,11 @@ module Alignment #(parameter N = 8, parameter ES = 3, parameter RS = log2(N))
     input logic [ES-1:0] Exponent1, Exponent2,
     input logic [N-ES+2:0] Mantissa1, Mantissa2,
     output logic [N-1:0] E_diff,
-    output logic [N-1:0] Add_Mant,
+    output logic [N:0] Add_Mant,
     output logic signed [ES+RS:0] LE_O,
     output logic [ES-1:0] E_O,
-    output logic signed [RS-1:0] R_O
+    output logic signed [RS:0] R_O,
+    output logic signed [N-1:0] Result
 );
     logic Operation;
     // components to corresponding logic, L - Large S - Small
@@ -44,7 +45,7 @@ module Alignment #(parameter N = 8, parameter ES = 3, parameter RS = log2(N))
     logic [RS:0] LR, SR;
     logic LRC, SRC;
     logic [ES-1:0]LE, SE;
-    logic [N-ES+2:0]LM, SM, SM_tmp;
+    logic [N:0]LM, SM, SM_tmp;
     // logic SS;
     // logic [RS:0]SR;
     // logic SRC;
@@ -56,12 +57,14 @@ module Alignment #(parameter N = 8, parameter ES = 3, parameter RS = log2(N))
     logic Mant_Ovf;
     logic signed [RS:0] shift;
     logic [ES+RS:0] LE_ON;
+    logic [N-1:0] RegimeBits;
     //Leading_Bit_Detector_8b #(.N(N), .ES(ES)) LBD_Mant (.*);
+    logic [N-1:0] ONEs;
 
 always_comb
 begin
-    // Confirm the operation (s1 xor s2)
-    Operation = Sign1 ^ Sign2 ;
+    // Confirm the operation (s1 XNOR s2)
+    Operation = Sign1 ~^ Sign2 ;
 
 
     // Find the greater input
@@ -71,13 +74,12 @@ begin
     LR = Greater_Than ? RegimeValue1 : RegimeValue2;
     LRC = Greater_Than? InRemain1[N-2] : InRemain2[N-2];
     LE = Greater_Than ? Exponent1 : Exponent2;
-    LM = Greater_Than ? Mantissa1 : Mantissa2;
+    LM = Greater_Than ? {1'b0, Mantissa1} : {1'b0,Mantissa2};
 
     SS = Greater_Than ? Sign2 : Sign1;
     SR = Greater_Than ? RegimeValue2 : RegimeValue1;
     SE = Greater_Than ? Exponent2 : Exponent1;
-    SM = Greater_Than ? Mantissa2 : Mantissa1;
-
+    SM = Greater_Than ? {1'b0, Mantissa2} : {1'b0,Mantissa1};
 
     // Mantissa Addition
     /*
@@ -107,10 +109,10 @@ begin
     E_diff = (R_diff*(2**(ES))) + (LE - SE); 
 
     SM_tmp = SM >> E_diff;
-    Add_Mant = Operation ?  LM - SM_tmp : LM + SM_tmp;
+    Add_Mant = Operation ?  LM + SM_tmp : LM - SM_tmp;
 
     // check for Mantissa Overflow
-    Mant_Ovf = Add_Mant[N-1];
+    Mant_Ovf = Add_Mant[N];
     Add_Mant = Mant_Ovf ? Add_Mant : (Add_Mant << 1);
 
     /*
@@ -129,11 +131,39 @@ begin
     taking overflow and left shift into account
     */
     LE_O = {LR, LE} + Mant_Ovf; //- shift;
+    
+    LE_ON =  LE_O[RS+ES] ? (-LE_O) : LE_O;
+    /*
+        if LE_O is -ve and Least Significant ES bits of LE_ON is non zero
+        E_O is 2's complement of Least Significant ES bits of LE_ON
+        if LE_O is positive, E_O = LSB ES bits of LE_O    
+    */
+    if (!LE_O[ES+RS])
+        E_O = LE_O[ES-1:0];
+    else if (|LE_ON[ES-1:0])
+        E_O = LE_O[ES-1:0];
+    else
+        E_O = LE_ON[ES-1:0];
+    /*  
+        if LE_O is +ve OR LE_O is -ve and LSB ES bits of LE_ON is non zero
+        R_O = 
+    */
+    //R_O = LE_O[ES+RS:ES];
+    if (!LE_O[ES+RS])
+        R_O = LE_ON[ES+RS-1 : ES];
+    else if ((LE_O[ES+RS]&(|LE_ON[ES-1 : 0])))
+        R_O =  (LE_ON[ES+RS-1 : ES] +1'b1);
+    
+    // Post Processing
+    ONEs = '1;
+    if (!R_O[RS])
+    RegimeBits = ONEs << (8-1-R_O);
+    else
+    RegimeBits = ONEs >> (8-R_O);
+
+    // RB_tmp = RegimeBits[N-1:N-(R_O+2)];
+    
+    // Result = {LS, RB_tmp, E_O};
 
-    LE_ON =  LE_O[RS+ES] ? (~LE_O + 1'b1) : LE_O;
-
-    E_O = (LE_O[ES+RS] & (|LE_ON[ES-1:0])) ? (~LE_O[ES-1:0] + 1'b1) : LE_ON[ES-1:0];
-
-    R_O = !LE_O[ES+RS]|(LE_O[ES+RS]&(|LE_ON[ES-1 : 0])) ? (LE_ON[ES+RS-1 : ES] +1'b1) : LE_ON[ES+RS-1 : ES];
 end
 endmodule
\ No newline at end of file
diff --git a/Individual_Project/Core_Arithmetic/Posit_Arithmetic.cr.mti b/Individual_Project/Core_Arithmetic/Posit_Arithmetic.cr.mti
deleted file mode 100644
index 3c996d3..0000000
--- a/Individual_Project/Core_Arithmetic/Posit_Arithmetic.cr.mti
+++ /dev/null
@@ -1,20 +0,0 @@
-{H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Leading_Bit_Detector_8b.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Leading_Bit_Detector_8b.sv}
-Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
--- Compiling module Leading_Bit_Detector_8b
-
-Top level modules:
-	Leading_Bit_Detector_8b
-
-} {} {}} {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Arithmetic_tb.sv} {2 {vlog -work work -sv -stats=none {H:\INDIVIDUAL PROJECT\Posit\Individual_Project\Core_Arithmetic\Arithmetic_tb.sv}
-Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
-** Warning: H:\INDIVIDUAL PROJECT\Posit\Individual_Project\Core_Arithmetic\Arithmetic_tb.sv(47): (vlog-2600) [RDGN] - Redundant digits in numeric literal.
-** Warning: H:\INDIVIDUAL PROJECT\Posit\Individual_Project\Core_Arithmetic\Arithmetic_tb.sv(48): (vlog-2600) [RDGN] - Redundant digits in numeric literal.
--- Compiling package Arithmetic_tb_sv_unit
--- Compiling module Arithmetic_tb
-** Warning: H:\INDIVIDUAL PROJECT\Posit\Individual_Project\Core_Arithmetic\Arithmetic_tb.sv(47): (vlog-2600) [RDGN] - Redundant digits in numeric literal.
-** Warning: H:\INDIVIDUAL PROJECT\Posit\Individual_Project\Core_Arithmetic\Arithmetic_tb.sv(48): (vlog-2600) [RDGN] - Redundant digits in numeric literal.
-
-Top level modules:
-	Arithmetic_tb
-
-} {} {}}
diff --git a/Individual_Project/Core_Arithmetic/Post_Processing.sv b/Individual_Project/Core_Arithmetic/Post_Processing.sv
new file mode 100644
index 0000000..68ee3e5
--- /dev/null
+++ b/Individual_Project/Core_Arithmetic/Post_Processing.sv
@@ -0,0 +1,43 @@
+/////////////////////////////////////////////////////////////////////
+// Design unit: Post Processing
+//            :
+// File name  : Post_Processing.sv
+//            :
+// Description: 
+//            :
+// Limitations: None
+//            : 
+// System     : SystemVerilog IEEE 1800-2005
+//            :
+// Author     : Xiaoan He (Jasper)
+//            : xh2g20@ecs.soton.ac.uk
+//
+// Revision   : Version 1.0 12/12/2022
+/////////////////////////////////////////////////////////////////////
+
+module Post_Processing #(parameter N = 8, parameter ES = 3, parameter RS = log2(N)) 
+(
+    input logic [ES-1:0] E_O,
+    input logic signed [RS:0] R_O,
+    output logic [N-1:0]RegimeBits,
+    output logic [ES-1:0]ExponentBits,
+);
+
+function [31:0] log2;
+input reg [31:0] value;
+	begin
+	value = value-1;
+	for (log2=0; value>0; log2=log2+1)
+        	value = value>>1;
+      	end
+endfunction
+
+int i;
+logic [N-1:0] ONEs;
+
+always_comb
+begin
+ONEs = '1;
+RegimeBits = R_O[RS]? ONEs << (R_O-1'b1) : ONEs >> R_O
+end
+endmodule
\ No newline at end of file
diff --git a/Individual_Project/Core_Arithmetic/vsim.wlf b/Individual_Project/Core_Arithmetic/vsim.wlf
index 898ba96b643b10915ff49b15071d86d608388ff8..3e1619371dab48b051d0e27a144a2d0c30ce0a52 100644
GIT binary patch
delta 783
zcmY+C%}*0S7{=e(`RERIX;~BnTbLyal~ANb4#cmtm?mfhnnJ4~l2T|PMW|viC6Qz|
zXgmu&aPdT<F_8=6fyl++zo2J0dGKVy#S`o9T0-2+KF`cM@AJzfGp3166Q>*Vunm0x
zfbAcERF7N-U^VyKF4g820lHUdG#crTdhf-@l8Mw<&**Ssq_*wa%$qOWJL&TR+o;_I
zvDroq8(>uBSejmoKr~vNjtzQdR$zK@zEb@d+cu~!F}<(Hqoau}34UQVfv`7Km@Ar#
zg-mYYd4W#qs0+W{5_FA_&^tOsLOPm4kK$M*!07-`o>+PMq%>40Ez^DcvYl5nI=R7^
zPWOqfqJ6S1zxSw=Oh_I+oQsu~i{)}oX;M)q-fK73>7LAVrD>e#z*!=jFV42l;v3-6
z)DE=D*JOpQ*2(dqd_Idz(y#IlxULf^{pZO2L{=RoZN5(-O&M3Pr?tU%;fhkO*Kvy7
z^34x+H8b?EjcvB{%2_xlJnIMqgFe%nTPV(z7Uj?SC0#oFF%YQNc${YLHhd?T-_`@(
zJCtp7^W$a~tb5fo;-x$_G(viDtAuV|=bUE(CZz3eXK6OLdz|&f45V4s$zkoHSm5+J
z>Jflp^tc*EmOCn8RB<Yn^}iwuxs|hiyVJ^q{MxaD)9eU<KxgA$#Z?1>NFz=|P!f_~
zSfHIaE6qC7%(tZW$6l7*#AAVkKz@ND0wvvw>FU=SsL=sMgcWf?jSdJg7spOPSKYv6
ufqsi9I?|ly>!hjew04Z7n||S@Uq1lpXCzD^j3C{zvvkm^IIX8I8~y?)vzrqD

delta 640
zcmZo@U~Xt&4i!#eSi@k%z`(!^#0(5fo*%$80|P6R<^|G>P_{S&!@d`+hK2?vhCE)5
z!2zy8!Mgswu6|HyFqPaXm$orfM1dJ-)aD$8>GG^vKx4Hgb2x;uS{O4}T24-KaAMCc
zW-usDOPjpfVNE@wDHFp{W?nW^CY~UM)bz~U)Ud=HmC{sJ3r5X}%;gG<d5k73%t9;-
zoQ$k_%$%+j1q%6jsd*)=rk%{ujO!H{mvpc&<gqjD6=5+2D)LRtE6FS_o|MR%&8Wuw
zxI>9Gik0o8hY`zPmXn>V^=vFVrm?EC>=0sI&hE*!oYg0pWqBv73J2S?C9H)k)0jBf
zT;nICWTvIDOlDW)P-V^L;+Wu=k`mAOkX>0xjkVu_o5ja9-k<%tpgQY%9wBCSIrfzr
zo}7W4PkGy$_`dNn2eJ972(ob-ZqVf9lxbjC1q4hR3wOzG{v6NmV8rn2|NsANz>s1B
zVm29A$ngP#xV~P8@xKmZxh|0X|38QXi%iyAAYKn)mjh+P)CCm3fV3&HfJlYE3<@kz
zQt>ZBT$V|JRY2iCgW`X%7!nzFI6(0SP_P(mK(@kP1qBuXg}(+0ECFBx8o=TQKn55n
z{C5Bw;2;8~n!zL+%mh8KN@<0^9~4*~DE<SQ3DU(W0A|*J)gBHFo8utMAhogZr5rCP
JNrAi#1^{PDknaEh

diff --git a/Individual_Project/Core_Arithmetic/wlft2xd3a4 b/Individual_Project/Core_Arithmetic/wlft2xd3a4
new file mode 100644
index 0000000000000000000000000000000000000000..cbe25cf1bd5a3def39e55086bc8f8be27f7cb64c
GIT binary patch
literal 49152
zcmeI)PfXiZ90%~<v;FKCk|yE*N+>oBrJaBfTD2W*Iw*-mI{t|OT@fe^#6a4FAQGTj
zCxN<6gY__qs&$7>LffQWcA7S+QZ=blr5(1NcIdg<VX7+aR&6^}c(#GAyHuLAL#2JK
zyr1p&o`3J<Bjxm-Q=X<Tshx;Kt60&~>70p_-E*~d7Iv=>5~ap@INWwD>^|3(7>o@i
zS_b-J{kzT1Y3kOk3Aw$ky{$FONi^4(Xh$N=ZrvHg>4yLT2tWV=5P$##AOHafKmY;|
zfB*y_009U<00Izz00bZa0SG_<0uX?}e<om>v~DG{i@nM(M{BJ`dY`03HRfehvB^ZC
zl<A$!+77_=XeZYNsqtOLx&>L$A6=AmpXgHb)tF+KGWkXJG2dV$w6RyIwP%aBv!w>g
z65r|)>#dyy?1vs%U}4@{#<#=<8Y4MP?*%!pRb7u2CGyB6U!4l9(uj5NtTh=N5P$##
zAOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2)s~W?~Q&kP2mq~>E9~O
z`ah!x@ufm0cbOv0s*@uE9q#EE?@djPYq@;d$Wjd@Qw3vuIWtE`+f&)RksD`1bE|R1
zh>*Zr=JN|h>q7152zP{!QTvIL5y9J1pkg||Se(veea2iWM~yAi-4Plo7z+goIe#b+
z>Z1AiXsVbB^-_K+l?@FWIhqfRCI&`^kJE{n%v;AJf<!Gdi<yY%VnT>Eh)NGKCJ*#<
zgyPg6jgQ8oBVE+14Gs;Qi*?g*XfR*M6hjoBOJ|lc=|xIqlY<NSO9o99L*4lWBS~Eg
znc{5DD7rFJA*Cc)^i8%FmRQ1d&ciE(+#|VLnH84v3zr*}^Xk~T@DnZV=dLJMG`e0^
za#g62)~K|GZgW;aYJ6_U$Ze!Db7ASI`e{@wIlzNaK@PSn!Ru^HpsHC>9wX5`WXxo8
zhBlhYE*gAdogERoHGXYYlA4b2i&9gZU1Z~8nNK2>-{qvnuFU7>jJeGspAg?+pRMUU
zD9gdm`Ir=pvbz%f98}y@R$@i%OU)HCg<v7Y@32Gu(vb!}=8DTf{*4smsvOgj>CE)B
z`^F7LZ&XjJ*JfSv+npt@u5>!dRyW<>vqtf%>kxlXDsA+}k^}Bvy<fSSc)eRJ8<G!r
z-#DW4GagZi_`Tl4>W^|`#i@Olw0%60nW<{<D-HGhLvLKrJl{&1`pO0N=0yF4hW*!)
zuF9LnLSVjbQgf%WbbB-BQ)-q=%7z1}_th>w8VsEFOn(qKFwyL}>GQeNuam)nCC_hO
zMR?6~$1fye>w!<I*Fv5Lon=Cz&-=vR=6O;+EcD8CR3Rt&lEvKEyz;vGVAKCg=|_RC
zvz6Q*3-$+FYPnzUI8`}aC6v_OKbt8Q)`N-a?@sf^)oN*=Kc>{nXH-=k-Q8{S!k-En
zApijgKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2)wic_PYLa>;F`1-P^^k
zV^J^3v?Z0C6%=ktG|B4s-pIFFaX<h95P$##AOHafKmY;|fB*y_009U<00Izz00bZa
z0SG_<0uX=z1R(IT2-xfV&({B6mPuhA5P$##AOHafKmY;|fB*y_009U<00Izz00bZa
z0SG_<0uX=z1Rwwb2>dGn(dupg2Y_>!Ci}uPw=Y;X_MQMauv1O6&+4+W(&EHsW;&nc
zX0~kCnC3qtGnrGfva;9B-^_MCR{x#W=i^@Hy*&C;GRf4fVnuGvz#MJz>5uKH=*~<v
zH))KtCr-33*lzt!YaP=|?Y`RfUbVBmL3>J0vYpD+Izjv0d2-vyYyKTa?+@yKTDJu!
z-DQnw8uMwaO=E*j&8idc?GCf5ZSPdy)9qnIr?K3L5A89mhud^}jB@J>5tHpm^4=J;
zPRCkjfQK6Ew32$Q_MJJpcL%bvSmSp(S=>$*a<Wv9oF|stsH6S%BRC)c0SG_<0uX=z
q1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<;QuXPFR(v*|Nk$QKsz%4

literal 0
HcmV?d00001

diff --git a/Individual_Project/Core_Arithmetic/work/_info b/Individual_Project/Core_Arithmetic/work/_info
index e925cda..567f636 100644
--- a/Individual_Project/Core_Arithmetic/work/_info
+++ b/Individual_Project/Core_Arithmetic/work/_info
@@ -8,57 +8,57 @@ z2
 !i10e 25
 !i10f 100
 cModel Technology
-dH:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction
+dH:/INDIVIDUAL PROJECT/Posit/Individual_Project
 vAlignment
 Z0 DXx6 sv_std 3 std 0 22 VYECXdT12H8WgbUP_5Y6:3
-DXx4 work 30 Posit_Adder_Arithmetic_sv_unit 0 22 6];2@SKT7<TOR1Ia`?f:o2
-Z1 !s110 1669930503
+DXx4 work 30 Posit_Adder_Arithmetic_sv_unit 0 22 B`XfR2b6]D9JKDlmI^HRj3
+Z1 !s110 1670879067
 Z2 VDg1SIo80bB@j0V0VzS_@n1
 r1
 !s85 0
 !i10b 1
-!s100 77oNQ^EWRPzl2j:k^X68c0
-I>4S1CK^H`5iAY:?IUWVcl3
+!s100 [YB<EJEMLEBBY5][Lb5Zj3
+Ii1>Pd?mI2HGco;fKe9hbL2
 !s105 Posit_Adder_Arithmetic_sv_unit
 S1
 Z3 dH:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic
-Z4 w1669930498
-Z5 8H:\INDIVIDUAL PROJECT\Posit\Individual_Project\Core_Arithmetic\Posit_Adder_Arithmetic.sv
-Z6 FH:\INDIVIDUAL PROJECT\Posit\Individual_Project\Core_Arithmetic\Posit_Adder_Arithmetic.sv
-!i122 18
-L0 28 112
+Z4 w1670878768
+Z5 8H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv
+Z6 FH:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv
+!i122 96
+L0 28 142
 Z7 OV;L;2020.1;71
 31
-Z8 !s108 1669930503.000000
-!s107 H:\INDIVIDUAL PROJECT\Posit\Individual_Project\Core_Arithmetic\Posit_Adder_Arithmetic.sv|
-Z9 !s90 -reportprogress|300|-work|work|-sv|-stats=none|H:\INDIVIDUAL PROJECT\Posit\Individual_Project\Core_Arithmetic\Posit_Adder_Arithmetic.sv|
+Z8 !s108 1670879066.000000
+!s107 H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv|
+Z9 !s90 -reportprogress|300|-work|work|-sv|-stats=none|H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv|
 !i113 1
 Z10 o-work work -sv
 Z11 tCvgOpt 0
 n@alignment
 vArithmetic_tb
 R0
-DXx4 work 21 Arithmetic_tb_sv_unit 0 22 Pkm?HGRX3O:e7cS8m<4QU1
-Z12 !s110 1669930021
+DXx4 work 21 Arithmetic_tb_sv_unit 0 22 He=gbQ[eS9LG<X>RV[3Oh2
+Z12 !s110 1670879066
 R2
 r1
 !s85 0
 !i10b 1
-!s100 Ud1P=knMfmZ=J0SVO^3Ah1
-InjodnFY7d]>QNl7ge8nYm1
+!s100 =K<AaU`n8=[fbgeXm`R_V2
+I3CCTD3FAH8JXLLHnKE9Sn0
 !s105 Arithmetic_tb_sv_unit
 S1
 R3
-Z13 w1669929943
-Z14 8H:\INDIVIDUAL PROJECT\Posit\Individual_Project\Core_Arithmetic\Arithmetic_tb.sv
-Z15 FH:\INDIVIDUAL PROJECT\Posit\Individual_Project\Core_Arithmetic\Arithmetic_tb.sv
-!i122 15
-L0 26 66
+Z13 w1670879062
+Z14 8H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Arithmetic_tb.sv
+Z15 FH:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Arithmetic_tb.sv
+!i122 94
+L0 26 67
 R7
 31
-Z16 !s108 1669930021.000000
-Z17 !s107 H:\INDIVIDUAL PROJECT\Posit\Individual_Project\Core_Arithmetic\Arithmetic_tb.sv|
-Z18 !s90 -reportprogress|300|-work|work|-sv|-stats=none|H:\INDIVIDUAL PROJECT\Posit\Individual_Project\Core_Arithmetic\Arithmetic_tb.sv|
+R8
+Z16 !s107 H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Arithmetic_tb.sv|
+Z17 !s90 -reportprogress|300|-work|work|-sv|-stats=none|H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Arithmetic_tb.sv|
 !i113 1
 R10
 R11
@@ -66,32 +66,32 @@ n@arithmetic_tb
 XArithmetic_tb_sv_unit
 R0
 R12
-VPkm?HGRX3O:e7cS8m<4QU1
+VHe=gbQ[eS9LG<X>RV[3Oh2
 r1
 !s85 0
 !i10b 1
-!s100 13dVeDoRh;Q1j>Ci^?cZo3
-IPkm?HGRX3O:e7cS8m<4QU1
+!s100 3Akh<gV;ih>g:S9c0GnaK0
+IHe=gbQ[eS9LG<X>RV[3Oh2
 !i103 1
 S1
 R3
 R13
 R14
 R15
-!i122 15
+!i122 94
 L0 17 0
 R7
 31
+R8
 R16
 R17
-R18
 !i113 1
 R10
 R11
 n@arithmetic_tb_sv_unit
 vLeading_Bit_Detector_8b
 R0
-!s110 1669930022
+R12
 !i10b 1
 !s100 =HQ3nV>N<8D?N9VoN9:9T0
 !s11b Dg1SIo80bB@j0V0VzS_@n1
@@ -102,13 +102,13 @@ R3
 w1669929498
 8H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Leading_Bit_Detector_8b.sv
 FH:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Leading_Bit_Detector_8b.sv
-!i122 17
+!i122 95
 L0 19 42
 R7
 r1
 !s85 0
 31
-!s108 1669930022.000000
+R8
 !s107 H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Leading_Bit_Detector_8b.sv|
 !s90 -reportprogress|300|-work|work|-sv|-stats=none|H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Leading_Bit_Detector_8b.sv|
 !i113 1
@@ -118,24 +118,24 @@ n@leading_@bit_@detector_8b
 XPosit_Adder_Arithmetic_sv_unit
 R0
 R1
-V6];2@SKT7<TOR1Ia`?f:o2
+VB`XfR2b6]D9JKDlmI^HRj3
 r1
 !s85 0
 !i10b 1
-!s100 68<<N>Yi[^2OS_k8@K2jV3
-I6];2@SKT7<TOR1Ia`?f:o2
+!s100 O`CieK`S`XizcPImVXjOS2
+IB`XfR2b6]D9JKDlmI^HRj3
 !i103 1
 S1
 R3
 R4
 R5
 R6
-!i122 18
+!i122 96
 L0 19 0
 R7
 31
 R8
-Z19 !s107 H:\INDIVIDUAL PROJECT\Posit\Individual_Project\Core_Arithmetic\Posit_Adder_Arithmetic.sv|
+Z18 !s107 H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv|
 R9
 !i113 1
 R10
diff --git a/Individual_Project/Core_Arithmetic/work/_lib.qdb b/Individual_Project/Core_Arithmetic/work/_lib.qdb
index d72d74b734491849d80cc59bd826c8a03adb0774..4118d310aff5a4ce4822ca549ffabc155b81d17d 100644
GIT binary patch
delta 1611
zcmZ9LTWB0*6vw|gJ9RVJm`k&lB(pOoYm7}zu;!v^QF1}lf`a&93(Z5aO}1gPJA0X#
zy=E~94p{rr=sYA|Uh0bvRV3(x5W5(K(g>o(had{Ih)-fb`XC~rXXe|T2HA)2zu)hi
z|M}+o&RJjgt*`qwWuf?H^ckVJvv3VVj4?l&4DaDDcnh!N>$r{P&c<iT&gNUf3%JdK
z66E5`iTPy4Na&`)u6D`dpd`3^ONn&COc>#gh!_*taw3z^<7P6Ok-GZ4m`2{DeSP{|
zz^ztd_y(3fX`7kDXYmR<e$=bW%WHVcon$Q>v5wpiX;}B!AI`rrO4dR7Hj7@3E~uT#
z59($78sEcA^bwp;|5EG9u)?BsWlK4yJ}>_*-<Chx*%*-5<PX@x5=3Gk0^6Q96I!mA
z&MucOjb>6w(Z9Gg*DLS45%PCDQ2y6TfKD%A@`*(8%&6#(Dh;aE?}wRQn4wLKl__j-
zYwLm#IAPUVTO^1LP;sc)LM0shhRB@Y8?shi;3Kd~pu5M=gkvonPFi__vu;-2p4}aY
z7~1S**U~p)tq?mo=s2#}cUF5ManoPs<wmTe$CAY4-~k8IR*Aq%Zc@qKtH$j8-L{ah
z?UoA+Sw*5Tmlo~4dN|Z3G)ciD1tP)7MdN`@&Zex2<LMr<LY}9NyB{`fmAz7Br&Me{
zrzf?zUU_UOZVGpK@`RP9s55TKv^`TBq-46U2`lAor)ayM>IpWb5Sy~9UcIXQN3BtB
z+|ryB_ZS+bgzXor?XH{ev}F>Sb&HsEjeYj1-B!A;=Iflc438TP-WKw8^Lmq(?oiiQ
zCps7s@-FcWTR9>pU6Ql!)O$n1ev@Q9k|h!e8QP^=oQ+!<V(vlhsag*mQ+ir2v74N>
zI?at|MSPzo^i^EM0?p|S{2tSI6aU0R{1ku1O?(@F!VCB^p2b5x!PCMTzQfu9mzV_X
zEBZ0k1?)FkXag2tp+%$>YEa{16;`>ZLY0d&q`AmLo(l~$E>e)<A_EyNN>JjW3}r6X
zl91$T1y;ByK!J-aWVz5mXL!i?pB&`4s6d5_A{4nW!Q{dKqg8o|1)~Qo%D3vz(Sztc
z^-1M9^@j309;grE$4ZC#4?c?LG4PtQth}q9SFc3(b~fgs?Meq5f|<CkD0kTmIPWvW
zz98N2Y$i>GY{T%sfdx|*X-a7jLw=|=b+=?_uzEtIM{9IeAPk!Fqw*!)ZUAP+MH;Do
zk%p0mtq&k+AMTC;lxcZfyl6nl{=6=?KoL=-ZgKj-G0YB$)c8(!OB9o8=J!Z(6IRAV
znkee-5kFM9-m8+XG#z@6L5_-(aj%nI7!j$zo4xHgm`8Wo{9p`=)Wc5y`ay5%0p}d~
RqaP+odI>VklRX5G{traFm-YYv

literal 49152
zcmeI)Uu+yl9S88)yY-***{=VeeSdb=jS}05ZEB}&VmV3AL01SO4Kxk{UQT<y&H3uv
zyYueaZsLDA$BB|6gy;)ZE1(siRw}Cc08jJ{2~rTM5LG}_;*W?x2nkg*NaT&#*}L8K
z#b=)-P#^lWWX<k*elx%M?CkkrcjkN{t>%oZCZ|&wc|s;K5d;#KWkSd}`)y>ul^-8F
z5GyCF6g<bZ4#&y($>uitAJQOA5t^azNmKN3T2ExH7V$X*AOHafKmY;|fB*y_009U<
z00Izz00bZa0SG_<0uX=z1Rwwb2>fpaN(p~ch*DweE2fglshX0_U(V)K!#NN?{cK`p
zHX+Z>oc(-4c0QJem8IviY5CkUvx(0no*k8~DDQcCDX(a%Je&CP?5ONHG_qG!H_FVi
zI&K>|C1bd!m!3KI#Y+jhqe=%OqjLFUy92xJk&%gp#!!Mik<RAR>hs28E^DeuWyvh?
z^Q4n@a?&|$oDk~^nQ%fHE(=Ye-d>^fDH}^Q*$_>|x08r{A`dU9SIT2wR&q<~ggYvJ
zKIxv9hr<F!WxMXk$dIowG~HWsG^~f@gw?a3O@?M`LbTCaq=}{p{fPdB-l1>O75Wl8
z#Sa7^009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY<&0-Ztea6l+3
z88yik+pDH(bUYFe2L+N*^Qx|x*+Sl0Ti;Qxlg%5d-r3^B@N?5R6A{HJftd5UYRngM
z>7=*8^nhP{LLfy|pHuVZjz0~w`^1=!She{p6eK8!kw9Qs)eY8h3w?n-|IZM5g{{ti
znck!C(jU_MRRh2&1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<
z0+v9h^EAKw20*Zby#OG1UH}NNS{?RF0`>!cH>CUmKueT8{}*aJ{};pT`M<F1^MC&%
z?D;=$*?#`-3woaaw}q2L?!Vs<`%~<-*j((e^d0(ZD$rNx<>+^%-_Vnh_am=IpNl>b
zJ{A=stCBwO(ZEjzwg%3H=cT73C&K>@|0sHIK<d9gFoX*Y0SG_<0uX=z1Rwwb2tWV=
z5P$##AOHafKmY;|fB*zOK7pZ9V{^bac*rOE$j!Kt%VzQ#TQ$j6L}qh^%y>~>5esv-
zr6$2YTx#Go{jBDVU1}N{x&O9Z^~6SVK$tnq+T4ieR3)9wXOi($)=b9JY{loCS<sUw
zQ^}&9)fD~8cv>;Vrn%eEBSP@RdL?kZp1_ph@3sS<T(bhLQLNPt^bAT^<Z=EdS1WO=
zb;KFk%q=@^vcTe|to{mdMbDb^Y$NIHT+&P>jpgK0KI;M+TK8vJ%wfSF{w4_sgG^;;
zlLcD`zD?KB<wzL~f98(C==<c5aKY+jC0;BT7NC+&t2!$NOFnirLW5^Yepd22<a%`_
zH?du^dda?4Pw|E>SlN)ReH(DIR7|0q<x?fWN`bdfIzS64L->AWoJSWK$%r-5#hsqc
zH8V8zj_r6XXVta3%k8Y|O|I!8uN*wKP^r97t1|QcrCoWl$SU)zTCA#E?WeDhpV`?Q
z&s#B8Hu>FSyjcnF*&Q9%DnZ(QK}_k@a!?-&nzoGk;$}R>CPC$HeQ470^lm<PQSeJL
zdy>j;@3XxAnUd(tm+gHomZQ%aylc;wQ|&B^^Hvt^)!}=kb9auXbE>Tp-Bx!ryMx}g
zqffV5(Ux`Ve$hMB|IWjMr-PO7VEy6D|1~>Yl72;w*p^padk>NL4R)c943`KK=C?Pw
z=GOBj)RMC2y>wJ(8S!ba@0<soR)u%%i8j{B>W*21?~Jo$^VWBP1MYm4rVR16oh|#9
z(kM)ihO8j#YK5wUoGuLQ!WV4c$GchWX{(Fw8Z*ot1#|g!xu?<oN+<m_JJDB!H|<W2
z_gbA;DfQOq#FJU~t#W2PmCSl-1i6{9Z>HbcnT>T>iCN?6s!q&Xz2RxQdJ8>4Wa&df
ze@x$^uhUoA)Bj)4KhodP2lQX`AM|T9LKXT|dXa9^b-GLo^f5X@-=RJ9Q5vMD=(p(S
zs84zzeMoygzW?Xw83GW100bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*zO
zc>>L1z{lSDA~)@W8{~$4uuZn@gKOkkqZkl`jSXHbEbwA;lNW1iyjWeO3DO|g0VPtR
z^Um3Ia-CPn=Xs%NyvXI~i=;^~41T8TyjWc1MX|_>LV*|k{q(0!V_Rg4f7ss6i?%ji
zw6^jh805wJI{hna`s!7F*44#}&Q4zR^zfp)n_cGyfgguLyy)xWMQ?9Q<SvoJcUq)(
zA}@yTM86dMdGv46@1t+TE=AsoHO0om_aYsUQ<02xS}MdokXj@Ej6NEBBdW9a1<uCS
z>DTEWqz5!d2O}SR^5zmo3;_s000Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##An^Ya
zh=^>#x4SynSx(Cqao;>9&KwqMZ*|~Z7Q0b*-ITF@RGc}qN4uUJ*6OWlXsc`_EZbez
zId2Y$Q`X<yJr3N>7u->#3{CnT>F*UogTADxYQ<%?t3^sn8<QuGi)_n+>UH35YvmRH
zZc~a$whkJTGDxY`)nNN&+?W*E&JFwK;NY>4>#-jYNgQF;J4WuQvPi{|hhUrq;)<Eu
z^Y1BR;fOd@-TW>a3>53BW@v@FE|X&Bhs0^t>%RLM^s&o%Ks7e)w;vPFv(~Hj&~TQ|
zKfJp``@sSXt!+X)&(!zn!8@B;4;Ya$f@}eLgba9Y2<NivQFf<z?s;!V<Qm;;#^@{h
zpg6{I-(ypOPWENhD8yxP%(_N9Hy?0vFtpIHIBhkw>*f@0N-3k8?A?^U(qGqnFtpzK
Ox>U@beFmwRUH=6%jck_y

diff --git a/Individual_Project/Core_Arithmetic/work/_lib1_2.qpg b/Individual_Project/Core_Arithmetic/work/_lib1_2.qpg
deleted file mode 100644
index 54c81313a1eb98b3f83928e0edf738a6950f4dde..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 180224
zcmeEvalBR4b>_YIJ@BHaFG2v7$P-C~1RtoVXwZN_#Dpk8G?<Wp3d&PNB4}u88=H`b
ziESyhw}xU$TbhuDwj`wuX=(G?+Nqs!T4%=2&?z%zGPboHXG&YzGA#-7eQST~oXvjs
zo_jBt&h$6u{P7lR?{9x=?X}n5XP<M=In3(4Wp1T%R;_}g3OOCJCcQ_pS8@d8Xw;8{
zyan<s$e)0$Lr#Vq1$i6f*^p}?&w+%fOp-oY@?6OEC_fK!9}wq5{?%EPN+0CLdZqFv
z$qOL=a#W=<29iYMB*#lmfF%EgkX6V_A@7Eq49Rw5RezD>#gY>x-y(SlB=K*Cyo`jE
zTF=JES8nXBjO^XCy0WncawGmhowZfSyY5~%pZfCE%dVunYSHWuP(E<)ol7WJUUT=g
zls8;mxt8shvtgw+;+!B`^0Obnjl4>@k3I6}L*%JI((baGSI(opZt#Kiln<|2zlm}s
z9HxA1{h;X;Na9IDIrH8Rznk?R`S3L#r<`@wwRcfob??2`Q+|BTOw;e3S-C6r_o6q*
z4;v`-i{r!iF1lgHt+adFf?Lh*tqbn7el6}_vXtewzbC|Z*^DKRP~SMXX$Ix3>sJp_
zK0dhmG0J<^t+aSQ`pAQ8sNc6~hK<W3kFK$J%zHG%W9`FhEG~oh&1f3G83-)f&qH7-
z*CVi$n+7&MPFaEUc*6g0S~noSbMoZLN~PzV<C1?b`FE1vmOLT(=OZeWe&t<nWklTu
z2y%iEj;Jfo>Kf;|#<{L>u4|m@8fVNF8{c}5+9QoI-Xm1rT%I^Nrv4VpQrhQytyM<W
z!}yG-A2vO-tBHp=(uZ~xYqtpcKb@=(v%~zQeO9>i_LNKSK(t^l<ay`z)^%ua;c4=3
z?U0{wj{Bp0sViUVo31>ye`A4X9KR(Ak+o+$r%B%n>G6#D9Y4$tDmZQfZx8mm9sg-q
zb!n&5d>JD@=}(+r%$rzez2!-Lobh`;u$;Ko_=#Ql(~s4f{*$j+ygKdIWSk|siNj@z
z8~YX8?bO~BoZY&ycR9|I#NWDpDc869!}knwU8*C^PMNotm_DNJ5^Clj$D?2RS~%``
zmX2Gjr}lV0iq{FZ;}X?P?LE_>y*tABtm}SVD!co-w9{>W+|J7#+F82h0iLI@Zr^ne
z<+{h#aG#*{a%8q%d;DCcah$CF9X)-!SDlI737BA=<jca%8+e|suMhi?uE&x4F6Q~%
z)3n#^c)GpS9ol=}J+{xP4{qFO<Js-}o1*@0JxzPv_RsC@?a<!BmA0>{-+%w9=P%Do
zmuY@pu717Tp`8nX=lQS~`P^)OF-?5mf@I!-cG&ra?K)j=uc%yXdBy7%x5sr3+p&|B
zxa_ccxMOk}{xT0oN_Pp>%3XT7OD}IFJN5U<$|Yu}Q~cHHU#IwMy2Q`v(x02!#M}2z
zX}mekjJwM;j$ZCEtxxsK1}~e%_2_C;#OJNEq0<iM6J;7V^2g^vsJ5TEqB^QOd{5Y4
z*@6!{v|E&a#07u;dGfDSKL@JK_l2$SGrGjj>=J)vm-wr?#9!SdepZ+GYr4c=+a-Q>
zwcb@;&Q@M-RK7uU)&6?<$LlfXYq#xh=(2zB>=HkxOZ<&p;%^eZ)qM8#EuGK4Zn^Zh
zx%6_EUfxP}x^BHo{-)=tPTSAzGCuQ!XaD1Ml>Lfz_KVjg^Q$8*k90q%uKPiC-4Ckk
zeo$TagX+2;RM-6=To;9Xi|z;2bw8-C`$2Wx531{aP+j+f>bf6P*ZrVj_gQp5s6Onv
zJKPVlyvO~ZY#;v*;r`B9n{a=}_sg6g%hqh<eW&_L5K%sW8wIvc-W2v(ynj?5(eoLe
z4{?U5UJ&F%%&&T-XWc}|CDopF+`r6@^h>3m54j5EeUJwr-vs$OBzY)#-98bLJd44@
zeOy2Eaggv}-FW;x3d#FVl-!?D^1k09;Jw|iBe74Oy!c@h+d==(e()d%wo+@jq(<H4
zWBA9(lVf(Ag&Uug^po|yxba239~$Ci_-bWLgK?l-4H@%%2nkC(_qC3%2~X*~)(rfP
z=RS`-F1@}}*>AvF<FCFv0z;7Z>rX5mV|HlV8|NVo^luLE@NcYzrBd6j`@N0Dp*)Mr
zM?!zBpX`suzk%d19_pX0RI8hfw?1HcZ?)>Y%m@0j0e?Lnv42(_`RlxFPu?fQYkI`X
z^@}{u;BU<9{_a+J>Mz@o_et@Z4qkKz{izQ?;HYK(OK&W~KWJyGq?f-7^N8_ed-6_%
zLGqX$`Ah%l?*aTJ&ml>cvOKiw%i`|kE`LMg2y<rVfc!Tdc5rjr{NOy8Rqc5&w9Ef;
zesLazc7546dVB&h&zo<dfPU^)|HwPD32$hZ|LwPb_6%|zuk}_#y5ry8p7WZ1$nHb^
zL0@;_x%5Auw^rQb&t(BW_!=bq8_Vk=#C=-Gzdjkv$It3}tJ%Er`Slo9MvfbV#q+Sn
z&2+@`eDi0_Kq&9SuKH9v%Ihpogll|C<HU7``EW$Mu5+F>^H=o^=P`Tm+whQRhNISa
zr}+EipS6R(jPI0ae5M$_XOMo<UdZ?1@v-`X_`r<y<5l&;^e8@|ysvs*VkeD{a9MnK
zK45%a6R+z`m}dT}zTrG(4}KfIAU@Vk@tGLK=Tg{V9%^1bq`X?ExUGAW#dml<hJN)7
zD&Mkk857212hVHpf3oLe$oE=R<(n{kIBM0m;%{%I$Ihd*N_9Nf3zi$Mx4K?_Ro`|h
zZX9*`?e=}WTa8lASA-Kst-<pu=3iq-`9gbacMN|yZ@3;X&hBTJsQJ8Esde9Q;E_LB
zf60b@QR6NA2kbp9JNz91yj4oBgQg??VI9xc9go+W1AemVs~#_vXYu0wo3V)3ILJ6&
zhKu4g74?j(aO0@6-`qdM{Y~>T_}iD|C-)ib2POOAI`<thkH<~*4d*d?h@0V?<tKPJ
zULI#_51hA0HI96~bDsmdlV}g^suxQqo;v40>KCwIwaUd+;j0`U`Wwo}*m({Ji!<?7
zkMR*-byC33H$IeeJU$6&<%ly>9JT5+{AHZQ+c10Z-*_OoPSh&n8aE=bxy};Lalod@
z@{jWX`=OnqrT0T;|F~YGyvq4Wzg_qF!Sxya9q4C0{Gxs8hKKHX=5ZB1%UjC}_~-M2
zc}2gTM1r3@*_Y+H=jUY9!jH+S&+=2{S$<9jj`6q*vKGx}!$tXd#i_V))MNWTpLe4a
z{mSOE@kV~r9@`y|-xCo>`hS7ep^u`LapyQ5s|?={a9w2kDfo;2;kRgOUj+@0TDBju
zdc<`CD&Wspt|J_mR_lh<!_O63Ka37}980bn{Z^2#8(i1GYkAE1W;*P0d}4bXZ~BLB
zQ*LMf*l$1Ya=w#?ev`*_=3mU?^H%i@=P`Tm-|&!Vh9jSct?a%XDB2|-?Yb_R@lOeH
zZ1^~ny%YX-07d?ONb<WbnekhH;J4X@{MrcO!#;=k<aVtc>b>8f#gX@OCN$V-oUL-@
zMWYTq=9#Ykq~jgpFlNWhP(POW%J};_@A3C|T0Q*n{h3SOr*WRJ{~I9Lf76j4+_1;I
zX?_HJo*y&Bvq?Ozv%fKq`=@%leqo-OJ@{#ONHoKd$1RPIwFl1qNb#Fd@J7UWj^cbJ
z_}C9$ue=`~XRC)l>3F$cl$@VjZ`dzCuk$`M<G&A*@i!guzdDp>_R{zVd>;Q<;yEB5
z*RM%<+;7!4oX70Jf5SJ4zxUJezAjuVy9Z_0b;*o>k@DH+3E(*L@omL_9Z-zVen`g0
zb;*q1`UAhsE@Z3uY3)$&{h$}IA4AaMykRZt)BI~UKbI(PW}`LpCSBLBS9z;^vUc#(
zaFFzZe#Dab#Pyivo=-PGW4yQ@V7yF6K6!jYd0*Q+O?lrb-d^#V4&FJTJnLVYrvabm
z>5by)6OZdRB|M&|s&6=t*+V=GAH~J5-`*u2k83-B=E|S3^2hag36J}u`ph4*2R{to
z#2=5l`|tDSX4&OFjpO9HWX5m(2d~+M^t^7xJ72uqSCH3r$&7aj>f`ebn&B8eA5*^<
z0LA>`16<^HT{7dhe!yR|3;ER+=SypcdbeY4M1J%KJK6ct>${zgD?)$AE)4#+%vV2e
z`#8N@{ap*m{<?lk!sGd&`t1B~_Rv4WL!udu;c-swE&_^iUJXgRu1jY8ovy1X|E=O*
zCw|u@Gk)t2{5HFgo_}?;i}T0Yq2BGF8b{vGwQtZk#{2K*qWyP>f6t)rr+t3Rgcpck
z<4Wb<d!RF}uPc8|kJiVt=r;Vu!?V^uK6k_R&J)_@_Y=R=!}>8h-YecMFwF5XJ>vED
z?#E)`kI4_yBRu0vKc15x#}hw7yWxJkPrQ82gnpPF@t!Z<1LDO+mG!T`*ZR>oAv*?x
zeed6G;(bQErboOX{<X#-@%ARX-)_NsyLh*W*L3ju{uaeJYTJE3=kZ&jc6?xf{WLxD
z=iJb)!TvE%M+aQPc|yD4@q52`pB1m^5wEv*Kb8vLC%owq{yh0HRetb?0p^qQgm%OI
zxI?_piPv=S`hFC}IBMI6@Rxk<&ob!D?+I#WdgKqL0ghT@G3ptYi2>IbLMeGdyW#%a
ziF)$x6tC$KueWzU?h?LVc+(^NNYvAh4f113;zwvV+>Z~4cb9lg2e0q9P)y$Ke%^3@
zma84df%#&3<j<(kuEB9<T&4$H!+Aox;r`q$-reFgJ>vED?#Bm(pDDcQ5#Fy056F*M
zi65cea6djI-aX<q9lU<tLotrpc3+3xpA~91TkTAb{K2xoc8x=*XI$n4T*G-nyW#$P
zSiF11YkI_s<-mAv)%y7n;r9veI#lAeJN_sN=!exu=TkhChjAUVW2JcZi^p`>WjuME
z`8h1Ce*b1Q&L3|w{``JVT7QA+zXeIVleA7=goGiF^Bz*Y!4a>Cw%+A&@p6|JC+#On
z=lOFL{2FgS+}E?C*7#k;$?GR1^>-wE7o3y#lYAE@c;|EeRDMEn^7{o||9kQS;lxqf
z{&@tDaaaY7_YL`jIO4=*4o7YKO#J1#GB%WF_W`XMaiZjP4DUNI&-^;(9%x)|c3B+j
z1Ezz2al*eDIP&}XOLnt;7Fo%ECI1oe-wTcWd&O@$_?IXAL%?;y&+F%y|2}Bs=XsXv
zjp^WDo$&km(9VBem&g38#s7l%O$Yymgx}-i_a$1zXHLSuM*J^{-*oUlk?;=z$M|dw
z<t@jT*K4u=_d{cRUJ<|P;C~>LXZ!O$HjC~{T0Q2y=cP-(pS3o$uO1e!>EPu=g1zj1
zO3G{X1-uW6_f_$l4qnA``&iU-ec7#b=po@bPdWakM|jTT7|(q;<Kg>p(+ltq3(x+M
z-}D0fdf}NT#G77#e?<7H!kb=z-yr-H;Y}~Ve^mH>;Z2Y5`>Z^V=cB@(5Z?3x{6^uA
z3vYS>ev|OWgg3na|CsOxg*Uwb|G4l6gg3na|1shB3vYUapBc)teB(T4{_IqKnjYaf
z9&x^XkMMf~&w%L#_>T+ExG;ZAkMLo><>U3e!XJ@8rbl@C2cFFTCxqW4yy+2sD8YZ9
z@cV@~J;M9_1fP%JFZ^oZO)tQILikm}n_hr_Qur0Zn_htb0pXVmZ+Zd#lfo|(-t+?e
zr-Yv%yy*q_Ey9l#-t+?er-k?Xc%~QNKO_8T@ta<Ne@ghe@TN!jurJ8-ZL9FRg*QFI
z`+3&$;c4NAgf~6Hhjl*Z|E%z@32%CY5BrE5|2g6J32%CY5Bq`~|BUblg*QFIzhLD#
z{s)DBQFzlMd^m6C_#YDfW#LVa@Zmh1<9}HAL&BR5eArj_HNJ&<?uS3z%XvIzht7kI
zZui3@!NYxl%Wq;I;rnwu|M=iVR-f<B|Fj<J`8zZ1>%H4-zvq68l3#04z;)(D;rorR
zmi_+gc6}eQNA@?U-Ai4zGx{cfCR$m~pgr$7vgfmWFL-qH+^77ueFWoiXhi6r`!$62
zjLVNfa-BCBJvZ=fl=tIzat4C?oXzHc{#??JC*`A|b6+(pdH<jLw4(R_&qY1o|L6S(
zzW@I_kj>uzUxV`a{eS9w|DTfY|F47O`~Tbz^ZoyCjSBDofAZ|`{y!z(|NjOY?dJXe
zCE(@z|7_RI`~SrMzx4jUt|xlZ_y32#&%V;$YrhvShU2;7-j#SUoN^OB0D$*iFbnuR
z?a1CG4?X-YmM^+x>2k`eZ@+=hA@^Q)-yO3lAD%Po{giiJS$Ti_{{O`!!29}V>WA`s
zB*%w*i*8tPEA6#?|9?gH{{IT%+rR(6qRspNE9Q|0p9NS;iO&L9yzX1M>0au1yt_ha
zZdN*f&;J-EDxUi|Cw<@l=g#814Bx5X^WcGa`1}6DpG&uKt!rHC8rM4aU-A1)m^K*S
z@E%4hiR<6`#i#kcKgXYbFM`B+i`Dm3dpJFqeOAyuW&B=9x9|JAJ+2R(*z5Lvf9K!Y
zAwQO<Q~GmH_&!AbzJJIY#U+0qU@Y44eXnmxLS#G~k9SDl3+erg<L3Bb?;oIo<A1vE
z{lBBj_x^EvC-XbbFV5#!r@xkGUB35!alO0u{x5lh-uv(G^1c5{&+xtf$z8tp|5m;C
z-|75*TbKCDE8}fkJLR9+W!_A~*Ls-G>30NpA1+?ME-}6JcMDig9$we_JW2B|)>C^|
zou<8^4(*-pcMB$|U%bxfq+f2Q+y1znUQF0d?7UImE$CPOrkuXr)B0|K+tu$|T9;d&
zAJ6o=1(&Lyn>y@gYOmYzbbHTrXzxtFTQFJuJ9wJ*y6vCaJJO-O)BSG2Th*`LaDCS{
zPv)&&&F@3iJ)UTe^Iv|xZ?}%UP4=dAXm9btmABJgw{|X<okbnmff=l?BeU<uct2>@
z_ai*7PnEv5L*Atw@=g=)mJWIOI~Mm<y;wK>efro>-&g$^a9r<@M#J_gY}e^|Z$_K%
z8DM*Fae_N0|8IXk;_5EH*U;_vAg=53`w=&F>CfCY@kW|7jW@@cdEgSN=`Ow8rI)vo
zo#w~QZT#f~reA4(c;2{7{qS;^X??2u_b#qMMZCYlZ4|T5b(nsn{bD@g{S#E%$Is~U
zdlxhQg?{g%{2oOZ|5ka>?e{3I>+*X6v%AFK(B=0oZaBm5UHJDW9@6~b{OD#L{d0Yf
zqSJZp--GBB@85smI^ySBt{1#7P3h~guXFrLPP`7cT5rAFrN_0E?9^ZX{=^84lk;`j
z{$}OllS%tl{r7&m^#1$0?b7{l>E$lHyp`<K|M~KN2t*tQ=j*ink}l`h63wrH)3o<~
z`Rn)Py7hNym+QwJUE-H@iNCW;{9Rq*KhPz9d6)RRg=gZ#`+`>IhYx1oZ?XIN?Z4j=
z?&tISExe!K!S`G2etyCCTkL**UH9|xy+ym9U)TNoy6)%K{rfBnFyZ_@2<J!3`|jvJ
z_fegEpJi9_eHQAxPUrVp&WFVFclLdjBapnGith>7_gN^%K=<#n?1awiFZ_;o%J*5O
zqI?3%DS7=v$=^kvh2-ywY}e}hEIYYC<M%|D)Tq1Ub1VE^(ZA2a`_`=g|K<BE{5_NX
zU5vlTi!3hwp4w`abN^19e@BHne~0CDHlK$vJ^DTUJmLA=P0VY>QT$zZUd}V}@;OKH
znjZ1maH9P)39tLRTji<0Y)9TD;x!$-{5^_xAaLaG|4Q%p`z+!4hvDC6@q9W6LdM~+
zr1Sf86RxK+{XWZc@}K8-K5rU-pJhw*9g4ui-;R0o42E|3cM?240hiAQ-8VSp`z%j3
z;SKHbzy0>l=HF*wd(Lb6A-fOt2fgd>v%HRWh-3DBmXLq>@BGXcjN^9hD-fTS&q;E9
zXFfcG1{{CW5l{a<ODNC3&*FAQlRnB5;j;I{xlbbRHu1WCPAH$|Jbk1AkLnxF!+i?<
zHaw)kknjF}U$gJCY>LKbiZ#f;&l2)|czoE8qWExKU_YK!KTMC}6Uy`Nv$&l!KEh@3
z;rW2PynoBMyUuu^e46ukd{l4mCGhz@t}FE0@CEU)cJP<yhjmeWE``oKgn1mbuD{Qs
z@yo`=zvsa78vLK^;~Vn*jsHH2+xPV@U7s&tuMihLAH?$-@AI<1$MKi*hU)?2?0$Nj
z6_4%yeG9{ZN99?6$%gx(_B{?*%AQx}I>&LP<hYuS_=j~oUw1rSZw~m$s?WZEq4Mne
z7ncL~Mtq;e`<v!x@Hc-ym-`I%gOdGlo%@bvaZ`QveHFg9!2C3Pv;0Io&jTK3YY&|J
zfodH2eCIv~cK^kHpN03UnSVU*^7|}&ZjySx`p5P9Ouo<JdFFBDdd@gQ;HWjm@h{@+
z^MZNBd7<?t%X81q$*4u#C;NKj^I7FteohDOOuo<J_I*C5`<KhWANx&v^xMzpzJF-;
zeU=5$x@XPshwCES^E`q6wf#QJM2!>Ik!Jbt>xR`MzW$yCtuXGf<hr3aX6puj7Xoj~
z@3XX;w_MkGKJfRHr;3OD;=FZzS|}g3-l;x&Uzhp7d24t`s5tU@=>1IXz8xs~Pd?gp
zT{7dJ8seCJCxz^t@bmW^^7liM-*w5HpE&q!b|G86r)=#|@BKhC9C<%yLW7;`eRH^N
z^>zH^eDXY<ss5zn9pW%%$E;AFeV@hG`Sg6le5T+2oTp2FpXCbHqyHNq*?-e<Ug3rv
z<<p!uUDpFX&yN}6*(4s<+25GQ{ZoDReHF6@KMfCwW;pV=rSY-$z_}kOelrT*h&azx
zocUY{`{C=A_rvqn>fuj1UhWqq=jWwXke}E2y9wjJ50ddW9r3?9lxOzR_y>F*|5@TW
zARgDRNqF3E)o0%+F?;ae@J-_H{Y>p%E4v3}*LBH^f3fn}^BZs+`S`ZtzYZwIXFnw4
z<GN(VZ~cMaW*4&6{Iqtc_kPfe*pDG-ao(_&^=baKo1c7-g6H4aXwAIwb=Kp5y~<nV
zleL4NhJ&OR^dpwcr^zb!_Zn}2&UoSGn#Id><derYl(+vrOUnCB@p7NRaWEacb3%F6
zzcfz+KF`w|#l!sxd0f9K;qf@BKKp)$*+V=GAH~J5-`*u2k83-B=E@&`FU9qF36J}u
z`ph4*2R{to#2=4)8i$)@ceLW*x@5+0{Rgkvh4j2`#XDcT{$7sjk{NHO=gZXZ1>)xq
z&Ky72B{P2O2mCd=kYB|O6<#l;^T^tv-tB-MN4_rf2RqsM((6;6cJpx+csL&yf*_uc
zX@2;5+xI>14*2R?NcPwDTM{1657lSqf3t`F86FbNaOC~-aZK$l0*do^H6-o2E}8Ln
zx~``Dw~BwA_+6LG_^m(i+w4Mm{?*Yg-mh3Y)Vm#2<H-BD_6-`x%^Jt|fSu#$^W5YA
zUX}a4#mha;)((Ce4w7CrTUW#NOMaeS3>3$M&xvw8Ovn27zEGa|k>*pt=j-2X;@K@8
z*KbdF+;7!4oX70JZ^K9a`}(&;Jl_9y{=8rQ?2$jNFHLydAJu36m_7Jm_$K~%+}(el
zS9i$nF4=WmGUK=YgV*drwpvH59qQc<=yBxvw=dYq))A;ypXPD9bz~bFavfQQ*33VT
zv&YB#YxVG_-F|SI{P6Sloq@M{RB<sKd3IM~FCEu_&-3g9;yErJ*Ow<e?zie2&SUoA
zzu_U#3`ZVM@2BH^T<->o^W=o=x-OaVce-w+{2vtmG4Z=DnekhH;J4X@Y_)D#JJh=!
z_A>4#ZklkupK;!N6s$b|aeW-Zdxx~bBgDUFa3cD{yz;otL>=w=cT_$E-PWJr&w%OC
zzK(fJzd4V&F7J}x&J(_?lYcM7zq_<TyvusRx@LOB>+Ri-4-3CrewZHNN6`)V@sj+|
z_hPc|TZMMR{rHG@`F#wouck-57l`+D@#;G+*>^eis=o$<eed5&@vacB=@IW3@s37&
z#%;fN`g?7>8b9BHca?ZoiPv=S&Pv8{GjQ=Z-lKNmJ=XlYBQS%bwmsxStuagf@VRKV
zcb?F0c>L}aFW+}${7jGf>Fs?S?-PC~@Mqr-fr_KH{Y^nOR-k}!c_H93KSI0VesI6S
zJY6e4OotzHlei247sq9d+Px@$Opp8@iF)SMlk(>!`7?x4@`QH7{kb3Y^k<#?F+Jk-
z_8yl9gnwCnm>%KJLOuOp9y2blBz}Z;!~Iw*-VO4@bnq@q;^O;V_h(S;4#^+WBY)1J
zJMf47r$2|~kMo3f!~Nj`#JKQAEAz$lh}YY@9}fwCM1Gha;dMT0ye>apP5cP$hWoKj
zyqo2R>EK<J#KrfI?$5(&_nQ1MJ@RJ+>KT{O;ALFs7xTn<Lc8JqtQRl8L&Lb34&HTb
z{CPy}j>;d?BY#kgqt=)ye~u;ogm%OI*&yC0<&Wv$^?k5-x9@|0?4QSn=Npdiart9<
z<j>i32mWyX%=ny;Kh6`{4fp3!@$z|k#>aH<Zb{-Z1YGRTMzyP`o#~N3SZ;CD8l0!}
zr#IjlLntLrXgA!SO{ix-w~E(v@NP@|si=P*pU2d$u6CwJ{+y3``a@p&!#pxS#1q;L
z_vdl(J|kY!BVM>=yti8Ym>nMzew*;F!whlRcgc34o_<(;v>)^Dl5u@!9G(@A>9Fg+
zW5nMbdjk89u)gQNbENg*eA_elXW*sX^t)uvdsy`lIBJc(mWO#<yxgUKm(1Hw#D>B5
zMVsN*cmp>7E*a}5B=!DXGUtoGOGf;K3I2`#F4^~hANSX*2g3M_^>Leta^}km8aI_^
z`)Inw`*$<=55&#){~w3Oaa<7cEc*`5iG<(J=e|#A#ea3e|Gna0B7W21KVKZjIA{J3
z0Z0Ga@n4hhKOuhZ8_<5hbnuT&`2GCSj{mxZ|NF$hR{W-epFFYu9v{E{Y84;eM~vhD
z{o>yse$&A}J>ee$j`6|A4Qzh2;-3p#%>M~!9N*32Hy!*N5`K@*6K(i!PWYb`Kle$D
zzv<xrfl!{^r|p9dzq0$nR*!YXe;;z`zY~2jw9lUBF&(_03gy}TkCfNy3wXDP_gVRC
zI(VVts15t>*{6l)I>7#!9^ofidH$W5&j`<b1@WdA;GYtn`!nKAFTigVezowX7vP^3
zeyZ@M7vMiD{1o9$FTj6J_<rF{kMR4fJde*a!k-Y{^aA`33V&R9(+luFB>XYqO)tRz
zu<!?kH@yJAP51-Cn_htb5#jd>Z+e8E8OpQohHV#quJY6L2*1Jbc|3nq_`QK=!1MzA
zv%+)V!hAD5!arknbN(L_ew+L;J;M9C=ii~?35V;=V&P4X@I%3F*54l&e!1|bM|i(a
z@BGgRzuM0G^#Rih@Shi+`+N4+^aA`2;a3Q6dIA0?+<)OsFTn2<p4VaY$MgdH^TPY@
zE2bCVzaacr@ta<N|4HHdgg3nazf1Vh!kb=z|0&_?!kZr9{r;(s?@tTQ`!0-+=>_<o
z5&kvdO)tRj7XDS?O)tRztnhn;H@yJ=Md5b~Z+Zd#OTzCG-t-9X=Vg!29^qGOzL*~2
zj~Y)tf4?mJ9N|qb!2g`^vxPUk0RI)?X9;h50iNp=*9X5}YkC3x=Y{wC%BC0Ke?j<T
zil^xjeu4R!$LAM?UnKuckMLoikmL6W|D5oqNBFSM&GBCqewpy5NBFRB&GBCoewFa1
zNBFQ0&GEk^{5s)HkMLn%nd5mLV*IxXZ+Zd#mxbRXyy+1>>??ErUlINZ;Z2Y5VV{`e
zzb^b1;Y|lVTvzrr{tWfJ9`x_>jfKwrtzUl~R=pJ$Tn~;kK>P3VIj?`0Z+~yF-|oA7
zvwLj4Y8({4U-poOIC8tb&)sAAF+1j}-B6e9jJC<2iB{J6clman75e9X4WT`+kG~1Y
zJTV!4mv1S`r{cSO#G!uJ{{A<U^3l+_@8@4$e_qUgARd=U4ngvHF>4382s+36%Zkr$
zvA)UgUca4`x3gnB;J0>=>k>OJh>!QD@El_H|HJ-HQr^yv@qpjjL2ga#K(#n{|6Te%
zaHq|KKKorUjzhb4yVY(8k#5#*5(%QX`*rYMYgf1bFSVO?{yRl4+Ba+0pV;$#;sN<N
zrOS3hYR7$LGkcdN_J*Ki|B&6M=la!6yO(8;>wL3zlM{P>o<5{@2fJ){MC~Y>*?VhZ
zFTJnK`<Knyy{$#Nqp~-pMZ3$BcKeW5jOTH+ThyZ6)TG@Ibhh*RscT!bo0hbzn4NmV
z?|W`Z+HoD?bI<nOQG9>&1QxJ!qwkNJj&iQs<4|sJtV4Ql@cF0CrC(#d@IqL3$NKvH
z_rQa<@rP$yJ-7eBRko`9slZdIysUO%-_X}sptu|k<&9S*&w}K7I#=~R&(n5}^ZD<%
zwB0W8s6UNA7Qg#7afIc;*p&ZF{qpstm0x?>_%&62eFKhSJs%&|ol#ZOqw@>-`TV`F
zOWx0Y3ICVE`0XH`c@S{;Kh0(5S5ZJaVVxRPH9fL(73yin*RjyPrr%@p`^j#H_}I=|
z*$Ml)zQ&ToKj-_R#)s<`pKtedFm31K@9U%E(so=|$m9I!_%Hr0`8AaIpZfJe8^4yz
zuT{dWNbuetkJob5521qVN7~Ng^<sic+r5<7N#nKpyX2RjKivP+uUFdmwLyNZ6K-vS
zPvf;(^?n{o+j+bWCAhTR;lxfFuP44sejRD!*Q+i3+A4p1AN_YN)^C+(>-V#2m#&k$
zLizu-^?OeXzxJP@U%M5*(_Oz0%CBET;>GK?>Cw762ld^o-^9o3>Wi{-5T)_@ZF*$q
zCe(MceiI+tc|~@(FXVc<L2|10&;H(k&zp3;OWQf_fOt-K{XWvduf=ER*O{*0ugk9|
zynm9r5moLxkB9n($01$s(sqtJ)Af5MF6?1{Y|1|;l%KX=XS#kbkY8IArza(s$gky+
z9*5Pc_w_q%=eRRnzqhsUYv&pIb-L^KZu#Tux4t_*Z2ztDZ2jJ+cIo<U)4cpsMxcy9
z8G$kaWdzCylo2Q+P)4APKpBBD0%Zis2$T^hBTz=5j6fNIG6H1;$_SJZC?iltpo~Bn
zfiePR1j-1M5hx>2Mxcy98G$kaWdzCylo2Q+P)4APKpBBD0%Zis2$T^hBTz=5j6fNI
zG6H1;$_SJZC?iltpo~BnfiePR1j-1M5hx>2Mxcy98G$kaWdzCylo2Q+P)4APKpBBD
z0%Zis2$T^hBTz=5j6fNIG6H1;$_SJZC?iltpo~BnfiePR1j-1M5hx>2Mxcy98G$ka
zWdzCylo2Q+P)4APKpBBD0%Zis2$T^hBTz=5j6fNIG6H1;$_SJZC?iltpo~BnfiePR
z1j-1M5hx>2Mxcy98G$kaWdzCylo2Q+P)4APKpBBD0%Zis2$T^hBTz=5j6fNIG6H1;
z$_SJZC?iltpo~BnfiePR1j-1M5hx>2Mxcy98G$kaWdzCylo2Q+P)4APKpBBD0%Zis
z2$T^hBTz=5j6fNIG6H1;$_SJZC?iltpo~BnfiePR1j-1M5hx>2Mxcy98G$kaWdzCy
zlo2Q+P)4APKpBBD0%Zis2$T^hBTz=5j6fNIG6H1;$_SJZC?iltpo~BnfiePR1j-1M
z5hx>2Mxcy98G$kaWdzCylo2Q+P)4APKpBBD0%Zis2$T^hBTz=5j6fNIG6H1;$_SJZ
zC?iltpo~BnfieRB-$!6p?=5pHm9uILL>2N9$QmRIdQ{#kIRf%rl#hhG8}cm3uS3=$
zCqs^cyc+Us$oD~>0|`-?Bz-jG`=Or;`2ooDApf9RshkfvYDA^d$NG_#%9|uFfV{F^
zsf>XHtTIk=yyOH(6jv^kektS!p-+ZnyRoXjNb+LIiIQ)TyabZ?H$z@V!b+`Y<Krtg
z_EtvrZdzU0*aNu{|Dev=D&$>vFPu+(`RZj?QeL%a_6H~*xcAN_lq;{f`&!ByuC83m
z_RHC@QX6qjkS+PyPvAzfAM|6<4Kr>f&ut5Cy^HeJ1$WM)T-?88Ddp|&34Sb_vE&iz
z8wWSdFx>jpgOraCu6~U2o^>nDuSXwwa1HhQHq9{qA9-}mO6v0-9o$5@_Te=q2k)D)
znEIM~0?+yfR^Ci~aMQ{KR=#P?eUzIXdDPm^zIVXl^>}4qV-4lzex>$Jm&Z?@Jc*1N
z{r5pu{~^)86ZCV0J4f~B{GH0bEqTIaPo>hY@$0ROs0V{1>PyVvh`PqBJ_>pj^(<~B
zPn;Z6A5)o*@f}gW4LJB;x5R>e*!0l8CLZF*7ur><-CF4Xbh19o4#$&rS>e*#Q!c!N
z^K4{2jC<$yp6SpY!estIm|S|A_V#sXZ`~sgT!p+)97fh@zk+`LBRa_Vxn!xwfpNS@
z`b&@=N7ldlvGvTe`li80)>HPw0OeJ{ahw(-L8%WSK`C!Wf>JJ6vx#|LzYhsYxpMZs
zu$PZ(Sm#F6U4pKyKdthI<&WR9j`OU(Mt*tzIKRu(&c(7j9r0nmJzt1xX0OwH_IRc|
z^eg4HJicI=^*^=W8vjAVck0iZaTefslHbQYmYwp96VJTWt8JX$ch7pRSHtq{LfB!x
z^}=AYdHZJh@hs{YckgH1f41AWcJO}kZ!*5l^Jkp+4<!63J|+HccjMaooA$%=#wFW(
z+&FGLUr|3KJFHK~H`WJHAFpp0q8<C`lI>&uDbkO3$WMPP-r`O9(ztv5_6X1R?Re7o
zqPh9a@nn0(wG*CyZhtz>^Q*Co;W%`Pe|u$gSNL~yiN6B7H2QPdhRd$ze3)(V&F2HQ
zZ>Qwl*@+I-&X*Tqe8|&oUFZ1mypi_b=L>5+uQ?CiQmr=ae=GbYJtMoqPpXdU3V+Et
z;|-7PZ00BZZRO9U)w8?e_c(SMpSLOQo#Llfd%N=I?Oo#E(Ix(ho_bgQTwQHGA6mu#
znrdHH{Ik2nUvd6L#_#(;&)-)5yt7&}y!QWf?f>iA|JSwuuWSEb*Z#k*{eS(|u>Y@X
z|6kYszpnj1_C>b;uWSEb*Z#k*{eNBi|GM`7b?yJ_+W*(Fy$buXu>Y@X|6hL`9gEK|
z$HIR7tmm*1jQ4fi@7G84e1_{bT2wCx@*!Ry)hj*g-U9hDB(FE8L^{h~g5C$Y2=Yyk
zYgNy3uWu#C1CJf|x(WEZ8It=1O752^xgR?K>Fs|VexE#f@xv&#BV51r3_f9w*BUNE
zZLQ(*G5q7?$uT?5LIX<Mpj2v&UR<b9=k*}sVfbogOoMcktBoM%`4A>7@dy{LpK1-4
z27}(M{HrgI7)>5;_b1lBF*`I)jq{L@w6_8P_&1jM3H)}zC>!hW*Zrpck>Fori^?^g
z4Q#%Rhx#Wg)#?)b10z0QdT$lk&7-zmvat<XGu}NaPkGsnyvxLEdc+I!IBJdk_#5+D
zanyg;-Cy>TyvxOFdc@21oV@P$E6~XAx>ciI*RKs-&o)*nPF~+ip3r#EfBF&H*BY-w
zr=5S4yjOX(R(={j^3&rr7XM(osR5Vy(J$To_>%1MJWjjoLj8d05wG`imUzjJam{!q
zNcVhqUdD~Q8^mimczJ_>`9}Yl9}qZdRnEVCm7WJp{Vx3Bx`K6JFyv)lHXp{Ig8rO^
zTKGFz^;Pb_>6gkkF)yf3!r$IXPnG?xRjT8acLCR1U9Wgm`%lG<qaNFDHIIz9x7rxe
z_|P8Pg}m>xbpw_g?l;fx^jr0r-zv}iz7#n6&3#(zx8WkcC!dNNM?JRhey8))cq6}Q
zkL?y?{E?4ixsJlmX&zs$=kO$37ks`L4m_TB2Gjh-zOOMRv}-)A_+W9fJlhG$d@>z*
z7Upwb#^do~9xz_2&*G)>EMAuZHx}_a4>FFI;i7m=K|SLt+&Jp&_xbo6_m_Uf{SE&1
zH9n<&Fdo2HcR{iruJe2s^LX4;-*6tYhqxIY63uYb8t;twxh{B|tvztwKE?C=47-zv
zL%S;DPJOI&O6Uv1{O_~#IrJ*8uUJ0O=6#>HtBwoh^Q|4m$8eBVj(DOPN3Hr+{AE0S
zT`@o4r`d-L>rY?fMoc`;TjCjSjwka>>+jCh(s}-;-&+5w+y_vfV*b@_9;4lW;ouL)
ziMrvUJo314exg2$uZ=tW^7+cVp+6tRU;4*&ZEKTtEsSH&AonBi2lr-)@55CMj~aH%
zArGt`esCRS|F~}fzU4f!dib-V;QYgR#P~Bmd>(Nh1YYYu^UrkHofyh9dubk1hu>Kq
zv%lowIFrY9uBXlXR(->H%pUwVJS3Xo$n#k1!gj~|{NXxDyBs&#bzL&!=l+f3=j#~R
zJK^sKiu@cm^1CjX@mqi3x7mgK8cwLp1J4_`Ywb|){QxbFyr2EhV5h<LkmbsYM#{5A
z{Yl4rs<j`pW2^G!Qs|7ouX`c?^7Ys1;g9b(T|Neb^q=Pe_J5i2)(1>SelXu-UiJt6
zX6t&u=lL-u;H%sZGmfrv9>+ZHpXwXVWA@;u;UUosM;^B{KGq&MZ=d2<p@4Db`Rh#L
z9M5-LpJe+OuE$&teExd=_M?<`uT*}#4LakyB9sr9j`%vB{op*iRqOfXj>q^ij;=c%
z#W-q>UHAv{-RcpCz~9&CM=kB&+e<lS2lIvYSBcMb*!TQ#-c@Sneos@o(Q0RU<TuBI
zehkTvzJP12LMiQscKLqH_m6K!J^kT2&i<Jm@p4~A-Xr3jDc=6jpT>0Q1_RD{-+==1
zZW6EQ5if=VN3C%}ytBpgRjsG9TJTO6?`H9u4qmPY%cFcCd$WV<7V|`LZv3vsnHOXn
zzkbv*Ugu&#;CRhc`KqLx_LjjO&l}`}J2+~MJA(gqK4Lx36V2>dG4QGU1O}3Joo}(*
zxBlk&bf5CsachMO<JgytyW`xB<CRAZ&y(fy+t(w<{hs0jdK|UwpT|U_e^)^JB;vj$
z*v+nIeVz4jv}Q>^d0xEQD)RH<0QrzF`yrW!rh|W5!oMoiH$4C3*C}x%Kl7O5;pg2M
z(8zxnlKiHFe`gE+eab_!kSCVpXFkXLGog|HRY>xi4u1K!eN`xLg!+8HjA9&tzZvh9
zs3q@fkmNNTyn7PAJ>DLFOivuc{pPsEe)IP}@*jsJzv+?RJFUF0u{+H79UM2}cL{HL
zgm?RnpC$Y&!kb=zzef0%g*Uwbf35H@32%A<{yO3J3U7J=ezx#?gg3naf4%U#g*Uwb
ze}nK`ml%K33-Iq0eys4O7vSdz&+|O_O^@(lKIG$jqwq(BH$B2Hv+^8&lkoe5H@yJ=
zF5#C8Z+e7Z70R=Gn+u)!b|{n&m>%K7yvY5TC;TztO)tRTEc{X7O)tRD7yfnOO^@)$
ztvvT<f$()KsEohq1^9)+_X=-%0sh^>SA;jc0Dp_{Cm`vM=@EXvmFNC168=TuO)tRT
zD*Ow=n_hr_kMKjnn_hr_ukZ(jH@yJASoj0Nn+`nB-xF|t=JglP&nql`eT^y5xc^%r
z`PJn7r{`uG3vqtnI=Vls!wtYp|Az4IgT}n|^Xn)62nK*BkmpaLc`VYn89wSqsI4{j
zg!9mj+fYD0$wt^e=KBH1KMOwYW2ndHS+7s!SHyoiD!@PN`g2KA&UFO+sP4gE#%Z*q
zwa32K@J+54b|?6D*N1x(yxVggzuubwTzs9GwnH_J{JN#v_)S%N6VdsUqe<S>zQ@z-
zAP$Se_%`+`&Vgt6U*;q8(0;!e+4EU@o@+$UAn&uBKceSzp+&74p69a1_E1!-GLDeX
zKXtC<)fcE?+@BBo&f4=IQ~6r+qwo29QIGul!oiTYJdN0KNcvJ}$b(4}g5T9Uf_}Y~
zBR{o2wChviCJJ|Fz|G_Nf%`(oLB&z4-i5!w&o@5Q3t#;}D9`S131`=>z-RZj9DlcX
ztQ~lkQ-`$j=>8V>fARe-<HdLw4`h0OE9@702GPupR~3&BLZjdPA%4{<l2#ts8vu^>
z0xrLA71(O}oglxTMm)#+L--5+EZ$sqsC!&Z@2zeinsMZQg7-^Jt<*kd?a}{J%R?>y
zPPc#N{W_|CeHi7j-H%9jyDNi!li|Q04A!cvRBrgF{GL#rjicIE?^Su=?eq54`$GAA
zYX=^~L0WkfSMD$4aWr0x-zv6mGLFPEt|w}?E{t7G9O9{Qsjdn7^;RDFf4^{HeCyQ*
zf<Dj6flKpwZK$7be5e<`$^?SF1)eX$RUcHj=a1tb5|6b5kKrJ#Jc`4$z{UAxyy(C2
zKu*N~aXt3?wZt<H>+m-o=ZB^HIGdj27wxb$^6hbJ4}X+LmS^M*_4!{ukcf47tgka1
zN3JurevRz;5&mx1*JK?VWfV1gZuQ$I>-Pu4HM>y_zn|fH2RVK{aGd9lK-MbNX@`2r
zf3e+H=Dgte5J$T2;QlTr3~-YsL&6XHy$}2YYG38^uGEFA3O4{+YcKw*M?)unT3%N<
z1?^EbTX*|HeKvn@fPP9oBLMKv&ZFSTemC&-$$wYiJ|FEKWP8S6>vM*upKLFC+4}aD
zz`uj-*>0@H$?xCu{DpQ5FOFJu4*t@=k3u4zllmdqKj9h^gWm9U&hOV79(m~g0%iyK
zXxHQG@lNAw<rrTt_xRd)q1^HZd1U9skv)TaZh3U{oCBsij@tHcLaSxJ=Q1x)-WWnH
z;=E%YB>Gh~8O<-AN3b8Pel^r*`=CDPjLX-Oa?cCaa-TJZ|A3uKB#j60uy&A~uiU46
z8S$qdzXTnAOj{P_t=;dY9qzy5@^*HN2mIC!@|ncWFN<$^V&_+q@^*HN2mIC!lJSfC
z1J&X%j{k__unHQkGqU@S2jEAuc9*eP)UMy=_n7Sd;-MDprX=nBKFBQVZ@qCmY3F&u
z=cQ@yCH$p7mRHb+pmTh_4#_+*ndFJDj~w@lfQ$Y1`QY=z`F-4pX1j~|kEp-iZi4*g
zebqEhY{5K@*I%0l*e`NDJ2#pSrlXwKD-%#|&5&PVKbrr(J@TB;-+rwN0f&8q^o=NB
zJ}xo8`s{Zr_+8zUl>0n+8RhYHfwf1z7#=e4=lk(j68sbDxBq^V;u+7F-|ab%Ul&XT
zj`4Gzv|Y;k7z%1w@6@jPxb*3P2VU{0JwFqFVgGsIpBL_Vt494u?YB1*jr{qT<o7@_
zpG+d30%5IsBg#i(-4XAjP;u0%H{ov`<sXMcyAR2p`%(Q~m8)IV>z@ea^Q|4$O~XNc
zpUSNsy8L$k##=qg<@Y@I8_jUkGJg6CzaFH2%){@;-`GF*=MyR?DsKNIG~nl3JJ>TE
z<PWIa>fJv``7z$=p?@;quCx9^Z{@GyP)~p9=chuu@y3U8Uk4_joO$w{vuz$^*Zut{
z=elM5$Xl;B9_SBaesG=G5_qeh4sw#~oN(ypG}B?P7dqqcR4BjE@KO2JP(I)4QEu%a
zpAO~YxgMN6IjZ{Epf9j;)T>=6kJhQVz;T@l?dlD~!S9*I6a5Yp@^uIC@wzfw^Zy7+
zIo}RTLg2{nD}NCkV;l~ll(<zU>y0DQz1>;Djh21KrR~D~lH9*PRy)wyu|R$ug+_a?
zNv6DR?^uHK^~J~2ajz#h=lAws9nrj>X*=gj{pq%!OVm$Z_#=-Ng!5Vc+=7)y<9!Qo
z9B)7GA<Q^xjoFI#+m)}xahy-!FXMMy(%bp@lPI?L{oFIqn9u2X;0KfPJ~l(1hx?Z~
zzqLpH86MJL5r18Nx<5WnzJ90pN`iNL&g18Sbe?;^)AnxH<93e5`-fl{{SL*I+D6kc
z@7|5_If!@gt5R8L|G<4jjoS~0cEjSeEh+bS4WXRlv0u{KBTj~I60d^^zFoXtNbp0_
zoyX&KAi=fEix(5T+i@P}oef-kK2F=A8b_XQKSHfqouqcv?b7E!g2%@rJ0A=Gyl~G0
zh9f^8KT8d_F@99?#~?YbCZqH5Z76ScKE560JRdW0(e6XC=YCXwT;($%F^*NQe=d~I
zw|2-I!$E#t<yH?}e!G9;tsdp_d!GA^W;kjYKmFzTnEr8I@4(;KKlkS+R8CafekU~G
z=UY42GaMuX$a1T9|3+l-8*lX}|3biBXZ=NeD}N0KJpHAgKN;GMw|=3W&(o<WFFGF^
z|A_p2Y&@7Bem>q6c&k4Z<RsUBI_T3(haWht;HXu927l4d?EJbr=r^f6!~JY1UtslU
zZ~Ty74COZ)9{Tyv$@`^HKHut5ZuTMfsNDFV8_vsn?e>PXUyQ%ZLx`rwTCFojQQOIR
z@bv`e>qUAVJeJ^`-`lr352o$T^gQ@p_<{4;gNy_7g%6A(FUE4+?L#^1S^lKTzYGoI
zI7#bO_2;PfR>!Nn`jt?Bz3GT|2yCsoH<V}RSK&f=bbeh1Tzq~t9P%zbzY<5k()a)0
zgHpc#Z?)O`)j{X`|JZ)o`~L?Z`Tl<o`W3(bzZB(s|Nl|Q`2BzCeE*-4@BedO&-edd
zMS}DF{|Rpn@BjY=B;Wt1<oo};QNj2B=@8%lr{w$pl=1uj)cOAZ-H?3$pY6JN|DX8(
zm)`&9`2!=-^RY)BeF*Q{^;RJH-GL>KY_#|KH?5qvn&qq4g!k`<zrP>8U-0<K$N1hf
z-rKLN=XVImN}g*!{7$~7-8=V=_b#KnZqBmXDVJV(>phgK-gW;Cl#g6hxgq|3!4wjF
z7yRP*fp;XwkNk^nSkc*c4_0K~Jy=11_1;s#cMn$J*;@3g<#!KO*mn{TX8R5T!fbIy
znCGz_K9jJP5}!%1aky__<Kxuv*@MR!S98Bo`=-{{o;maFz4hKrYc?C-6-ytn?=)<>
zsqzTps`>p*Zx?<y>&d=@kbd{z_s^+Rntk`+Nh8R=d!Rh2D^KdmlP2$ZS)SCDCwRXL
zs{-Ed41NuN|B`0>y-Sz4PgI{+c?;H^?!TWf5qxaN^#to?J>{n#w8ILQ#1lu^?e`Ph
z-eI)w#2%J6v*Y%<{eFVmgYzBP8wEoi*H)789uxT$<2a9EoqpT+T(Hpk;qhcSajo(1
zHGHT3T%z~#$rq0g?NINOr@wMZSNKaS7aE@ZitTo4Z*t}0uIybVd!6!6=@S1|oV~h<
z*V`)Lz0ywoxg2N7ZuqC;Y}^fg0B7xP@H23h?goDy&idWpukR9ngZ#O5{ZjjW$iw#x
z+IL5;M1IBZwO(j?>+efoJ7Iouf^nVkc^1z<exD$<bJc0uncl|E9fJ>?RzD`GA4@vq
z@790k-_VAC>6!<4zO6sJ<}U6}>gyg`!|yKXe35@=rro&ot3TVix7YN$Hl5l#(8k`v
z%^SEcsIOlWzGu>HzFn$*9qH0ex8vn@dc*Zh%e?mQvJ7tAXyeswe<rIxQ@ga&ZGYU(
z;x={`uC(u9)$hOm)cq0XIp?uUs5b8@dEUKD`i6v`_3?Qc!)AKB@7lN>m*nAkNJ)F#
zpHtt~p}oZmS90G!Y#uqkOY+Bd-YR{6hjw5F?MG&DYQ_6D@xIa_@6rx=FBk984ta0i
zu*SX{bq_u~W%Cm4<MrSDcZup#;xkS9Xj~CA+h-CVkN@I3y34C~h<|2>{CAutKRyE2
zng2_>^MAVh$Hi1f{CAut|CSE<7cZUPQGQ+_zjt-Wdq<bN2Rr0lj2rp!b(-g)OSpMT
zJ`PCdb1R+X;~l5Tf2>3P#qaCR{~7YXZ$yXwpC<qGj`>&edcOYfhSTfEq7Loc^5_~n
ze{Kr*dFsp7-edbXjxX*vWZ&2Gad*l3c)h+>`q~cdE<Mfj-F33FtwTG$Pgr^T<M*G=
zd1$um?d#Cq>3+}cdf9oULpy$6<?jgHoHuTeonsx^xy<-+{>Oy{_aRVE8F%Ib^T4k|
zyuRD-tvQchXYe|Pysdct5%tad@%?^$J#i*He%*l6dZ9n9;?u8oem#KY>x_7OpO4`?
zEgs)rAJIO3<Qek#KK-oI<njHn@57m3MdQ^f&d%feY~HUp9UkU8+ilQ3j=x7xe^&X=
zeKhl~-MpBhcH6{*+p4F<^H#O<eemeh<Vp9v=bk1{y3alDG<kf!m+MCJb*x`;E!U0a
z@$vayt{ctc^DlMX==47G)0KI)o_30VTbK8ZKiwsML6^TP+)`=&y-!SgoZ`Yh%O&r-
z%)qbRJP&o+-~KM|ORvJO=H2i=gkRUY!9Uz3|7Bgqf4#mR+i83r?Gpc~F8!I_<#@fd
z%inQ6U76Tb{GX}}bcKJq@=n9!d%XOA2I}ek)iloB7kR$AWZvG0eN(eMX@#F#?e4p<
z3%bO=yG#5nUE&vYiNCc={Cm2@FYXfmzAo{%b&0>dOZ=)X@eg&0f4EEh`Y!Pw?Gpc}
z@P7T<={);Xmv%ngCH|={@lOlS>p5Q!C|k|@&*I5Pt`mALr~UVc!*e<O9x<QG>EL_B
z_FPWE_lWJeoVuRNsq49%x}M9a>$x2KK4{P7)b(7>u-`TGUDmT!;eyZagR)=q)(t*D
zKi5CJ#_q4-F&JD&j;z~z?$P^77oZ$95AnJa&$F)M^Btpmd)D!~Z(^i-IiCZXi}F6m
z-fE8b`c`rr@VK+MZan^OL%Ru(J0badBjrUb?+JW$*iXMxO#je+a03$*&nvs6M&0FO
z_y^yM@b47!x|#L8xDmqgt<VrB!*}wX;%6d!P2V-9WdCEk|6JcS_V4ieca8T3|FZ8u
zjg?;qLwOb#f1dvpm2<w+fB#M}bv(yeJ%|kB_eo60^O~GLz-8YxJ_60-$M(*9T;(Y*
z+mm-lyrxIIAuljKXc+UN8IF8hU3Y(Ro5Oft5U=Ur<^3-DFT8!<@pw=Ey}D5T#{K0y
zVP1x~<?qk<_gLu<`afCq+4opg&c|zU)U2G(x$-_+4bMfhp5=za^ROC+?EAdJjpO~t
z*uIZPI?jg2bF)J*$a%o~A#4}MC4Vo`{pNi-`mOrRZ<S|$_X9`2c|R`p+i;QJm!66n
zM?JRhey4e4ypiAiYBw?D%UBFQ{ha3U)x6B|*5B_m9C#GRY+jHK`$l`e8a>FqTgGJu
zywwG0z<e?t`4#dl|Bjf)i|Z5PrTQ#hD$n9I8907Ng7@d*co{B=*JY?@T!kA)o$+G6
z#r+NWmw%5k_?v%^lK0)%k45T->%5N^^LX4;-*6tYhqxIY63uYr?~AY<=dH)t+5_k9
z4Ibfn{(#-=ySr>po$D5Os&5MV0@|%rF1F|T=|9g?^f#1`v3UW6e}8v@)nk0bXWwT9
ze!lUcoX;2XI|j4^xgG*XtvUsN8E5e}%s=>VJdo^9tuikAz60?bhpEax&R?7lcJldm
z_&*kpd0T(Nd>G^31)k=*&uiu*@B@a2U$jr1>mmL0JoC5;pT*zu0{;1YW?s>+kK!-q
zH_yW_H(B@CKjL^EW_;)m-<yH^IP&lET0Q)jtA6o(&G@&PXI2k?((kkyt=4hQv#{>u
z^Ni<z@LK;l&rFA1?gwIfj1T=o8dDDYKJLXRB@f4!JgzeiF%QR;JgU#Wqh$8rzu_Sb
z7UgX#yKe!IcG-X0bzL&!UmW6?oi97(XS~Qyzsc{qWX5m(f!}5q(%=8`yz%wb+M%BD
z<oheBAN|2j+wbx&Q-9L&o@(v!|3N5kcz$C1eO>hUdpxZk{`mJIT>5u;=@0wA%y{)(
zV&uoA32&Mo0iUmfoFC*_E*{spt~T>e_1SlI%^v(TJS3Xo$k)L%&ek3{_anuxLIK9}
zjN`moapt<je)#!1jkDFmpLD$3FG|i&_LKd38VeiO5#HBj+@6JG{+W*W^Y@+DUK;;^
z&*Oi&cy@}%b>>UV<9@5Y;XGy!{u{nY{A=Lhy5M;KZuYdmU)=>s|6P~N_&0<&ww<3T
z|J%j?ocLXr%=oQ8@Z0P{wwj;T4)tyav^erS+XF4m8>>crnt$!)=Vs;2J3zqrr|bH3
zmAA?#YX?6K2kCyqlKI4W5a-hs(3nq$AvqqVBcFWyLOH$zIEwXSI_BkupS(xJYdUym
zgz~I^X`Tjro~JVdzWTa&T<87BW^qz|!+Fde;$iqGF8)6ERpRluw)5xefUh2fWV~FT
zmGJaIN1Usw&-^ia@Wb#;{PDOSL2I55eBN9Go$-9l%IgEJOJ@AmfAE@JNYCq5yw{5N
zn0Q^6%y>IJUmh`@+;2XwL%&}Yzw442zx4zDnq5eLzcQUi)(-V<2edfy`FI@K8!;cZ
zXg<ycUz}%Yeo&9ciO=z{zv255^#Rv!NO(LyRG*#y%^vz^ct|wEk@wHXF}3?nplFx-
zUgo9ik{N%e>uSnBNBq;p@495hZ~cMaW*5@)FC9l~hkCceUdH_l?~~@=$@lt{r`@``
zQ{#9e2sn;D&prM(sl3&G$lAeA!$G<qvE;hS^DzDQ^Ypu*aXi*3UZ!LHn;XhAKiGe?
z%hua~&*#s)fX}`k@A}Q5Jmc~HsJ`JmbBTlBhL8OB^>4m-y#MX|SrG75p0Ai^t}je@
z+#l6v{+K=ZVfZHgcz(P8zW%*icA3Al>$+sdZ~X_a*@bMij#xX?yB*Nu$miLU!A{%%
zr=eZ`?Ew$3LvI0loPTMYeShKoT@>)u1M08qw<bIuXVqu>6tjo^86FbNaOC~-IHz{s
z0~GW2pzOLXnelhJj->qW75{$myDpjWTYuoU*@bMij#xX?yB+p2?&najlZ~U-*YOXG
zOSZpkH;(j|<G2{@IF3GFJpLX}tA{`B_DkG<(obJ6-WPbQ#}pUSv0mI3$}@Xu-UNKU
zUfeF86XJ1wNy6iPtG?kpW)J=w9um!P49^?Kd%nIODCP}rzS#V9T{7eEG;dP=rQ$!X
zez`80@mqi3x7mekl{eN7^=^l~jQhzSs<@9hTjelo_1)$T^N)FR2U^E@laAvu)W`b>
z>Wt@d#nbgW6CNK&)o0hYW)J-{JS3Xo$j5P5KTyl_g!^Ie2>)CX53e7_6Z$(W|EHn>
zuU`)-9(U1Rbe)sN<A~w&y#7GISNS|H*MHZSCp;bx)i<2S?4f^#ZxWBY#pC;fcK&=&
z{;ZQfu74=uaeq{w`D6CrhvA#}16mxl?MLu8&Wjb$nHQU6*LBH^-}(<;vkU3-pcU_j
z#k*F#u1jXTi#5J}{|e1;<ayPK|06)r@6F<OT{7dhe!yR|3)$-YZS7Eh1iXw71diN~
zmxG;b{(614`TDBzbtMRxufFc5`Qh`%*TYo-Uwujab^V@%$MZw=+59tm=%3*s(F{l4
zzqB8#P+K&AC)oX?GhfemUg3InFYQJ9=`<d`ukv`@7x2|56%W@}Cp;bx)o1%Nvxhhs
zzDYdRh^N)~r2gD5f1Z#(u0N3QxIe1T{4sm*!|+Y~0WFT&_SEj$z;FLw5Z5u+B{P2O
zKX}b9WUF~#?NIM_%xsh=OL}a*JmY!rQ4ss@81?9Pj1Zrm!MVx{?{~l9^Z##b5IX1o
zx{>D3fa%eFrYVNc|G%*Zg@3Ug@UA;QigDB${GpxuIICwIj6eVXApHLudq{jQiO=-N
zen0AYzQ1xr=;u1&cb*mOn;zj)e;*e9W%0Z2{*FRD{hcj;tsedc{^9<v7vC%5Gd;48
za2wyPHojwaJR<xd;azw8mkQ7Q1mk7(u)izxuW?Ur==TQk92Sr11$LPi9M>blyY6-;
z%P!Y_+O>Mv-ILgTR6MVW$8^|Tp5)ak;No%GsCN4_PNqlxa=qaB!Q-?^_}65|b@%r|
z)N`D6$zQ97zkxshuBYeKW8!;Ve5ObCSD`-k_i^F(%U{zYeCqGVgg+{N*WKTXP)~nf
zl)qLFe*^z;e>aQonD|VGegECUdG`Pp`~5v?cR+rd9{Ii3@Ohj+F8p!Xaozbb&2ZrV
zotEEL55EI{U&HNtoWECmC&XuZWZ&^Vf1eQkp!_vG!l(XzpYV7roBbYe-TlR~f}_^x
z!+c{NTRr>@{KNhIe)08+&ve+|oaFOy;Nm!cLhXj+x9O4JCk&s*`AOmVU^xAD-Tl4*
z_4Ip|{I+`d9r*pbr1}5L_ya(5K93fk>5=`^-%kqvg8Vf-!l(XzO87qUyYBvuK|TFl
zE`O~a{s#Wx{%#T9Sn-(-`+on{d1oW;ahyM`b}!0r(<8ro=?47REI(cfIDO}?-U#jT
zeW(9_kDn3m1o>k+c(*0vp8E5Y+Py4)Opp9Qa~!qCPWkgn;!kKd+@G!DohW}y2e023
z_4xSxE}uV7tKA{_V|wJzIdlj99F#wY<&X1(cEkPota$t7kLlp``(*A9ubboX`kdMw
zkw2zK{=f|!wZ<X&^Q!!Dp3rW%KhKDFiu^Ghy!(^!^7{cEpC44a*W{1skw4?<4*WSL
ze_oeA&J)@V_veSiJ5~Oe9`U|eyc3Y1T!)T{w;wuxpF1kuV6g9b`NQI!E?(0kUhQ)m
zv&4Hm;r;6tyxYV(Q@o}}y#3}#t$~l;nZG9z-oI(V`y=9=C0^6P%kf0Nv*#<HL&C&)
zvR&;eI!~A$`E#E9Stoyb1Fqpbq22It`BCxCmOrLPyx!jP<5}VPVL7hLrbqZo<i}R|
zF*@-hv>WcnkBN7V{4hP@Jzu=L#oH%d&yT-t5pP~#a^0URUehDqN#Y$6?}UVx5B_l+
z3>M|xkBfJKcufcIOUXF;JoWfJr*;zq?||u%KWEFI!}6zJ_MIoR8y>&Ui+7RyF+Jk-
z_U^|H;it$C(<A)F^5eMtn40(z+70*PC&as0ewYs4LrGlxK8E|VQ|+e9AJZd$&Xqr7
zvBBc{FjM|GPiQyXpXbH9ME;l_@p^mr;|s#ik{_l=_=)mkru>+l_z~I-_v0tUyG(wV
z9`UAr>=J&C{4hPjzXkPNhnL8Yxrra4-EcpC3avOF!v6<cA22=Q#WXVB&Ej1kUY}=g
zmTs_UKKwKa$Qynis1KM9Ucb-e=Rdz+<MI9(wOgcqnjZNx!3@<J&&i*~vhO^h-SB?y
z7Vj#>+w_R{P2xQ$-X%#t$F=C^&x&`ocufcI(Img!Kkw%k)oz*kX?o<(W%B2+{8^s#
zGqfAt&o7C0t^6?^yna8+<L>uM+@C#aw?h7y9{Dp`{+y6MtK^UKgm%OI`LcM|$sg0f
z%X#xet^M=yVSmvx=<9v<|96iJ^S&QET#vK=zq>{GVwF$A`o;Z&<JYz*-=gwW3IDDZ
z<p)*HxW)b)YEgbn<$j&v{`6tOaXm}Rr>ore(~e)%qI|W=pF|$U{yeF2U;mwFr^<cb
z=H(ox4H%~|?}q=s=U#syaD2Wu9VaWsx{%8B|2^mRF#G+I<yC&4$p1fcbOT4N!RrCy
zUTK5l{*buC!uhzTe4|lM+^cPHJl_%bx^S!D4da^faeXB2SQ{MY4{;}i+tY&Y3E{%^
zb$<Pw+I>#Aa6Ow}Kc=|-!iDR|*0`623)gpj+4Z3F!Cf4+hL20QPQ>^j?u<(~pXC3q
zJ>$myhU>HTeBn9|<J^Le_W{TkuCMwUp69Ln)Ae1$uisMtcwIz3O5R8F`O%6`*JlmC
z{!00{za(F{zUgcDylTa#>#K%eKRKU2m+W!=JzQt;{<N>-pT~)d`p;oB@VkheZ(%pP
zZt(l%;XK^>xyGk8p8h-iSICF+_cpUvA21#Kyq|>fVb9~ZKUR<X81A=Af3EuH#k)tm
zri1qv5?-Bevgd_@ANlX3zbGEwCqTXpxV|sp(e++c^$q7Sd+^inkZ6V@|J~`U(Adux
zkhjQ(?EgXYemal;?(?;PukMmRuK!ZP<Nl~V^T+JL55qU{r<L9PviqFux-OaVTmQjp
zb|Jrt^LU&`X@7oMyt~Egx@5-N>2nS#|F4MuS@F9rnekgc;IG-G|MDBdV)M&?pS5<V
zcRQ%Yk&oxsp~ZQd=2ytaJiiVEe08t<bNw3$kB_J78_r|);E&-U(F{lK58H8laR046
zaNgeF5q@9rqwVioDX*^^Q$fh_=Dv{Y!>>XwipR5t&*SlH0bgB(MC5#P{b0i5@lbvC
zypq{N{|w(G9-iN+-Cvj86|(EPWX9iV9;W=iA^z3kcU>~$xBkFyvkU2YI05bBakO@*
zcRQ%Yk&k=D_MiFh(_WwQEW^N&*VpZI95-nkzX^hPo$~k(seDN5mzR5-tsVR{93<d4
zPTi;RdCyO)+|LWY2_5#T%R)Z}Ovn2Dr2P4<P~Y;ocg{PWUwz&DZSg%RKG$DJc-(*0
zXV24`J@{|<s2~2^r}sCt`#ZAB@0v27U6;)G7i%1Ro}w9!d^}t6|0|#vrzgbkx@5+0
z{ej<R7t-@;5!%OjW$jS!{h$}IAH2WDeV5-a^7@pgU0yw-arA!q{XV~bRrx9T!aTV}
z{&=4JE_C+)i283j^5i-3zZmLU=E)J%$9eL5;v3x)#>@48o$z>`sJ`JmW)JZ*JS3Xo
z$j9;DK;t~}`I4R=j-WpF=cRzJ*5!}u|2E-qe^j6OWA@;O;hXs5@lWmkzU=nNuIrK+
zzx5xyW*5@)suk}ah?n0v<a}^lGUM%ZKBRuXEdH_LcU>~$w|>B1vkU3_lqF~%&j)LV
zdbfjW9Qk-wMp!?F&4-ky-F(<R%IuE)cVK6JwAv3YLw%ed|32We=b~Ky4+&2nbogCW
zefC_G*+c&f4{5Nde`!CgJ#g+visyH7xjvj@75Q~r%F`|$`xFn)BVQMszt#G%N_KsH
zcm=wxkHN12)3HAMVJOe$p~uJV`8sU4C@y|}q~v;J<`6Hp_a9|%o9vkmd(Iom@&B=7
z0p&jFKMDV=@TLQQutom^F2Dcf_9^MlLspRca|k;7&-<91Z>C57gmUm{UAN}wPb{19
z{*ichir4gr*Y6*N`uuwW?RfvQcz21{^oTc<_htLpuwNas<B!#Dx7wMGc85cG%W)3)
z@c+>Ac|Z5B-8laj+1n#~rbm8;@_d~CtMGe;H$B38euw(b$M;XfyHC8PN4%lD`|&*@
z-u>b=J>vE84fUOm?|&2T0r8q1@rLrghL4Mn@3++MCABlXNjuMDZ};ET?vUD<j&?65
z^UHq+@%3EuHOmLk*!+5!|A^-MtI#;VUKhXV;D1H@e;Vpr?mtGOK3>oNOnk3sKjZqJ
zCp^AhsXp7UnLXsO;iG(Rw@-adc3+lV*CjLlPOpE`e*J~``8|8CL#|6^{MH}%ZFV7j
zKark4tR3pz4(M^@=jG#JJkI#Md}LHOFZ=rKdEYKi*2^D1FZ?BR=E=;Fq5q~MPhM}q
z@BaHbWOQ1WxgI~7@c$3-FA=}#(fn|~Lw)P{n)1Fb-eux79lXco_l8iO%@g0p`+ZIK
zJKV3z?<ab@e#?jaJnDE#_VZI#ke|=~Cv?VfxBM|Z>ZjkI4fU=2nezTG@$M0?>EP|f
zc^dn{v40inJKmQa6`wz^?)qORJU)+ApY5y69`f7pkZ6V@&+jGB;(e;M2d>q=?107z
z-7y{$;7fVh?aTTUkG}x{#vwbeavpMj>GQ<z2mAH+-v)ejYfs33*N=trjHiz@;8A_{
z9Jbj*{|w(G9)BmER{Q4EpT7_I>K6Iq`adK*?vLs-f6N~IFnkk#TE*eG>^>vAu1jY8
z)_?GtUC36~C)N)2-apXe$n)fQGVZ>fbUW@7HSXRo=jA>f``CutjV0&rr>!8LzyBLL
z=P!R}<axmKX#R%s{C5`jw;k_4ig%`XO^<k&gm^bXed~20_4l8|J4?K#gLi5Yk8I!3
zCLT{&NAh@_5dRYKn;!dZ<@x@tRXkGOZ;N-CcukLZeSHq~t>cmMen-5^#cMivXC?6n
z`>%SVU4A^B#N(v+H;do&$nQ|z*Kqz;@kn_q05b2M5U=SGum5fq>RZPn<>iN^$jjfm
zna`$2ybCa4xWD7`WA;0U@QbXxK2W0`;e9{jc>Yh_<oA7(=>_;+;eG#TdI5ff@V@Ue
zy#PN__!qU0Gra(RmhdkKZ+Zc~F8q-2rWfEx3Geq;O)tQoE&P+>H@yIVj_`he)${`V
zXyG@D-}D0fxx#M}-t+?edBSfH-t+?e`NH%0Oy;NQ1^7PU`Tu+oZ+Zd#O~S7h-t+?e
z1;Q^={+nKaA0zw{;Y}~Vj}?Bg@TM2w-z@wrNcPwC0{l4PX9{n60e-yj(}g#^06#(a
z30Mc|kLd;Y3xyvmyy*q_i-hOChWw^S_-Cy=U#~6}eu>7{^aA`u;raj2k>B(J{9A-y
zB)sVb_)CPJE4=9i_({Ug5#ICye82Fsg*Uwbf2r`Rm2ajO;3o_3zi*mefWJ(5|6S7b
z0{j%=4?;5jrWfGfD*OTAO)tQ|P5Ax7n_hswT=-qeH`5F7Q-$9tyy*q_X~I7zyy+2s
znU&}H{C45}y3F(f{5ynSuJJX!0MB{O^YwE1V|s-5-`)NEeueNSbUk2t0iGW&Cci%~
zV0wf<lJs|m@cw&<=>>SMYvk{fKc*MpuN2;YFEhOWf0gk5dzR@1_^XBY--}Eyz;hj^
z|C=>lrWfF^5q^{KrWfF^6@G*8rWfF^6MmiWrWfFOpN9Ug72fm${Pn`~x|s9b^aA`1
z!XFjh^aA`lh39=A@|#|OpCdf)-w|(m0iOS#1^fG|@TN!jb*PW`&o>G0*UzRG;NK;@
z-*+&*06$lFzb{~V0e+t2HD0C{;BOY*-`h9606$-Nf3M#30{jBuH$yW1rWfEB3cpEs
z(+lwL7Jh^9rbl?@E9_?1JGTh`Ot>FAV0wgqGQlqrp3h6uf72uUF~jHU`K`ilQ~XUY
zz`sZMXM{Js0RLX$w+e510e-RY72!=Uz`sxU6Oin$=>_=Pgg-94=@EV?lxOk3UHGHg
z&zK(Jk63vg&n3dYF1+al`1cF{n((F<;Fk*js_>>qc)t$!^Wz=DZ_@R(=@H)VH#>fr
z@O+Pn@iaZc`|muCzf<_*!kZr9{dYOX-zEH9<+JG#-tRLx{sY4EIehwKdW7E^>}KP&
zT=<vdkLd;YyM;d}yy+3XlJI}f{Sn^u0{n-BUm(2c1^5-h&l2AB2>*n|Ezh?P3%^zI
zG`#@-5#hH8Z+ZcKrSMM*Z+e9H-*J4rRtY~xc+(5;_Xs~*c+(5;_X<Btc+(@i|L)`d
z+$a2W<&WtRKK$;O$8)vt$HZ@X0e+3}M};>%!u#(A?$7<gzb=1FkMJ|CJoo1T;rU(+
z^WXFW{954`32%A<eo*)Y!kb=ze^B_j!kb=ze@OT_!kb=z=YECznc2ddUVwjC_*uf6
z9^u39Zh1V{3%^=;(+lvA2){~r(+luCuhah(!kb=z|ETcGg*QFI`|o_7KaUE(Pk7TK
zeE9t>@9#$8j|y*k0iOF9`oCXz(+lvA3BOl((<A&cTgP&L9v6O<);H4&@E;R?h47{q
z;5Q4uTzJzXeE1zA_vd?rU##<^=>_<Y3(xntI3G<fz<;ms3xqel0RM#WbA>m(0RMf$
z&k^4A0{r(2KU;XyBYgN>B9G4}gx?^%=>>T1N0~qCgg3na{{zCW72fm${3nIydvlDx
z=>_;t3BO8s(+luhgkK@N=@EXLUDxOF`LyuIb-izT0sb?>^E(Xm-}D0fQ^Fq=-t+?e
zR^eY4-t+?e)55<dyy*q_&kDa=c+(5;pA+8Sw=ul{|BUedzL4n!_#YJhIr(FH0se=C
z_xD*$kMR2fPqv@@VaF>UOfSH16aH2CV|s)SzjNjJ^CRxR_)RasZx?=>@TM2we^mHq
zgg3na|E%y^g*Uwb|6{^$5#IC&f57%dd4C(iAJKlv^aA{k3;(k4rWfF!6aGcvO)tQI
zUicS;H@yJAL---#O)tRzgzyK2H$B3K=kfCR>=gbL;Z2Y5hparuKQH`i!kb=z|AO$Z
z3U7J={wIY$EWGK!`}@DV-sE#M{o(#o_8fy>m!a66Lt4Op;JFIGO`d1x=P^6{{ov_m
z$P?aM?`v@1PJjG5(#*lGU&m4Ba}`fnMgE+w`{VDa?#KKk56@p%r?Y-_%JW9~(=Ctx
zzV7|@ezoKAb<gAT-RAiy4Dt8;iI7iJdLF#U9PSx>vCi_o#uTgX%f6rZRa;NT?BM&(
zas5tPclsJ$e@OKhHXQhFURak})`#&Pv*Sq8ZcoxKt@n1XsoiS%yD#x4t@n0ElXm-)
zc4@u0JFa$nW$!@JF0J==l~EyX-tJ)1F0J==e1Dtq=5sZaLrJ@|-rMyh?OsUQrS;xU
z>%;crcp(|zDQGMN565wCcs`~98q>d_eAuP+X|ctn-uT2HapY@_hUyKDKXcpE&u&vc
zvrRp}JHU0KmHqxU^}TKCU$XeM=J$BIeIKviR2+PqTGjjbd%fq0xA(kiRqy%g^*$fG
zz0ZSI^*+D6{($^GWO3=s;_Bu6J_7g8HvZB1D~xxovB&an%#NQ@et2F<&%STqc$ahS
zANhH~*AW)S&kcWB{69_p$bLKio7?dFbI;EIGcEYTd>Q_p!`wFfpH{u|@9vVno&TRo
z_<yzqzn_l`&hxX{wc}6y|DyOk?#`Q@|9xLTGaSdKWzPic$LBw;A742&e};X(!rS>i
zfqJujMZ8~V#@ngCPnp3yPOW&q#CSA$u6R#U?$70h-}P{wMLpMdYmet)4G-!4^!FdW
zES~f^;h#&&oj?2znDbkE@EX1efB0Q8$Gd;d<InL<g=6va+iAPhZ_f{(H|f5v?Kmp_
z=q8Su{XcWDeb1M#BykuW@YTIZxyL8m*XnEdeKKp0xEQ`ke12X$Lt$OazIX8pNxAc{
zvVC^WZ|%Wr_$K_}zFCfU|D4C;KNJ0m`=7S6ZX-^fH{HhH=ehH^L^pBN8mWDc|1T;I
z2b1{hOUgYy;kmfJ>^&H3kGL4VNqiRLM8bK(ai!b<-Z&2CUexdKoL-K1d(PwU=gdaK
zm?v$Q@_Ibe@ANos8_u8Pqt11S`)$U}L?k_)seO;<SChDTK7TDK_js;VzIlACJ>qEi
zCh-i<Rp#+o+$Nr@6MnbnJRZ-v=x7|zw4KKx%}>7`?FS&f?(^$YwqraO@gGqfO@;39
zoQs0kzQ^;IlDK(1_b25Z&+uGp9v^FuI2yi5JU1utX&2A%9Bj_-_MFG#$^ORuN!xk<
z(|G!Qf_?zvc=~+<w(BIGseO;9&x20KZ2=8N;}+kiV0p^hssCN^V%!ec`c!Z1)jq@A
zYVwEI$NXN~cW^yaAKCNSZ$pkA(Zk!uIP&*}`1~sUe*rI!bDaEpPD3c=b@sQhZt=dn
z$>{&L{yOSs;s3Y(PN>h`v-JEsnUs6JqM40b@UNErzs<&j{#iTr6FB<wW$ouHkn#S+
z?NpQUc6N*h{MHWgaAKz>KHsOfot~t;ogL!=zqNy`An>R3M{&3elJUPpayD?x_ZM~D
zy8(8awVTqS-OFm{>v$GF;5lF3nzZx%%ptY&{Y={KZArT!`FTX`UTNXy<w-k#&g3<<
zJKCb%w4`0Xtxx%Pp+`e+7MFLlXy@O3p4nwP|E}}m7VV}d_WZe+ip|r$2G29i{A|^(
zu6A2mw7Vj)=W+4xA@Az4-B_(Be2%4=p96_KANPs!^H7)Vrl{Sq7VTyv_WZe_>1x+E
zqPd@~+RakC>0P#)qjrm0w7WL()5pQTm%Fw_yX%s66OjL$?~7z_TZ?wwXT|G;+w<?%
z?rYKR`lOxPTPAz_y`b5+-;lIhB0pEConIIGI>GY+&o_3S!TII{P9*0>=Nr>e&iiu{
zP;PLXhr;=+FWdi&#CeG4oeem@p})^Rb*|}OSfuydLc2<3ne<=5I)wAWq`kJD)T>{Y
zzFYc%pwG8*+;1^F<Tr%V{o3lUO4ohg>aPWTft5QR@}T&v9=iCezaGkOw0e{yz3G4T
zH-xu($3uQIl#f4ZevY#5!J{7wtQ_@f7s{ja?v-He#d$Zht2YdX^UE=<r)C!ClP`t1
z?I51x63Q!;Rf^k7aFEv_>+Jc9{ClhcS8F_@`bTWs$L!$xK)l~K?*}m6m!<gSsApce
z9dGaZCdH?*QvAOe;xS3>s=p<DMc}FacF=D$Tom6ILiv2FN4d3w{GCufKFr5a)qfTA
z1y+uFwF~7@e6N8$#@FYu;Sk>i%6nAf$m2_V9N%?{@9!q|Ukv(9D$nxc_d@y2Rt~?;
zH@;f+Ux)HZo{#@VIF(n$XWyS^{(Bz(+kjj6Qji($_k%v)cwonHkbe-$C;5DNIp{a5
zJZt~&g!6g!?}NTj<pBr2{}9UO8xM5DLB0~o7nuLh%|FOL6yEGXH$KS!sB&xPILQAL
z%5S!M=oUZ7L&94>b6l0T^%0->=9ia4{^wABqqT#se60Sl@K%p<YY%xil#dVlh*8!5
z67&UDj(W8V<xxIy9c4cG{=;y{r{gW=Ygl*l`MO#8^e3=at5nBZdSG2SB7Z!;|69;+
zQhC;&Z-w%ktsH)Oe*O1QzR=pCT)3*0_f|K!ojLN~>Z5+$sB-x=jyTSP*R)O?k@VlS
zR-u;b#aUK9X2*YpefCHAs(8X}Z1nFY;OmGR?U48Ngx7!fT7}wXyut_G$Zzs;JYG%s
zQomDsa2H3d@!L3G^8Dofi^u$>pNGZk`#{5CygeS?f8QTEE^XJFtT%tGxS1RHvqgFF
zY8c0Co%_=e*PB$H<-wnY@|&$Z%7Z@-?X&AQzpi;LDfjE3SJXe^cs{W9=%3*sgMaz`
zyO$GuyZZ{<Pm<U9-JbLKeFY{c&oBPHu(Vz3$6tj0Pg1+;UrOH;c%bp9JwFqFVgGsI
zpBL_Vt494u?MGe@?W_Mo@_#~NovE5cz6HWsbpaZVM!tzReC7rDy%6Qh@BbCreMt5~
zGt~c;%GIvw^+!Ybd~1hsG#un#tK90L%WwB@yw#&ze$R8i)vt`7{=%;Z=^yj?Z{&yD
zcYpp?<pzVlUVlt}SUcD=9OU1r-0IywNcl0|>Y@LAz+Gp2&|CRyIMmZ$`uPu`-FV|e
zIc`7TsBK@1zs!^GV7_494%_b-Kl0Y=jR*R}+Ls&$FV?B*{|@}a=E*-M<$b|!^@Svl
ztvz@R-(;Q)CHTeQjq}@RvHtjdvKJG)+jAbDC;z9gs|T~Is-oW=Q4>XdN}T5pI#E#>
zMMaG!s2P(t^CZTAK?npBWY7o^MWUjjBE<M95d+4kqXN@YSXfX{q)1_5VL_211qDS4
z3yKsJ`99;%+H0?M-}!d(oL9W_opttJd!2LlJ?G55_uY9={r7oN{VMOjvcGfk<NuXF
z|K}b`9<;`1a-PV3^+Nhxbe{Yt@$TO$|BEut6PC?+ay?itb)M`19_I-TLip`dJg~%P
zh6g%u=cU_c(teSC$cIRXxZnB;;cQ1;x~*@>cHq*z-MSsm{Gm6_lh7CWcUjSAJ+Bl_
zGw8MdtE7W|h(|k=uNKaB>q99WL$;$H*HO^#&E!LUnqJzWJ@kgo_31YxA8=IhnK^L?
z-|cx)_xa>+&J*%9=gDi5w|i}tb3Sh_&-zGsr}e3Oo$T!Q`mB$I_jYece33lROFNW<
z#OE0Yb({{-kM50$kJR4tyh-+q*Vtc?_*m^}kM<3TFOm;++M#@N;!Erg>eP#JR`!gG
zI{8ozh11V=DBqI!i0!CzeMb3K*|XmhyY6kmsmnOZw<o@peyDpKu9Q97fzu!5I}#t}
z^`iCNJF~t-9PRQ;yxBMH#OTJp$=8`^hvPpe`xO7(8TOHHEbw()`<0D|H`DB6-z7cX
z$G$u33&Q*UyeIJyap?3u_Nv6k^aC!tj(D?=-31MypY2V*ZV)bAvtbvyH)(!_6V{D&
z7yUm^@8jkWKl&s4PCWfS_C~P9{1tJ6_vOOt=c%4SYrBs%uk4ez(H*?epQXZAb=P<~
zaZdKJjw7Bfcthto@%nln?PA^1c)0(*4rsgTx3T0$-N!zyym+6+k>PP3zdw&_zpuSI
z>kGpByqHgXM7+t14<tUOA8^@q#GAah83AJ)+1`wAyuToD>6#6@7?=FL8J?fv-vR6T
z=i1JrwLO0CMf}JM*_)@&i#@Q9yofl#o4<RT@cOy8X}q#e-bQ!uhVD(&H{q+gYrL8l
z)-RqecthuT<%O@y(k}8s<KevU^;+9izkDuk@*Gmli)WM<AI#&}=Rw?0#k#j3yw8IV
zB|akF<iUp%@2`)1M0UbE@paeA&evN$n)R{p-tJ?GFOmmwXovFS^7r+TO<5la@BKd^
zJ6~`4WY)*R(++%_6JI0`>a;_-CGjQdN1ggmUMG9TL!EpmKP8-gwnO>p#7AsLo#Th{
zGqPttCwARd;nZau<wD|H>4!Sf1D~1hv$AJ9aQdU%miRF5tE}&~XMKq{+U1vclh=2E
zHS*f`V`zuGyD;TCO4vufvcT7~%BMxdYuBG8>GAqA&iaDzzCWK!d_){Nz5ZOE_?Ujc
zW!DjJ=9xR8A@sAo>DRJw>6#6@&|R-bOuODOLj35D>^t%F^UPgfi+N@_Xu*3{=kJRu
zr(K^huk4ez(H*?epL(67>aOwXJmWaNUK6~bvtAFO9geqkd!F(AvT4^*E?;B)Ii<Y#
zyvC8?k-t0hxc2kT7qY$}yw8hWiI0dkd2vJHWBLJ?T}Qmhi@Omp#*yvK_}(L2x@N;J
z#zpINzYb5^KkPvK$P3wb;_36^AlM==B2MtueS@D5ulo}7%077;-N76Esr!zqyT+?|
z;W*;yf;V*5{RQnVu`ls`#%cSKtJlSPJ$?Q8hw|c!8b^jlUhK}}+ULbhSzi#|=f#&2
z9}#cz;^xH1^aC!tj(C$7_aR`6BioztjRP3Cbj^lcj7weLr|lnZMEuAL?@Pqf=f(YC
zi@Znxc~SNer!?QzeTjL!Um$OzJ9tBP-FH;oHD1jN$MOCrc%%Pye?hxT>`Qz<_<4*&
z?ANN_mNoL?jPfGigD_ZmNaHhY9zCjd+fAFtZ^^uvmtCJnhvm<9^v7|{d%LbvZIhkv
z@7m9CJzx4hv+b(it~L69R{hW4y$x2LQ{2kS!_r)@IxRc@Zf&jWTlu@a34isk{ChNh
zr&53aeCw?R_w~7a?-%~xBFAsALVt`e?IUmanOtuJ4L&m`+~1uV_uG=c`@8zbI{dBQ
zaqQ=KH*x))yqDYU=G`maQ{rVEydHPQ+b<nwWp_qp{kx3vo8$4%VqfRWYX3s9cRcg>
zdgF_-bAPH|<()RJx2yjr#m74O@9}V)+tmMKvfF|QBhG94Sx1fQ=c{aA{quJ-)7OV{
zIuBk}+?Q0=`NikmHM-A!o_3SxyByzkU(SBdi?5#t&WWGx7zf9#ytisR>bz!u>vTLn
z=Q3?R+_uJia6#j+AG+Ip^&Q!d{`rQlWPL$+->*9p9}#cX$>qey^aCzC{(m}L$BoOC
z_{?+<<2%+Bwm0j|Bfw)FldjpYi*+L3Co)*^`%>!PsUDYnPszltj+5=~N}coK>-&}O
zAsMW&9mmUY&Fgu4AN;u<XMR|3zaAfk>bNc*{R#c~ewK-@Y5I35-`vk>`oB6wf4<jc
zu)=oecU<%Oyt97Ur~c+R9ER#RKKY)NiEfXN^*NsFb=`Ly@pQo(<5ACB+Ci^*e4L)w
zzQyNT^*gP0(qf*h*CW>D^RXMgrtvyi)|mqZ_d0W0e`ooACjFt0_9z|C_jP=q)Aolj
z5dZH!(3!&Tc;@lEyo^9CPxY%hzMgqGC%^7))ej_39v<8W+QIpDuqyji*<ExW=wSMH
z_o(~^$|JZB#1i?U<J~<9{>XRn_V-JV0*~|g-t^n2c-GN<Q@H#(w|^_~MfzbLp&iQm
zgtHxW>9)Qh+ks2>cI$==d}ez7&<kDnhQ7$hZx?;mbH8w!HU5XBgMNrdJCxrM&UWiV
zDIG($qyF8r+syu=K20y}&>nh2=l9ZYNIu}G;xlt%H@@5ZK=r&KKl0Z1OUZ+}@0UK1
zyxsS+oOAsLS)XSe@sPF=ulr%*TWR0m4<^3IcHs0!`J=>#`P}3B?#EeQB93<XCEm<K
zk0DyjLw;V8cF?`MtZ%-55%w`oEbz5K=l?^9*RFFvEqWf#`hxJjpN}LyA`YFtesnnT
zG5vtct|Q*8bH|_|^s~L`*W<#aYc}jccfLmozsJ%#P>-YM#h)O4^hfrcc>49{39!X^
z7jc3&-+#3>@6WPd^NQb(L%t7du)=nXzxg)i`1bz~b&vKj)+fKz*YrR1#kk~quqL`{
z{~g!kq4}nNp2u;-(*<wzx2_YkgKqP99P9X2zqQtvtCU|a>3BWI62HSze*OvL+1_8!
zb&>u$(3P_D^$zR$tN5xtyN7k|GOZuiXuNG-b=dBt_-ub9kMnhE@6_Iz=K<N%4&%0@
zIL`|Ad&g{li1_3=v2(@F=kG@9zM_Ag?B~ii@%8!k7x}Xt@g3K^-p3r2-C?e0_<c=@
z>+$sOBy3mxURYxvajlN?FQB)bM}8^&f6L?8|NZ)C!M(58uj6)$O8TRJv`6XV>wU#h
z@ti9Bzbd%-_ZEKogO~P~;9oBG)@L67{&N|^+I>j%tGXY9K8#bp5Bas~2a?C@|LSob
zx529HS7mq6KIHNA?~bW_0_73xLs&NZkSD<(`w;Q=`;e!A$9(vk^xLO+*3lgoF2Bz0
zzfF9RewgQJhw_AQwxcfH);DB3aOvJ|-5RH!KlH{vB=p6+^}C|adVVjQW>|l%?+?;J
zKg6RQ$`#>kw?358F=RXHe@wg0<U@U$UfQ8O^oGuu*D(G=@&QK`pP3VT@!jr2>Ul?g
r<e~Q=<U!s0kUuAH_hgpyt|Lu@zk4e2IpT=>bk@hjL!bPKV;%k<4UH*G

diff --git a/Individual_Project/Core_Arithmetic/work/_lib1_2.qtl b/Individual_Project/Core_Arithmetic/work/_lib1_2.qtl
deleted file mode 100644
index 9b4c17c4c488dc7457bedbb88618d16cc542e8dd..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 61504
zcmeHw2V9g#)A+M{{b;9D74;|z2q;Qduuw#-AQp^WK|n!`V2v8o*rJIIv7*?;-h08W
zvDawSSWsi{Ek@-(v(G+wo)R_r^8fO_AI}eNp4pw5ot>?>x3f#S|75<;Bi=dVG<@Wg
zS)K8G^1RX01o4pp&=I}0fG<QZ6XXD8Uglm3fbyC`075T}lt&2x7}<^?-3^g+k!&o+
z&}_Owi7!I#$t^#aZRpW_!o(VSw;?Z0G8IE+=9cL7RklU*4K=4vuo+dYb2-n)V_Ofb
z<(1Lo7h-Q}X4!jKx4AcRwx={4J*D)I%TCYfFRM52P|WNuXBu>=HDavmXzj6T37%0m
zs|h_WA5Gu$T}WU5;7T_%_bMi8cAeg^|3sz8;+wnITC+ALMK!>`_xHn}Z#zH7=S_tn
zzT@2nPUyD!(%swltWTPsuiGqnoc<e%I_mT~J!ne&g-ZGGkVfotd43It9mJg;bBI_<
z9h+|?4k7b=!d5zmEus!zkxkSmVqW=JvX%O;U_#V_PDcg{1mvNCh$DR9(@sY$1yVso
zgqnbLmsjCf6tGy;q*Sxyia)^u@{D&L`!jqz-Y{?UB>VLleaiN`@c39>=GZ0q_uu5>
zze7vL8#$T?gR4@(zTrs+xsWhS@GK=05){IyQgXrE^a;?o5FwUmVr6CFoeWjbT3Tcz
z$81S>bp>7DNbWR2<t-l<r_B0Eej<ZWjjKSDnlP&L#pHTzC4I3?G3V<R&(#z1oOdcs
zQ`al!e5<4>hZGB^U^Ypd7a5uye8|*fnmpM>LGlL3zk9{lgPs%rH%i*<fa1;s7I4*>
zjEYrI&%yGt)(T=-Lf*!kNthZ>8tt1tN~x1MD??i->Ev`pX&J^)a|MZ3jwzu|3d&0n
zCjMMu-LBa(!LFBZ?Y7Xw_Q)g^q4}FfFYdYQ@X?7AO*<#qq&_dLd-JT3w$JpQ4Of+{
zfBnL8U6qb~FP=C!BK+u(^X-~0yt1=QPUM-<wHt@re!l;!OO>xg=YHL4zjcoa>wVpa
z7FPxC?bERCeVa=O*S9TrlRb0sA9FIxJlOebtp-no;k8HKc1bc(thN5-yxYFO?_0!m
zYBqLO?Xa(E<Q*>;*mq6pdRN(<H=~=`*{#j0*{|lsVG|?ET=Z|Ut98{Gb7}J7z}dId
zFFSv`U~o`c&xq`&Y9Dz-O+iqxN<#puF}naUK*q!694Y{sLbwtjRv{I@@&MHUv4Uv;
zdIQt~Yy{8*AON8($4vpo0B#1bIY4uOF92EqOaVLV0QLfoVjLFd&=MdPgAIqa9NGbd
zV4(!Z9RPL!+z}wkvEuP1IV{DYJ%^<^ECUeftpPfrK!M6qd$moK3rcxfoRBI5m<lh5
zV=MvKtVMVz;<0f}DkH2?C!jgPgbq!k5VrMg;fJuQx8R5TvB)S;g^36Ym5=!ZIwj@<
z^{7*|QY6Z07#7(KVPsg-S_tdwqZ%V@R9DoaNu{W6h^Ho{Rbq5q<B|~eN{Z`=uzhk{
zrdN+{o#PSjm{y7D->pY{Tf}SiNJ>MPm=e!mQpZXxo#dp1t{9#Y-<5?!GR!VXX>D12
zTwHt)jBi^jnWdM|HHFFVnpTm~^%5$kQix~S5$JXazJy*4YMAMB5t0{1_*)S-;dCZE
z-URv`<TLSs^3wD2lM4#0wopDFLKa~VE-Io>8;2LhZyX+l0U(dp2X%6{ges7KCJyR_
znhi4${|X9{8bCuiuk$U{mJopYW&r#nUsMP2+C95=M0sgR-O%o8JtTk-J87_^8qAM&
zR)hKD5Q6!!{M2B6MhE6+d}(b{dtrFno?S(H&R>Q>g<67u7TB#Yy$I-qbRUDg+9zZE
zQpZC)>IcOF&pY{rrDFwDnBSKGG5=WaKPDf7S-9pC@knQ=KjMb<0m~iJ;q^()>yz4t
zhX-(|=g^fy0F2Lr!ypcI9NKXRfYEtzh;tOf{NQ+JhyyC>3mN~Ma+mCCYzGYgm|PoT
z%H)17y{)X+7tz}h-51f@nm96grIEgc>0gp)zev6%w<GT_)x5t{^ZruJ`%5+NFV(!i
zRP+8)9VzygYTjR}d4H+q{iT}smulW$s(F8@=KZCb_m^tkU#fY3spkEqn)jD#-e0PD
zf2rpErJDDb>Rw<U!*=vc?C(_jA%BMb1on?=g=`qM8{i<tMc4($XSE<p#&O2}Ga%Mu
zyU(EeTzN<*$wRmmI>h|^QYjG39@G!|?<5rv=I2{Z<@#sJp@AYk7GfcvnMg$h%S?<%
z7>5A@W#I^0Ld8aUFawMSG*g0%%z*B5@dr5yQ0<-kOH6OesoegV=1@^FJ+U3q@si#g
z&zuekq23ZMrOW%7Oy2KgwiWd*$cIE{3}5r~F&&u46Oo^0t^pj=*~wuCZb#maRb=#X
zLX7pi%;|Z*R-lKThXa6zW-j3AB0r|f`^Ab3H;~KaXF}mHeRw}uAeZ-#nQY)NkPG6O
zT;7jXWH`vhi2>Rd>kri5&H(<>gXv=Z;{AO^Yi93K#97p{q8Lw!E5`?k_LJf>=K?qC
z!NmN957ZyiXYErd`<~5j6tW~NKMRFylqixCael+5wGc!JufJKt%~<*~kPgPPESx7m
zd{%EBE|#+{s{@3Bo-^Xad{{9u_(<nCjz3U-WqCOe>~{eVWZ__Mo*q$gIuro)iePyG
zT`d-FKnKC_P=ezL#D_9@5YOqMw`SpCVtP7>j-Wk&K1`IaE1<`I0Oc?~ki+NzvT!-+
z3eoUTVhx{iK?b9ZSkGAca>DA>V1Q!1(`5$p{sZaJ{tUned^5#-S%LXjdU}9=<m-qt
zhb-J6y%G?P>FHU#*bjjo1?^RAKkw2*dQ8t8J|RB^_O{@-WLJjENq3~id|~=lkPbry
z+MDr1{@)K5WAW3Ly}rxWWS%dyi($IOI4-4&;{wE2XLKN6OpwAVfEdo`4Z@KR=nKk`
z^RsfmaH$+QKd}+%Lm3~)LHk3wh~Wly#d)A%IWoCWeoW3s$`R?&u5)=lG5?rPJwU9d
zSnhxavTy_au{@AYEMGM#DdM$QIM7M;9)jVaq!fGteJGO$@tmI6i{W8<fLLER9VyMj
zrTQb$qrNDI@qrvh2atsu*ueql4C@z@3;Abq02<dXq(?iHg-^^EZwExrajBdcZdAW8
z9Uy~;_G0{?53fh8o*A|ikxmd+aC`ee0OUKXBS7qDSpTJzjl=Ow_mO@K+a=l)<KN_~
zJBbNXg8GBrqp`mOXv=WW!x5<k;&xV!@=LLP0r|0fkPfB21-m<mbY+nq^kCy4$gjxx
z$!jsaikyzg1-gnLmhp4>-r~H2660{}zc5|=#Pkq;tlveuLwt9PNBVN`X;`17aUIhE
zx`J^~>Yu8~nEq37y#)9=BR|@omuCUJ#Lv?!=-*0%fgxXvANjU`rr59XdBt>02huI*
zH(dZn{ji>bJz2ZK{BgQWtf#1_9w5jmNT1O|J(To?X!f8yOqZ8KfxV6G%gO=DR|=Qx
z%gPJFS^a@}#KuLXED68Qn;OhxDNBcr$9fHRE|}+Fy+Aw{LeUOrKgbs=2k37K^6duU
zFn=hR|KRs<<ja6h%%`~C@J<ev2g+g4NRHHgpgypE3guvXMktlH9x#+E$<N{Cj8w=c
z<-?dyD)*P1-;fV^F&~EQli3O86R=*_&TzdB`%hfA@&jnN9`bkB35?e@s#fjQ9>3=&
z_l$>nrBqj~nvCmB>VztwB|sm2Wgq=F`YKiQl{>YE7kKq&f1TiS07v1Sqh9*Tfdd8%
z0HuY=@Q=&Q$#rXcJ~J5zpW4-KB_=gEu<#y6!viM89|ZL5MdoD%3P9zq=`L3QjKZ2>
zC2MB|%aTCa9Txo%rfZ=Z0354@Wl4b9T3D6@xIzodk^pnH0yZ_-F)b`d0=%q+<w$@J
zwXhrskm$6q9*Hl-w6GwFFU7S2j#@HeX)B=FWyGokjNza?u`UUP06M|2=ZeV^Thv(&
zt<4ovCnZF*q~MB55Lg|@SbM=0bs?oiw2a`2Dv`1xsux^QGvXkkj)E&HNSs8}S#U)?
zNjVWMFSw$%#6?711y|IWxQS>5u9drpdT^~gMYJN<s*;F$ajhzgXcexNw}|?1t$an)
zk89;Gq5)j1KoPCVwZhH>@*Bjp3PzNY>Xg(Ff0ikAX>v~T5}&{&$ID-u|JK`N+4E7)
zwqMcz@pJYMC9nOVfBoy!-X6p3p1OTCt<A*C=dWhEzjg>1bES6~w|-W~8l_pj@ZY`h
zO3z!z`!?t};-V(f>4!L<ojUsV+ux5`4H`IaWYvo{mI<*p&z)W|JbY*1PyK3d&_rhS
z?7K@f@zG`Z-Ce>g%ZgC}&l;sIbsg^Qe{^Sp>E0cuf^M8nk2=0Hba208M{Zh8uYD^t
zK;6MN-9Iep>hh#X(c0dgmzRv`k`yRAy3f~P<*mlCx@vO=UDvl*>euwwh!q`Hw!G$W
zyHE22bLLw-NX$H+J2T<i#^%L_1T^gvnKv(6$-Yeq;txHfCM6X=@>7q8EM$ShoZ3rB
zby*9tomP}ZETR$1h*Qia60(@!Z$3y6wjg8~afsebF>E8oI5pWu9P5(BvY4&Ju`Ssm
za|~Hdf=R^uilhZuSDn-&IkXaKNjB2z<Q%C)qH{<M61vKj#>}okBIdc$=4%6JBw0+|
zXbZBL2GBZWg&U0}D*`D<B^BsbWHZ6{+4#DuDy>hJ5)XLYN&;jN^W8w(b%8QWrGgAo
z36z~96=aY~RaxjNcUjErs<McA?y}}<tI04G51`*ntH~f04;iEqB*RoZWta-S&&JnP
z!7@yxlB_l4$KkzSxUkk^(_<3_fC9165qsh)cSi&sQjEawTBUQzHW3$ywT`$GJNZ5d
zw}Q^alUTtIU-so#N0ib*J7Hdb_?)z~LH$ZA){Z>(X8)N-5$pUWR69E3bw2*P^km%O
z$A~a>FcqAk-8D{@3$ycrUMU6HufpMF3e|$H=Cb3>*9&d?E44!p(mL!r3te4_=o+eM
zspYcNMryi$sr+_bCHZ4Mnbm;!e6OJ~F0yNlSo?TAovf|g{k%*#*-yxSuC#ohI&f4;
zHZFl*(r}O@zN?8t0%}2YhsmB%TAJ;w@ryN~(L*#3v=E`PG*voVE2>vBqqV{nM~AuC
z3AWzKU2&?Uvnq0b2%Uuer9k?dlhS79ROe>eEYyOITWi`aA>q8xW{onYuZH}vgC-8f
z5tLk4kwMu12qdZu3HQ{|>?Dof&SErrz2-rOgkwUR*~*xvO7g=L(#=srp7f?O?lUbl
zzmgVP8Q<l;q}<iwwA~BMx_nC-eMs|Q?9WGtkPslW>7k5y2E6A;;wmw*1TyG|nAi+R
z%!;m0QaYV4(L*7GZMPPdHqhEmBYpfx`T!-VxSy0Cq$C|HlW`qLpFK2vnub)A)AA)W
zq$8mjltf$E(9xw#8v2vnUCE`3<n3m%^4n_FR6^?;!XLYCjh8>Eu&0)0${_5R4IiaI
z7FlT(-AZbw`{IZ~y^~mmQgUtS&DEN$E&(S@Eb2|to~p!R=d@<A4RUPh!uFcdM+2sr
zTGUf0Phkfy(CJpBXSth=%q;FKQD(%lAp2FMXX4G%<`#D*n+1D$A=TOr)a5|^Nfs7!
zN}DaM%7P~LrU`k6cIhnUtkEt_6oXFEgvEzqidoFb&@OcqgJM`v@ehM6je?ff@uR}M
z+Uf&iVBCK1f&6OKT4g0Ct$l=;-_c@zwRZHTi#97XU@+v@Uwf*XnBR*$za=2QCSRD}
z3@>D+_8myi{Wm9CSlqEQ%kaXImx6Q<q|IQz@l&UcVoIk<(uLDxrK<&`7PF{#T6=0S
zYbA4<im@9@(S@~SrN;!6Dh_tn4lrSc@wK8Z&h;CB6>_vo8;iDDKocUo*U5#vN%EEY
z#!ZB$i-ivE=lw#<e=~$u`Yp;Qa){pabS>L@gNj#w(b1>wD&nyxO4TQwl-=uCqRoKC
zZ7XgG%t#quBDUno(?d-I=M0>6x|I7b^NRnRIB?x%-@Ydu+dZpSFK@#tlgBh=Wk!pX
zYPUKij%ykql(CxKugz7ZZ@s+wE$UoXgpYdS8@aow*@A1+7d>qmZ#QbjrW}hOn|HZ)
z=H-h6>#Iz+Zn%Cyx5R+4VSgn5?Ao+WnO3*2G_Cwi&-sn6e(lwv!^odbcCXyGSCbzH
zW>r3TGp*-0b4mVyyx6c(W&K`teO+O2Lx;|tW0zbg^`v#lM_Vn1j(t08pIkG3P0BAT
zY7V@#+U~#(vr@W7no8})-g(n%d$%P&K0mbmVU=?}b~&>quNyV9hxekA;l-E#nA)j)
zzc$6ZO)GC(7h0+F#_m-Q4BS!v-bnjWuYQ+>Y+RHQVPQSo<@=4L2RZ#R{_a<&*Nh8W
z6<9uY${%)Y_ki*C4!(pU&F22O?H#){d)lNwonLLB+YcEVmyR0V-XrjQwq5McPu$P%
z4j9-o@_}q}z~d8Xhu-}DG;MLWV=C|DsP9_OnR!U@D!x+q4c}L<8(eiLp1q+~h{xb^
zC#nXI+hb*MI=ksxry=*h@2|h!_UE1PRW_&5a_UACW0wagNK=;q8{0T<Sy|uW>@bHs
zm3EJlY1c~wl6JoM?We0|%~I(WP3-ncSV{SSp9^cMh3xFeft?*2DBIbwh&YEWfKh%M
z@rhVSU~9+5|LCn9!e?#mAZ%*~DQs&8`TJWtG=**LP!(+Lxc2#5JJxxdRrVn11C`sC
zo6h?oFD=u<m6qP_?^fmQw1M`c%Z_?E`l~T75|57A(fZZ35`%A>&$>f5lr5RJs&z;j
zQJsh`UY2aWwZ2imX)(GXo?$N&f6{-OGGcf0VKa6Xztn2lwCrgMW}Lk?zv0hM65jeb
z_Ili^d7YXw)2~fAkeIQ@{KW45=Dp3Twfm~od6RUTe{ds51E{!$8#xfijU3ScaU(}l
zfVh$4F+kkNkqG@bZshnHsyuGwK!_VT5Pr!<jus#fH*z4~mu%!f`hU|#4$KD(Z2!KE
z98E&RjUVF10Xg3|AcuV$xN$%Z`!;&vMi-{O@ISY4!x_rQaG?U_8~#u);o`#4yA2(p
zBLBG?H^AJuVdjG$h+&@w+rR<)G;o6r%QkK#!RgTFY^1=I2(%wxfa3iB+=h*ZkRB{}
zG5w4;9vI?m-Q!O-j$rO!fvjL74C03M0LvTG;R~Gq2R3XFmVaZrL30rd?TU6nyV=T=
zUqo*QKgpqeKU=<uEu+V8iH7MHrq6%Ph7GY_{BT2+*e@2ePyF_Q?Ni#IkqZqL>nnz$
zor^YThzs@7!o391J}@2@{Gj@|_<#Ebjk90~{2{@WL*pM9Bs+cS291|oo}H0g9miRP
zh4PT(V!g&6ME-wo(6ATVPr(KaJ;$XD8a)0#Zi7ZEF(2aZKw#TG9LL`-@P|2G4*zF2
zXne=*fxmTtJwo*W{~I=FjOF>l@--}Hw&4QG9V-O1ceZg4;{RheXiVq%WE(LcUt;+c
zZO}kFV7W`>%<%t#4H^r%y?sD_(FToo8{@E@!G?zJ0O0xG-=MLEms8OO4QK~O8!P^k
zHfTJA+Jy76zq&yK`+HoE@&stO?(wDT0e^8rM&-bQ4H=c=_3&544?b~2hWIX_1{*R)
zfTsB1_7&X5hO>2P`wC9maogo24(k9kw9aG<hkXbA;cFsn6l2>qu{BOH3dfBO7>=JG
zONYsUbQmANWS}!lXDZ;BPP$P#(~QDDl@5~w@);jM%)4PafHM8`_+nrOFTh{}e&$`s
z0pv3iS3SRk?<wcw+f(1GU1$#nqxAH!90J>(GG8-3b>@mf(kqPb4d;X3t3RrzqfvT&
zc>d6z2lMyv3;A@M&ko?n?BZmUUM^1$=`p>UU&yEDd~t>FIUA*i-!8EHTshx}FXY1?
zFj3DXh48r;rHAzc(+l8y$Bg)DGkU%q4z_vWwnj69ZE*~Ta2!o+Ae@my``H3=pk5Yi
z8$SkL-@x8hi7XvyyPGWYH2l1c+vboOHqwm{x53GVBaY7n&WGD=F<;pn-W0<#A9AP?
z>)}+K^&y?q4vhIEI;nj~bjEyGuTYMt1<gFq<%_r=xB`y(F_!;#^^)3OVS2s%gkC;e
zuW_I#^h35{yVMYd8;o`+AGZ-o?N-XC!0Um8FMzK<SUyM(JIj*9a6zca(-{u|n2y+v
zHH6^?>EN&Vn2yxWMShwoFC-nLH%zBKPY3%IR<DinFUgw^bf_oJLXl4DCye=|@|XG#
ziO!hM)JQ+$@{j$8^pg6g!t}EGgkIo#$?D}6Zifw@uoun-(O$V=NbCoU`6PQu{hvf<
z%xC`zy}JKHdP)6jVR|`#La+W@ul?K(yFX#CTplm=)5d&~y`=tIqBG|6_=H{~{vo}j
z@u4ui(0%!1{ayywr#p*RXG-p8#{D-BFX+FsIiGPqxkL>AU+cfu6rxw|-_+~x_TT%t
zUK5}_8us4|H|SS!74%E`Z=^TuS5NVDG9c8j|7N&BI@KZmOZso5H%#X;Pv;nKH-|WM
z28i>oHt@3#&L5?AW84oL^GS4nxBtFdh+cL6re1%y|9;8!I?L^Jio>~JRkRmwEk`KX
z!MGnb=9B3DZvP!5rfX#^zYf>uuj=)8`|l{OmvKMLs-eNJInw;VxF0s=lkD|(`|no&
zkY0ba|4!ukOojO}&Xd@D{UdH0ZeTcE75E0=d#eKRscE<i;6wLtXzdX0l;q@t@!r6P
zZsL($8{=^s>Xi0L?AV9Og@ogL59wpX<2=+7orFvAjpGy8aS<u;%_MgZJl=%;NQAo*
zJ`(@l@fYEJx-++suHtba1?d=vOX)Re&yE#QC#9yc^d!BE!zFs|W>VQ(?;Gz0ix}Uq
zm5e}r6>oi&IK8*G-aAn5<EQt9_&B|vzuvzxIGX%PEU<g&eY^+gMPC%`mT>dp>gma@
z)-}Rr0cd%s$Ex0$6U-!6Iw;srIHNrM|LcsRm7Gzu%o#;SthG?Jpt=wnEgYGHFSfSK
ze^f^7N`P}dWRa9838&`3kOTgXqQlBNmlU=^;GIhfb69!jlENHT-npbOhn06ODflCf
zflCT=Sb67?!W>rKxuocj_?=5iF+|_Fq+peU9slqtC*YF8w0h@~!nAtllESol=aRy-
zdgqeDw0h@~!nAtllESoNE-7+Soja`55Yw&69ad_IXb87esECGft-?jLHg}_m5Yal^
zjV4k=>vA`mdLmk%yU{cd(T3cOhVA^9lPK;+6D`Iz=32#wXcMkgQ$#6gMoDwgji%<x
z5oV)vW^FMmv0#O3RNk7~X>r~c_cUv@B|6_deZhl6o0YE)?^cbh+S;OSqJNdNKWYY4
z{(77`Vbi`c>znLce`M56RYpZs{ImuuGg@vgoAzj0t>ZDn7Il31t;WLX*Jb54Mjwn_
zH09gD&9`n)c3N_L&ZL9~8)G~kk4=5ldG_$t4Q=eJ#MNHj{2PZ$KZLeilQ22L)_>92
zVo@z+d$#TN44*cv$F6lZLR%!9vPv2AP*HyN^|S@ryUBI;d-hlEvDr8FO4GN`%{xS$
zk2*2?z>lrg_*&h4<L=$h-SbeB?Nz&nIF~tofAkp5w5i+LIsE>;fA?1HI+AO{vxdJa
zdvn~>h*6%yy-yx0=i9tdld91Rd&ywxEbX-=v6RgG$Zp#Tl5<TEbFT6D66YF+6%Gf$
z<z@qM*yk8YmeQE*@BC~6X~g^r;5W0bDn$<%cZwb`Rp~i6xD7mDs?pF@9^hwFjYiD#
z06&``=4a!{{A_~I&&Ct|Y=W7eO-1HsgYUEPbyaodXX6UaFk8VdCStxP`q>0CKbwlo
z&n6iBY$~FkO?B|IsRVvD)xpoE68PEFV172Pa`dyQfqpiwa!4gWj(#?7a`dyo_u2Tm
zDo~DoHWd|^N>ydVe0L?JQca0@@lax3swvMA4<)1$qzql<sf?K&q>Py7scgPBSc%>|
z6@h*;4OT)b6_t=mbtR@!Nr|c8`)qt&RYQrXxT-$%=COj3Lr-PmjAaN9DXAkRNiDgD
zh=TJDu>xmoq9b`yND1`b@s!_{@G{UnR{}pJsyW2E^6euOotFJJ2SBgqwkvgq-a58c
z1pFb-J=eC=mYG*x=i~q3evEtEQ6f~?N`<soneceLT)0}MDjcXW#927p65M&dGm|Nr
zmlfK2fICkYTIUS*P;~Y3FLCE-_+RbLbNWl%c`oHI7P>Z7dT&;e2I1u9C>VLc%gBlh
za>b$`QH@FXT5Gz#h1zL*eivcEDdn8Ss+`&y^6fS{C`Co8-=zM>8D-Eck`-e^RT=V7
z=Nher`x}(r?KGsp6MFMEQRX2sXtF4?3JLFNOV^*1y(d#e`fj7?0~oRIOXBc|5$mpy
zJtytx`V5(qL#Y!~2&-2@SUOK@TaWa~B<aE6c~pmd%JZlscpjO(?|BsbcRY_u{i){>
zc)<LdJ&)>sx#y8Rcpj+>^*k#57xMepJdeu!spnDI_dJhInXrCgjx`QLq(Pt_V%6xE
zWQl%B#<318c2xS;aEhV1+Mx{2a}6Kx%KF7!s~i)jZMC2IU9BF^<fiyL(wnU{S?dEj
zgICsX+EYha?3^qyRX3-<V4E$KskQ@a1^Ru&Y_J`%urR4?*1rS&eh-tXA3@CQ?Sgd{
zCXw1E6WHWq4zBw_E?a_yhRRB-0!+X+tDN=}o8{0s6UErTKev!*gFm;>7+Vv0ixPuf
zgeLWc?9@(e*MIxw(D@CM=OrJ>|NZ+-YrZ{v=$C~fhJTxX<m}YNYw905a(hb7u&zfg
zo}IEOqt&JSJDWBQZ*=$KfypC>w|e{F)#A--TOGc;aAH=S=Re4;)&_?3*{zH|xpZMS
zkI7%V{(9KwVYpqQpL?$gVQ<D;rq*{n>3H$Fm8lS^sGirS-&T*w@>I)#FRGkhZZ^_7
zp~Zz8?&kwX*RVFz)1dUu6<;;p|MRF7uE)(rUKw5T;1$`GHkV7?URm#OWaP_VwmbDG
zU+?DdJNtw827GtMa?Hh<krC};t|<20+u6Bng{?!=OL}csGV0|ux0WHA5f`p|)lZqS
zIsL~iq1Q_fnHPQF$lT6br?rY`II<}nIx%;bXSHdk21o51xpmK=sD$<UB{pTfcbnQ+
z>(sW*d$*5H2-i8r>FnwEcfB9&T=_U5f9M|b<qw+K|1|j<YvTR&aSyj`U49wR@zRPP
zC*5D!_VR153gd3<^SClVuv!orbK$r8HQU4wioKLHz4V(~HbIdMrtCR%Aab|kq(%>d
zaY#MYW0UrgYA<|RHa>W3!dDHO<IlACV5QIz{XgN`<*@g1^TfP<*S>xI>zZ~A54wC?
zJ6aYzGhWfkx6DJ`uPcVQl(Aaz;@)2SK(7_w)cvEzzUVDm2aNr8tlVzI%aK=B%YSTO
z_lN4IH(%HD^6Oar?cB-7R5jYAMVh=W)~sBQlN~3#*s<Hw!e&HiI?QuhkK5*V(l;)>
zvm;_nxhdm1Pb+@m?4l+kugxFx`&R)GE7qh$D&^j{E*7ga)zA8*SJ!7hy*Skh>-nF$
zce&xgy?@EQ%Lzrp(@sZ<!Pg}b!IOK7b(iBR06utfZ*e@iS5uOePwFOZzSVB{oYb#j
zbC0N_w?;p%6Py)sJ21bR#pKud_@6I2Fh4s^gja*8a5vz1LzytVi*PSjCj7Qa7zL{k
z<|iIqRtPRzh1kBD*e7gSHuO5J^%JH@j!iN=x%WTFz3Yzx_b%%RQvws;K7Q_4>SD?0
z;Jv1_pCa={zi!`m8n4|hyJ8}9Xmz9U;@d7cXMgRTHgnPX1z{#}swp~uyE^AfG#c4t
z^OJkoku$oxj+lC_`pMp{r`^eEX+NsId7ympqsgzTJ}bE{_nWl|>DjUKY?hroVN#`j
zNqc?0YDfB<(U(;XO;ruraI)n77K3wxmbf&wJ`-4S;GE80+J1v=?v2!)f4j-M%ImB(
z5506jwf?E&dFw(M8hUjhj$U020ist|V}R(@bsHdhb+v<W0lm7=2O7P)5TaKX!Y}da
ziUN7))rEXt;?;%p|0b_4%m>W=!K<rPB&?5p`gq<}1;_KYLVAAO<ewbR+v*d?^R_~7
zA~~Gen}`rj?ZtIFdB?VC9T0-E0{ouvKkvPT>)VFwm1qS+uN?`;IS_hBNH{FFGu${_
zivJW8?fv(U-Ni*F)E9q$f%W|Y{XTW<?uW;Hwq@(I9sc4myC2iT(8~txVTk|LV|I)4
z{66IQWz#!eE{5`@^w=`npTr{_dLK#pBW_s!Sk7qIf1B4<F~~UT`KfY->J885dr4Wr
zfqE#{*lsAt*uH2t>o4@$viU-<E$c7z+Oqq?V|FDw@_z5r$Lxyz9v-uc{oZFBv&;Iu
zKRITX^?PdG@4+#<tlv}feoxK&y&|tHR{x5Q%@zBhzkY1)S+FDi4(!TdkyjS8N5y};
zR~C*>Fh48w*xXhy9zeO`dNX_aa2$TV#eEt@URm58_|qBKBUBHt$SdnV=h)ooy!?v1
zvfgjcSdX!NW5b8`PZ(b0l|>xE9<X2KZy%d$-0$i@Zjo2k|J`GAd3`AGA2Oal@$cau
z_`l%yRv5oO;KTZa^D+K?_B}r2aKjIS{quz#n~QqkdQwGzhU*z$`aS=zdS$hL=atn7
zUh$;d%AMF=CiX6&;FaaikI5YYnr?x^I579u;A=KQX%}5h__~R^iM@aZcbC`Uco>Hg
z-E<>5W4>%24`Sf?&>Lg7n7);<{9}JpueqPlYvCvKI?MIKgC=p8EqdkT=W7VV4R+bq
zfq2|yE5^S&+<%3Ue90RF=?!bsHJ;8k2*q@k@^lz(kPfb<Vme~{yL8qRk`B@vrt^@e
zGlJ8LyTNst^~F*BgWbGoMsyP2S@=B$cOlm2@shWLF}+kjHW<+v^P#uV$9AnV_2J_+
z*V|aWYax0|dP@0|_zKh8m|oI5_Y->W{)FCY`0*6llU0974u^9gPuR|0in}@s+FLA-
z&xLqwZ^nF*Ui*#cjQI}ncn|~6hwaU(5WRv#JO5?9j(tL}Q=iaF&-MC_)1`Abo$D3L
zp=5_R9<PUbfc7%xljzPG(HZky;PHjo%i|x?>+&b`x>kr@0bHNY-3^SpkUncP!rB6>
zY0_?BUylD@-3?sjz1_e8cnW=$j(YDZdhY<ekFVYr;ydd7{Pg}Xp8oY%aj&qi;a*{}
zA{KoXS)j-QMHVQsK#>KCEKp>DA`28*pvVG67AUenkp+q@P-KB33lv$P$O1(cD6&A2
z1&S<CWPu_J6j`9i0!0=mvOtjqiY!oMfg%eOS)j-QMHVQsK#>KCEKp>DA`28*pvVG6
z7AUg7f0_kegTm+_^O8d!?(nb@&IiHc_r?6sOgw!U9dnjK05}r4MKL-Z!GnuoYd=|L
z6pn6h7%ms-3gk0>xVDPX17u|2NFvEs8`1X%935Ju>i~@D;mnfv^q10;<g5Zm7}Oj5
z9pItk?cfvTN%=PBm+Syykv`Le^DhSs@+}4nv<JiGgoHCX8L2J8Bt6&(9dpp}$<Xmg
z2?su-9{d^%^o?T=;&vZ7ZoMSLqPri;H*~P5AKxBsa#5<2x+bto*h7-i7z~R~W5=p@
zOzYMI<J$&w0EaHPyuDk(d&iv{AIsy^-N_s20w5i9&`3>8>V$Y2$7{vKG5ON*<`4`f
zgY<bk9=|2>bz;X0N%7LL=N${&2CG!o!(Zi&@QH4N;=6=;!7XnC2B1@+Z(JulC0(Be
zr=zFA@#tyZ9pOKozsIu^)8S4yI658tB>?TH_wizZ46PD}vLOTrI1MC-fj|@>IYJ!6
zOu(^#$EI)AemyDY{!V}qp{e&Rr=~XFjyZq_$`KC+)Uy|vmlaqO0&7|cP$2|)l)D@}
zhJ<!_NAy@(cQ_afW7EwAHNvsx0y|zO8xFune1*B71t`xI)CgCgZ22)kjgglb^3V{0
zJLni4DTZ;B6qgZ8EgXIZl8BWSPCWzdiFGMB_6)=j8-8rJEfYlS_!-|NL=;X-XR2_t
z6hGA4UW_gMK3awov%}LV(Np3ep406*;9~Qec}EBJwcq~L@AAoW^2%M^^~`^t{#l6<
zJG6OQ<~1`rxb|{W(md++qWoWO+tR^hmM?N&Gi<glG4w>gwY$r&k4b*pPM=euk^hN7
zo@HWN1wKj~Q+;~)f`sAQb{`(O^Xbkr4f-z}T%r3mO{*gZ&i=GY-mP2PF1n|UHfc>S
zSSp+k%*@zuuJHwRRAL?H=<!{v?p(BGbAxho&#jI8?wt9e6(%QIPAYfwk6K;&G`9DB
zbNIL8osKp;`Fi~584G;xcW622)tMJ34%o8}K&RK~!FY}&<0D6GyVH;bVN2!VIW%H9
z4WcdSR;>q(qzko<O*beV8n1AUCEI16Iup?`WWK{H$LKYVbA5NZM6bd_vKPznl<dti
z$B?;!Bzlb-X+V}ySJH-TB6zy>aySaQ1xjAQR8$LaG~!@ZkJuUU<)D!9xvV3WaQd~U
zhzaeY0QVvtB@_;w?k?}bF+5$dR81;h!g0M`N1Sv7oj&n7rX%HvwS1q53GJYsyB>qH
z7+I6Z+6*T#2Gz<1XuB!3xkt_3_eVWcU(AZQd8E*@7_Y(c`X}HvgxN2>>#79VP2uoP
zg=+gcJMR?I=sfK<SE;@J4IS|u+XnT%PeL!!-V0UQ(;a0u_hLPyPkto3>}hXzwf1zp
z?7=S@`f!+hs=bnw(vmnUvf8;}YvJ0uk$vQePn09CEAtPiiVap0jX!DE4f|vA>>`=9
z2{S`<y~v(7TAICI?UNNyNgmir<-S?DIZZX?rJ9)Uq|Jvis@ED)nwrp{8fW1={^(A^
z)k9?DxbNWt6St~DSZ__c2khj?XBVlVR1ICfU+$Epsw9kSrOetSKas|$#@(h#r<m0A
z#pHTzQ~F|?V$Rnso|6fA&O1#>>U!mzZ%t{+A;rQeOxHLsGBi2(5HXo1Pj=CeyaDp>
zUNQEd=fwYwDQ$K@aR;yT5y(|%GAdR>JqOFnT5E`933(fDCShtkX|!+pC{vBhSsB{G
zluk}plx9~wP;&)|R*os5N(#zL5hfl>J)jUKY!DuFFjM9|myf!VeMXqP&0KEQkTlO|
zHk1h6DhpZ3<qip5CY^FOlTV0KbUdr2(}t+e*(k}BGNfh@OYX!sq|Xbo^~S5kN}<ng
z6Zyf-$^pI5ZJYYur!L3p&ohzF>8q4oHKFb=locN!4{eTaj{=#u$b$CiM7HjJGfFNr
z7%RWyBwNzVoOGN)zYAt`L4An-6?59;5#4>2K0c)+N2ijzI0F&L+s<UmY2v@hoMzW1
zmB-K$#XT#lzeyU>Tq*27X!<Hc_G5q<u?kfLWN2lcrPMS1NY@lH|Dcj2%q3eQnTR%H
z$!$HE6=hCR#*^31#4nQAP7QBQ1dm`7UB^h}kRhP6kFsKtsPi#V=T)F{GqQE=Z=<Nt
zEXwrk@`sVSQWF*5lt14>y<>xWeVMR4QE>aULynLUEzn6lk5;;rnNivI(wKushqyNG
zcHri`(}!o<?caEQN50v<;f*Fv9(eTLu!f_*)4Z85bIm-Wy*xwdym)I<pQY<6Ey-vf
zzCv9p-qL2k48_Ik)!lZcANneBYDCN9=YLABzC!^#_LGjCDcQNrf>}w&%o-lPaK>{%
z&BzT$7qr_sW^Im}@=cWv^UEgvlvgPxJj!Q#iyeL~16JI7ym(2}rqHyV&F@Yh)<2;C
z?Fk3VtSA?<y8QQ3kJ>HC?~%Ht^z@8hE;cmZwCTpnq+bQ)^(qI?omN#k9(pF>%8_B0
zj$X%ZV2$pM?{o9{@LBO#$dU}34qL;}O7LKV{k(C}wy<$gmMxBlI4-hLE!Uh4YO-VI
zY)q3~HW$n>sfXrplqZgQI&(P76JLs%!(pEIQrsL)^TZcRbLln_bLln_bC@|{l#RJ`
zn}}ArO+?FX6OoY;T6UX=jFi+0IMtFtUn7)aFZNo&p1qXT3V4~UjFiy|W!OtuEj#O9
zM)X=i&q5ruf&+VT)WRvDNbIB)oY;%AR&Zu7<+K8J;xbZRE0kw1E?U6_UI=l8@fcp@
z#7%I;gKtO$G_M>E&2>c~#6v_q1y`K6!fgpGwvynAIukDutt_~r!la6bdJC?oE%6ai
zU%^$6sGo@X3$A#L3JDO=Kmk+$w5o_!6I@xZ79^s<f-B|@E@*&HIjJGIVva~n5v?V-
zViAxK5e*ew(E=n)M8gGFOGImnXoTR3Mj&-WG?K^G714TvE1qvdpmkwF4Fp$aA2{F_
z(MEzR7AT1l(P+UHFNq<I5v3%Ck|yFTWx@%&E#0Opt8X<jC&nsjP$ToaX7osppGqY!
zT_1GBdc?wCUYecWv9a!H8r*ei>coM~dsYcBYa8|^D16wgiajf<w)+Mwnx%ND-?VV*
z@2w}iU9oH43Fk&Xn2b!HIcCGy-k#_7=06`WpsoBs>%F;l+JR3t9-9$8qHO8{=asWt
z%&Ogd;p3aKlMA*jFE_Wvq)SUL4_EIx;re9z$j#d)v^-J&%mS+=iL=zFQ|=CM%h|AO
z@-OGQ)C|&<4j)<~CoXSB$GthKHggg>_P*;M_iW-ZyH$^y9;knPYcZ>J0X_Q+8QHM+
z66ZhUS+g!&NO|+vZRgy6j@P16Grj7zd|E#<WzLdO_lggCk^gHWMNY9a*SveL6EC>g
zjJ;a7-rUk5CmT+E={3I1+g*dT%VkZ4mZ433^cMmy-`_jrR`#2+hjg8++UgEIs<ZH1
zbb3@m^Zs2H)zF?b^IU};AwL_MG^Hf%BXcDWxQ--j85QSB!LpWgo7z(rNpsYWO*hIz
z788fB$R@n8By2&*GPrtVGsUot1V5W>C59K0z|@C2t_Ydy5IP4wnr|i{^BltQbydjR
zkhw0c$tLPh8)P)wMi@Nh6bpCAI?b&?_qxlX*HtHbU1{{XYO=i^fK`*#UJ8w-*;Y~z
zP=_pokXW(}g6fim)Dtcw*+2v3p^HcbdCVpfD6a!|g;anWMmAEsR%8*ydqp<Edwn={
z!%f)=QVUc>EP?Apns1>28oWTIf~E!8N~^0Hl7+HLsy1YUtSSrlWZ{9Ty%kiT84Idp
z!bnZ(l4UYi)33-jSv5@^R6`SsYM4gCT+rRL1=&Wcn${&tW$vbMX^H&(xu6pi2dnY;
zf)8=i5qr{2?k=JNamMMLJt;%jScVj?IucA8$b0Z!n^;4qU6Vw@EYH#xJNUJ$m6i?(
z^Wbw$uQToHo;p%;MY|T&LJ$7Z!eRY`rmyqyKQx)i|KTbTw7*e7epe<Ge<c?jj|Rb4
zO1O#luszK3v|4X#(#V~33xiqSW;&wRKQPN<BlAaQc^bcT6I$C_^Rn~GT)Ev4<?q&}
z%CmNgy?Qe`zlS2=R^@$iyV1&|Qpy@Ws&ReQq)aaDJqYtewh)q4+??ucOq&H;&|#6L
zeP*t7m)kW}W-nAOuc;zESJBDwXgi|VPil>0JjWbJcw-&So}}@sUX0dmq<J}hrI*~U
zqcVH9a`|K>=?ORYSgVMo9c@#YX*{w$X|a>BULH=$l_^f!S!mW-Skl@anwL$ox5zc$
zs0Ke(-g&7cZ+4Oq-?8M%Y2m9K<B4)3;h|PEd#%zZA>h0`aE<C}XQlgYB^j`pjOZ;A
z-zDUnNW7ASr&`nO(`ugu0WFc(y^C`5Db<t>DpEFt)|#j$+e%RXDvUTNfn;5>p}NuX
zCRQ(l<s18|u0B$_KUR?eKhqJ*MaiuQ*(gf>nuI^G1<5jF$!by}gw}+KBv@&!hB&Yj
zkciHO>}hL9N2gb|791-OP3+=%@|m$El(NB^dZyFhOLQe7pH!@*Qm&%|E0>}>qUq7)
zE_LO<W-4QX)MRQs`Yl@%B1<ZftqtvI)G~UeL1{W}A??;WVYyt@pp4QvM^-(wEUmRx
zcC?erS^2N4lrd>4^22$WxQ^*^y&D<ytEl@n5}u+b*|(_QP6ryjo<8W1a7^B2wlb!v
zlKe1*baPaZC%x&6`>eP$zmgVs{*FK{_a)`7I+AuT=(>C-TKf=vHPV?L&?_%R?rkj>
zRNu?@smdu=2bsF}e2_06{#u#$hsy1Snsm*llk%B>{;x^tTji<hiZb*_jgj*8#6=n7
zrM{Eg?T~!<G-aNz%B>%e@1v8(h~)O9w3{ncwO26m`#Pn!mx|Pjp|{qn$^BMz(gu;N
z2Pyr`jjGNmLN}Sk$%Kd$;qa-UTLkwW53j0(CihCnC*0C@+-XW@tXEzbXhw$~QASMk
z$sodLr*d+qG&8rG)OClJn61=2OI4B`r^v&FEaRselPhys3S;Y%-zU;lRZV1pwKZ8;
zN>WTsqKYx1i4LTFh)6V?+_xZ;%aXL}Xm6S~kP#eyP=V&$r6uqpNPz~jQH1pE<xX=i
zk;CgVx5$Laol440-pXR`m7_CnnGFC%_pVpwf}&fGDE!AIyV%R~2FQloE=OzJFblqD
zPHT@e%avKstv;sy@ySQa$n%!Uht!4G`KH0GwbW&#W|mAzl6*)up0zHJ;>XDE*>qc!
z33V8+S?a4KU&oTMD_K;Jb|fH|1dr}hS}#ASk)5QVfuGrc{yMt%pf-0{F}k(7#{cW&
z-yG$6Z{BH895m48$}B+xjeq-~lS(1^U@3XtJlT-1K#O5!!KKY;ZH+QlW(pJv)Uh<^
zC=+!w3vO*8=D=Lafx~;T(1oCM9g_~cPVdD+FM+Ye#k6%S?edVeYRFgS)N`0wrP3C(
zqsDYp$@7UsunMx0Th>!WX40F%<;m+h%4Oq-gCooN&e|k+3>7R?q>?9v@u%(@vOAGp
zJWg|0>B-_|GN&yLG^rjPpGlI+y~(7)u~29Ev^A>KK2G%IPT4P$tYjnYm2G{ubhDO+
z-qq|nP=apH)&@s8%AzO8e)e~!<6Ef`7dp~69c4q$I8*-_N++LMgJnWkdl&iYM;fQc
z*0j{m>T}!S8)bb`b24k6C%j3Y1hRE!pLA>aL2u2;UM_UzubKf%tm)n^n%h6qW!tPt
zgGQS9cp{`A>s*y&q>=b5C(qzFKO6btxr)w_wB-<cGToOp?5C%{?V}yW(9ISuw4R6h
ze3b8Dg|KF!n>?n2GVipFY}#b`IlY?9>_KZiRgrV&Y3KEHYggL;BI&c(hFpwS?EHz<
z*D;frxvYaLP;De>I-cI}wV{t<<;yqr{z@ja>Srq-vQM5ghAy<QA!Z)(XOSwhFPlD!
zQj?A2>FRRSxgM>bNy-Gfk@k@a&mk)EwJ#mph2_`!6ltFWSrN$CXmWoJ`7wgTj-ltu
z&^5v2W@j7n!wvbf3o5eXd-~9dF`TYIt~3!D7LmJ6Y4#$fV!h@}#jGtAXvw;yX9k@g
z=thgxR2&|sA}e)Nrx#NTCGCfc%x2_uDYCZ4Iapgy>}4mvb4k8pJ0)FhNZSYU=%Xq!
zW+`o7S52lYpgE1m+nHooMH>>`Ro>vRimcC}#X{7i?|SOpl{jA@^*4|*?ICZE6rN8Y
zZ>Q<l#bRnrsF*iul(+pJZsc%hMbF(}r_J<HCp9_nmR^3%n4Z0&^RKC>?JIiqDcy3D
zg{7WknY*{90)6!rS-X~&?+2ONr#L(llrg2n)M}z}qU|C?0YA|BS`bj4KCVx4CVpF8
z7@6w$Sg`s{_<dkfi-&<r-_BqBe8_%>lxOFi>SlWQhHV>>)OKi-GPwsXweQ)u>+(Yx
zVOEN$M;$k9(Co4r{M_e<oV}s*O0?-+Vc54DW5=frxMBZ;DzsK)_Q0HmnN`YW(6`^;
zYPn>HCTsY$t<hl}e{CLcwAhlN(|-u8aA)Mj`9qgIoBQpi8w-3+MD#r~wq$gp0k^hh
zL^U!!Gsz`C*V$y|%AP0PLw2TZT5`t4_V*$CI|X|j&R;mm#l$_qe)5z?Ya%)Y??2vg
z&i$cgdpBM<ba!IZ_{I}FX8uk(Tc`HCUv+(jZx&aoyM1e?6_(vx5`Np^XQ~>p^za~m
z>r(kMS5M9jXq2G*zIz+HH63bf3OhJvO6&BwKh&qe-X)$*y`Y@3HX^&uonBsZGpf7S
zIjk%_-p%6ty02SYk5ez3Cs?H~e!AW3#K!Kmf78gzEJ*1suic_c=^@3FTHgy?ck7S%
z2W7H{Ss%?g>2Nn-;flBQ?mg-`*mwKU@rNE9X<z$R%{o8d*=jYQ#_@^1n=<6uThpS0
z-n6`aF7mYe?6Vuqlb2q_8KzD+Y=(>L6rgE{;A2U%H_pI{2@igAgGGdY;?^Bq$jJ>i
z?^*pguGI17IvouO9bogu?OK_Atp+Rhr4Dke@hJLgx7%w6)%@{cxBGR{`fdq!znhbK
zF5vsU`tA$gR-0I*^{DS=<~{#;#-)ZQPfa-aP0)tyCuJ|pZM8jnO}o02Uo=f__ta`%
zw{m_*CSB-hYkTR*lFmOKAOBEYVRKEd>LvWz538y1zZ)7HJMYrPHGzXFHn#br%kpZ8
z%SI~$15Jnb8t8uHsD&OYI{$i=OZ-3b<;oqe-dRc=n{OlzA@h8~Ryv0*qWF!9`b5kt
z9}8bS{NGzE#x?;HE4arLCBxGW)`sC`QW3$McPzWh@x(2BaBbKMZ{A^LL=KyU9ST1x
z0JL??-dMS&+~>_ub+PhcBhteHw$FK;kN+ckFwPQ}h~PM#3aw-(p9#Wm_JSv@M<jF;
zMjeHlcji7xr-Dng5Iai~8_L!Yh7P5*@YfEwdB@i9<{kOCIAzvP@)H^2`e_B4B=&V|
z{ZuKfpQ>^FRB6iAPn938pPI7uQ-$&RsnU4;R3WaPDn494RqELKsq(}1Q?<B$`g4VK
z?gcmR<TM#M=ww7(2DQ5}>*nIQ9;DW`O5N=mjco0<+O7Q#b->i8{asqsxw<<|Q9Q2p
zu#nPUy=js``vnXg^V9umZ?%qPGnamM`NHP@zBa2`{IVo=e#)NGORuy&IdDzX{)%&U
z-s$uvVAqPYON;W#J&vh1_)?35ld9|eet0}<V3qNEtai4p`*zu@*#4J&bNu|mEC00O
z=Bmg(i-%n+mND)1ggF7B!nOG018VH*d%X3f5*rtlubyI-HE7I;;5^rV>L#8BP^N}A
z@gR;j@k9f}n|PW6#G81Y0>qnmIz#=$n|M;8;^R#`2=OK!gkN$KPYaNTH}N3fm)yjI
z^#7)tcrYI@L;l~qi6;tv;+1!UD=rYWh2MA)#`kFZ@f&*JiVBPu0K!kd*wdi?+a<_v
zPBDEBzlB2APF{$x0DmjuCY;WM$D80uw?J>=1?6M-6F15=yrD(Habe-#ctZ~^bE3W(
z0O6;9rsn6|+!6%^0CrWUK>;9)g91SKkrRDWF}zpXp6tf-q%<5rm1-PB(H^+kOAR1~
zO7_DWTt23s@l7v=xbYSNC?0q|osJbx7q?cR9oSS2_>kLBzJ#;irT-)z=?wKpT*?<h
ztcaM-zwPE8OUU@=l)q$GV>@8DvAxg^Hn7<S<$f-`t*qD=(c8hkgD;YA;>hT67lWa`
zh3Q}N3vcd`?D)TUa}V~DYTi$(c|WP<{p5!?`iT7`ukY{LC*Sg;It2B?uz$dQQLT^-
z!*&8Kn6ULTfp)?D9cn?Aj6aRpe+I-~#_T?W?sMfKog@$8R_JK*^Gl^bFndrx=z)?{
zK!{tKxc-@PXpo4Hg;>a^xQr)QW@0=67>8`IrHTQ7EukVKJ(vN;0h%d6DgI00gB%5@
z_D=pKrgy=Xt4wpKn3$f}?&x?)Z;oe9hXhe?37696<5ec_XELP|;idS(;A@^frh{9@
zME<{iv(Gh<gZjC0DCwc+H~@HP<^rBB<^$8ktzE!Zk>RjqjpuK?luz6;hjQ^JMud`F
ztXGJ$3T+@4#51`;TrR^wE=~y0zF2>t{&oiNmmW+P>lg3eD_XO9Qi`~WdR7$UDe>UA
zbh8hS&zuX~s0S1C7d}vbOrNz+*qX=YH40f0mY;<}HcAvpi8!yJSiJC1!s~C=a5I)Z
z`>qT9b{3Am5MSucK8a#JaQI{o{ty6mw^9HG<@bO7W}mU#9$;3qN2ng)f5Xi_xW0+y
zjP=>DoN<E#;#eUdo{bBDS7&t4&VUV`|D2nB7V>-+-R$#zIqRVm4a=F~{{uJstl{?d
z0r}8QvN{5U{%{8Czm&3ZIG*V~(vRW166+PlzsXm3lIocQgo2)<vA+Xo%W$ZNjz|r^
zMW2O^8s-Otj}xpPL^_lPH|Y>3k*+M#gC1-g1o;&?KY^>AF};eMj>!eOzkRchalec0
z=ihX*PcAPfKChUL<1gsf6#zVx;1(FXlfxMx*t4u2Alj4DW#XnEz%wx%$7yNYX7sSN
zUfNO*(d<EaIIi(>D6ls#KW}kfN5%4j@|D7+a%JTO;jI2ZJ!0dcQkKMStTC9!QkD)K
zFAiulb0^@a9~3j2=j8&9<1?;SLcZ9l<zR!mNZcSC<_`t)lvk`G(##A9M>#BAn2+QF
zj&g8M70O}INDkT$qVaoVCa(`4+|Z+kc$6#2&w&XI%9Z$}eBk$ND3<~|V|_wC{(bi^
z-|T~W;rf(6K*M#Azq>wQy!F3o)n2ei7hD2*#zVbQs;gE_?uIY{b_L*i0^hP<#rxfs
zeYkocK<^E^>0#?UY}se;5(+mH1oE4HCWGeUL&+p~L9635+ptuT21|7)gj0doARX4m
zFcn%`m+8adSq{^o-0<cN>86dEMsyP2IbK?IjN-ckKbFp0Sc8VrERYjqL?_9W_*cTT
z3rqhyQBPY=FX>&-I;Zgbh<TSK)i;t`SUx1VoL-b`P-{^x+7EByFtm%Y-p1*%Y7Vt?
zmy!Nl4netS@2Bu}9p&O?I_YK;3cZD7f63mhpxGPJ8S}N{@k}hFd!CH~?`GXWFpUQL
zTg~8h<aA^v)(aRlaz*|E2cm4FaBN-J3yxrV>N1mAeb8kxe$bcEf5d@k7MHWzNd9c2
za7q4mM)Day$Yt~&kw4mqUeZsJBh{aFpgq<<$#Kb;4|9jTiPTHa0sWv|lx+v0z(?kC
zJdWc4;GtPK8-{Yp;`CXZE=!76@^2sWMSe1m!vz4bmt+v?8?P^94ltTRedBV)pL)RV
zb0HktD{c`1zLq>akqzQ=csS=HQv4z@Je2XlXu;?JF6QAZ9&oO=q@OK|hj6ZUElF>l
zuLAj~FMhj3{jfgc%~*!|N&4VTRv6C6Kwn9}Wn2%&2k9|7fXjI}i<k5R$n~&g@qptm
zZy?v7$pgGFeHk6ZqrRvoZbSh-TP6>}0fmQ-kA+Xee#*GtVDh0JO8pp<19%Fnhn7=u
zpAE<*Pg(oZWg53zmJHN0adk$Q*^TqH<LOF#(CM)L0QU)F|F>F{TUL_4M#SA14*CN*
zJd~^z!$WyIVSEt3j`OzzDy+}zIUdJxytxbX4&~t@J@9Q5!$Vj&;9NfZ_{r!)SUM2S
z`N?K3hw(u;qXW2w(=&dF9^h6nJe<V?&iTb~gV}dB=!yL>i#O<>XF)i&7v8VgARQaW
zxc!aGk41mP{)xPQkL56o^GoF*^}}&SbP}I5?j;+=cZU{gSf3<0?TqLoxe~wBZ)Jgf
zDAZF<FXgjf+?x#<!hQkmfcf^}dVg-c>u#in#BZE$Ne@mh>S0h0s0Wr$D<gTv`7};X
z?7-fQlTtcd4net?uZKKeI7`QIlF>oClgdl7>t&w4L}$!*jmI;w9~md>@NsfGw>zgJ
zxqw6a_+XseVH7TnW70SzjgyQY^kwt_rSzroW+#_pJWlR13YX+d<C`R(@q=7O{}K7d
z<DI0RBuA=miJ%zP*Uud%cY}UVKg)7HvWMfzq8zb!4aP}MpT+4u7$^6M{A4eO`vHR8
z34;dX<Wi9Tv2k)4gkyU+Ao8{3=}CH!pLn=5E=lnR#qdzZ2mKJE1Bf^5LHeOA9&oO=
zq@OK|hj6ZUElF=s10G5w`KT|Blc*oo=fg(&N%|b&;f&0H|0vgk@j-fw4&X5!&f+Eg
z0CGKSSv=s!MLK_`58#FA%jh5;^+i2Th<vt89)tr54;|kQJ`MXd<9?ROhk7WDlS~fa
z(l~iiluPiYK(KFF2|q33VJsZd<NX6U1L085+!!5%Lw5iVB|nSdp^Oj0IUT{90732t
z?e&~dxYVA~Aspw|QhQ?jpf98UNPGH)%Q0@R=Z(T8`TdRLGk%cE=szO=J0p5YKS_?%
zUc2*hklL#;A2XA|y!Haf1v{4IeB>g>(?mH^J1Lmga{4Sz_d$ETB=VDAIlK%I>`oXo
zXs;_k{>R$uN(jgHdPU@G$<vecAXj;~)IOy6JTW|!@j<yWI)K-BIEx3I>n-VL%i<xN
z>s?FIo7;&<@=;%Guc#lkgX>26N&4L2;fxIGqs0Fk*MspvdW;U>O&-qTCH(+$J#1M#
z;I~9Ne<ly`!t`Zy5Rdwzp0`ClTP6>}0fmQ-m-=<7JsP)HCLijd6wl-UF16P?qTDZ@
z*YbLnS=hYxt|+&xB>$d>yD=Q}m)iM#F+7yV6UGPe4>&(hhdkuCRG%MlJ{~U81K(pY
zJcNY<&gGLQJe;Ki;hdj5<#HGwgflvT&p18fm*@dL7sG3FK8cRJ;PfmW=vaRR@TC|Y
z&gcQ>{TlgQ3=d^|5*@%-Je<)1&h!BI2M=fQfOCHGn#*VL#^DC@>_t#pa306_4CZ%>
zAspN3c8~-8hYiwUeY?iKpM3Z|XFm^L!=dy&XEdLGrE@6puV8fV)|bW_(RGI&!En5h
z=6fTI=p^|Pztm4|6ZN*`^in<w=Fv-d{xF}IuM95NcphC?z9t*#A@LjMOVWeWi+UK?
z8TCN>e`h4mIG@Jp@o}<Xy-7-k%ONNi^OeTss=*#Ok7jhx&ZY8@?0VCP&Y15mk7r^H
z=FxRveGkgXYJ<4mQ!vk!4qV}@>mx)yK|uUt2d*>#0$hc?1JH04wpMad0<MyFO^Ii_
zudto7n{2x~`C)ivj>jY=uy|t}7FQX4<M;%&+bbo$nY0R98Tlbvzyno2693-8E#ZB-
zv(?(J@m<pl(=`s4(vuEsNlHy+=}CGShfDO~ZR41JojO6Ofj;#ca~Lkd7!K=$p)fwC
z0JdfNq(Fy=;f;;ugtu*k`Zkp85F^rsb6B6pOLi#iz!{0(_{LyKf8%f|zY#s+S^m@b
z?z$$4?O8cV={4#e&koFKpOz%rm+L9nscoZP>_8lezP_Y)<4|ZfU<Z!JaC<>^Sa@R&
z`Ko&SyMt{i`{>guw}%66y!atE@a_X)K5)p5Z-73{uL}GJ!ap2*<JU=_<`1~PFZ@HC
zKi~m=@DFhT_zwSp5YOH*T*7&LK^)+L{`$1`kY;<JXAr_Zf`e+HVf&7Hhyzmi?BItX
z5DqV(bd@-KF(UA8uZKK9IOGB{fh<f8vcOS1Ob8GF8H4}<kcr`tL&zxT4OxSnLq<D#
z>mjR<Yw;7*1|2%a>EQ+b;05TxK9Duw0z<$HzWX7HFH9PbWq}`wVl)^ozJQ!}@Ay*(
z=m2`g`C&qFftVub6BnnC_tJyHpd{BefUAVMF&*N)_3{4fxFJ6{=4ikGtTu_z_5DA?
CTVJdI

diff --git a/Individual_Project/Core_Arithmetic/work/_lib1_9.qdb b/Individual_Project/Core_Arithmetic/work/_lib1_9.qdb
new file mode 100644
index 0000000000000000000000000000000000000000..e2f145142eee309e2f2175f1065120f07d9055a3
GIT binary patch
literal 32768
zcmeI)TWB0r7zgk(GkckJc5TyCx4F$X={4J?xioE35yUiIY^aGEx0pOgnlux;$zHPA
z-DHyu(#SrfC{pmjl!8`D3nJb?U!=Y$wDq9`s?_=*wFRpWK?OlYUp%wlEG>ohS?Ygb
z=l^|k&di+oZQf?Hed#efk&P#<vC)^Tc1u`7QN)v$C4}(Gw@1D!FI5(I_DW^oKIs)L
zd-V{V6q<Qc&^zW$I(nbk7=i!<AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z
z1Rwwb2;5U(QB(clh6ZJEC^s^iut)NR(M0;lWF@tpfoOLuYQ?(uJsq_wd#$GNc*2g4
zS-t(S=u^>wW-DuF?r7rk_U$e`KAyF6xBEl=z0Vzrx@RaK8Hu!NzHm>2BI2nryO7N!
z;yHVGB%e!{ds*?KhTAKZ^PpXK?&(FvAFiuY7ON_&cNQjRIicRYc4z0^OShgK=<Vws
z7_tsVhng+BU?-<?sga~@#iE0;NQf?p^_23{S$d1!q!V<UUZEo8=x_R+QnX5+&<FGd
zou?n^I(<i9)9O8;F#rJwKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=
z5cr=6Xr-(s|B1ddrHM6do>H14#gXJla7=PcaKxRU@3qp5JYFm1<(5{oQlZ?<%B_4E
za*B+4t+Y_yT`X_s%iB3;idM>TOmk#pgeV~z%|T)QV%{*nF)x~*neUrt%#-Hp<}ou%
zf6%IF({*!@uFx<I(0=NqCaR^i<TL-Fi}HH`AJaKHMX%Azbj4W&UJ!r)1Rwwb2tWV=
z5P$##AOHafKmY;|fB*y_009U<00Izz00bcLU<nvoy{fLNYF4XGy&K%@RTbG}RAp)M
zBcqXrrj)PZKLsrwN^8DLM<_tD(-k$XhIG@b2AndeH^});Wf=8*WJ+8Z9Wv^;Nmd_t
zrpl=0CZVkK?K5h)nN;35_@S|Zn+bnmf0q&FChq<AiNk?5zF1LRr?>LOidA}xoFOk(
zTpQf!%+=I+aaFu+2b;N@t6mnbUJmZyuAtly$5(^fxtmp%#Zt_OIGw7_1eJy7jV5mL
zz7zQ`<tMz(85tK>J3gh;BBTeq_{as%WpTDA)ah7gYEk#<;`HNzJ?{Ga;lOUU^gSBr
za7*tafn9FtSs!S3ORd`2#+S@_{F(E{7H+1MOmeTjiSIJAM&BrR%e%}x{1ElZ=l{1f
z>ZZr2og&moHB==(2x0zd{z`w*Z{{ufnSP*av@CxeV2O@Vfu`sv9i|tkpH}DseJ+0^
z;5|A+*B-3DVB8G^AOHafKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2>ka1
zbdRdYr`_sizekmiy{8&^M_RMoLM1s8kl!&dc}BW{GgZ%-tm917a!%H8PHf<ehviLs
z6+T;0Yj+E^O%BV`7F&5*v4wMfC+A!<XJH5D>~_wX2xq=Yo|emnF87>zr(5{<xP@=G
eTX;L%!n2DH%5CG!ZsnZbB2%s>vx)o6#(x2uRSyUN

literal 0
HcmV?d00001

diff --git a/Individual_Project/Core_Arithmetic/work/_lib1_9.qpg b/Individual_Project/Core_Arithmetic/work/_lib1_9.qpg
new file mode 100644
index 0000000000000000000000000000000000000000..0d506557d0662d03874d9f697a692211d8b1688f
GIT binary patch
literal 188416
zcmeFaalBR4eJ^;<xfeVt>W%jXP*JXk1`J+Ni9tmJ0uc==L1HkPa8XdMUV+G!kk&Rf
zA(12|A(WmfK|@lSkjD2OrnIGRY(rn2shM$Jof+Q@ojR|~8{2VaoGCM7TPCGpzTe;a
z{`Ow1d(OV+VA{UV=k5K+`Q6`I-}U|d)^GjR+H3D~_P%qvZ<$}IoK~ygs6t)@S%YLj
zm&&^($3dQj^6`-OK%NHqdysX=X^^KwUITds<n54WLPAugN}mAvQRrtuejM^_$Um)C
zD(66+KCV*fVg2|@<vo(;LS9v`RC*x+t4x%fBsm!p#g+4<Ukv#P=+hwCu21#nOI{#3
zMe;()iy(=AFXSa8tkk+TZC<shyE49eWKCsL7vv`VL7lZ#$h+@Zypa0JH7l;7yn4yp
zk5fK$|Hqb5uKK_|*HT`8P32m)U&)4*+PE`=Y{}1l0ym!hpdU-Fzw%b{+_C7^yD4v7
z^sxn$OZ%5Cr@ZsF;Kzz9mu;ZFX=vn1!>wO4M7eor&Eu4-hgX?jk8OB(E%gURt~CEQ
zJhpZf^#zX&jZm(8bgjvu2d-R7eeLSNv;Lt~H&Y)PS+&T@N7g<-IkMp~Yd`n?0gKn>
z%D|=?%FX>s?Z+;Uoj7p<88zW=gRK5tqW>)DX9{<w>d*WemH&g}ahF|{O25XhyE3jG
z434WWGlS#m8n624(5t9taVvTJL~p&fG7IB7u6_q_@V{<}1^o@vL;IR|h$CNUSFv{M
zp#S}e`Y1abPugXLOK(rP_%6<~@%1q7?c000O?wEF`3GTg`6=4l-=@9c4G&$7yigp*
z*J;0ke*P34Wc*yR)Z@T7o-h4XNRK1yKm7Q5=2?AYXv2ESei)#<8aR&AQY0w#AtWf}
z%}7wnMQcZx=k*7Wpp>iT-Vb~ExQ2CZT-_z;#`LFE{;+)W>S4~a`dazr`Q!X9Q#%*P
z?kvQI{q}qzu9>}d^V#E>^3bo8*Yfz>71saMerx<E4BxIl@5Nbw<4JxW_gJ>eGf_MX
z)~vB{zJ2w2u2-Y-?L63FzIDT3vw8bo`LPrAjJx+U?mydYS~v6{`A3Ye{rs6I{(}iW
zicgBa+ugM8!KVH2ym86)9yg8~&sWqB%MR<)@s0H%)W_@Fd1%Lex@7y9f4cNzZSvC}
zi??`FzBKM$zazr4{a8F{e9_$e=6JF_<Ju0-Kea#Y=J_?)#c&+j#a~{T&=LOq9pbOR
zE{*<Nvhk8@I3MO(eDnE$?b}ItcSfQ^we#iq7$5SCwXSpgc-~0+@AHMVp4XfQ7gnoH
z``-$GQP=p6@KdX&cZ9#_%t?mFb~f{q{<iYx;_4Y4@p~NGjn8F@d%O4<)$We`xx7RC
z`#Z#6(N*urpKGek=R>Rbf1uja5&zr{@mHL4zVZ7$(DS#IKQ~lshS&bTuKj;q`~SN3
z|8?#E>)QX<wg0c*8utHn?f>iA|JSwu$G*t+|8?#E>)QX<wg0bc|6kYszpnj%UHkt!
zwpU?a7WV&j?f>hW(Xsga@=n;VpY{wkg7LnN`~CX3t}k)jMvLmXK|aFkqk5%l_(I6n
zAbGtxJ<?hJD)b)6C6ModT&H@LdwnZ833%+dhbQB63ncdml-w^-azAzu(%b(5{62Bw
zf=5woN4S3L8hXMUuQgnT+FHZq<M`vmiQZkOp#i0BP%5=XH!f7D^Lh~RFnqPr+aMj~
z8Y9Sg?!|;99^u0EQ?23BV9-02fBl1T6UgK3{=)j#yG!HLI2#E`d-nkV|N5Ap!0!Nz
zvN4QL_nZ2sf`5&zD%W^6u=zF~>YuMvtIO~QMts2Z?kcjIM{S2>V>`5Fy!%w1^0FOy
zSBTg2h!^H@)EWoy8S`3k)PL9AU-px{E5&Pi#LM-Zyzckw(8%w)Rij?luMJ(#HdZN4
zUf)U{*Lcu>`VrdK8gE0Vou5kHue@3(KMf!G>GA5rA8a=x;4(k@rMn;BmtCI6X?Hl(
z511bDdOzogm;4yljCZnh&v)l#+{n98yrzSfHwc(-^q=_wfumOC{M%pYdf3!|gb%JO
zSO<neUiM`3p%)eO=QPy9-)X9^a{o=gR6fGIpgt9!-IcB?`&+A2Cn@g&uDiNk@v8Qp
zjGIV3w%=+V8E<#BaY*Asdu$i-zQ@)LSZ=uAJipU#)n|UIJoEcv;OIB^X|dmii~OE;
zGHxRE*uMLn&Qs%!{H8s&TZ-{VKK5}Pg`YD$zFg1YNwzNdd@&q&Jnsyq`HOvDqc^l`
zd|C0q;%0fa8<P2CI`S;c=bntm<HbB+yi}jXOXXR-E&;9&@j4qaj+f!0cuhw=<0{-l
z>g@M9_>B8YzvBJ|e|s8VP(K(C;H!He*$>xwzKeN0ZmMrMkJ&@q3=fHBIBJa>B7Uw5
z9%pM0oVQQ$JU_$kRN~OC%D7YSlTHbJQJDWdc0Pw*<@FWIr`Wvj@pjdTp?smW!}u5u
z(#jD}RO6^sFU2S0>FbL50YA+?WLSTC8aHC%ao!Tocym0NXIg)EuaVC4KmFGFSLHr{
z`gHTJZu1!J1`G#(I8M|J7v+)1jq?-rS$u8W;g`=><_-P%G(PDc*R^d;*0nH>T|?ZD
zz#rV3CB6q&H9TtAEr&d?dicS0l>Otr1^AZp$m-$GeFf(q&LhU3`Qh`3`ylXI|CxWL
z!|s$&p4m(Dm^%E<@|gW456782u5&$Y=C|q_&SUoAzu_U#3`d^FS{HUW-scb3N!sPO
z(XQ)~89(=L96w*j$leZrKTzc7xRKv=$&BCn1Ha8K<d1MdWgd9mxLs?9dhZ8lape8%
zhXy+hu7@mFUNlmkt?ExY-ZQLy@2+jin~R|{{=V*o{L9y0tA{_n-*ovn4AOs|2iX4=
z##<jS9r?k0k9pZ2_?xZk0iWl`^nkB&Kg>9~&Uqa3xPPi|IFH$bpN5A-GaPx`()d_=
z;Jkf`A4CD;%=6c~iE}*Paeb2QW4Io3J@EPK`P+|D+PzBoaT#>R_r6d*U^?RKc=m(y
z>{hMk?{hrHmvMC6@hHYoYwW=v%y+9t90Gq&qaU@je}6Y+?=I#G?GK92blCU&ao$0-
zbH8V*-2}BWJ@T95K|c=3kDh>Q45F0wL%V!G=KIIXQBQxkj<bKJN4(sZk@tvrXN$K#
z^rtaPy1{^R-uI(`yd&Z@J>tc1;HWi@i+8SgUetOzrv>jU@oo{X>EPvhurkUAvNt=p
zZZS_3=f<CCoOwaU@#{w|<8>Am1di8il@BK6w6_BGc-|l%+`&<6+!g${^AYQLo@i#z
zih)n%=P;18>wHVyzV$cHrw5eJj$0>O7{{J$+#Tn39IrfTc%H13-@YC>?k9>5=yB9`
zJd24&|E_@cdBlBdu$x`a`a0|5Xw8y-^1OJBRpjTz0rDYV4nQ&wO$Yz>gnuy9H$4C3
z*GX|CKl7O5;pg2ep^^U$Nb;Kw{@pG3_bU&{LY`QXpZOf~&xS_+HzCPyI{4+^j=@mg
z2=)1X8O1mPe>2{zP)pvoAjxYwc=siKd%QjVn4UOB`^|BS{pR<5<Ua;Ue$ykrcUyT+
zV{e%6yEtyd?-Abg2=DeCKS%i2g*Uwb{{i7&6W;U!{I$ZrD!l0h_zw#Ig7Bsn;O7dz
zPk7S{@Ye~yS9sG4@Yf5^b&2sey#RlM@O{FYUVxt`JkRswH$B3K`H+wAjlv%h-t-8+
z!pd{}O~UUN-t+?ehlF1#yy+2sFqCKcHXl0k?Qkd`Fg?PDd6E0GK=^lrH@yIVv+zfS
zH@yJAQ24inH$B21v+~@ZMZ(vypfdiZ7vL8Q-z~i91^5pOUlHE)0{kt)ABUtrrbqY#
zR-XI6MEF;PH@yIVtMD%iZ+Zd#HsKEmZ+Zd#Bf`HVyy*q_rNSQ+-gMx3{+^8UGq1mR
ze!kD**VC8|jr+g*BwtL<fBM}_V=>MTTt^Rtb+`eT>Ax@h?a-LFet!MjpTPj|1oHez
zG>;`3H^WE$2(`7wzHlDebq5N_C)o)5$9zBF_?_V6K8AXHp7r`v{!sjPq5}M*u0NM0
z<y=S5kLo^rGENgDtv&X=hHrAcus6Yvb$$3kf_Hn)<JWtWfs3y*({`xFkzcoT8owE8
zZz4LMax}@C+V^;x9mHX27~jSVigVx@{mFb}9@_Ue<Ga3Mzvmj)HN^WY=Zx$6YG_fb
zhTn79&-PGMt1^y|&pmaP<<;}lFz(NVeP`{t&!~Kz`O)*-{isL&J^xb3Tb@SjI4pfR
zG~~h5$-(dHT|vLj%8{SiAKLXPaZ`l*Simjd`GNaF$3ewWtKN-I;1?Pn>V>a<Jd|hm
zw}i9nR^YSyTaLd+Jk}08E2%?Td31k^`@i`9mhoadj0ZBkzZLe2T|;PQ$D4}BC!o>q
z{t&<FbV)0Z><s`%djXf<w+d`EeNT|zPa~e=eJ?)2pT(Q&4t0;K>D|?hL^F=uPw;-J
zsg>GitUdaFa(Srb=Pdg(@7GcF>ys#t?S4wS+g%m(n+ym3V6avlRJq}!^3|a{8%MRT
z-mmh&+vDx44}|iC)($*|gS7G}uH0Y7<7m7Xzd^QdGLFPEuE%S(F7&M-4)N5uRM!Um
zIxCO-e^9tEzV+%uL0@3yz@_=TF4QkHKGX|eWdgz8BF`7$st>E&^T+Xzh{xK2$8eBV
z9>w8W;Ntu;Ui9C1AZK8JxE}lcTH+apVSL8p{HSyvXVa7Xq8+wIzHPSl@JD%Mc}Ct)
zpMUZPiCBmGe4XJqa-FgDYkb!?`Mq6FlXdKLqo~>MR{!Bd{lQ?kW;d$g_tRYOASbN{
zj`Ms2WUW%2dAOVW7ubDe&I^tYaiseh?(cHK05^3SB>b@N`@lb-_ErAgmAY_M;RZl!
z?Zto11nA^X%j+tqpgqcF>uyh|&*ty-&`-)|1OWcoc@#X^_XfT``S%Lk=cC=jY|r>>
zea`UolkH_MTi-4W{JYqm?fNuMe*d25FSKKLan!2w@JatZ4T*S8?T2LlglkL*dc)T_
zzh7^7<e~cum>uM!U5~HFJB_cEV|=~b<7?xEa?2m&k)0REcMb7(%M+sCIbgcusO<<R
zv|9FkF7pEAjYFtKoOkVqM8B#gqxr@22=;^37ejrv59)!=xcn$7_q<>&_gTIC19mQw
zG#<pm+Cg%@a-Z@8#GiirHgxzgb48f9cE6i;xc`pJ$FgHQ;J0>=PbYSMM|>+2JHMNh
zk7dVrz;Ep!8NawcP%RD<`9~CoL1?(n$nHNLgdfe?UBYHjyMCMBz1jW6!!6oPPulr?
zkU7@hdgEBq&hv!7m!`c}@kxIyub>}-&hhy@Nal&jBu{*O<hY*?T<o{c2cI9#@8eE1
z+g-pvqW*fj$?}``Rns`J1@kmse{CLMzsU9MtY|)%j&feFOh&miLw<$*X#V~7_%lO)
z`?W3v9QF;;H=%&}xXk?OvG1+mcXcEw_j&Rf%H!(-Yma;}JY?X{_v5c8_$SnF|NbV$
zGoCTO+jAbjE|>uv<L5kSyOj5F6x6WZsa<um^jU!iUh$|sHyfX@|D5p83HO{;qkg>h
z+gpf6{(MIAvyjXulgOt)SgYQM@(EaX#QPXj9JT6A_^hM+7a-B@UfFX$s=ugmwX1sl
z6QO*ewZpn;ILKd8xz$6L-|pWet4F!~Uf_PC8ID@UPk-Up!}O1N_{;c={d0dlr*fj=
z_D@0sexbF4J;Oo%ips6t{ezSrldK;4=L7D8)?etY{52fv=`a2KLTES1_)zZaz+{v&
zPkwfW&4cW^zaQmXw~QZo>-EM1{ZY&ht`l1WZ}p2oPIa9V4*i^II_z~rXB?gi<u@8W
zD&H2$7g{~atv%$IL-{1G2PaOPUj0hY7g;&#)h?7r>(qSUxK4$3^@ic#_iW>dz6T2V
zx{LUDU74%-e*~qRZ*NFK;K=VQe-9mF91f$DxIvTk#u4e>?lj>h$iCy!cHw?W?%$uQ
z9q8;>B)^VAqrJBzQ(m|CPJ;9G#mCceZznkC_x3N2Yu?Yao%5ysblT5l>L)M!kw=Td
z`7Hn4f|W<(eG70LZ$IxL%s6U|xr+DY%2(nz&d2e|_#Kn<c7FaOitT+r_cS!-b9x^5
zT2kJ_X2|n!|1#&d_Q*fOLmDjNugg#O$H&Rn?-XB2@NUm}{5+7(bMJTB-tBta&eV8+
z9fr~GP+X~PG9B~o!ziDJcn7~KmBsc4?jvg4z7g7uir4m}+~ai!<s6R#lGYw^GJKPG
zy_Dd`ir32t{*ZL%@pv6faAW1gD+%81IFIwr1ui}xr|nRUBhR;QQma;{s$F%5^m&lr
z@$tyc$HG4++;f28$j`?+so^%puS)(JB*)ccbUwZV<;~8=ccPr<V<s-z-79<UNA=fL
zJ{uC_SoQj6Lis{#hrBTy<g+Tbdg$`o{hMU<D3{+0+;23)QOo%0FVDyHkMnvLK4bsf
zpKqz0sJQ)ZXuvPDcCcqSNCuGQR`33e%i=f5>QVlDz<tpAi~3gn8V-2+OFzFI+D)>4
zp`Fju87MD09~=L;{CsRYm>+&V-V=DM-wAT6>)#FfOw-{9PAfQS)!)D;`k9?y_XhnY
zm1nr$4CRZg9_@`E@_V8DX2U~22ReDbAIcY6J<82K<UW-fA9TZcdAHr(u=Y#w$vlK;
zdaTnra}>4hoCn`daK2uo=fQUpob!A8R_DRA-Mc*xeguBteD*Noz<l8kMv)hNTz7j=
z&U%(Vsq!B{!#GaWdR6@`>fO~zDzE-9)L&;h;vE88tG*D*v-7KPp*%Xjt^h7RzZwpC
zm!4mVqhBV<k1_&f1j-1M5hx>2Mxcy98G$kaWdzCylo2Q+P)4APKpBBD0%Zis2$T^h
zBTz=5j6fNIG6H1;$_SJZC?iltpo~BnfiePR1j-1M5hx>2Mxcy98G$kaWdzCylo2Q+
zP)4APKpBBD0%Zis2$T^hBTz=5j6fNIG6H1;$_SJZC?iltpo~BnfiePR1j-1M5hx>2
zMxcy98G$kaWdzCylo2Q+P)4APKpBBD0%Zis2$T^hBTz=5j6fNIG6H1;$_SJZC?ilt
zpo~BnfiePR1j-1M5hx>2Mxcy98G$kaWdzCylo2Q+P)4APKpBBD0%Zis2$T^hBTz=5
zj6fNIG6H1;$_SJZC?iltpo~BnfiePR1j-1M5hx>2Mxcy98G$kaWdzCylo2Q+P)4AP
zKpBBD0%Zis2$T^hBTz=5j6fNIG6H1;$_SJZC?iltpo~BnfiePR1j-1M5hx>2Mxcy9
z8G$kaWdzCylo2Q+P)4APKpBBD0%Zis2$T^hBTz=5j6fNIG6H1;$_SJZC?iltpo~Bn
zfiePR1j-1M5hx>2Mxcy98G$kaWdzCylo2Q+P)4APKpBBD0%Zis2$T^hBTz=5j6fNI
zG6H1;$_SJZC?iltpo~BnfiePR1j-1M5hx>2Mxcy98G$kaWdzCylo2Q+P)4APKpBBD
z0%Zis2$T^hBTz=5j6fNIG6H1;$_SJZ_)k6pbGmPtU#Xl{V<4)KP%1U)U6S3B;~>vM
z{dmZ`AWwt*W5_z>G|1B-?|?i5axLVUkPwxr(kDos1v!lJvmrm*RjHf<dE)d+r3dnR
zXH+Whkvtdj&n8qVy^tiDC^<=TG9>xWgRDYc47m()8YJ8Gss4P)3nZsVUMP7HB=PTs
zyo7|6TGyt{t2T94#&?gbsch<k+=M@<v$hI(_dSajQeU}d#Z{D7FPZys%7^a%*fPph
zAGqgQ%ImMGT+8+=*|1U@cV>_+`PmQP#$O}cXEr?c2ze@yw7cN3p%Loq9$jm4=z&!x
z?_afPv)O%o{Sf6yW&Kc%?QpQ&lC?KJ#Pa(;dhc4wyRKV$3+23(H!Y{U``&Brq+EA(
z<<8jO3w}<1*g&CQ93RGa$@N#>N_%%Ky7g|#TNiz70p-&EW#-SFw}tqwxN_MBmTwvw
zxzcd!*I55H53PBe`s(3T7VpP4JZ$}XVB|_0mkp1tUB&v*@w(FNAdKr+k1!e^!gxRR
zflZsUafY8iHg_R^cH+c|N~P<}W0HR>`8Sg9NdAN5amjywTBXvjJnOEEtJ{D;PB!9k
zb>&rEe%3Xvb&YFX<62)8#<f1e=vBtoLp;aTF>Nru<LabqC6AxztzUpyN&B3iar-w+
z5AAE>A&z{ZUB%ihf&TX=>Z9y1Uul;WF1<bFax4_cr+UciarJiXaeZjV-r`f_-_|BS
zmM7~!gvr&zL!3wT^^dMyPdO6uMsXQmC%%Gy_u-TA{11{W^|&yuv!uTO>HYNjST0EI
z0nhOpxIDzw?X{Z+v#{Edzg_%$BERGOV*bTC)Q~UriN^1F!E)kS<EM1w&qY|J=|B16
zIMGfg{p!crp%eVYiW~bC+if?F({NVn#NMSiJ9dJ<tTLe^{QD}CI>OKBFmGmd7~jh^
zuD7mV&UL*0=;|SxUsoaC@p^TU>Er4yp;|vEIj>mGxb4G0`MgT=G}cplSEK!@*n722
zdv^^zbV~i2s(u~q&`ziQaXUSjVC}?j`Pzqg{;WT`_U_e`!;i1!erVKs=;P%Q-8pI8
z`lZi4b-P!;8@nspw7YoAM(#)I>({R5zN_A8eqOA8K6#4vIvr29x3^7ux33QU8rrnU
z#<SD@O;i6~IYoP&_RsCT-KM?8t8D*RfAGPR_a&Z}F42vX^7B&ZJviaEGcOjbS;PHj
z-Q!6<?8CGDf!lG(dOxpl9lK2W>^AK!UA&6>_m1b?`($TDn|5FZevZ%9-&X$55bsEv
zyvy6<ohjb!?eebO#Os9mFgDKdKGgko3EGq5kL{J|FSKdrJm9(C>&E)rY#;6Wy}tsE
z`Ga&FwSQu}cJ~vrD;Jo56J!^{_;A^Ag6B6}c33^!F*y^T%&+m%T|%{TmtOAD%Uj8I
z{hd>}$n3O>zgGQg7eBW{{D(U9XTccpMw(dv(s*;68F!ay9KGCSTA%9e#$(|a{&Jz9
zU#Y*IH!f5AUhXojPxVWNE}6smcP%R7eHCu=n0>DI^ds#T;}P%EpxXX!cJ=hm@Ll10
z#}-D|p<SP!<WJ{|$Awn@DdtP7eqL2=ex23|e|3lWYdXZw=@9>c4)ND^i2q=R__-b8
zuj>$hL$%&fUf!U*+=P5&o-w~WnFsUa-y^5k{>BdbcT<P>4|Ry2-ywd1@U7;vugB?p
z_I1{!$K9ouyY%u_vfXv-X8Ah~M5i*|3)TL~q<yRYd%s=!dgkl4%d}r!?lP@U^>+Ke
zNd6xJ!Kw8B!yV4A4{Ls{J4Ji9$Y0+7J{5nLsC~QfxwS+5Z5`r2(jk7S@VsArD*eB`
zLwk30h`&>K=6AeL;Jl4>sJ3sow>sYXq3<2)`re_g?;Yy;-l4AV9qRhtp|0;8>bHjP
z9qRhtp|0;8>iXWHuJ0Y{`re_*{e1i0p|0;8aKFU9cc|-ohq}IZsQ+E~-r%$?n1Ftr
z$@sVYegOUBzKY*Z)W>ywiTgQ}RL>3a5v~vQO4sld$UW7rVO}rHjr5D9p949F@*c>y
zAbH<+Ht^)3?1h{Xwc~YDKlF)^xUw3agwHv^@p}zQUJp^85BUrv?eB*C11!uZPF(OP
zR6FP&+7G?V1-nvfxTHqi<>UC{#EITrr{N1U%J*7Dt<jAHrQQ!6@iKh1(%WDhDAx#Q
z0(|#kLJ~hc!q<eSWdCEkj^{p+<t_~t`8Sr{Z-83kuYWKOLy-6DFDxFtyEN{Nvys5`
zZyxaIPapFc_#OP-mF<?|)B8#NQ=vcBPnK)^8@P#TJk&p5saEeZJM{t6yQ@{_J)5-P
z*@(}W*NP)QU3Xr#C+|k_njZ1maH9Rw39tLRSLLa{Y)4++H$X-Ym=0ca$8-oBwakC%
zjV1Vl{%@1?@(<yJ%XqRqdH2E~c}<V}^?Z6s?cYdvLc5+UAD!RjADX|tyLf)5okQ~9
zblAa{oaP7T!PaWm!=YXN$@#^35Zd)*<LL1T$UJZU3I*)%UiGgPZ)lf)_S>IbL%hDP
zbyq{W<8QWq5`J-B(+}Bwq(A7x=OF>(d27W@J}wFPp|>I7Umy1oh{w#3e?2mokDt|d
zSF?HL^XqXe>>M|)?~LbejhpF+=Q-w2??5Q;!ByeOb}-3s<aUH>d_m*Hb%(rfh}U&~
zKi|w>)i<2S?7?rtL(&@O(GBA7k$=_>{xZHJ(YQ}HeAf{Dq`i>uqvK=s1@Ym!z<%t<
z1Y};D9>ph=_f$F0V>@Ylgv;W?^8tAeh}U%{Ow6P85@%y8Z#a+HgWrZPh>x{Xe1@a=
zTns+uA=eee^%3ROu;MoS9*b{&9x)g`Lch9(lyBL%^oH@+#q%2cpXT`(^1W77Ifns9
zt$HawyDMFG9<5cXlek{6+;H91_42EF*~z$x)akd|_w{ZKN;zLOuNpkBV*WM4eDB>w
zdu(?MpPV;b4;W|n)8j0=J637kHyn6Wp7ob(xR2b>?>8D>R$lUZY4BDlnJ=az{$U-@
z*By@+*G0xl^;M6T%CmUA54b+WYa(PEFT+Lgnt^)8Rk(@N*>CP2;{K-j8T{?Z@{{`v
z_JflBaGm>(n8)L$`iAqEJ;crM&GHjG950WvwFl1IqZ&s(-?`6$-Kn&Pc2&lmI`Pyw
z|Di8pziO2Ws=`+<4COOJd9R)4fUr0dZ}k`-@m2Z#9Lg6OAIdo%pNF(^#2G4%T6HEq
z8E5e}%pUwV9!RbewaUcCjYw>+v&3^8c>kLD$9aJL(C!}T{m|JTuGc89a(+Ue>AKGk
zuFq&c(9e4KMf=nZ58d<3<0^cXx0V<1&*ug6ihgN*%<|mxa~f*l$28Sv`Kj_OKW72Q
zcyJx5Mf2HkQGQ-=GHxRE*uKx_y(mS$viWShk>9k(c78th{lmFhhdzy3#+~E1C|dW}
ziU-$4wx5nq^bfyjBEF}J;lNSL_Cr>WxK7bHaUJ2fv|2Z;9)8}Z^~30reIC~h&GT&C
z;JOB0%VW+r(_xq66Wil>(?6|8JJ>(?oy}X$ck<A0^0?0Yi+OzBs=nboW)J=w9um!P
z<nyqV-OGWZUGmYc>yjD&j1b3cUrqLQ_}>o{`THTs@495pPaOO<yO2K`M|{}lFrPfX
ztR3pT-)M#-@8@i2u+un0<;sgj9eT_&Tm4DLJH(-P*PKw_$9!e{eVzCCdpxZk{`mgP
zrSH=?PuTyBknF$d$d7@9H_eZL&-3F-@r;Pab@sQJf2z0Z7v`DSgP(?nL^B+D+|u}1
zd*IxU6u$)pKaV)iSDdc`AN%3!RgW3W=Zn?DpLD$3FG|kOi$l9?e)4-1#(zH~<8M0R
ze@!UQ?4|J!_&ok|#B)$Qu74omalcjHa2~S<{|(<H{+>^c_x<>_vip+kx-OaVFI7JK
zJOLa>KEAE^KL`}#a{!X@aa}UwxBkFyvkTd3ep)-!dq3z!?8hN!ao(_&^=bZ%H9uD<
zZ|0&k^Cn%_uTy!ee6n`%({PaVf_}u3`7}-CzE8X!I^%_#C>Af%kxw4qP~J0Uo~FDv
zh?n~ej)Upoofpcp{-t>u@OhrzC?4)l$m9A=36JNg>Ko2u_7D%lM{)7%w-1TO<2sf<
z^W{&U{BeCj!sGs^KJ&-y!4JbX@yFxt{`<VSS#~GLuIrK+zx5xyW*5@)x)tw2@lF=6
z>yjC7yXVW)??vM07tkC(*CjK4>j(TbyO1xoIA2;j)Vm$f<H*;A{$M9NUwVC~^KlS7
zIKTES20=U@)BN!BwvW??1HQTrlKpl4mW0RiL-pDD-|V4(hKEEm9C`nI98<eXfZ{w}
z14+BCOJ@A-uB$2kt>PaRzw442zx4-xn_WoHzdG8*`xR@4dbfjW9C<(2{hY>eSmSsb
z*yC~Z_<KC99{%`#$EELkJ`&nz_oqz9dbTv-P4mO=BYA$@E}lK1e*>=Hk?^>Gs?Y96
znLYSvct|wEk;g5Kv$Y4#{Ydfqeok@TqB!3P1B|n;r{0fcDsQ##w07{*a8bY9UrL_8
zcwNB$`uXdl(3tl}6i?GJF3UrC=0`d%0iT~|?h?;i;&FXN!sGo>eZzUo9{e_Z<iDS1
zJ|-UT|5*OqEq~sYKdyf~;c<UdpZR0<;D_Ox_~Uu+{(F9|l-)OF*LBH^-}(<;vkTd3
zy|#9!cRQfRk>~5tU?*Fzp;~>K$7AiEnBQEl??LN$o~Lp4^~U@AiGa`6YuE2hcs$Ok
z&(<xohyEEJ63uYr{qs1dcJBj<dF$)7>yjD&sjk<b6#p<J&j+qcX8hJ4_-%F}TdmjD
z4)s%vFaLhT^LsWr3Onz596xRR;eN?WmHhjY5dW?rzyINJogMnuxJvtlPeEr~4+eh*
zOpo@n%qx!1fsikam*ltegm!uVzTd@u_3m0F-Yurr2TYH6y}kP}DEuM$VS0o=9rg6%
zu>5#A@guYw?Z;}gV*j3yAErmVz2ZG4-dDxj-);S9@b}srCxgMh_wRo3J}F+)Bi=so
zPDXp4$6phV^ZrD7%KLzLw~E(v@XiVTW#4;l0giro{5UVz&({O*fa#GxAs=du`SRzm
z>^o0rH#&Z6#k)=Zm>%(Zd-vl(;op!Srbqbqpq}HnPJSFo{0Qwv`|*%?pOzn{gLi%s
zmqWnCaapH!Z^|FjBY(!Dp8h;7f8LTmhfqqM&~CIpL#SuGx62>XBVKRsad}vH{vIE^
z1Exp#(@;-8_&pKx<!ItZXgAuAN5s2RewYs46-iwBp~rFII!FKCkw2zK{+vm7;1BbH
z{v4A(&J)^=_UBRYJ|lljk9fVk$7Q|n$K{9V5nksbvrj)Nfw$p2q1|XdHi&n({4gE7
zgGpR`-|YTuRJ(4qGd=PL%O;LmV>0UL4<B4$T%9Mh8|@F*X~uhxcufbd@3+x?^6v2c
zrTg=k+D%Y9(<6V>t}##k^vECQ3GGJvvq`*r#cMiveP8PS_<qCv8Bx1FwKF~P=M2;{
zKD-~p_%Ke4kMo3fqy2eYy!*s!I(WAx<8=tQIFC20-4wMmJ@N<3IF4F_=OOyjFMkf9
zlsuu`Xn#I~dX5*La{z9@bntFZ{7Kh8>TEY%?M#pSIS2Lhhx3*G%#c6M6WWdT=d<G7
zFJ9BZ>-#t#FW*=Ac>RLf%~CtlBY)1NJMia#{FyC(oF}v!?awcYm+crI(<5Gl$#`$I
z`rchn2!Bv`*WGW&zlwUs#p<K|;p%Wc-t|l3c}YB`!|ox|dm3NGhQ+@>8o_=joNw~)
zo6`Ew|E{6G0x#`O4R#vmW5Yon=Y2!<5IAa$y>OWK)m=~J1xfoU(x(8p5q?dw23<UD
z;HWkJ#QYEYxM9>!w(`9Gu7vMgEYRLh;?GO)&S%BoPvz$nC%<m@`k%-TAD3qlK-&3b
z0QkM-o2W;e`n=xvaYsXW_C2KYQSv&JIQG-8Lq7+N^Mv~V=8x&%pMm(t{5~&y-z2*y
z^@sd(68<N}KO%n9!H<V7PU1fV9M^%d_^$;n_WxI)(f=pKZ#wwrC;Wb%8jF8!!vA^k
zb6>*vm=6A>3BSk3zZU@<$I0W(_<Ts^9{(>ur~f;}Z#wu_Cj5thV|><x@|NSfAmQIC
z{@vm?9sIi!evi+-G58lI{9hFRUh$g_{x5~{>^??MXqVlew|cBAp7$>OzSUFW<vxsY
zHyylCanyEjU(b2J7yfcyY=h1?a=sF8dW7eEkMUm?-mf!FFTj6A_;unpy#W7J;nxUn
zdIA1v;b#bMdIA1x!cQ08^aA|Xh3^;M^a#J-%JX=BL-^yun_ht5F8nd!O)tQIQ}}m;
zH@yJAL-?13H@yJ=tHK`?-t+?ePT>y-Z+e8E9m=zO`!(U$C_hb)@EZ-E$CC>s^Yewk
zGhliF{?~;c5#IC&@ApML|DO^54Lcv!2TU)(KP&tm;Z2Y5TY}xJzq^FrsQ#KB;SVMF
zZwdc|@TNz2{~pBs-!1$a`D1zk{yE`!9l`l-dIA1<;qMdP^aA|1g<mPW=>_;b!t;8M
z{+M2X|BmpJg*Uwb|6Sqxgg3na{~N;h2yc1;ey{Kogg3na|C_?sg*QFIAF=X0|Gy{v
zEaijg1^Dj^KSOxa3-J4dpDw)V1^6Eb@As)qFTnqn@NcQVrWfFUDEynkn;zl)eCzqo
zb%E={Hu-OQgb(MzJf8nT_yfY59^u1&F30~%;fIAcJ;I0mS&si#!fz4Y^avmJTRDEe
z@LPp9J;I0mQ;vU8`0c`*9^u1&D98Uu_!opXy#W8)!apOt=@CBchjM<d`;7k{;Z2Y5
zd#yaj|Bmqcgf|`da6Q!1_$$=&I_8tzTo3Z+K{~yT84n)rBVGQuEAUN0DW02o_<XC+
zudDvD9_o+4F74}mVA(z$?&7F5PM2SU##e8=C49f?k+wK;yS_gQ_XT=)ty8<VJ8WmP
zO+MHk{+`gD6B^(36~3ozLiD_l{I-1`{d(=R&_DO<5Lz?Ne*npGG#UNgbUDgr;P;h(
z80xd%ar8h3U-idH`2>|yaNmAG(6iqi8V~lb)(&zB>KX4JXnYPqhaaPUul7et`B-+0
z2mIC!av1GnJO4&}BW5@Mef}RO<zv|~9`IW`$Zd%os1}Ed_y^+eayB%a?-~a&K-^#R
zepl=t(TtztU3$AiYWHf3c2h|Z+4JkSSFByQpViD>t9E|BzX$D`wd+sp`9A1%`8m78
zc5kTN$`<V|PV60m&Un75c3fwh`Pr)7+iJ)4s#&{fi9J6Ly`y%FYbWiFs~zn&Yj<g4
zZym;&{p$|-+US97*6y;T9rKFqCa4|nk2P!ezNB4xzjH;4b~BQ8hoIA*-<Rb4YG!X{
z($4e6?;mb&(eCo39rKFz{660cNjt7zTpw*+#QMnhH=Y%(kEWxX>-|KO8yxG}%izKM
zdG4vR$lv??(Xd|k`MUqNz=OB(@6ND#ZtH=oY*YDD)Oj3NyJ3yD?=R}(LR@+zPXmtY
z@EYNKo~P{`=j(>!(snP2CwNh7{JHppUa2ezcKcHPck7q0ORfBRc?`c+$gkgnqc{&t
z3hUMBRnw#M68ZVNe_zkMpRXqT-w)%ri+JWiz*Q=PvU3mxv=i31)2pUOcCJP}?fCi^
z+T%Rh!VdAVonhJ8BE0VxoyX<(G(H=J^ZAgr^YQm})p2RN!-;?C_;2|~^2^ulR(>5B
z!>_IKYpZZv$D7@!_IN#^`a=jL*MYR1$Lq}mm$rK=v6IGY`#+LjZ;#>E(J}nmEx)!4
z_jH0!^J|;x{rr`-^LY7r&2eeFV~L$KUi<!${5qcapZZn7x*g|>`*lG6`2Jh(_Z_u<
zt2|r352;<cPQDt-8~<GE_v<bEI`S_4dbjKMTk`Aok$Ca?ZF;n>&O?1C>o@W7y84dn
z{A-lP>$mBVotseK$@)!vYzHqz=l-Sd8Rgwh$r;#JabM%lEBL%g*Wt9C<KFH1J)wnP
zOW&nm?{@v3EWh?BPP--dBC3qn^ibdMIHc=v+RkzBcKyDug<tFB&#C(LZrAUP@@t>R
zN%9H#wN=vNkgj)WJIAH(|L651-~SJKERo^;`pw?|e-G;U{(tVb;`jew1D)^xza27u
z|37uU|No<qeE<K)A^HCQKSdt${r{(9p7Q<wA4Na-{(nlo|Nko7Z{hp@0kil2Q}X@)
zl+E7%{|S`y{r_y&$@~9_|BvbY|Lg}w;-B+9`S=QUeD~Vb;eGq-A6j)Y^`Vhfi>!QP
z?E{n}8y>Uw?9aV_!2Vyt=E}e(ypIOERP&#=Z*bIptovjWblp?c`%W@FeZRiy&-C}}
zr|;E2j`Mf3_v$Y*d-;3yPlukq4=B}f|D@hqnT7Sg^Y`QTf}icyL1NuP7M*O5c}jb%
za2dZ(snhq@yS=Bu*N#12p2qe%eILKu+ux?W;SCQpeGl_b5eU9_(Ix0IzZn1XrN0{4
zq2C{Vd_D85J~Fhy-kaSI1Jrr_#P=R8MS@ZvLV{A>j0B}zw06YaBmMvql=`Z<_rqTP
ze-pww*ZMu;&HPF8Ce}Bv9=7+)u9aV0Kly(E#<Iivp6ur=#E0YQ`NGyQzw7Phv-|Ju
zT+*+U*Yf||73N23zcv07hHuxO_u{|6u%G0Q^O1g0Z<lAHc*c6a@_FE8zVUldf8VFu
zWq;o*yE{?OxO+e2{<Gbtbwdv_Z}>fN{QlCh#&x3j4<`I5J}LfgchkBD?S0~*zWw(^
zUm`n)WhdoN^&u3*{WuTp*iV<l$8nl2{aBm)^vB{Y-jpwmyVviC@N7R8Pa0n|H@`Wa
zY|ps1!}Cw=PrG@34R$e|%!|uA{QnQ{?+||lc4_qIl8u*K!}&1R;+wCh*uI^V*SOtz
zk`9&MQ`FDL_>gC;b)DnK^)ro^&llGEI!4|L@u+gMc(uY`)D_+b*e?In4&OU`(V5}@
zYhW9j`AL6U`EzkK{C}Qy{qZ=qi@!{9Zx=rUZy4z$o|kuse}9MgE4n&+zwR}7^GqlH
ze*kYL>jXcyL;MxzoNxTT5A^(Pl@B-Imw5E&pYeT_-2d0L|F3KRU)TP>j_p<0mxcX*
zUHkv~W^^n*zv%yBo%ReiqP|~czgoSo@=Ubn`zkMld<_z}<tx)8o#n4W?}1zb`5wr1
zs%N>^w~~{9$Ca(Ur*aD<_X(8TFHv$ob`a9rr|+wzf4uMW1W!2l-qa;E>MkG0AN-!i
z-&biD2B`1W{ri=uM?4JQ&ig9;dw7>_r&HPL{gWD}?ERDX1^@b(pXk>P3?F6o{kW;n
z{l3;#6tF)Q7L@yYBB|rPTXh-!z<u{o@1GQZW4qd?y!%@4vK@K-_h6<+zb6S7p!mIi
z!t4C0bbr}T@~)J>rboP7&lv~z`*mpSx9e7odVim%|31Xucjfi1B(H0kH}s!=g!Z+@
z+t6v}r;_(05s6zTKMf!G$+*)`{+^BPcpsAAd$=F{(hUZjKkvu$IPDIH`T^4;Uhn4|
z@sdC0oh;q+-FX=|@@^Eb>EPuJ0`D*LL;l!%(e~r_H$ngTe{x;nJPvu8Ki}Z*6~oV8
z=<hVuXYUnLIlr#PQM321@xC$pTZ`T+W;onmR=leHsE=?HsmJzP%_HN*??nzNFT(FG
zx`smDkA7bt&+qhG^_kx)&-}g^IQqkVTI{#sBEP4djGIWEe!G45JDsP-8~IIpY^VFq
zeOyQ3=S+_;*K@{G@ygzBXgKhwJX>Fh#=ft?`x9uFJ#WF^;efZg8<P2CI`S;c=bntm
z$C-J+c&R>%m&&tvT>>26Z+JFj952H~@tTf$##Ok9)Y<QI@EP})e#QL_{^su;U_985
zJ&^2&>pb7ZJRUdIH=M`pA#R3;L^B-u^XJ5KUGVk5+5_k94IbgoMFH$)?>A)JsrLmQ
zd!HoqMPdH;*n2afS9yKK@+mg&d#Y+@?|(%3Lbm7gHim<o81(fJIBMB@IDK6)Kj5eF
zK!)|FCwq_KrPi)%h~tU=^=bXx&EGv!=lLIYr)vGP=NYI^H~;E3kAWL79Q@%pQJ>6q
zQ672RI6qOJ#n;9ie))W5-f;XsjZdy~+*fRCvaW@3>>A>JgmK||j_)T&z3<QJ;Rn}I
z_J{ix;9JfktA{`S+zjA2@_h<5&LhU3<^J3Z_d(#b{xknfhutZmJhPYPF?D{g>hDot
zf62pfCXeg9f6~lv)i<2S?7@G-L!uduJdb_7aJ<{)I!U`6H`;YwGUMm|jpOI*7}?w5
z?+1$f95?d2E}8LLf8e*-g>3a61#5?T?+0jc<o)c220Qk?VV1*f9HZYSwpIN}$9smg
z$8$W&n~R|{{=V*o{L9y0tA{_n-*oBE5Ai&}{;x3J`he-k59WK!%l^R6Y+VodJU_TT
zl85_Y#?f`o<Cw?&Q@y=Ug?VQ7;HTjs(F{i(H=mzAzSbT%_ans*qJVMc`Rm=pIiByh
zKFRhmT#vaP`26+!?MEr?`tz)pL1$d<3+37SHXYA?u>ZGqQTFb7pW`vUjHBz0M=_3C
zV-NmdzFR%w5cqo<{ivmV{1as3W4_S-p!iJ3_<H_0@1WYb-!s*2g4&smc8=$G(2qm%
zqbJ}RgD9o_&@SJP`Tp^8)YBiX<Lsa55ij>!<UJzZ+2ZXF{b|gSZZP1S_x&g!?}&I!
zk9fKNCGT<Z&K1v#*eD_HjX5oNXNh-<cufZ{*MpT&K9IfH!F7vyqPS(x&GLeb<JXT`
z#_KF>kU0LcRX&)M)7}c$<9UO8a0f@N;m_H6J<k)(>{&7Jsr2V+oo}hzxBlk&^Z)`#
z{~WhYxG;`A*|<B-?Koa})bKo68REXn*CWUMMDqajIBGlmdEP6a@w~k?*v+nIeVz4j
zv}Q>^d0xE6D)RH<0QrzF2Oyb;rh}iqkK*~*?GJ|fF`u_(9&<eWyn7`y^1lH|e$&Cv
z-$yj#->*C*3wdHm|C!G*|7>XFe-o1Yrh`BAJJjd<WwJPbGv2FEOWwC2$!j`z_a%ON
zygmMyo;XJP&2fwU=J$Q%KL$yD(<8rkTY3Kc2*-{1J;IwF;oZJJA2dh!*M&E|0RI8u
zUlZQ+0{peYzbd@x1^5pN|AO$Q7vSd#zfXA63-H$ozgKwE3-H$q&vl9MH@yIVgYbRA
zn_hsQCp^#d<TpLShxw3??~TGA5#IC&zrxCM{7u5|7vA&&{D*{JDZJ?selV10&u`9$
z&U`x@$_Gr3@L^u${wxsw9pOzcz~3zVQQ=K5z%La3ZQ)Ii@W-q?_h*stbu6fizv%_|
z#lm+BZ+Zd#!@^gDH@yIVi}1%G>5u6V{(zO|{x1>!72!=Uz~3tT%fg#pfWJ-nL&BS0
zfd7c_F9~mY0e-3Q2Zc8sc%Gjp<NVF*FP@+8v-tHirbFZY?>@;Flk=bdZgnxv4_rqN
zgmt(9nCZVS{O!<~w|;*8+@HY!@C5R_O*D@s8aKm7{Rp+S#=dYK+I0sC$S2td`^S7g
z;P{>3<35IZe4h3CRQ^!>ccKFPqpm-fCFNX4(2wdqd@@cGB&|L6y@qdcy|6dIk9B?c
zLV|aD&g0j6lYwJ@{W>IVhiV-8bxWu5o1yk5qVp+7lf0>YkEhu|9F~UhZM>j32cFTN
z%tz*-KSyZ4=NgCqTY?SQIpey%8d}t<;rCqjGd&d5s*EFK_M8kgjQew8-&uR^GiO=8
zJ-^QU=y~pb)Fc0%e<|cGPa}5lc?Ir+mP11xOr0G3uHF^&>#Q94sr{i{pAt7kxQ_+g
z0-hhZFLWGK9JT7*_ym5T@u6P$>c>NQc7IDayKV(OyT9f5d&Fbyz_XG%q?Jd%JLdk6
z_Y2jJhVf!Nj0ZBkzZLe2`SU4nDjuJZz5Wot>U2pfkL(S|Uclw|tx$}krtb;z`)R~;
zyzj+l9B-~Waa>LBw%7lordH*Cg7-@yaMV6y?a}{}%R?<cXW5^5zmBS3pG0|V_fyh+
zJXZz%Cc}Y07_3zXRc`pGe03<##!>C7_p3bc_IUg11EGAOwF8ggAgw%#EBBZ2I2td;
zZ;<Vqj3e=k>+xF1=QYGd_a)Z`{W>d;{C`lmFuwKbLqT6)<-n!+ye`x)G(OY|UmXhN
zi#%V1t3Ir9&mYG>A|7i89>YOec@&3hfnyvzzl<0CHy+3t7$C04{(onPXB>v{8ISX$
z(tVswPx6a)*c$n@+1kS&<&otXc|(2vS;u*e>xZv197nD*wtkK8`X;}(>uIu%VOhjc
zv)`@$!-@KX!Env~;ST(Mn(H0pr1d~?o^K$!Qk{9YoBS8pePy=i_z*|7pW*)Q#(<kT
z4HACX_kG|WQ2Q#MJESgLRXF?qb!;#GYbKBo{4i&{US3x@1@V;4)?J=2QBN~CYSruU
zc~U+j0PxSwqrhd~8~FO<?|bDwAMGAyd&b|_HNRg@KiOXPvi0r4z`u*_*{)CH<oEA+
z{z5y37e~$hUjY5%eKy2%YCk0E?S3!$rvyEFf1=wrJo3=}1<a0l_SrS$@%4Cn96i2P
zj`8(!kFSjv$}NA8M|NHuujfgl=SVT#afJ6PL1y3QGB2nfLM`IFYd<9VW&h6u`IPmW
z=Mn4&t1pK7Y#-DEopJe5Qtp1Umiw$;{sB7|Ng5C0VeKF}U%5~D0pd?Tej7Ucn7Ja%
z+wA}MasM5ck7dVrz;Ep!pHA%jj`&t4c78W0AIpyMfZy6dGLCV7pjsRz@{cGEgV1oD
zk==hh2tS&&yM)c6cKtTLd$aqChg-Cpp0xA(Aakt0c#lNV&hv!7m!`c}@kxKe@8|P8
z`8|{~PfR9x;_D;F{e03ye*1jzb=vuT+=*tp3;0Kqc9Z2d@2jS9VhiSJy#9{$Ts5y(
zCP&Xzhy7^&{r31XLq7IvT`+ol@Of_7@7sjGnUBlNuO9o}3Vv5dl5(FXuc17?F0l5<
z7sErM8b`h#e;rzke?tBC{k*{=JmVST-JbLKb-@ha7(eGp+oimZqo9WMPVK6jrOygH
z@QO$6x!L%H{pW;#PPpf+8ujC~-`+wr^5-*>pM_*TnM6JX!dmr4luy9ABi_fL;;2<`
z!e<@jzW|AL_sX98QT;`gt6kOWp9tj(tsT})!$JO%%B>!{{C58)Sv|_-_X77D&2ZE*
ze)<c)9;ScH!(YZ{?4SGdIh7L?w|^2E@C&US>=_R7S5$8G?jNN5m}K?PKOb-(wEjYG
z<*(sTPk-s>7ec#9#)ooW2PUJOdGfO}IIg|fb$>s~xo#Oh^49B(2l}I!A6&<`2Hxry
zgPiL6mx4aiblB^L&Nw_3%5OA$RK6{gFSL4;TYJbahw@2W4^Esoz511)FS2sft6eCM
z)~Wfxah(e7!vF8(etEX>MBf92eBDKSyspgE{6B(H&bK!tA#mjPmHj#J!zd+g&}6-F
zM7p>0=i(;FzT?t%;eJW(pFckgIy)B0ucOdt?=8uc*X_NN;Cy}Y@pRnV3C{Vw{fpyh
zuXk7KZ`#iJQh(BRWA$^H`pFA_%$G&se3t)i!OElYz6Cgrx1aYAW*oJ~T*dox<tuR<
z=i~Tf{EkU_J3oIC#rD3Rdm0-27<C@_T2kJ_X2|n!|1#&d_Q*fOLmDjNugg#O$H&Rn
z?-XB2@NUm}{5+7(bMJTB-tBta&eV8+9fr~GP+X~PG9B~o!ziDJcn7~KmBsc4?jvg4
zz7g7uir4m}+~ai!<s6R#lGYw^GJKPGy_Dd`ir32t{*ZL%@pv6faAW1gD+%81IFIwr
z1ui}xr|nRUBhR;QQp3MrRlDjA>GL4L<KvN?kA;6uxaR=Fk)MxuQp0VGUzPkdNRF$?
z=zM$!%A1{!??gGz$4p$byI1zykLs_hd^RM;vFi2Dgz|;f4tZlZ$Y)h<_0Z+F`!~tz
zQ7*q1xZh}oqn7d0U!ITYALsQhe8&E{Ki^V0QE~g-(12fP?O@MvkPINpt=|0`m&I?A
z)ua6Rfcv2J7xk_DH5~BtmwtXbw3}r8LOY+QGf-Z1J~sYw`T5v*FhBf!yeIHhzZ2wC
z*S{O|nWn=JKOg@_D9_HXdxL(H$}`+=hVn&LkM_n7`Mpqnv*Dqi1D)~xekfmP^(Z&{
zko#0_e9#T&<=u9B!`d&!C-V@Z>B0ACAWnTpQQOXW@a+WW>qUAVd?&#<zqfC79!%T4
z+w<T@;0MlU4>JzT7ye+B{pGsbgL2lh{7IGn02=ajs@ALOZ&B~APEvXGhoSyD(-H3w
z*qXi97VWb0t8k$_I=`+!`}q87IOJV=ekG26rO*F=5~Y0p-)be}=l`+&#H%h&tNmL@
zKL39f`V~L_zZ~U!{{Jz^`1yb8eEy%3&;N5@&*%TYg7X)j|3C5G@cjQ@P7KfgQ}X%$
z@AQY~|LM>~=#+f^pE7>_pZdipzXy`f|9_{yv*-Vb|BvbUf1W=u5?!C!@Yo}G4yU^U
zS%qA-Vbc)xkyQ)$+;jJuwc+{u1&<B!`O)rmkFGU2^uVg{JpQW3`P?*~+pnzef+Q<>
zZXR6uAj_9uegCzTpImg^ZImBbH2f&#t)E)5obusoD$Ch!B^y?1<E97sbN7qm2mbLK
zKlWSCJ$2u)=+?W<pG6;AK)JMknfZ0+ZHw_6+40>gu3WZ(a?{Yrm4;g%-dC`BXbqpo
z?p{5-Y8mBY8y@EK;N1_5Txsn#Jhs-}TQE9qR~jB+wm2fp7H5Rn>?6$U$b&H3I6N@0
zX)|@a_FyyPYVKERKi2x%HE*Fkx86Onc8l>{v3!I1J91NH1LLas{bO$zzBlVSQ};d3
zOy7HO8?J+zz4u_75#;YZP@dG4Cw1jXUHz>qPwL8(`sR=)c)km(0-pN{evN+ql4kt5
zOP9D$RG(705bI9o?@yQlKDIjqiFLCMaS}iMpdD7YB%V0RPT!y4_TEJMcI;t!Gdpgt
z)AuL1JqENLd#A&Y$F-GYyn7?RVjSmDtkZ8BpK}*mKRli+C$2UABZhC+pNsTdKKbJD
zp&jb&^7L0O>Ii>v<vhc)U$NbG?M<s((2>1MWUpQR={Q?;(*H|w_UZ(GStUGI+O9wE
z(>S$@pHb=W$e%0l_MT4szY=HpPVlq!9D2L{&&4jG6aMQv#NU8#xjW&X*I|Ee68_fp
z%k6z8kFFlF_n=&be2<^AJ<s&k@4L82^J5MsDAysM_wjnb_a&ruu0BOOE61>N*U&?!
z)Q_p^2j2%))DP$H)PLvK?`>PgY5CfRc>b?Hy7unXl*5m&y^0d<VO`DN-!ay>^s7Jm
zol7V7n!abJU3+hi5x>P-Hgca*U%z%e_bv5K^X+2wi|-RI8mH7wr{m>z^uFSj@w<I>
z=*Q5eO*USg_Gg;<vto??q;@*(kK57zackM1#j9+eTYvDullN<!=bXnbq1yUH$$h{j
z(sw8QV12xAKzf)y)_Zo`j!W`zJ*1>PUN2C8sZD!J7q7ar**tQ7m*kJ_Tq^xYn|5FZ
z?Z;<vYQ=k*+riF0&STnd7O&-P^1e^JQ`+TSyUE@!HJt3v-EWulD~`(y>2uq(bLYmj
z_P(suc;S}Kf3%O!Gj7LaYVUH{TPb_2kK>f;OYiC|e`ksRi8lG~Iz|3x+T>rlyfgo=
zkpBnT<iG0_`Cn_3f9djtZOwxL`K|v)q4Qjr=gr3Vt`2$iKJhVmmoDxs-dD=+>A26t
z`3to$AMZLv{`qb4FTK4p|9M}W^ZC9u`R_VKe!b^=?D*Wi%Ju_~ZalSq>}<0iw>-Ai
z&eJ2|{#Jd(y4AK{4C9yYuY4VH$@+Nx`=E5a*LlqTEkDKcD!>1s-8b6opYIb^-MN|f
z;pA8Q{kl%}j<;#=RNrHFz3lXlZ*Tti`J3OLbaLLfL3ZZ1X(!)*-)#HvkxiZDBk!+r
zyawB}cjq08c|Wz|ak@!%^nTtk^N;&8?%%LT1*!HBwx432({I0yV>$Is-=F6^ex1ha
zz#^WXqTc)x4#NEL>!tX5?A`GAbr-gAh5odPPrusv^%PEn?~2E-lQ7LriN~)`{5lBf
z_O5vRI_I=g<nikZzm8!-7L8Y{I6IGDr|^Evsqir0+0L&=`27;~oyvb+hcNHPnitd6
z&aX4hJVl;M)y}UYCY&PAWon1c*uDd|?N6y+>2<={r^quy?fg1`-%p%MoPFIW*PrI=
zVZY*9u0PG=<LgGb{xpxzf9mT`yZ7~<?eM<)WgXskf2u?LEggPubz9|hTYuZ_@9p^S
zid?VT#ZT+-dzk(XzxTKwzvAe`pGWa)k52H@JB<H^4*j{LL;jHtzjyj#hx}LI*D{^N
z=h6<phkUBT_<X&?@p`sH{I~Gys80I(e24Mbqx{GFs`>kt`W&2><Ll9M9&w+U-pAto
z>-pG+HuJL;eqpt<_a@)cA^z45@wat||44`Ur5)mL?+|}yhxlb3;y>CUetC!Z`#Z!x
z+97^Jhxm;h;x~1O9}(W~C$u}yzSyCir#i%ctwa3R@x*Q?^ZpyP=I8TP>%{gB`FC`P
zf2PC!KHDMxt`7VAtq%F0@6i8mcZlE9A^y9<^L|Nuo@h0``|wL~=FdOl``h_D#=3sT
zSl90u>-rsIUB6?*?-%TMjCK8vQTHeF`_Oui^=WV5Mu>lZ!hS6n9(suU>mOZf_vP`m
zq@7>wy&%!^&gY^WHIMMV4u1bX%-_RJ>FyfleU&MZ?&Z8MF(2hUkjI+TJ1&*@xiF5d
z;Ys-1UJdVe-wny%Lr|U%xw9+SpA7ryd)?_D+7G?S1q;u2xTHqi<>UB+&sX_--Fcsf
z_1(D7!}9IW5GTX8^IrFz5x!RGwfA~bvj4H&d*K)P{W)=$28;Y_S8w$mcz<vC*+^{K
zI{-rTr;m9Kf3p7%|8gkL;^NOgy`gfh|McJAt4<xiU$0KLezblM{9C|z{Mg=kc^{JH
zDKGsZ?+o#pj^E9@zsv{n@Hw}b*NU6O&Hd&5XY$Sxuj$~$Fe5Jcd&-%I_3r%ro`Lu0
z_sMy}ybN*6pEvaPSJNN(IZgH1`>R#X#}RPUtenpU@IH1edQZ9G@ce+{mA%hgxQV>K
zAKUlwNXOamc+TJu3^H$cpOEdsxa7}qyWhMIPQO*3`K|KI?|$IuH}7}Hej6_G`{I*v
z6RF4c-S0Gyj5qSTU+t!ceCgwY2tQ|fd^In#{PO4M4F?{@F`E~p!*ztcj{-f&-t&mG
zviv%L2FxeZkzXO-^7k%!ytqCwUaHUHrSdFZ(}3f9cX<Chj+f!0cwK^e##Ok9)EO`4
zTioA}fBE}+gTMLvdwE|Q`07FR!*$-Lj(I$8s<-!%@p&M#hqxIY63uYr&)2aX=dH)t
z+5_i)7(BxB`~kb!d&=3KdSBqFz9;C5Xt!3mz@CGm|2$98-%#Fb^Bf3&U-=@d$M}fP
z-*>*y_)yN@WAnW)v=hItV>)!kS-cJN5B?huB>PjVOw8VgLp;ZU&p)HzeVo5IAMBnd
z9goRaf5Lp|_4laHbe;2=els7@e!%eXi}tBcgruLIXC7DKv-n$Hz(1eQ%q#l!X?${i
zbKN`AHG17+|A^zdi+HwtkGa*uk0t8Y<bZEE&#WH)r0=z+HT2i~<~$4QPCn0g{^$6z
z|D0#0!!Gv&9B-f3tY_Si#*{k(KF{+DP)Z(-FL_*N9AX}hD|u9(y?4&+!GFU;8Z64&
zR(3B0kapRB+I3wr<6jZtn4d4rKt7LJ@iSiJr{CmvT{7dh{=jdu3+d0xdfxc@YVA<(
z@iRA~e)b1DW4_1yKJ_OZ?-|y<ch{iuhUX{7-`7QtzxUVb;g9c^T>5*==@0wA!g%!_
zcjU*#32&Mo0iWl`H1VtykLz4loB5~u>^<aW4}KaR63uYr<Cn(S+5_i)r1(J;U_9S-
zoQD->u1oBPpRdz6TRr?q$IJbq<oskm*{?5SVdFZ&?>iX(osi5w(-D8}t7Cg<`~yCZ
z|NF$VTRg5aUt%8jTlEd+F?;ae@J-@h0}s~)$NT>om>Kx1dm!n*>yjD&mJr7==V!`)
zx%i(Ezw442zx4-xn_b9O^V8a)-tB-EN1kW<pv8G()u>PNZ>;(Gr1IwdAYlA`o%Q(7
zQhBR<vUc#(aFFgtESXQ72XQ`K0gd_e1|-MBbmWtdUns|WQ%`4oZ`-^B;yof>)4_XX
zD9`$r=4rs^c{)4bt8a_Pb^ac`S)5ega2~UVco;s4i@*QkYVmkn$MWZzfUh2fWV~FT
zlkoIFN1Usw&-^ia@Wb#;{PDOSL2K^!eBOKjI>+fPE3Xf@E}8LL|G{f^Aw92K@m?$5
zcf{+uWX9X>`SOVI<bHop{BMfib;*q1`T>8<E~Gz?p3Wm{hkCaIS{(U&JO=IOF(04S
ze4GouIM34jpdODCe`m;X3h(2o54e7P!sGd&`t1B~_Rv4WL!uduynjB9sofiZqFp^F
zKj6A##^3I`n)1&R|189XdGESp#&7+B-)0xm^DiAoYlnKb!(PVy4A0-^|5wE8Q=YNb
z)n_!0H-aD@M~}b9)9T@m?{{4KzUQXUKKnm!rei((P{PapaNavF_XBZ$%ttN9X`|xk
z`hrlNt&8rT>Ko2u_TZ=CA<+y+o*!v^=A#zZFIjt{V?6VL^W_Ycn>dB@*Iw}O`s-#8
zFwVZ7dOsGbyw$$b+QCo5Mg4MrD0%+keuw`1erORi#`A^HkL*3{i$i(lM>;M6pRZFN
z7S93kxPD8*<NZ;6!+Fde{5E{#zn^E8h{yXsmOr=3pM&zp_1h92_eb@aKV}bp7`}-=
zo}cc&pJzTIyZdF=b;*q1`VU^S3)yPDwsxp@JD|sr=j)+hC(A#mR-fkaSo56zGXIvM
zb)0``oP8hX{k=WltH;z|*Y8MpJkF}m_Jd{*{WClyn&HU%=W$N$-U$@*_PFf2E}8Lj
zexhF;?}L|#{~htWE}8LLf8e*-g>1E6TRYTyKiJE-pSbDrb6H1T3jOW-DA+lUt>()L
z)W_@U@_?_-34RQ?epkZN108->Ri9lCnmzQ-@Q?<J#xc!DYY&|Jk>ckj@j$n5bbej%
zisC^#jE7$rq<Kv}j>pFWzPeHIaQ*It$K#>;?0jtY&_BaBi3ezLjK1&l@xWglkzLm%
zGyZn-I_=j=@ehmNb;*q1`UAhsE@Z2`wsxp@JM2}Q*IR;}cfCHnu5r8v?49KGCj!3u
ziu&vNy$O$xqw2G~G<)cu;UUosN4`F#d2Q{1Yn9g(yASd1_X|Df57+Da;0@#9`-L>G
z{k-Ap^(O<q`i|n^`lk{ekB92B^N-m>{|w(G9;?LDYTZfw8I(VK|33G{uCGpb+#l6v
z{+K=ZVfZHgfEGt>M{4(elp=1`W3ubIWX5m(2k#*9kgd+s)(-V<2XGvDp76b)KaYLl
z4EW1&|1{YBzW9vjzIcdJ*O1@m2AqEE=*K7RUo|eYdjLB7-#6aM2TYIdTk!rG*TY-Q
z@7`T&gr9wSz`O4JoCoah{NP{1>Jg8?pZ`A=|9^jL#WzQMrbqVsQ6KyJpzv>=7VMiI
z;ZuJf5`M1uU3Y&`jHA|ABY&+P{s#Wh{;m_>Jn@+x*~ju^d>*eM;pYqQy4$C}w7*sM
ztseH@3jJ%W?q)xGcRehgMdC5N!0sc$FA?5#w|gng!tP$#wR+e+n%Er{&r<Q24!eFI
z-1!E9i^u6vwL2F02279qWnRR2wO;sTvg5k*Ux0f0`>Oo4diWdo^Y`j{UTqNH3h|j9
z*&jrG?C(b5kIP@vBYf&F=N;!w_`iVl0oUE%DX6EvTwl5Gw0ig(_(%KunE1l|!TNyd
zus^Kxo%8MkF7|tq+TlkoEFUmE^7{qD=ks?&_(8?Rb?3*jfCK-x2lJhNTRr>^{5=h~
z?{R)yd~3vKdSu`6zTRvWzFYp99^q4eKO_7)@w@K+V%fq`Ys{0sRu6vz|7d@=h;LYY
zro+D9H+J4*z{PR?tlIHOZpPX4$nWEZ&*S_H!f%uv*PZ`d)YI=l`EB*^JMjB^f%E^1
z`itTl5ufRi{nXzlgr6XPO^@)YzrQ5>7V*38{`R7t{%)1ORu6vz|7d@IS$t23&ve*-
zI?3m`(Bn9NPVIW+x9O4JSPpSu-I5>tp#<AIPiU9_Ud8|4>XT^2`TC^%F&(_mB>r$e
z9sBbuYByQ_m>&59IF4H575Oto{y0x)H`<@ii+8L1F&(^q|HtF**Y!SszMyvf^2hYZ
zpEKzW{CP|MOqV~-6WWdTXRCO($sg0f>-PiPAHP0zf4-=8Gvtrykw0()NBEr@*OOWD
z$9Y1#(f)i%yidy?)4}W4weF8!AG$wJsoiY(V|wJzWV!=?`jJTVXO8@Fp3rWzKikB+
zUH+I3Ucat%fBZVf{rR%m&6Pi<NB&$$ci_)_`7=-cI8SId+Mln8cc=U@J>s1xUc5xo
z`ngEF>^HxEnlIg8u<!HatKxk|yrxII+J85m6z`IRcR>r@r^UNlyrxIIm&o7U;$52X
z-rR!sYvSD_Uem$r*NHwZe!b=6@^!Ua7I+6tkNi1X{v4D)E8IR3n(ISoH+o#YA>O_6
z$MlFd^<%s6E9Hmj5&mNN@w)uDFYzO^8|}w8#k)^_m>%(-Bi^Ip9TczU$3nLwoae_5
z@xCBl(<9z#!cV|Pg7a%#!n>vg@2`q?zj#dt?-4Ap;OWc85r4$%^G>xJ4!i@VNB*24
ze`d&^jc#8&q21{C{hD|W$RE=qUSGdFpLj!n=a&)rVS0qWNPaAmA6pVXLc7s^{JMA#
z$`8}Q`*so+Ki{}N&#2uK^2hYZpR?r8p!|7K{y0x)H`<?P#ru-{F+Jk-_U^|n;kU{U
z(<A&;`SFDO*p~Pa+Ku+(TjD(=KTMB!Q$KbK|FrxtJ;L|PkKOWPd*VlEH`<Tq#QU=R
zFg@bM<phpe<7M&g6tB;-wbBh1t;5fwfcf-_cufcIv1Hu+yyfx!w%R?TewrTnbDkN(
z@66@TZrOL9&~9`;_lWmZ`D1#-`yTPuu_5QY-;?z7!501ej(A@auj%0J#{7)uz5D0=
z{I1&VRX<IS{JBj2%#c6(l75DEqx<<A;(cBIm=0dQ&jz<})OPrN9QS9h+PxruOppAT
z5ZX1C$e;c0kIpBd-DrP)Q@n@ekLlo@lEw#l5wDBiQ@aE5$Mndb^X1R5{5k0Uh$plg
z?a%kc`-c269lU-&DvM9z&px$#N&c7~`SV`+^R)aql=u_cjrQjU;yogNOlN#hzP&d3
zccNw{`j}*YcMbWz=1*)qdUx%WAN{CjT+hPBn)hK2wJ3i><x_D!<^Ia?$6A#4VPW7r
z8BF+Rw<uq#a>gz8XI+c(tt$8HLicA+i}IILK3C)B_`@pq_0-GXQMq4NczF-Tjs5cT
zqnFQ8xzA%S=l+rSEl8ZWUmU+DF@9mc-ZccbaMT)i**J&a0kb}=XQRi@;J~NybFjy8
zbiOsRKgW3U`+W~!eT;EDKhn>6W8k=+61PY=&xe$c^NqNrW8hvFZiR4z=n&(S@*NfK
zzA<nUP*1ySgxlAGZ-#KgW8m0N@{I`R`Ih=ODBKfc;8;(-Cx!ETbUq(XA3x?B<IyL%
z(%R+Y%j+2OZ55yAaVx&b;+fKd?|}Hib#hO`^S>2ezj&s%;Ny9Z{yi=KeBQL;n;{;~
zC-yt-@7v-F*Qq@XpKq=BW{YP|3%)uw(DZMo{PTI*if^uX<|TaoJ0KsopJ<)+^To5+
zKybeO76zEl2}}=mvwgpRpAz!B_3tOSALTmXzias+`LK`T`=Su90n@?H`-~{hzAw}I
zpZ(s*>T!R|{dVcUWBM23-7a3!!TT>0UY++d-rz_6dzpVF9^O}@f3ELOc-%kLH=M`p
z!B4|Oq8X0-`=%G6v7fWT_+|f}(&O$t{=MLj0=~LU{<!|z36J}u`ph4*2R{to#Gh7n
z56JG5vg^8J#&7)xui1rsQR{Ro-ro`L)8chqGUMgCz&!Wo3D68j9>-SvzY7%e>k09@
zE}8LLKj5#~rT_Aqag6O+JJkEQ(2LlQ--8zCZJJ*pAM^Y=81U7d^3V0(Pk4MhRo`$P
zvj=|+4~b?t^0-Wf7W;4Qf%EnTkMPd~KeFEeczqg&l-Jjd8EC+DVz$<Ye+|7T9y<-6
z$KyW>`08sIP|i2kUrKm99;(lNuVePmKf^bPhv!LZ_YY*3-(S(L>yjCNyLp)M|DpI_
z7r*P08Nc-hew$rL&%=JSkH^v4q2BGF8b?0vyuXZoj2g#&pkki%_h#uh&eJ&l813S9
z%Hw}X<=l_p`@-!1Kzp369sD#LB;Yts-uLnMj!&uF&kKJ9o%42{?bquArepnHB7go(
zsBimsLVqm2`^4w^%L$M7OZD0BWy~J@H+<9&|J|eam-9XTebRp}yMwapx@5+`0)dam
z1<i2e<JpS;zW|DH;(N83x2{WO{MH}%ZFV6&ua=>GY}eYM-upo>Vn6Utj+*9G$}?78
ztw{C{e&5yiL+bBI`NBN8P5yYE{0VgS|B(7`I`U*t{72-kHA6hZ{mWkc-_Y<pKKr+)
zi~Z`|<#G0XsJCzB&+UmnugIUiabY}6hd;ye=O>}QW!@e^eVjl4rTC7(0gkWh|5d`{
zd8_({^O!x1r{N*d3`d?<uR`Oz_W7Hhua2NT_UFG2`05+-$Mt`k@VGy!&-^ia@Wb#;
z{PFmwcK=j%-;`a~B{P2OKX}b9r001n-q*x?SiG)FX1wjr&(!b#M*MGy-*w51-}(W6
z%`T+xn+DN7o}bnZ^==2%IP&r2eRQt-XR6!;>Id;h%rn;f+;WED`~F+BV}7*SpRPcC
zoFD&Pz*pzke5wz){(8dG108->RiFJ1&+MUphKDp*)W4M|i2Gsffpb4n{Kh06ett`N
z#)`*Q#l!Q+*D2>$dFC(u;CgkxIh0@T{5$Aeul8zvFdgf|e;>-TdFb(Rd%o{ATof1Y
z4<+rHImFA?$Nxe04$7YCu;;v?yr;1W{p0#~SooKOHy!xxE&3mD`F%gPPf33swSwHA
zKZ9=TwfIes{5fRh_`ld8f3p4<4f;jdjQ4*OFYn`Xoish-or?f*UWEGmJqly-{<(Nx
z5wGbHZz%7{u1ogoJo1Lxy{dMmquu`$%3F?e!1pu^*TFddd)a$U_Dqlb4CVPa|Ap|c
z3vYUa_xuj^?T_yf@g5eh=@D-z?|gj!XYsxvUehC9AKy^l{`md}@g5Pc=@D-z?`inB
z`1t-WYWI%Xnck$G=drhYQ|&6_L!O$BcDpsd{xa0J+#m4wX7PIaSK@mc6PkJN`v02n
z_<ExH>^Uj3hdeWUlyAPTP1i|l51jh}GdS||&*br8o}oKdZUXfw&sgj2zGS`e{h0G>
z{W@uV;JUCuc76SO3p&@ErNOTO(-H3j;{V@5eam?JKG^TS{O{u9|7l^oUH?B49*?)`
zv;DN$L;MWiB;M-RsP{~~ExY?=*LBH^pK*%Mk7$M?AD>qI|0ht46Ms*^ez`80@mqi3
zx7mgC{na4a$9An9>L;L_`3iv}_hV&?JQ)Nk<{2wb4xM56>^@|gCkO|Q(bt<JD)&73
zzo6TBS$Sf5G@qT9-#f8Ct@1DB{cG{=6R+vueN}$*`zoH7%pLma=RFvR%hT%<Z`W_*
zm7n)B9{j=i@I@=g&%Z~Zv!CzCAJe0L`u*}y-@2bE@Bb}cexJttF&(^z)zAMY)VIBl
z{C~yg`$*T{NqBsosXp5Wnmy#7;UUosN0fii;yknVz^y=evwW?e7RCeJ!I9@vr|a6A
zipSr81>=zI12|8>o9)Z|e!8zae;e@CjUhh<T>raJp7D4*RG&TPVD`{I!#9b?G4Zt8
z=coSsfAVKo{<!|rgvb3+eddqZgCB-(;*YOWsolSq-4WS!T{7dh{)5--Li+VoI$qWe
z^==3BIPyGMl8n2rCn?WZ>(o(=yZ6g^eZD!b+o$CGeaZ^*`Fk8X=kF82uL0Ae`8!wZ
zN2qT-e^cIn5bu-XH66Ui6TkiYFW=X+S_i+L`292S?-al3;IHFC74tA#zkT27_n}+y
zKb!EM5dUuRn-2cIgx`7nK9JEw^O-v1{w=G><6gl4+4({IrpIxIqg=1|T7B!dr@U41
z?h~)+;O$TRo(mlLeZ6lLm**3|YvMmBe$&A}s|CNGms|1gN%*_Oe@OhMM{)7*1ASbr
zd6Rgjy!;2~jQ6YJH9g`zVDav0><#C?UE{o;VH^fbkMO<^ar}74YrkW90sb`Mecxw#
z0lqH0@7GK(z@IMsKKWyM0sai(Lx1W6rWfGP6n>BRO)tPt5Pnd2(+lut3GesUO)tQo
zExg~iHoX9Uj_@nwkLd;Y9^sb>Z+Zd#J;E;)-t+?exx&A!d^5cO-z)qf;Y}~V_X*Gc
z7sLIO=>_=r3O^#e=>_<S!fzDb^aA`O;r)4J(+lvEg`WdS|4lEzpC|lm;Y}~VpD+9@
z;Y}~VUm*NsEI{<f^aA`8;roO)y#Rlq@Z9f^-}DH-)5`Pp>LTIiD&I^mz)ux^j_{@z
z;QNK2ExhRk_=|;~A-w4Y_-VpV7vA&&{3XJN^H+Vq^aA{J;a^vNnqGjvRCxd1*z^MY
zWy1USy`~r7-zWTWNRF@R1^5}l9~0j60{l$j-x1#Q0{rE|?@_*)UVwkU@VkXKy#UX7
z#q;ko!kZr9{W{6dr&kE?*K4L1;0J{F=lxADz+dV7$_LX6@LWgeKlkYzFViFZFzR`o
z=l)+Myx&JNy#Rl;@EeV%K45x;KVo)s{%eHy?}1D&z;oTC|8J_lrWfEpAiRH1XnFzu
zTH*bBJktyC9~Azu{4u=%&;2U>e@F2*y#Rlm@JEF=y#Rl`@VpPj@in~we}nM6|3|#(
z1^9WwzbU-w5&i|#$N6)k@P1utdIA0>;r)8v^aA{cg!k)h(+lwPg+He8GQ9x5K=^lr
zH@yIVv+zfSH@yJAQ22w8jKApx_(j4W5Z?3x{9@tv3vYUaXa2!%Hs3!i{DE=7AJZfJ
zcDs(w=kG1Tzp48UrWfFs2)|Q!(<A(r#GhM*Kdkeq=@I^rmFNE4Cj6_yn_htbi14on
zZ+ZcKsqilgZ+eVJeH_o*g?~x+|4fhYe&4{?+dG7RP5h=uc)wnB{GGxNYrIU4@P6Oa
z@ypyF@tYpu{XU7~KPvnpU2mEm;r(|nj$bbP3*tAu0DqV8e14MoZ+e9H`zg-9LipE(
zH@yJ=G2v$kZ+Zd#ZsDg0Z+e99vpDDZ_Hp6YDE_7w;8zOYFTCjm_<Mw(EWGIv-hbEN
z@%e=C>x4JG0DrIW_X%%$gg+AEkgX5*2|p;j=>_;t3O_}7(<6NNzCVxWr-a`xyy*q_
zRl>g@yy*q_LE-laZ+e9H`#c`c)xz`n9_FX%5k7o>mivFd@Oy+ey#W7!@VkXKy#T*P
z_-BMSy#T*f_?^O=UVwj4`0c`*UVwi{_!YvNUVvXG{4(K9kMRC|g2#VI_<34?Opoy4
z`=dPm4-0=#{H7P+9})h5@TM2wxj*LqZNKoQ7vLWi{srMpkMRC|mG^hO@D=sf^ay{<
zc=G;k5PrV!rWfG3FQ@<A!kb=z|FrPO<-h3>ex9ulxj&ByzeMY&=>_;r!Y>lu^aA{d
z@biT?J;I0k^tnHe3%_0cHN618S@@@gH@yJ=8R54HZ+Zcq`y0le&$)3uF+IYE?*VfE
zKP&t*!kZr9w<P<qUl9I?_E)AC;D1s0H-tC60RM#WhlMx20RKzEzb?G#1^8bU{x#uE
zFTj6Jcz;g9^aA{o!fzA5=>_;-5#FD3F}(o)dEuWFzv%_|F9`3?VVEA_!}m6MK5P|!
zkMhCv2=CwH_<Hh1;a`<Mrbqbj{YuXNCE*W<-}D0fQ^M~T-t+?eHsN0o-t-6`zK_ZM
z`LggY32%CY58s32_^$|mM0nE+@Lv`F4dG2Mz&|ZKpD*S7HN61;HQ`?u-t+?e*M)yg
zc+(^NAuG@O`wih=5#ICy{C44A7T)v-@4xHv{Q0Kvb*=xV7vOgYe@y!;(+lvwD*RF5
zO)tRj6#i}DO)tRzn(%K4Z+Zc~A^e-dn;zlA@7nVC|GMzUg*QFISCaGfGs5>Mo~9Sz
zpA~+B@TM2wcM0Duyy?KtIi301)0l(%68wEff4G0sfWT2}_;nmLe$RUg|3Eq5CeOC>
zZSSsm;#v7FdHgw4o~P)~A^45?l=<V=eY8%0{Cjii^vnGT?-%H4%twF8<JYf_OL@Mb
z_FnHio%*xA1y85`>^MapU+3AJ@$v8djqY8<XV=fkAOAi-_2<(l;P0uYKyIsaJ$$|a
z@c+A%SJSP&CwuSai+0}X-8DC<e^cwA*Uwiy(g+9MBNo=TMyvXM!}so5lC(RTv`g!~
z-7>XXBY%%2{-pKZE<E?#WA7K`d_11COY6PepzOUMdzE^a&)&bZ-rKE9+I1)G(t2;V
zQSJCU8v0pJ+NJg0ZcEZ`Leeg+_jX!mb{xY4%lLn2jm6;MIL-~f$FTpWL;d%a58u){
z=kMG2+@EpeYmJ8L*_sF6Yru7-RsHrc>bH$i&-W`7@oyQUe&ZPRE5@kz_Xo7<pU2zl
zeLVk2aq@9&Rqy%X^`3v;eo*7xs^0V2>wUg>d!Hw*>V5us{XzLXKe6lOQ>?r`VEGZB
zCoLOljeS<%yKA@d$Ma5l_Pzy!BcEK(S9{leouSV4cSQ9+5dU-JkL-`df3w<~fZddT
zW5WM@3;u12i}SdgulBB|{7<Rg{r`4{{A2n5#e{!P3;yk==>MLC|2rM>kLACgUp+qG
zZNY!Ih5uvmr}6&{@$aQ<@|@XkCguEn1=c@3U)GcTUf0^=cbtZYG#K*BpJQM<?wkBM
zs_;E^-af^99{V`?_YscceRJ|r=e+o~Rpj%?ad00;&Hk@vZ2yOvKW@k4neOX+zekp4
z`=5A!L_7a~bJrSRS5=*JE)f!iIxRGpw$w>0YGP5TwFH!m$vhMV6<a`Ts76aGYAjJ`
zOIupe(i#<%rs<R-V^c*48Z~0ns8OTFH@=@0R8&;d_(nymw%_{J_nmvX=3XY?4|{*i
z&i?j#e0!a>A9tT~Pj0W>x?k+>Cx_Yf_^bO_?{m$!?q^5Yy;9eCMdnre9*nCB?(3iT
zO~=~jcBzl+PWd6%e_P3;cpfnF=<0&oe%@yt*rz`1Qhtd2yze`ZH$U6)^T$WSYc~(7
z9%*&**7>o&@xGV_M(1Jm?|gY_iG$<wvVuE4d5$?Y>vK`+BQBI55})m|Q?KvK3vT;)
zt~#(!eb}Y^5c_#fI*>O%+j0DlE%C2<KX3d!zuAsUbQ2%!^YFKO{T=^TC=N%K{C{P^
z9iMzoWvu-j7WEMq$`6ZA@muwSUGVdK>Ab7&WwP9E>`%J$-#OBEyG!?b4S-?&-ckDJ
zyn9u_-S2#UGXH<**soI`{iXbne&_R(1Nl>6x68vk-S54<{2mZI!oL04j(_iTLg`P{
zt9BhvpDQe<{lPha&ly55=J^xjAGjVYI;G=T{X37YDRFZ=UtMs=GoOzg#E1HbBjtz0
zGoQa5$S)Mne4ch7Z-2Jqc%F=ocCSm-a~yn~98aJ3EQdh%T*c=<p|?Nrto|KO``O>?
z_TX^XxnAA#rogM+{pEj~?BcrR_su1<+qKUits#Hnd?Nl|?w{fN=#m2uc+O8z9(;!b
z;y4_i!S9g{%lhn^L-IQLeOr5g$2rGAm=EH94@>-i@)U>u`|*!s@&BAJ$@cblmd?MW
z1$VxNeq6WY*K7a(ZrVZrsE2Y7<RWjbhMnMXN7?f_V?TE)_(FbY2llCl^2XxlVA=EU
zrR?XBf-mHUc3_`+D3>7c-TuHW<8asb2lD4GDvyO+<a@qfZ*2B*_#LKqWYLTJaG{s)
z(HoofJnr~~c*Ol~MbG=Zd|%$!toM0U@9ss<&;8_k@$frP?VGFKJ&K<9+xh;xv03l8
ztKN#DcOK_&oR9K60q@rv;qRVBZ#m?UKka+h<97+e;(62vJ-=7|{M)GK_oiPuLhoM1
z-y&S+xPB+Ae>-oZ-s#ed=dOnJ@7~3q<Kp*?@4Jn9@&3->y9D<QhWWcs@#lQmAf89w
zM!j>Tw`PRi(Z!#~!}-#S=Yxjv9Hn=m^v=7DdKXD=%Lu(yg{QBB-$xzKEe_)u`^*0`
zPiQ<`Du36H&^xZ^*`MDF9rt~P`MZD7^SHf2{+8>0MIE;fsCvrl?b7r2-l}(e)zf^o
zQ+n%1_?sA^w_AD_7QI-vVqN5Vh;{KNSnv+%tc$Dzk8|9^fm0moU9P)h?YY*0IM<DJ
z=|-%>xGr<g!upB&3)krPcd55%(MhVm2K~W0I)3v(95>BtRo|!j>#{yY9OrJ7N4ZOK
zI@fPrue$CJG;hfIG;zzLe4}u-qmF9u<p1LV9#d=wF2Bv2QhxRBD1l=-p!}N?pJKb^
zQNAVd{u;)4X%p)R>`oI$yYv$8>>KV2tBbL3NWCSql*9Tst?Na%SP!qtcwQFralH~>
zwCHrjb2|veKK?LV-zb+uAm*c#>&<RZ``OGtoR_NZ`z{N~uZN)+7xrU)@B0*=*~iNM
zTeJV;(rezP`k85`d3)AZQ?3)=y@^k;9XRz+z9aE|&I?PMcV>N>INGI`cqhKcz+c4I
z^9kh;-z_8J8}i-wo~ii0tN4F+)+dCw`SG5_Cy9gCooTPvyf^W2=jr<-C!GI(OYAGJ
zm7MeY{aK$8-pYL-@hRGYAIhQpp!7YCK9u!I;jR9MCFk+?k*v=MPdV88XyQ|}gF59<
zek}26@<*NgP<~wU^oKg_q5On!>RAruCljA!JL-%d%1=q2{T#?Oaa$<HD|s15`RT-0
zQxA3JV{@J4*$$lgD6dbvpZl4m&1bScO&smgOT3d$F`q|1c^^bM<kJZw@+s&4!Fb)M
zeEJ;x^%gaKrU&Ms8^p)?{rRj<2ygrIg~TU`gQxTBi;2%r54hwS;)|P&_On|2+1}|_
ztQ*lU@mdygF%I6Xd18-B-xpnv)|g)oB7W#)pN0SEkK}Eq{eJX7$S;BYT%Qhj;<d%D
z@0*UYEBUnB;T?8^_ugW!@~-|s7oXnj+p*rqx@G>d!~X|9`oCLty&t6<uD9di{(GNl
zxvF<c$&c$4H`2hL^OYAj=5=i6xi4j0Cxo|o@a4oOiFfkgE2-ap|Md5=n+oplaj~zC
z^&;e=q(1sbd6da-a9*~f$S-s*va873pY8Zu<UBZv^~Luss$S*s)$ISc^qQ}!etz0P
zEk3=u*w3P0bCREv+#H+HexUX#`%=I8y2@{$jQN-)@+}Sani(h_jC_;br^!z9Ap9fp
z`<tn^PX1C2<NI5}rPsLq+lfz657&`$D8D0|?Wl{l`SsZjT)fwsxB1w9@CC0Y1;5DW
z?}~@p&F6c<DF(i7zgaw}2Y-}9`F-JRH$Rl((PumAKS;S%w1@gAzLZ0I@C}|nOuats
z0mt?ppWfz;_#1ihGrX@OzQ@-64(%gv-A+5GKSleJTVNOSRP&>>KX07;xZq>yx4EL^
zG4)}W@<YbS)*`>qIN4U@?ay{RPA*XYJx;0~>0(~<`Qd+Of5)ZA|DPWHAHOi|pfx^&
zaU%J-pQPTraq_doo1d!uACxgpSa!zA39veBoIC`0jFb5O3%zyn2P^UE@j?ggymWgn
z<)^5Je29dIefa^x*^at+n_r*pz{Pv5dE1}u2j3Vc!7uV}ap7k^cMwi7@U{Md;z2$5
zqa4bEgtOiJP>M&N?WiwFxmC1>`Y67XLwoQIo=a1&PkX>o#izH~^Qp%{-RIMOXPnSZ
zXPn$I?KXGHa@^zQ;H*yyZ?rx&he*zPzm)YE;jP@A6Q80T@TDBevBX!>59$~W(U0br
z6Q5LjYv)%aPk$ZxLld7-d&;Bzu*9cm4|U3+{ME##*&o!&7v(a^(=Y0@hq5o6dX__Z
zc;b_6N1f|4%3qT_`#q3reqA_u8AtgWiLa&}>W;$^l4m<`>ZAP4#QS+}wY0fQ)~AW1
zU3!Uk_Dv@uy0LHac^Bny{nwX$iobV;eB>Jo{Mn@a%5wO_eA4b?e@lG4kNxeePY7@O
zbJxTtiG!#2u}3C8Lp|V<YlwIDu@3`>;Lr9>zaB1Jyq1Mr@IFHGYkOZ_{oIDu?ml)L
z{-ZyVZ)B(4$36n`F@J@hu=}xMcku#tC7*UXyu)tv=cr<@@~-}J;vDQ_&0lt!up2z%
z95nWK=p6e?Ib3hY!~0mDM_R7xZ7lgw_pw`)7k5`2=^o?w9(i5ceeH^@PY7@GVrAl!
z#5;L$&%|e_2V8Ou@lIaE`x+vSZ12SPRN%25iPy4_i@3x&XvAd&OPt@Tf488un-@n&
zuFBI4*4v}hew6kZtvoJJ>{p&lyN9-NzHXNPo$TLnveT%&v7H-r{V0d)HZ4E-yHlL|
z$iL-pp#0$b+1+42@>=qaLz~y9p(7EWh*QMnEZK8h4jvJg^^&XdG&3YFmOo=Z<8su9
zxY&;4Lb*;{^7p%gxLAHvTqK`y>EunsCGshMuRE}}T>W<3t3O{~f4_A6Wv2<d5$8|o
zx}FDx$T!NNAGYgp>2rI_RlN%q7?)c$F7K7`Y{%ifvpylb9f$Wxe3E!)93GwcxX-74
zS8~D|*=z1AIiGj^Ue;%Xw{mg+8vDH|+JPU+q5OU6`+Vw{tWOGW^;b#G=Us6w4|_Ah
zQx5iyOMHrUP^TQq`zJn4{-~25$_GfE{!phql*bFFp5;)kPJEK>s55>jCnV2)4&<5#
z3MVh)DAy#untG@sJ@Dx@YbDQi;M7MsnRq|%uPtq+vOY~5?b1uUlh==d)yQk#m!ll=
z?xd1OUjIWr@|6YtY*#+bz+cQOiT9cZiI3O+2WNdkc-x;75}zavo?ibSlK2eufJ?3+
z-kImu!(s4ed#7KI6E0rMLN0jM^Po}ZP1Eon{gHelJMBFAcvy?|Km3H<y&AuJRE|0y
zvt7xj-45@t8~v&0RF!x2SLYe~k)0;&2G4pPMLAq=^Y%RB`=+DLvCb&@QP=-n%8L^f
zN4iJ;o`gEaWjpUYEb9}(+q`&q;*-QXdGUzEXQ&5Uat-lLUOWK~BaUqE#P=-W;<YT~
zA})2mHERF%Q239$@V-iR+PsK?5qS}Q!fxHSp^^KHZD{T8D{R;MP1^174!hBxy6>yJ
ztG}8T_T&9$4&?d!Ldqd8>b}tTn-K)^ulvID)W7IGA~jp{Vz2Vzk&2__nm@?v+UCU{
zW_?0<n-`}fK1sZj7pEpZLp|V<YlwI9A}&<Kk?o!Mo((+qJ>s=2FX;cD{m*IHzj^yY
z?`ORKp&YK;sC}X3Z{R#N*cYA*`;ph$&o~ZkUdMS`#3$kud0qFvzo`B4qejHV`(MX}
za-F!;eVpY-#l`zI=0_)QA}*0nb>CO_h1FjjmyW;ppJ6xRT=#dBLqF=i@IsBdQTxJ8
z3yjMTX<X*}R`5Gm?Q2J^WBDGJf!wHd^z^(wD`l@8$J=C|?dXsF+U}$Ey=H^tVxJcC
zrS;6u>y@APuw2!<e1ZO7um10ozZ+CmySyx&bJd?n?q-z>o$uaK>{tD2|Ivz{pYQX1
zoIfh~7!`X>zOQSn{r?WBkN8p^Wwj48`1CfrzYkU1*QNa?f4@C8%R2PU-+rv;_lG@_
z^UC1=6?41oo*}z8DGsc|uH$ZhXNX7sJ{sp0dY+-4OVs}4@2>~)b-k+c`FrYty#3jZ
z&)f5N@^}tVysKWdJ1VY!tp499JFKJsj)(m`O8ws{xnnV5ME+aPJgVO@<+<gn{$UG@
zgPSxC^1VM}vnMI;b$;=<yGZSRzf$#YxyNL`SIS;H51cH0wj&PqTkW2vc+8s*rEi}0
zcai!zYCgPVfjHc(IIIWnSQi!N=5g7N_W7R2XMI9=+pj-Ke3E!)pK@m6Gt>hvxrTUW
zpYn7#jCF<Wo%QAd;IY3HuVo<@>%=7`4)vVCaoJMjYMd<hr^$2V^<q1&m;KtV^Y*dO
zkGSdjns2+Gcm`O<e&T|l5B~X{tU<nw;=gr2`TyAn{`r2bv01i*zx~>-*B$eheDd#H
zhv3hBWZ~m{c0Ssl>vi3?ALV@$c89I|lmlPe@pU?UfjmEAfjq}Wh;zxqo}hTu-{YKB
zaIZ7_fX8|126QM&>VqHUQL-DIb@D6#-TV4gzsf%m_K`p1(rcci`i8WVVZ{B)P3eD5
z@^g}#cfWE&>NihT`4p7T#QjQ^xUOli*KC6Q$V=I6@9RGsc#OM0PrY^WXCBSj!ll=^
zePiNN)WdkE9LlE(XFKZRZGL^W0~hbL=B+rj_Jc2YJt_D_-aM`FGoN#WQw-n3&F@_C
zpdS2D4&`46XS?~K6pudJQUA-7TSa@QkK#)?v<Khd`B$mer#;}P;?vuFI{tR=>(~6I
zedL$#XVMPpzOR2?+HL+i%W>ELChIF%hd*pn!e8^ZiLa)72R}dYDYgTrKFYsKyr0hr
zFKzxl>(j*1F1^G%^Tl)FE#?b9Uragh-nt~m59wgM_?#`|BTk7UCpAtlfWPi~^o+vi
znOUC@-uCk!5}zavo<3*0F!34c0he4uyt5u%3?jjw?VWx-Pq=t33%TIEL-XP`mGwG$
z{(3t6M}H*W$WFUXJRjC#+=ZX8yK6t~{$uuQrTn*X*eQLsBmTB`c*b}3jHPis4xK$!
z`wjDxUh?boKlnvlwijNt|Mu&6XufHmJF_3zX~J&wx9)c+2i~^hIM(=9y@l3+Ba~mq
z^SNuh7bKs*Zhv>-b3ps6=TLQDZn;IWSLN9~<oiCxd2H7Azbs#QSngoiv;05hbv{n*
zjoKUA*{kb9ImFH9B8z3`7PVV`59@<-ku^om<9B&}&uO1~e3tS}_S$^Q_bZOgvK{{I
z*LJ;M+ASXOym;*Mt!I9Y=W@*#maBS4mieTfzpc=9ezwM)u0!)2@%Qh$Acjw`d2YeI
z{!g?2gWn%hAN`{|O4)6nKe|YE>i5`-3vT;sihb(CF6D>VKcUE*pY8bf&}V^IcOO#q
zD(~lkUw0q!eAPFk9k2g$@mw_aL35Iylia+0$UmokbBW5$C}SVOva=6)0qn;<M0VSK
z$mPIeKKz%|TPJ_!(QFYey~geTn)np;FwavC<*abFqb}a&*JnF$@m_1*ic@Pp_{KgY
z_{F^SZ-t-vTq>Mm$S?Ezck!Se{80|&Wy0BRekjGG&vw*bkaDYN5A{)eDHrnMd3oyf
zX%9H6`1Cff$KUQgq>ek<M;>|~LOZB?A2OGAn-^xe(siUMKE38Y5+5fHzgx3DLmYMK
zCEi(wFNJojH*D{$L-8Jy$P10@Wg!>W;Udjn7pScL@VxS$;wiay{@NrxwxfTxw@&Nh
zCg{cbEjjyd*Y9}mSn$gCtl)d<e)6$h$*0{;f5UFX;lg6ieBIybF9*utIT`zrohIx?
z+@@vM?<b)g`ft0A+jh;jmaBR@M$E_e(>(kl#l6mpFD|&}MZafbd(Jnr)CVuhqa^*#
z_pU2sr_SpyDY)%#(0Xk9)Q4Tl53yh0=V5-fGiu(gdX@K;;MbjZuTp)~yes)R$<3R0
zuTK4Do647>jCq%3XWqR6M25|~F99BT{Ib+rCx7j{yIr{FIk&$&@hR$ITvHC^D}=Kh
zb@4X8KHGtd_geE-oEqB?zA^6xzsTEH7JlZlLpa4S|5*Q3;z2$5qa4a>gtOiJP>M&N
z?Wn&x<yO%i>ZABl4(-7=c<xNSKJ5WV6`zIYUD`(;dfufS)IIOMChaz_&2pvdo_AlD
z_&9O+-Ietz;;2*4_~PcNnlG9cgI!#I(j4+<jmES0xyv=~y-!P(!G71jzq?fP%odf_
zulHT+eb%by`Dlgb8}uvokyY;~x0BG|{_KlTKMZ*k{fz5#Qt3~f=P1_Mzq>zhi_hRX
z*8Uu$b>sCJcaNWQSV!EihW4$+`E>E}eec^FXY2nW;vC~O`WbO%fj?*7&Un{6sPT4Q
z)I6wqmiu|~C;GEd{dhxO-}e9M1&mLxd1Jx7?s(nsx<h@8YswE<cXrE8UDw`JaNGBK
zWc$>IUCIx!U)Lw|vz^<!uDu!jy6f6oRNsK;V87|{(0-qg{G8<Gt!r;h{brBKx1o%6
zjb&$Ddo}D2Ti131kMZ{Q)LSQi=F#jG?sdfN??`-#dKkBqL-|hOY)4(Z&9BdP;Nrd3
zyg>t>USs>gH^xcui@bVQ;b%VY7EZB_{(HoOdhka%l<yVJcJo6i9(}f>{=Sr3MLwvH
z;!8QS2jAd%ZR+)D4>+p$4CX_xTXo)|edM9rX$N($Ywu6H%?Gkv>AKgo4<<fN9DYBP
z^(o@0Q_py3UAqSCZf(9jU*q{&?+dklc)z<tc)X7#?gMxo*sAsHa+TKi`};iK)cf>R
z&vHlGFZvbhTGhMXh;?lj`gb()DEb-KXOsH#Va2iTyFXHJ&mSKDo<FFM>qGe=^T$VJ
zr_N6wE4b}@Ua@`Z!!G5A*x%pzX(M{wJ@2S`3`^(x!pFfc<|pYjpHThWwBvc9ou4E>
zC%Jj^)2C9u`J~GKLK*WD%g+4t2G}1qKfMun<mabTZ=L*^M{}KU&j)V5KJh8)A@3=N
z@-xEOj=Ff8U!U#3#e1!JD^88=2j7^Vf?wp-XA3{``J8ZyVScjy4dOvP_@f-k&kJX}
z`Joh#KHE|MLdvb8J=90>r5xIWZ}9wL>h)<4II8&cHlKyRw|3q^`^ZDjPqc%&=cgOf
zZu@-V{_Yo@pLO5jed3qW?zruLIqNG~2Y=6hUrBsQ?G5$Nev|Z_&tFyD`TRBM2~T<G
zeLe9>;;74hvrjnv0GEFA4cVa{aLS?lrsSz_d6eHue1`3)Q{On|XI>WMOUz#}F0wxV
z@0Qy+Kkv}IylsK`d1sM}=P9~*;`w=dk+Xg4d%slY?W$*cw|BmGgd%Q{@2<Pts(9@d
z-(4y*z=QV>UWvqt_W{)BXR4n0?)LfwL$N+py*(q=r|shN?aYUjb-nmb!N=H<`R@a!
zKE@;EhpZPK-~PSu{j^@60(-G;XM6^~7yd5%cK4~@Q+)#jyZh7=fXBJF<mV(eZyor4
z>Nhv5`~k{X2UvF2fxWOF`7XQdx%WGO$2#!C)LSQi8W+9h7U9xs-2S7)r>KYVMLCo|
J7S49m{}-Gl;v)b6

literal 0
HcmV?d00001

diff --git a/Individual_Project/Core_Arithmetic/work/_lib1_9.qtl b/Individual_Project/Core_Arithmetic/work/_lib1_9.qtl
new file mode 100644
index 0000000000000000000000000000000000000000..6bde49f05e3a5d21a71c6cc1766092afe37849c6
GIT binary patch
literal 43536
zcmeHw2V51$_VCW^-o1r(6%-WFOYdC)5fnjCR8#~NH5LREY*;`|EU{}Wu_5-}#V$rs
z>?PKSibfNSMl_mcjpZrIch2lCAvgL`-v52?eczw`!99D<oH^&rnc11y**UwV9LJTF
z>2qJour5Jdle=Au&@vauaM0WaJi`}m25c-x+}kidJu#Ki$rdBJUZx8YU%7miUm&@R
zA0T6^AYWs|KLYUAU{BZg*uxp|EBX{UJ5-Y0RI+Eb4M3Dv3J}X}oL}(+h=qgZn#lRL
z0tV%l$Q&KSaDk9$#>bNw31%3;Paxl_rEC`?gB;OBvg27<SsBOilO;OGA#Nk`Q|by$
zyK|hHnMnZF1cqt=QNBT@3!F;RGA%h(;6zPE|6bh?MrLF&*d#404e^w$%s~jdh4kPM
z_RZ`SfDrW&fJ2h|W+R>kI0fi9z%dZ<5E&nwp3xKWEE#W{n#$zICHK#&C?`WsZ&jYJ
z=Wo*M8vN@Th8z4-4E{Zn;bgcWz#spB5a2&jLNQTB{R{y?BS#tnf(`XjdouQ{fK)?P
zU?BXbz<-*2o@M|NV2}uOIDy}~evHV_fK-$X6kw2fKm!tiDP*K!<VdiWE5e`3qtXMF
z9;oy{r3WfKQ0ak64^(=f(gT$qsPsUk2P!>K>48cQRC=J&1C<`A^gyKtDm_r?fl3cl
zdZ5w+l^&?{K&1yNJy7X^N)J?epwa`C9;oy{r3WfKQ0ak64^(=f(gT$qsPsUk2P!>K
z>48cQRC=J&1C<`A^gyKtDm_r?fl3cldZ5w+|NA^Z2AnqE+1AI=Dy;De02XJP7Yu2C
zIt|0F@qlP)PEQO5cEbBvLCiA82@#%x3Sb+EjV^n}cemn1WF26|sS%FWaTw0b&(v`c
z6wdL>b({|2HXR2+)*OFG$6-`DU!>#A5Z=;p<_I6@I17YCuj4R0ohMavTorawRmW9j
zCzd+SlAT!TI4gEiO$Tw<$Xs2=Rc9yGI?kG%*jRHIPR^4WHMkmZLP*V;90qO+#FleI
z8%ZrJpg`<6H#C*hme4w!8(K^-SR7LAIX5(%I7p}?=Z5wZCkb`t+^`tLMM7OUH!KZt
zlTdfg4GTp)B-E30!=e!{3H9dOuz<uzLVabc{3O(0wkkkE17)k~N@zXVsvrpsmaPhr
z(E74fp%U6awkk|Q!)2=)N@ye5st5^fEL#;Rp;59`O(Zm0wkk$Ko61%-lh9b%s^${f
zLbj@<gvQBMwUW@*vQ=#)G+wr<t%N4XRwYVkJK3uCh*Hvlk|YsNxf4f7+Vt&roR_*J
zwL0(qk!y75c8B=tsa>zsia8#>^kT~maR*wBt=hD7=#GR%54uh(dMoxD9N*;ZD6;7v
zq0Tqei|SNURq39Qnq__W8`q9!rY#HD&&>!euvpS#Lh~l~?>!u}?e6MsTZ&BY%zK%z
z<M6JDL+16|>0hP0cDGk-)fQ2g+V_d|$-Zzeq|TyOW(Sh$)f(JqoO}B8VP7u(bi(>6
zowoIRGNXP~m!C^L9;v%L-Pv!EQ)a<H@4Iee=UNPMO-OZ~-M8BH*7augdb{T3oFC&a
zG{`ym^GBU-=Y1RMYnQ`cEKPrP*U`Dt_HlFK$6TJ{yyt4<FZti~$?$oaJL_33lNsG-
zy4CL5!SyHSZU**V0=+?RfX393k~N$!UYn#f``udB$o??2z{qv>t70~hFuo%>NWJ)`
z8)?%m#4%wniOeJRoycBfXtFMH3$c&iN0C;5DUOK;h<)1~_EA8H-vj?^>?2n=#F8yE
z;UID7Mhba{$gL!lHeKsUJCe^D&=zC^^`f0g0c}995ic6QfrQbh9o{ryWf*O`#+!E7
z6;5MG9`ynKeKedlBinpv64};}HYa(+mv$oi2%fLR(;bayOR|}`^PR|k63#bW>jUP0
z){sXnzC3Da$X_GAJZNdeN9}ME5>__io33#aI_wG&P>Z{OT0#WS;x2%e`T}b45Ks%A
zuf)?Gp#p00g46vZMAdYyy9%__SD_XU6>6!kx<))ype0llwZl`DurgHDbd9H~!>$G@
z)ZzvF`)C6dXz@~kmM|4+@m8T0JYR{YJHl10Eb1=cHT!L>(lnf2PsXx7tPV70;)G2a
z4q~e(HA$r4C7~RtPO9mNEvZ6&QYba`#D{nb&lJ2ibk%;O4yD?o*sbShH|HiTSPj3q
zpS9Aei>|mg>)5RG-N>&(CpDaLzO)SgEk0(Ms~sa;=tjz=4&k|rUkKdFwTSVF+_}9f
z^|}}{eoO~zu3MO>o6v$b%f+6P?(`-4R%&Xyl^@(%Lr-oNerPU|*K5g&I8t|tmL|CJ
zcUrS6wRADr<=^USp1brBSN2@Ae5gKkUL*yZ2$zHoAoX?<`(9`T(Vrv7r|Rf(Cv8xY
z35_48eW-&3wWX=(R87^eff;QatvWx^)rPYU6pyB=Gp?%1gK=~o4n7<iVNUGK%&Fep
zw0)EXowds}vsbULxvu$Q!iQQi{V+`*i$fZ@t0rTxf96P=+9cXrPnTzCgN{_8@w>GT
zd-N*ex~>!x+KFWP0+Q*VB~ORar4N{u+HXk5{Vd<D4~g^bs<itH?Pq0{H2$pi;mpfl
z5Uy7U*L9GX@C@=^Bk4ONWxdFlFC=A4L75fZogq5jEX(8iw-W>RiKJ6BDVxgDH(8PW
zA=PNpNX^m7jRelsL|kR1-VmZCA4k$LbJe7w4Gs2ZoMFji$))O4og+j!HR{4W*dqpZ
z*OIuW^!`0b=UFmlzN9mNL}y#m-Pd@>gJpfVb;aVUJoSbcHR*qV&SUS{AR}H9yKil%
z`Y|8%pwTJLb(Xlwpx)3%Bp=Quqn(+&dd-OaEGAEHPmU+lpu2NKNBdeADc7V$O>XlV
zoplQ`ESHQ97l}_Z;xa}gDgI<u4>IgH9lc0Pd<5!JLrYQ!&7maT%9c*EHER`2j`b%u
zZ;-e9$o7vLHc)e2zT;jW{eHIa)Z=(#?Se5l_*Ohbj%>8jsWNNo7T3cm1`W($mD2A}
zEqZ^ac1fR*izXH==IM%kS?a1TEH!RJExJBgYj-|mk*P%sl~{~}I7b&-k!<Jtt<5Zc
z+$82CF;cA^Bs=~7Wpj%k=bMH5`61V?9@O<z%Xt<StL)4+hceRKp|n@Y*`s=kRr$Kj
z=@RJ@?Ui>np^C+-9NlI&iIl)dRew*iG$L(n5k$G4V>G8`1fUfa4k)iqqZ54{b+wvG
z<((##S7%4>yK0t1g^Y#r2J4D5rSjg8%Uk<zlsCr@Gt*i<NcPG5b1f`>v@y%^!<tt}
zdLZd?*p!4irbwEK>(KR!c)JE6gR5AyxU4JAV^{jBc2a7Afv#`N+sz2EtqOkE6`QaQ
zV^uXNwZ1*1YB1IEU8xQ%b!zTFj;mp=v9J9O-+bk%6KACAJ~+urzjHQ|+b`IW-tVGa
zvOA<V_~xFj_`KwsC6ZQmr@!T!ijH8uDfFkh9!#X+b7D5uhUhI!{B?;bk^_!O$`(1(
z^(x*@9by9Ran=>LkW%MLsrCN{+%py2V{IXHtTHy3OYF<VJl`7Hp-*Ai5zpOeu}jN}
z>Q9L2v-w6*gNfPAK7CNqAb)7{!gEEMNkfMnJb&9`LTdAa*B{yB#C*8;dWp%p)XoPU
zme}lSpFaJ@1)GWOLU+FQ+Hqq4#5vtu!e$&i`s~bE&tCQ==6mK0Y1{qk-ZyUomL1&w
zs+#S9=mUwIUsUrr_o=N<IO#HXZrXIH*@%l*{Bzql4x4{+P`FQlrDj!jmgdJsCC3Yw
z@d2R^XHH5V`0~P?=3}CK3htHM>M_`GA!)|9QI6?vTD#D}-dv=?sjAP1KbjrtFzVwm
zn|@y4vj5cKBlV7s4LLepJAK^4TMIm9?0Y-)(vu>OJzq^ZeYb4y)QR85{4liP&Q%Qq
zdj-W#UlO?gp6!r;aj!yqAGFE4`18j8wo9K)YP6*Gju|dBK4`dRe2~F>*yeAZ4(br~
z@zrx<8wE@ocgoXo<&or>ANP4!c(cu5zo3Ppf4zQ5J5FxyytU_7jpLWBd${qtQFe|s
zXTBM=gR_frE_`(^{Hevj=<9U~&Uk#2oi+4@n{N2ymTld-OtLCFF>vqKIPT6|Smc|%
zsPMvAfa4>3M_RYFd^JtJy0IbXiJ=<1pTheKyr1Iz%>D3wN{HY>5K7bkHe3iMcE>Ej
zfQrayiESopRm<r%X&RiLb?^00TecQ#{iVI1_oCbvL%+D(>i&^kX2shRoa4;*n5;@T
z{Il!bR`av&{+t&%c;A%q-}cM4d41zT^cTG{rw|{n)QNU|hkbde$Ce_^w8&XESJcki
z=V!TU(2MX{!JnM5tFm!Gdb<N+zqcPuvUOfmB{aJzq;OkOi#fruize0{lfM7Mb)_RG
z7Ph~!a9U(=tl5dO{NC8uIcZ9Ih=jajLh4S7?3-?&4juMT`^YtQn`|UbP1eCY^Z=>b
zbUkrNf=O5KZ{|pNF``R|Gm3_zyPj0xh_{4r5r7R4>d9Cem{wJdfVmK>l0`+n&2J9K
z84b{Kkmaj_i2jjIt91o#?M}uuckj0IO&R{rZNu`~FCtu9E6NpGUU*G7*KlrgKc4G#
zpPPDE;LP_tj^H`h3@+({Hfa@`uuX`jji+EnQe;h{8>;B;)`H_4bs#q@Ra|mfxR}GZ
zW_i$zM8-8bkKBzB>5BuZRRcRdCv!@ij)-LNZgJJeBJFopwSEB`c2oVxgudZtiOC|N
zud9lbj1<PdV%fu<li)WZZGTGj;~Yk~?L?*~si^l@0d8c7WeuTgAd|2#jkNxJ@l;XE
zJBd*pMLK`9%8qCKnYoI@i!*AdGr~&xadW@)_^fm#&)E#&?)<<_IGzx9W8=1BUi*Bj
z>f<}!`uJ@}RwuQZALrWjjs2k-ZaJFO1E#z*tu<|NyLQvZ(5Iq%bhRC8Qabg1Ws(}k
z{o}&f9X&jqdT-qKyjoAkIt8cq4cKtgyjvfa(M`_osk1I*Nw)8c$ctkK>;0FV80}tT
zN~m4E8a3{oer~U8w=QYdih>i(pzycC3$lmCCDyR*^SE{TYxkY}!A;G&Zl3DW{n~N=
zRYV0ZVvDHwA~rU*zzf*0x$)&MVv}CfrUD#q)kT0F0M!6p0BQg_0Mr5u1gHbp9H0q6
z09+jzHwD-Va5I2y0h$B+0iXrI?%+y2K%9wGkzrLCS^`8*SC^r+3~c}c85Sd?bbEl<
z<{SW`99TeM={03&E5lkcw3A_NfXI(8pmRil9OVZO={6W%04HRna)Wt*gW&{mOobQA
z3GF*ZM<Je+n&^)(pjk)<guQySYlE;`y^cW$LjyTjQ2;*7$WaxWH2o9#SWe&*rE7=s
z%|d-+QBJESvF#DYHfh%wVM{}swg_7{m+VONZIg-k;EXI^#@9bJ1L2U2RD1!RkleQ$
zvujXhZ+vl{kdo!g?9UvOhA+Gm8V}0A7upHw{n8lBNbzNQfSitWATxO>-LiTh?lX8u
zxj(?(gUoDzFX0v$T8`;+36d8^_*)4#k@-yIbdxtS-3IER;tK##F1`rR7&1ZyI;$AK
zjp@eu-iJ&6R%zsV{@sfNad6;3{wt^;S^-v+S6XJNsR9JFHwPfR7_r>$nC$*3;5SWH
zMrMD626$5;LY$A`iwR}_Yh?dxGQiQ8-lN;#Aqcx=_ecMizo@V_%cs&P5YTczz0VE;
zb|K%ppz(_m*@XlAihKnc>!~X1eFPB8i|zMa@-ZLM*}C9Au><)k+K+g}`p0@kJ#zce
z$n8f{SEh%^&>%xM83JJW@ctk3hsjVcLmL?aVCjA`#0f;j^5~3kKqY&j;ArO`)wAMP
zV?Q9hq8>%A6}*EJ<^EazYP|TD_^T7`U*fN3V$Jw*m7t=%@3Y^A=>C%YDzYEteorI!
zdm6dl)5!gvM(+1Ca=)jM`#nvp)bDBJeorI!dm6dlgBOOfeorI!d+)gJSih%{`#p`^
z?`h<IPb2qx8u`Vh>O){}#p@jVH;sy)h}QvJ*#xf-fPHY>)Np)X?Eh>135e~|=1=hb
zS$W8($V0dvI<&Gf+kQZ12igbyc7_@l;YGL$W&3jlxZn_<38_#{_5xeNS>|Fo!c@!#
zFMMSHU}tEk$PaFSae(G3P)Yx*@Zkm(nD(puo6K&@g|h#1&7t9;KIyumvlY8#Ja;iD
zM7tGS(J%KKxpF^}+fA~&yc`OjF)Wq!qaJ)gu9TnV<^zs;j>xcw>_@p@@?re&0$pP}
zugd&#KUHprL8b$MgXXT2^<sY1EBA9g46h(pmd{>5jP}U=TDe@gzhjGz73G3>CRgqk
z*%OqpAQuOA^e?s_XurJy{H+7^V*8T&Z=Y(+-?pR{I0o$Wk<uwK$oLq^e@c4pYRHXt
zFfo7Q2kl4w?D~Wk5VQG-iqF9MvrzF<C6Sa!^Ak4R1QI23`&}{#9!i1!rN{^4(-Iu#
zA$`eEnJ(3{en}6YBHss6Ijk5P{0x(E98aMB>d5s#upb4yKBI%bWqkx!TaXV0fPV-M
z+<-S`^a^}HhJ!*c&eEfpJV=-Mq4#C<CXznA!bi{_Ag_reUti9T{Q%ITSU!-$_y97x
zK>9;69F*WgLIIuy%H_yq`r!rFOfNvG-RX0~<^BWt(f<s<&v@A%;6A3$0PuC0o;b;n
z(JSb~2ac%Ez|y6D2<#}oUZv~jSAEEj`fza?{iygGpB^Hv_?6)T8GzhaF4S)YdKk*l
zp)5a?|F?806@T&3XvK2Pl*@(sD(c1dQc*9C3xGFdd>~&^NC`fUMLOfJKu3FlUS5xK
zepU}iSL#vDPpV7&Q7j+GLI30R%;**Tiu1aP^~mHx{V_T3s7K_-@-CLkiRB0VbqoNp
zonpNMUZ2q`*pKyrd{X^t2tGFkyfLE#pVIDu3<rfL$}ByK$%Ay6pVX4*O$-3By~up<
z$eHmsQQD8fkM@F|D3%Z8Fg}2cUcnFcz*n(-F}YBFCI_H#`$B&7Lml|Vaw+Z0Amd8=
zVz^QJLOs9+2OYxlgFSLPV(qMAdy@D#ZoTa9x<G((FG&H2{S52BM1B^IXZm;aV|ZPn
zKQaAHnWm?tm=d%f?4E}G9YAY_gB=dY%@Oyj0?M~#{Q~C4`awRF_7?o^DDl-nez1d$
zgCO5W&QD4ueLgZDlM8%4AeQBq<p)ah4oZya*pHxI{6&2T-_`G;-ywYfrX#;I{8ntw
z%D9etfUkTURQf07MgO>t0r`4ke)PXwpXK~Yepz36|7HgchH@>${FpBvEQRa9N}g9N
zMm?anyx(*M9PPt)3jSo*4VF*l%f)tzb{YVJoO1n)AKIZ}FC?=A<)L1=9?Jb~>|a(7
zP`?UY@h__{ptJS^?TC$wBA<cx<E9nnv6Shdv$0)+pUdYt*e(!11XT0``VY#*>H+$j
z@^ZTa9p(?^^B=sA#(X*Oi{+Ho3x1V@{zN$p8p%<vA7~G`@;ID}*E7mf>e~Pq%2niV
z;3fNz3-ihI^55lC>iv<NzhXYji{+?zeKJ42<AVlh7p^Dy0Iay4@mKHjjX!7*8agBy
z*8%!wr$IXsHKCz>aotDLD*&tlsC<YZx%@)}{ypIoKQ-Xr6F(LpohzuH!9RGU^p$|g
zVCgSV&G?xJoQ2_MF(w<~FJ&B9;h?!+!)1%LF5w(kC`zq=z09{-h9@O@?syrh<$T8S
zjq@pdrABrb=Yyg%yCpk*wW~<BC!M8ha(`j1mCwBl(;LNZXup$X`Xr?s*X4YDWxeS#
zyf53YS4pi)u`g9l_X7dwH{*N?-yS1A<9vm3x^X!ayB@vAuF`+eu0wxd*RemaOMq!9
z_ImrkP#h&rGJY*#c!jIC8KmRYE2aNBi#uy1f1Na|UWoh^uQt7`rx2*9=aj66;T7~W
zhji2<rT?m@=skLnzoMR6vYz=ee~t|CGbh-qD4%Ch>UDseJ`AK_{Tt^~_==7AjPqTU
z)0sK%xW3$EyEe&uid}B+vCG&FCEr!KH#F97%&*ve{SWNE^#^v>mF-?G^DUNPghbDE
zlH0GMZ-ktFNcN|3K85d&5ub6s`*QmG{Mqb1cBRYq{9U_#`UATj{efMHvR&(CzSS}u
zA=~wL`_X^3fA9VtyZ%%Cdtcd}g>rkwSy%OUjK(-><7oU5z&|w_10VZbP=;e&99@HC
zJhp#Z_SvC+$r)@F-~T;y7>rr|gp6Ll;ZtxWy=_`AwyNJRt-Ug;<I^NIIm6Evy(9fM
zpErsgHh_)({nMn+6)E-@(-nQnXN@uj4`%uleq*}AugoR_4E56d@H<6p1`%Qi2rvY|
z2Z#dTBSVI|^$hhOJ;e|dWC(`&#NSV4pDn5zq<prh8FXr;;K<7Fb^ZFivX`<yz%_7G
zey{8Q+wXN5?|iu!CGC8{^;kTh!^52~26m!E67UgL9L!8Pp^c{iBL&wT@6K|5jU+IK
zTQJBv)0{;TkmYd0fcQ3Zcw`T`4sjZU+fcSp#Az_`7DFCYL}1O2@sTQ+29G#+0&xVm
zP{<RABfvXlSZ}gr(Fg)8I7z$xt(hRW&y_+0YDj3!-=Yvlz_R}uM^F*1Ei>1V^cpC!
zm-gxuvb{PrzRfOf)HVO&%dMVkzWda%!<qZpc8S)#A7(kXjj+4?(~>a>c44QVR_$%s
zI&svh9(mi&WDQ82+IG~-sxkW({q)NF`6-u4yRV#mJt{G$;F)vBt}~~*rkqY+eYkPt
zqZyp{fbP^MJUye07<+wEYHG^5o0(l4d_Oxf?P}LcO^$c4D$E&QD9pd#GGo${9#0~-
zJnrZ1-nZj+p=|-};b*aI`U`jU@MxDU0s1#1Pi<6-N0%nNyxHk(-kbhCt9MxVRin1&
z;$eVSw)YSRlJk!JJ)SgjU6aj1^ak2=D-ENa>3*FTjiu{#4(;}c_HDO0C6R;tAMF5g
zh+J#G!y!K3VRgMDuJJp<V6%80?+v@f_rbRF)%8hyzB`G7z36VFE7=P>z?+e+uq7Hc
zxEt-S#&(1?UcPtemY!G=cflKr0Y~>XfcudY3B#R5H3tZNWDNHb*+x)dlZ+b-u%4tR
z2V}HJPh3bf;d3P~v~#zjf3owa!P-CAd6ci?xk14Kce`ESQWZCD2X}6$N`3IUO<)0O
zJ(y<xBI@pbO(%bbWuk%oNz@HGbiG=4IfcJ}g0-}#pOT}s=ul6M?s6Lc@GC8SG*MVs
zOC+{Bl4?bEI<@M;-TCa3VM6*-@sqn^*(r6Eu_Dn1lkS<=O_OIg$cnvK7@{9Sj=zB&
z*(Wu1mxTBV^*>O1?i2TAsTaJ|5c4Cn!vw}vswH;RgoZV`8pw0;J-ORw$tSa>TqT@)
zDA#1Dw);a|GX$x$qEs#2eNu2-qW0xxeIPE`D_qQCT(f?l8O2O0+b3oEn|)HI(mpBE
z_wAF?8t;=bHQpzsmG((#f4@)4RN5zH`rds~MT1YNxH)^chds>1lIOzIZ<k-;<{vN@
z%vzBSIqfG9F4Lb|(%1Pc*Joa_r<pJ(Rh4p8M;DFLT&pgU1+_^;7<2B$;bhngvj4kR
zc_KILn2B&^pEz;|-iFh952$NV%QYs#st-l}wh8roA^JSTJhTJe&TwSSMhiNuC)t1O
z%~XMln<@P0$Zu+IPEwZA@!^awY#0gt)|@6jrpIp6C&eN;zmWWdUkv5Q+uo$`G6~*m
zPM60J{~5GKRd0XI@QiUCMDFAn(^onCry*v<DoPcSqvO48HOqoX|9)ic8Ikl_O$uX~
zh^{lq4+gTLjXCKzo0K|{pjcwPFglrVUg0MClvr`xIIy{{=#wGYTqN1N18i<j_OHG-
zm2&Odm|orbC{}MfS2f(_>yDbkdpsd9Xlpv>{!Nb!TuwYU8@8V<oVGgO&-Itk`EORa
zM>H9+Z{D-B+X6@a@cp-M>--c@Yu%oYZ=49UJFr^waF55mdG;nxcI_OxU`e9OM1I85
z{O%nV?Qhm0&^mM1wokvT?N*fcp|;cBnAlhS7j&(C$a-tx7N6Z0j``m-n>!}`h|BAx
z51xDN>QnmTn@Pz<tGQRUE~SQ8%a)ygxE((15ic%Fx@7mD&)9QM3ntzDeqiF!=+E6R
zx3U@ea?hx^v8t#QW{pn03EBK}#}f~I3+JAE{<_QLh4+4l?2}OUc;K}s-4pE|M;>dL
zxFo;qix)UDuIhcw1w!n$1Nde7#<U@c-e0#uH^G5d?4KJLpS^Ej<d;hWA`XmfSUY4c
zhuE33L5;s<4tv)?)gyBy#Lip^u`^df?97!AJ98z(&RhwxGlzQ-94xDwD<O8~N{F2f
z9@1k{4IK-y<4H{&3$f#&v*GZW1W#(|U;_c3*y%WYn!%IWIu4gVc~VCQd+qSVpyLee
z#9qhQvl9m$Y>UGrM;+(LPMmZcE-LfHS;yh3El*r@oC`Z~)p4%u1mgDG*onK2t$gyt
zL&tdlg%D3$&J#`q;>Ee4&BPl$FAyKj4aGtT5kvhrH+;lH{3SGibHh>)=-H6DF6V|t
zAoV0Ph;w7TR<MMIaBgTksV||SoEtukA-Dt%dBZq2^aBJjF|;A)W<a!&ghp^~SYFat
zLL)ghEF+1M&?cN)RYapDG=_6?M6{`dHsjpz@fV4e(B_;Qx`nW9=K^Udr^ZRCtvEMa
z6DKS>M<8uDH}n{Zmr~nuZdlhOK|&LMi$V+@OJy;50_niH;o~`yBr$jVE!v55W1jCU
zrGCJ<;ax51BB5O?qLg%_q`NdXdTPGttLX=Iyl;a^+Z*zmU6PN_`Dw(*&6~7)cC7W-
z8#m0hUj1T5!2PIc5!?3X#@p-f<{1{))DDX|eezaEeSTc^u1WKTxb9pTwCI!ZR(r}a
zCm;11=-R}`J@aza2ik(ipVu%fsp4I{b5`%e9iAPV-_vEsu-UstF1a!Hpzmdybsu_;
zsZpbNzQ_3U{fpn2jIR0B(vE$?K78zS$@SR6#X%|4&p*iN%b8D$sdM$Q)s?n8mvtRo
z=8>R!Opfl3O70Wz{oochzYOg&^?AzBp<_GDkL-E4*M(o4FKny-CgM%h^N819p1ZNm
zC4J?njUKKIT6HsDVcBJ-Z~l!-TGy7*!>%1jJss6#Tk+g|?PEqPj4+(>-CKOR%)8^r
z70Vp9WxJGgI{EFx;$EAl9$j7da@25@wZ*MHuFH>SSY2ze_TK%6*^j!9$XmUj`oX6c
zZ~A{w9C<!FBTV~x#MH6ohK<!NvalnTcVd%dN>;yPuI2@?e@(VfX|5K|ccuq4-h3?G
zpmAtdAVmJN@Id>-{qG15>_`q!hi#Fo?W0z~PltUZa*cg7o+j>&TphXEwIhVt*~dVn
zVS5NJL|6>7yloCiWG{7GU7tVU&BuQhLQi<m_|L-m6Fz{2^D&ztPPRP;0m_7CWD8J|
zV8b{hLf|0ZejjNdL~VpHJ_u@T01<x>hzKEn1vC`m{5Dcgi0<19=Qv075MlNSv3yN8
zQE#zBAq~~WlFigh3x?4~YKYyVZW@T)qhZ>ndDK_iVIK`upYT+JRXQNJn*t%kv^m+r
zdziwGbv{hnjI5`gx+GFaLd;@8nTJ_NQ0A~LjA5SUh=rPiYU*VUs;Ogsh$$Ap!xRe;
z#?F1%xl4ThZ({@TVrD%D_EUHeFFoutnf_~Rpeu1fiUWaZh{WNkClMrF_*B7dVT_4_
zt4xj!Ty6G@Px?L|esl9zjMB~wDh}+|?5ohIixZO?eSERB4F9)}V4^aP67C4B=jHU_
zxqxQ^*W*Ii6_}Ln<IbhQr1YgO@G)s!LNbeCQo4vv4*EAtO4&r>9g|XR&}b7H6R3UJ
zd;1~5W}NtsYNp~<8`TMe8C^R_74p6R=Yq{NF~e4DR98LgLk+2Yhz=ctWr9i~OR7S!
zV0F{>;TCjatm&|2+dT!FcH;8&;?@W?$=*Tdr=jbJ>Lh7Ai{&Y@C(&&oUT~f^s9_Zv
z(^~s-_I5wPCPiF+Ox!wOB-xZKtEMKFHngihv-p!_((wq(dTSDKt_>lB7TV7&ENP6F
z_GRMoLP0xRJ@yyz$Cn~`bA(LB{UvaBqLX$$KzO1%iH@?O%Xf)&dxd;0)X!Jn?k##A
z6G`uVWZ6(Aw(n0Q{8TmCW`(A>)yv63fu-8hN!-_5y<ohW)Cr}H(=_D3KWOk1#vFE!
zEV)^o>Zb{bRxiVaf)CZVAB&z()MVtBbn;e7`3Hm)Fy=M`N%T`|y8JR<cU?$lRPNbF
z+*hn#um|S-k-wSu+faQlpV;H&1)*St+A~7jH&(sCN+dOEl8Aat>aGl8f00SmuOr91
z)u7Wx`&Z)}Jcu?aZ;h}lsiw$})wVEQ3{Tj6i7>B<ttjZ}DF0gYa6CP~)wQ|sO|F;_
zrXdSk(2sMOoK3!De=9rMW(&O%SDVgSPcysp+A65y>WEGo_=Zsi+ISa#zNhO|;hP;|
zLYA6L|C*+M#%#HpNydC5mGA(G?q^Sye@}ysI6(9##pq8#j{aP@fP9QAiX7S0i|qf#
zk+k`gUNLi`6W!=X5zh3~PVr{u=iv}la6<TenTxp7)YP-u!$!iS5n{<}wflD((!Y$(
zD`NsiloGq|U8(w8G3tv(p9s5&o0#CI`LS>2Sz*#5v80~b{Uc!ioX(pevDYGY?(S5b
ztYYjB^kSf&nzTru-|yCt2OrRRdnC3&#O|2~RbNv@9cYvxJSY+aw}~V!f!tpwm9yn<
zf<QeX2vp~|&wLQim1PS3Z-{|;z@0|!pOLt8$QYahK%;9*qIY@G-5mwTeFK1dQYII7
zVZv_CGxh0MkxM*WQ<$?|momtd7GDu_51R2+T8f!7cb_2Kb$1tGTz9jK!*u-|9Wk@g
zKC3U1!x7}sXm%-FrsP|wU(hg9NB&Wb?g%yE>&Ix9ED=c+4QW$_G0n9n$&nJ%B=W$5
z%&$YT8lr);WE5jK_t2Ye_=(nlJ4+ze-@%9<4)LLfZjy7m7Zmc`{GPUg$y+|*XBWEc
zd$W;8OzDZ;;-OJybpIEs;8}fL?Szt%{J0-nXru4U!f%+<m`}_O@fLJ{UDM#SzUS)*
zC7XqD%^`KIX?PbMb^S!Uf)`0fU9udbEjUuOi2P$YJ<!I4+RxT*t|yX#No3}BmNck4
z2{}Z<rwy~S7tUz;OB5^!G8;KUPfwiD9hz8$?r*3K9@zJuqfqijv7iY&MU<j_O#GM-
zyFos*&X=Uw!K(DfIBlOrv?PeO>dWeA-dBvnd7Tf<97)#yXh}TpXn#0K7A&~gk#K&Y
zwS>-1`KQZV=uK0zHySf~!a+QgXiE1lR0Y@XJG8b?a)TeY5lYq*%55Q)+gvHP1C*PW
z%54_j1^Tv6bcc97q-xPCP8Cv{w0xh%mVan*)pCoUFpFKmV)fHy=JcGY*+Y#5EpafN
z8h67+;H+j_36|FCSRZ;n&Xts|7q`R{`<1NtM`B3$49Z!kiLW<>fwy@+IhIauT%d<`
z*ps~WyknsQ&1gYq=aLNPGT1^}6y+=|%2y8_=0sl};lG+^#eY&u>{hQZvzid~llJJT
zn)KjuU3eQuzQY{;La;Mk`hj}DdPjODg)h0{OkXb*_tiVPi086;xCxhDXiMH!ql>=K
zT$?76?>&flI}*Qkd2BUd_;T&ecsJT@ruL10HF~0r_J<X8OWSHBZk~3n7giqMyA#RD
zCuzx-ui)Kwbs=xHs&_2yJkE|Ru18yaWKZvXPJ7It`z+jO3op&rZGz9Kxcv1VLP8I*
z<Z^X>(R|^WK|_`eqK$u1lWSko-n;4k{?zvd@yx4EK1>sKo~9n-tJ91I!m?2#-Qf|_
z9ijIbetIq$9#);?wGav>s!6wo^l(27xptq9xIo5j5t6Jmf#U>6>+00jPPpc&CJRz&
z#7WlHcFiI7IOl-%pVp*wDTMFG3=vK|P<{6ee{w&m-rIu=yr(j|sV4r@sos`lsaB69
zv(+*(^D=pmN7i+2xx-qss)N8Es7^b#7CO!V1>Q8GlcZobv1c1?i2f!iJwSF1jCn4+
zEO~OoT66O=;q?d)ns-`N0HavPP<kj^%5tCB7fCj)BBe28*MyUcI4-fOaKx3r(VBey
zX?60Wk5IoQ6f}<>ZVCl0q$55g<K7A{Z8U*L1;=?FP*4>XbXh8Gd{sj>jH3pT$<DT*
zYc15&+KWC(A{+LP>MwlujY>Sge=vd^`Mx^2c1!3|q$cy`(D)z?`A0Vzc#t@aA}vcv
zZD<C`->SSXsmZ{(bf%}|ibR^c0WGC7<H>_n<kP04)eLg4>RE%0X4N3U{VwnJwFfbq
zpn7JjCZ7xRv5f{E`_o$j%l%A8*9u@uD7~FU3-2@9;7hFW|D5klUv(n+yNGkj7f(d4
z*?BJ^Z?kHDC-zWm*KX>XGT@RhWep$Kg1nw&L-NA-f^-dWzfBh(BT*B^TX+hAUM7yQ
zUbMq<)xjv9pNMlc;$%TW>o7g-!^yQiHe|^i{-aZ*U};x3;bgbjdp(6ZF($*8c+vYB
zRZ&&`h7-#*zd4B>Z$s8J;B`Yu$L~Vch}^QvT<q$VT{x>f+?;ziCw;QE%X4qfHhm|U
z9d6PnZS)}fWjj&^{qVrtZrCIHg$+KB%3eRSZ04OQ*H4`ubD?d%*ZI8<ifhb@n?HWr
z@Zf%Jx*j_Epdjf{=XrO?rImwi^Ik^OS>ZJN<k>o3<mkgU-fwSvFGhRi;Ox{-pVhu`
zeOX4j=GycHYu%d_E-tyz=ed1pmTKAd7stf-E^7+9+s3X7y}RgD*v1v@=eR6eG1EVM
zUf(gd8?;_mTKdD?K^f1AtfzS`=;3wQ_L_Bn{dW!Ze3j_d>onFIo@6&ZzkB%lOU~Mo
z<riC&cqV^vzNqtokEdArt_prQ>D<#H7k}ya`6^GZAp;YprqAhXyFu&qV49Gh;@J1$
zT$`iuU9xTVwCsE`b;-o}ySqM4++b<3Fu7Ip?m1%{T6}3cRG7H%lTTW$349(nzf;kP
znQ<!n4?e%m2MtQ|oN{-FGw;?ax<;>~D|a1Oue&g=f8MGE-!J{fwn|*-i|3tU_qz5h
z&Du~j<!cweX1R;&_UzcJsM8o-qn}(7oeS>_-g9%%i;(N>t>0dmykhC()KOdaFPQn$
zZR<yEH$<j?70@-_wU^IOT#%Y}?4s{8lcn%GF`#OTd9#vU&Bith2i~5;depxoM0uxS
z)9b!lJcrm!{{B*e@2fLK(X$U!uQe|*Rg8H#RkK~4UG>Ji6qkZy=g!aT^>xcPW%N>7
zXp1hxcQxElGV`Nh8&4P8+=+JC;A`D-utk-#o=>(;7!efVls!vm;#+XhD(u1KH{R1)
ztX?`Jp;eQAjDK>=Jo^d#SaT)qspHv~g)Ls#b{=qOXU*`4Uc!Q}dUn3v&S6z>jWIu~
zZTI2DRUW7u{EnMrPYhJv41vl8gas;ZcWSbc;tO+V-KJ|GPI>?P;*=dxG92CE*#bv!
z^$Cv%zy=6`62jrL1uKkGuI0??<@iP47S|WU@0{&PuL}ICw@|M>o#fu`M2{BktszDk
z|L3(~d7U9nxsw&;Hd$VR&57!8?q`Toz6Wv2hagUQ@6+xOr`(TAx~5Iq$=0YQq|wHw
zF(WCOS20dm_)~Gp8WyK)`o1`2(|<iqS^vH`WledUvbH=<dHglL$MsziUzKQ|Z>c%s
zhjaS)?KcwJZ<!zG(%8(`P{VDmn3S=<<C8NJ?LU~%Avf;fsGYkeRLwrU;;?6#=i`@R
z{LsRjhd#>!iq$LIyG^d%bj0PV-HulY$XwkmRQI#vC#oZ-@4cOS>eTfwuh@N+Q`ocq
zPJV~}!MOx?jbF*oH(N7~nO<)YRkiw@9KFlP7OO7mGi~RnUw8)XUzX>Pq?>(2{Jzw4
z%8xG^-kZO<B;UroUah$&YTAz0XD|A9#V*G|tyYX(MjT_8;{jsqayx(+yZj44j9u;x
z-95%G4~C|XvC9ZCb{XMciCyjp@-TK8^Zk|BW#s>Fie1KXz<B+ij9q52&Y2Jt2)k*7
zZm>%LVcMW>jS>DXrWu0zkj??b*luP%_dgfYjCUgycO9YpiZQJUjxzy_F;;NNkpFy4
zGcJasz4Bh_a{K-$*0l{(0Qgmt1r>lW6)FJXJN7_hti5Ik1UVzj$iiV$)ZoyG{=kPD
z7;~*aq$>V{z1*62*;g?(75OXTe>c_{*ZEOzDL~i-s$sJ{z>VcAxE4+->Q(3!VMY6q
zu9OQQ)<5czV+sCiVx29a;Ar0;)ju?QIR1R>a&?F@L%GI&LpjENM88?{RsIq`yj|!o
z@mrfXFn-ZU|NHEz`4?lI6+iw5W1X>|)X4p$M(!syazFX|7-*@Vl-v8S*QXK-eHPjU
zwpXlmtjEe&XxzM584LYqW1;UryMgv2$J+fG`(%*m0O0uRvCy~_fO7FMH9{puNH628
z!B&uq;|@d@z!PT1|9>qO+D)>vJQf;PyTD!_B^Fvv|F4UM#_<>G<-M`c=~6jx_+*C?
z`-@u@!O!Kfli1G!{=Y63T8SAplgb}u0QmnyEVL3MjMrJkdafel*dU;Nvv*`b`v0@B
z(Cg*)jlr>4PJ>)7>~F9=Gdjdr0vjBZ;D#9Fa{`Fv$6YOeSH?o4AHYxOFWirW{sEZ5
zK!qPA#<nid{x`=$8~3|-ok8sI0LfMV`B>;f@^w)e3(eZ~zdaUOZV$hUX*RIy<o&VG
z(!flAmrt1=$@jate>WBy?ZWk`V1O0ZLH^bCfxj6W9S~R^8yx__N+E_oKlsJSCh1&3
zA(pAW92-3!EPo2OeH3Pe7()lp`V~Ozt)5AEW0@{>^3cmak@=57UVL-Tae&yHy~cbT
z>8zwT0~|t}NU2O`Y!&jqk?2t@ALwIz0N=`VmJT?x51?WnO9#A6;tOW_0Dqsoj1SV$
zUe@cvG#Ko(X7YdzV&I^&yTdQeqTfQS2;AM|`oZ)WL$pGG0b^g8e0WoVl8#S6z)!ib
znvVPni6oa$3A!t|fa0OtyhN|V=%81SaCpEb`J3fa=mHt2jH*W=w;o0fCgvSKdmxkq
z?6$(!9HYI5WLT)!4>{3(CARdcoPJh@*Fh$h+c@_3x)GoJrk~&O6&vv>@|FBMU^<Nc
zxn;y>>|e#cA|pOUzLH;&r;_~VE|-@mem*AWXX#KsieHT7wuAg=S3a{3>RI-al3($o
zVpqPAKaKP4k<+Cr`ZZSn2oOx+I>CCZIO-ph>&;l-`|3^c=O5LZ;(vwjeSTK_sqiWC
zmHdjIal0*CFTeA%;#Zaqepbq1EEhKczst``{{Kloqkkh{Ydc;K23T&x>w&o)?t(?2
zqaE_7Jz1i29O5?bh^=i44A{HB23WCoZ`?Pd7p^Y#@0Z3_b+aIy#ncXP#5bd|H#Q=!
z#Kf}4?iFy{F^1{yh=KjDijj@(#@@85>Dd#!3ih@HhcFl|!2}t$gvUroPbi1d8$SEN
zd=2SsjpVd$70p(~!40tbiRrCjRSjT*BEMT}#9PUJiZ-Gv`Q8^IspK<`X;%DhOjqis
z>7cY^)SD%*<|n2nv-(x^wH}Z*2-B0{Ri_AX+Jp6|#29yLJ)|en75<ir-EE^-`4VJ2
zLH1*`Qtt9t=}76R!eGe{a*T4Cp<ZfF3{f^@`PYU2WJ6YfAN;2pvI60}F3{?x8M5ky
z7_x!_;J-fnr@((tLsl^0!S&!D(t-gG34;F~@DJzpA&o&utB?PHGYIJlEKiqdh$n;Y
zWYCceG=x1s{yT6m6Rd?qNCQ^*?E$ZAfg&a22^0YAhZ7?PC=iqf=ujdk5N46&K$cX@
zg-LY{zy>G40BjN+N(+SsyP=ri1t@e%iUEoZ9*};)Y_K6U$bct)cmn+3tNJ}K7ZeOn
z*dLyU$aHYZ@6Tn10G10l;ZI@veG<4Ei1-^M{o-^CYy<nDR>6R@0JH?`Obark)i*#v
nz<9Y7A+o7pFxmz@De@l*WHNjD8G8D$`0}8-N__cDn6UgGW$*I!

literal 0
HcmV?d00001

diff --git a/Individual_Project/Data Extraction/Data_Extraction.cr.mti b/Individual_Project/Data Extraction/Data_Extraction.cr.mti
index 9ada245..4d59853 100644
--- a/Individual_Project/Data Extraction/Data_Extraction.cr.mti	
+++ b/Individual_Project/Data Extraction/Data_Extraction.cr.mti	
@@ -1,17 +1,17 @@
-{H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction/Posit_Extraction.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction/Posit_Extraction.sv}
+{H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction/Leading_Bit_Detector.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction/Leading_Bit_Detector.sv}
 Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
--- Compiling package Posit_Extraction_sv_unit
--- Compiling module Data_Extraction
+-- Compiling module Leading_Bit_Detector
 
 Top level modules:
-	Data_Extraction
+	Leading_Bit_Detector
 
-} {} {}} {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction/Leading_Bit_Detector.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction/Leading_Bit_Detector.sv}
+} {} {}} {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction/Posit_Extraction.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction/Posit_Extraction.sv}
 Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
--- Compiling module Leading_Bit_Detector
+-- Compiling package Posit_Extraction_sv_unit
+-- Compiling module Data_Extraction
 
 Top level modules:
-	Leading_Bit_Detector
+	Data_Extraction
 
 } {} {}} {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction/Test_Data_Extraction.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction/Test_Data_Extraction.sv}
 Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
diff --git a/Individual_Project/Data Extraction/Data_Extraction.mpf b/Individual_Project/Data Extraction/Data_Extraction.mpf
index 49006fc..74b688e 100644
--- a/Individual_Project/Data Extraction/Data_Extraction.mpf	
+++ b/Individual_Project/Data Extraction/Data_Extraction.mpf	
@@ -2035,12 +2035,12 @@ Project_Version = 6
 Project_DefaultLib = work
 Project_SortMethod = unused
 Project_Files_Count = 3
-Project_File_0 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction/Leading_Bit_Detector.sv
-Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 last_compile 1669675628 folder {Top Level} cover_branch 0 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 1 dont_compile 0 cover_expr 0 cover_stmt 0
-Project_File_1 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction/Posit_Extraction.sv
-Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 last_compile 1669845685 folder {Top Level} cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
+Project_File_0 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction/Posit_Extraction.sv
+Project_File_P_0 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 vlog_noload 0 cover_branch 0 folder {Top Level} last_compile 1669845685 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 cover_optlevel 3 vlog_showsource 0 vlog_hazard 0 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
+Project_File_1 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction/Leading_Bit_Detector.sv
+Project_File_P_1 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1669675628 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 1 dont_compile 0 cover_expr 0 cover_stmt 0
 Project_File_2 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Data Extraction/Test_Data_Extraction.sv
-Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1669845632 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 2 dont_compile 0 cover_expr 0 cover_stmt 0
+Project_File_P_2 = cover_toggle 0 vlog_protect 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 last_compile 1669845632 vlog_noload 0 cover_branch 0 folder {Top Level} vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 vlog_hazard 0 vlog_showsource 0 cover_optlevel 3 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 2 dont_compile 0 cover_expr 0 cover_stmt 0
 Project_Sim_Count = 0
 Project_Folder_Count = 0
 Echo_Compile_Output = 0
diff --git a/Individual_Project/FINAL/EXTRACT/Final_Extraction.cr.mti b/Individual_Project/FINAL/EXTRACT/Final_Extraction.cr.mti
new file mode 100644
index 0000000..f40e5e1
--- /dev/null
+++ b/Individual_Project/FINAL/EXTRACT/Final_Extraction.cr.mti
@@ -0,0 +1,24 @@
+{H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Leading_Bit_Detector.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Leading_Bit_Detector.sv}
+Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
+-- Compiling module Leading_Bit_Detector
+
+Top level modules:
+	Leading_Bit_Detector
+
+} {} {}} {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Posit_Extraction.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Posit_Extraction.sv}
+Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
+-- Compiling package Posit_Extraction_sv_unit
+-- Compiling module Data_Extraction
+
+Top level modules:
+	Data_Extraction
+
+} {} {}} {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Test_Data_Extraction.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Test_Data_Extraction.sv}
+Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
+-- Compiling package Test_Data_Extraction_sv_unit
+-- Compiling module Test_Data_Extraction
+
+Top level modules:
+	Test_Data_Extraction
+
+} {} {}}
diff --git a/Individual_Project/FINAL/EXTRACT/Final_Extraction.mpf b/Individual_Project/FINAL/EXTRACT/Final_Extraction.mpf
new file mode 100644
index 0000000..783e17a
--- /dev/null
+++ b/Individual_Project/FINAL/EXTRACT/Final_Extraction.mpf
@@ -0,0 +1,468 @@
+; Copyright 1991-2009 Mentor Graphics Corporation
+;
+; All Rights Reserved.
+;
+; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF 
+; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
+;   
+
+[Library]
+std = $MODEL_TECH/../std
+ieee = $MODEL_TECH/../ieee
+verilog = $MODEL_TECH/../verilog
+vital2000 = $MODEL_TECH/../vital2000
+std_developerskit = $MODEL_TECH/../std_developerskit
+synopsys = $MODEL_TECH/../synopsys
+modelsim_lib = $MODEL_TECH/../modelsim_lib
+sv_std = $MODEL_TECH/../sv_std
+
+; Altera Primitive libraries
+;
+; VHDL Section
+;
+altera_mf = $MODEL_TECH/../altera/vhdl/altera_mf
+altera = $MODEL_TECH/../altera/vhdl/altera
+altera_lnsim = $MODEL_TECH/../altera/vhdl/altera_lnsim
+lpm = $MODEL_TECH/../altera/vhdl/220model
+220model = $MODEL_TECH/../altera/vhdl/220model
+maxii = $MODEL_TECH/../altera/vhdl/maxii
+maxv = $MODEL_TECH/../altera/vhdl/maxv
+fiftyfivenm = $MODEL_TECH/../altera/vhdl/fiftyfivenm
+sgate = $MODEL_TECH/../altera/vhdl/sgate
+arriaii = $MODEL_TECH/../altera/vhdl/arriaii
+arriaii_hssi = $MODEL_TECH/../altera/vhdl/arriaii_hssi
+arriaii_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaii_pcie_hip
+arriaiigz = $MODEL_TECH/../altera/vhdl/arriaiigz
+arriaiigz_hssi = $MODEL_TECH/../altera/vhdl/arriaiigz_hssi
+arriaiigz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaiigz_pcie_hip
+stratixiv = $MODEL_TECH/../altera/vhdl/stratixiv
+stratixiv_hssi = $MODEL_TECH/../altera/vhdl/stratixiv_hssi
+stratixiv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixiv_pcie_hip
+cycloneiv = $MODEL_TECH/../altera/vhdl/cycloneiv
+cycloneiv_hssi = $MODEL_TECH/../altera/vhdl/cycloneiv_hssi
+cycloneiv_pcie_hip = $MODEL_TECH/../altera/vhdl/cycloneiv_pcie_hip
+cycloneive = $MODEL_TECH/../altera/vhdl/cycloneive
+stratixv = $MODEL_TECH/../altera/vhdl/stratixv
+stratixv_hssi = $MODEL_TECH/../altera/vhdl/stratixv_hssi
+stratixv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixv_pcie_hip
+arriavgz = $MODEL_TECH/../altera/vhdl/arriavgz
+arriavgz_hssi = $MODEL_TECH/../altera/vhdl/arriavgz_hssi
+arriavgz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriavgz_pcie_hip
+arriav = $MODEL_TECH/../altera/vhdl/arriav
+cyclonev = $MODEL_TECH/../altera/vhdl/cyclonev
+twentynm = $MODEL_TECH/../altera/vhdl/twentynm
+twentynm_hssi = $MODEL_TECH/../altera/vhdl/twentynm_hssi
+twentynm_hip = $MODEL_TECH/../altera/vhdl/twentynm_hip
+cyclone10lp = $MODEL_TECH/../altera/vhdl/cyclone10lp
+;
+; Verilog Section
+;
+altera_mf_ver = $MODEL_TECH/../altera/verilog/altera_mf
+altera_ver = $MODEL_TECH/../altera/verilog/altera
+altera_lnsim_ver = $MODEL_TECH/../altera/verilog/altera_lnsim
+lpm_ver = $MODEL_TECH/../altera/verilog/220model
+220model_ver = $MODEL_TECH/../altera/verilog/220model
+maxii_ver = $MODEL_TECH/../altera/verilog/maxii
+maxv_ver = $MODEL_TECH/../altera/verilog/maxv
+fiftyfivenm_ver = $MODEL_TECH/../altera/verilog/fiftyfivenm
+sgate_ver = $MODEL_TECH/../altera/verilog/sgate
+arriaii_ver = $MODEL_TECH/../altera/verilog/arriaii
+arriaii_hssi_ver = $MODEL_TECH/../altera/verilog/arriaii_hssi
+arriaii_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaii_pcie_hip
+arriaiigz_ver = $MODEL_TECH/../altera/verilog/arriaiigz
+arriaiigz_hssi_ver = $MODEL_TECH/../altera/verilog/arriaiigz_hssi
+arriaiigz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaiigz_pcie_hip
+stratixiv_ver = $MODEL_TECH/../altera/verilog/stratixiv
+stratixiv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixiv_hssi
+stratixiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixiv_pcie_hip
+stratixv_ver = $MODEL_TECH/../altera/verilog/stratixv
+stratixv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixv_hssi
+stratixv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixv_pcie_hip
+arriavgz_ver = $MODEL_TECH/../altera/verilog/arriavgz
+arriavgz_hssi_ver = $MODEL_TECH/../altera/verilog/arriavgz_hssi
+arriavgz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriavgz_pcie_hip
+arriav_ver = $MODEL_TECH/../altera/verilog/arriav
+arriav_hssi_ver = $MODEL_TECH/../altera/verilog/arriav_hssi
+arriav_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriav_pcie_hip
+cyclonev_ver = $MODEL_TECH/../altera/verilog/cyclonev
+cyclonev_hssi_ver = $MODEL_TECH/../altera/verilog/cyclonev_hssi
+cyclonev_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cyclonev_pcie_hip
+cycloneiv_ver = $MODEL_TECH/../altera/verilog/cycloneiv
+cycloneiv_hssi_ver = $MODEL_TECH/../altera/verilog/cycloneiv_hssi
+cycloneiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cycloneiv_pcie_hip
+cycloneive_ver = $MODEL_TECH/../altera/verilog/cycloneive
+twentynm_ver = $MODEL_TECH/../altera/verilog/twentynm
+twentynm_hssi_ver = $MODEL_TECH/../altera/verilog/twentynm_hssi
+twentynm_hip_ver = $MODEL_TECH/../altera/verilog/twentynm_hip
+cyclone10lp_ver = $MODEL_TECH/../altera/verilog/cyclone10lp
+
+work = work
+[vcom]
+; VHDL93 variable selects language version as the default. 
+; Default is VHDL-2002.
+; Value of 0 or 1987 for VHDL-1987.
+; Value of 1 or 1993 for VHDL-1993.
+; Default or value of 2 or 2002 for VHDL-2002.
+; Default or value of 3 or 2008 for VHDL-2008.
+VHDL93 = 2002
+
+; Show source line containing error. Default is off.
+; Show_source = 1
+
+; Turn off unbound-component warnings. Default is on.
+; Show_Warning1 = 0
+
+; Turn off process-without-a-wait-statement warnings. Default is on.
+; Show_Warning2 = 0
+
+; Turn off null-range warnings. Default is on.
+; Show_Warning3 = 0
+
+; Turn off no-space-in-time-literal warnings. Default is on.
+; Show_Warning4 = 0
+
+; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
+; Show_Warning5 = 0
+
+; Turn off optimization for IEEE std_logic_1164 package. Default is on.
+; Optimize_1164 = 0
+
+; Turn on resolving of ambiguous function overloading in favor of the
+; "explicit" function declaration (not the one automatically created by
+; the compiler for each type declaration). Default is off.
+; The .ini file has Explicit enabled so that std_logic_signed/unsigned
+; will match the behavior of synthesis tools.
+Explicit = 1
+
+; Turn off acceleration of the VITAL packages. Default is to accelerate.
+; NoVital = 1
+
+; Turn off VITAL compliance checking. Default is checking on.
+; NoVitalCheck = 1
+
+; Ignore VITAL compliance checking errors. Default is to not ignore.
+; IgnoreVitalErrors = 1
+
+; Turn off VITAL compliance checking warnings. Default is to show warnings.
+; Show_VitalChecksWarnings = 0
+
+; Keep silent about case statement static warnings.
+; Default is to give a warning.
+; NoCaseStaticError = 1
+
+; Keep silent about warnings caused by aggregates that are not locally static.
+; Default is to give a warning.
+; NoOthersStaticError = 1
+
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn off "Loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on some limited synthesis rule compliance checking. Checks only:
+;    -- signals used (read) by a process must be in the sensitivity list
+; CheckSynthesis = 1
+
+; Activate optimizations on expressions that do not involve signals,
+; waits, or function/procedure/task invocations. Default is off.
+; ScalarOpts = 1
+
+; Require the user to specify a configuration for all bindings,
+; and do not generate a compile time default binding for the
+; component. This will result in an elaboration error of
+; 'component not bound' if the user fails to do so. Avoids the rare
+; issue of a false dependency upon the unused default binding.
+; RequireConfigForAllDefaultBinding = 1
+
+; Inhibit range checking on subscripts of arrays. Range checking on
+; scalars defined with subtypes is inhibited by default.
+; NoIndexCheck = 1
+
+; Inhibit range checks on all (implicit and explicit) assignments to
+; scalar objects defined with subtypes.
+; NoRangeCheck = 1
+
+[vlog]
+
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn off "loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on Verilog hazard checking (order-dependent accessing of global vars).
+; Default is off.
+; Hazard = 1
+
+; Turn on converting regular Verilog identifiers to uppercase. Allows case
+; insensitivity for module names. Default is no conversion.
+; UpCase = 1
+
+; Turn on incremental compilation of modules. Default is off.
+; Incremental = 1
+
+; Turns on lint-style checking.
+; Show_Lint = 1
+
+[vsim]
+; Simulator resolution
+; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
+Resolution = ps
+
+; User time unit for run commands
+; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
+; unit specified for Resolution. For example, if Resolution is 100ps,
+; then UserTimeUnit defaults to ps.
+; Should generally be set to default.
+UserTimeUnit = default
+
+; Default run length
+RunLength = 500 ns
+
+; Maximum iterations that can be run without advancing simulation time
+IterationLimit = 5000
+
+; Directive to license manager:
+; vhdl          Immediately reserve a VHDL license
+; vlog          Immediately reserve a Verilog license
+; plus          Immediately reserve a VHDL and Verilog license
+; nomgc         Do not look for Mentor Graphics Licenses
+; nomti         Do not look for Model Technology Licenses
+; noqueue       Do not wait in the license queue when a license isn't available
+; viewsim	Try for viewer license but accept simulator license(s) instead
+;		of queuing for viewer license
+; License = plus
+
+; Stop the simulator after a VHDL/Verilog assertion message
+; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
+BreakOnAssertion = 3
+
+; Assertion Message Format
+; %S - Severity Level 
+; %R - Report Message
+; %T - Time of assertion
+; %D - Delta
+; %I - Instance or Region pathname (if available)
+; %% - print '%' character
+; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
+
+; Assertion File - alternate file for storing VHDL/Verilog assertion messages
+; AssertFile = assert.log
+
+; Default radix for all windows and commands...
+; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
+DefaultRadix = symbolic
+
+; VSIM Startup command
+; Startup = do startup.do
+
+; File for saving command transcript
+TranscriptFile = transcript
+
+; File for saving command history
+; CommandHistory = cmdhist.log
+
+; Specify whether paths in simulator commands should be described
+; in VHDL or Verilog format.
+; For VHDL, PathSeparator = /
+; For Verilog, PathSeparator = .
+; Must not be the same character as DatasetSeparator.
+PathSeparator = /
+
+; Specify the dataset separator for fully rooted contexts.
+; The default is ':'. For example, sim:/top
+; Must not be the same character as PathSeparator.
+DatasetSeparator = :
+
+; Disable VHDL assertion messages
+; IgnoreNote = 1
+; IgnoreWarning = 1
+; IgnoreError = 1
+; IgnoreFailure = 1
+
+; Default force kind. May be freeze, drive, deposit, or default
+; or in other terms, fixed, wired, or charged.
+; A value of "default" will use the signal kind to determine the
+; force kind, drive for resolved signals, freeze for unresolved signals
+; DefaultForceKind = freeze
+
+; If zero, open files when elaborated; otherwise, open files on
+; first read or write.  Default is 0.
+; DelayFileOpen = 1
+
+; Control VHDL files opened for write.
+;   0 = Buffered, 1 = Unbuffered
+UnbufferedOutput = 0
+
+; Control the number of VHDL files open concurrently.
+; This number should always be less than the current ulimit
+; setting for max file descriptors.
+;   0 = unlimited
+ConcurrentFileLimit = 40
+
+; Control the number of hierarchical regions displayed as
+; part of a signal name shown in the Wave window.
+; A value of zero tells VSIM to display the full name.
+; The default is 0.
+; WaveSignalNameWidth = 0
+
+; Turn off warnings from the std_logic_arith, std_logic_unsigned
+; and std_logic_signed packages.
+; StdArithNoWarnings = 1
+
+; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
+; NumericStdNoWarnings = 1
+
+; Control the format of the (VHDL) FOR generate statement label
+; for each iteration.  Do not quote it.
+; The format string here must contain the conversion codes %s and %d,
+; in that order, and no other conversion codes.  The %s represents
+; the generate_label; the %d represents the generate parameter value
+; at a particular generate iteration (this is the position number if
+; the generate parameter is of an enumeration type).  Embedded whitespace
+; is allowed (but discouraged); leading and trailing whitespace is ignored.
+; Application of the format must result in a unique scope name over all
+; such names in the design so that name lookup can function properly.
+; GenerateFormat = %s__%d
+
+; Specify whether checkpoint files should be compressed.
+; The default is 1 (compressed).
+; CheckpointCompressMode = 0
+
+; List of dynamically loaded objects for Verilog PLI applications
+; Veriuser = veriuser.sl
+
+; Specify default options for the restart command. Options can be one
+; or more of: -force -nobreakpoint -nolist -nolog -nowave
+; DefaultRestartOptions = -force
+
+; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
+; (> 500 megabyte memory footprint). Default is disabled.
+; Specify number of megabytes to lock.
+; LockedMemory = 1000
+
+; Turn on (1) or off (0) WLF file compression.
+; The default is 1 (compress WLF file).
+; WLFCompress = 0
+
+; Specify whether to save all design hierarchy (1) in the WLF file
+; or only regions containing logged signals (0).
+; The default is 0 (save only regions with logged signals).
+; WLFSaveAllRegions = 1
+
+; WLF file time limit.  Limit WLF file by time, as closely as possible,
+; to the specified amount of simulation time.  When the limit is exceeded
+; the earliest times get truncated from the file.
+; If both time and size limits are specified the most restrictive is used.
+; UserTimeUnits are used if time units are not specified.
+; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
+; WLFTimeLimit = 0
+
+; WLF file size limit.  Limit WLF file size, as closely as possible,
+; to the specified number of megabytes.  If both time and size limits
+; are specified then the most restrictive is used.
+; The default is 0 (no limit).
+; WLFSizeLimit = 1000
+
+; Specify whether or not a WLF file should be deleted when the
+; simulation ends.  A value of 1 will cause the WLF file to be deleted.
+; The default is 0 (do not delete WLF file when simulation ends).
+; WLFDeleteOnQuit = 1
+
+; Automatic SDF compilation
+; Disables automatic compilation of SDF files in flows that support it.
+; Default is on, uncomment to turn off.
+; NoAutoSDFCompile = 1
+
+[lmc]
+
+[msg_system]
+; Change a message severity or suppress a message.
+; The format is: <msg directive> = <msg number>[,<msg number>...]
+; Examples:
+;   note = 3009
+;   warning = 3033
+;   error = 3010,3016
+;   fatal = 3016,3033
+;   suppress = 3009,3016,3043
+; The command verror <msg number> can be used to get the complete
+; description of a message.
+
+; Control transcripting of elaboration/runtime messages.
+; The default is to have messages appear in the transcript and 
+; recorded in the wlf file (messages that are recorded in the
+; wlf file can be viewed in the MsgViewer).  The other settings
+; are to send messages only to the transcript or only to the 
+; wlf file.  The valid values are
+;    both  {default}
+;    tran  {transcript only}
+;    wlf   {wlf file only}
+; msgmode = both
+[Project]
+** Warning: ; Warning -- Do not edit the project properties directly.
+;            Property names are dynamic in nature and property
+;            values have special syntax.  Changing property data directly
+;            can result in a corrupt MPF file.  All project properties
+;            can be modified through project window dialogs.
+Project_Version = 6
+Project_DefaultLib = work
+Project_SortMethod = unused
+Project_Files_Count = 3
+Project_File_0 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Posit_Extraction.sv
+Project_File_P_0 = cover_toggle 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1670345718 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0
+Project_File_1 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Leading_Bit_Detector.sv
+Project_File_P_1 = cover_toggle 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1670345624 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
+Project_File_2 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Test_Data_Extraction.sv
+Project_File_P_2 = cover_toggle 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1670345613 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 2 cover_expr 0 dont_compile 0 cover_stmt 0
+Project_Sim_Count = 0
+Project_Folder_Count = 0
+Echo_Compile_Output = 0
+Save_Compile_Report = 1
+Project_Opt_Count = 0
+ForceSoftPaths = 0
+ProjectStatusDelay = 5000
+VERILOG_DoubleClick = Edit
+VERILOG_CustomDoubleClick = 
+SYSTEMVERILOG_DoubleClick = Edit
+SYSTEMVERILOG_CustomDoubleClick = 
+VHDL_DoubleClick = Edit
+VHDL_CustomDoubleClick = 
+PSL_DoubleClick = Edit
+PSL_CustomDoubleClick = 
+TEXT_DoubleClick = Edit
+TEXT_CustomDoubleClick = 
+SYSTEMC_DoubleClick = Edit
+SYSTEMC_CustomDoubleClick = 
+TCL_DoubleClick = Edit
+TCL_CustomDoubleClick = 
+MACRO_DoubleClick = Edit
+MACRO_CustomDoubleClick = 
+VCD_DoubleClick = Edit
+VCD_CustomDoubleClick = 
+SDF_DoubleClick = Edit
+SDF_CustomDoubleClick = 
+XML_DoubleClick = Edit
+XML_CustomDoubleClick = 
+LOGFILE_DoubleClick = Edit
+LOGFILE_CustomDoubleClick = 
+UCDB_DoubleClick = Edit
+UCDB_CustomDoubleClick = 
+TDB_DoubleClick = Edit
+TDB_CustomDoubleClick = 
+UPF_DoubleClick = Edit
+UPF_CustomDoubleClick = 
+PCF_DoubleClick = Edit
+PCF_CustomDoubleClick = 
+PROJECT_DoubleClick = Edit
+PROJECT_CustomDoubleClick = 
+VRM_DoubleClick = Edit
+VRM_CustomDoubleClick = 
+DEBUGDATABASE_DoubleClick = Edit
+DEBUGDATABASE_CustomDoubleClick = 
+DEBUGARCHIVE_DoubleClick = Edit
+DEBUGARCHIVE_CustomDoubleClick = 
+Project_Major_Version = 2020
+Project_Minor_Version = 1
diff --git a/Individual_Project/FINAL/EXTRACT/Leading_Bit_Detector.sv b/Individual_Project/FINAL/EXTRACT/Leading_Bit_Detector.sv
new file mode 100644
index 0000000..807a5d0
--- /dev/null
+++ b/Individual_Project/FINAL/EXTRACT/Leading_Bit_Detector.sv
@@ -0,0 +1,60 @@
+/////////////////////////////////////////////////////////////////////
+// Design unit: Leading Bit Detector
+//            :
+// File name  : Leading_Bit_Detector.sv
+//            :
+// Description: Given the first bit of the regime bit
+//              find the first bit different from it
+//            :
+// Limitations: None
+//            : 
+// System     : SystemVerilog IEEE 1800-2005
+//            :
+// Author     : Xiaoan He (Jasper)
+//            : xh2g20@ecs.soton.ac.uk
+//
+// Revision   : Version 1.0 21/11/2022
+/////////////////////////////////////////////////////////////////////
+
+module Leading_Bit_Detector #( parameter N = 8, parameter ES = 3, parameter RS = log2(N))
+(
+    input logic signed [N-2:0] InRemain,
+    output logic signed [RS:0] EndPosition,
+    output logic RegimeCheck
+);
+
+function [31:0] log2;
+input reg [31:0] value;
+	begin
+	value = value-1;
+	for (log2=0; value>0; log2=log2+1)
+        	value = value>>1;
+      	end
+endfunction
+
+//logic RegimeCheck; 
+int i;
+
+always_comb
+begin
+    RegimeCheck = InRemain[N-2]; //the MSB of InRemain (In[6])is the number to be checked
+    
+    EndPosition = '0;
+    EndPosition = EndPosition + 1'b1; // initial EP starts from InRemain[1] as InRemain[0] is RC
+
+    for(i = 1; i < (N-2); i++) 
+        begin
+            /* 
+            compareing MSB of InRemain to the follwing bits
+            until the different bit turns up    
+            */
+            if (RegimeCheck == InRemain[((N-2)-i)])
+                //begin
+                EndPosition = EndPosition + 1'b1;
+                //end
+            else 
+                break;
+        end
+
+end
+endmodule
\ No newline at end of file
diff --git a/Individual_Project/FINAL/EXTRACT/Posit_Extraction.sv b/Individual_Project/FINAL/EXTRACT/Posit_Extraction.sv
new file mode 100644
index 0000000..167c4bf
--- /dev/null
+++ b/Individual_Project/FINAL/EXTRACT/Posit_Extraction.sv
@@ -0,0 +1,73 @@
+/////////////////////////////////////////////////////////////////////
+// Design unit: DataExtraction
+//            :
+// File name  : Posit_Extraction.sv
+//            :
+// Description: Extracting posit element from n bits binary number
+//            :
+// Limitations: None
+//            : 
+// System     : SystemVerilog IEEE 1800-2005
+//            :
+// Author     : Xiaoan He (Jasper)
+//            : xh2g20@ecs.soton.ac.uk
+//
+// Revision   : Version 1.1 30/11/2022
+/////////////////////////////////////////////////////////////////////
+
+// `ifndef log_2
+// `define log_2
+// `include "log_2.sv"
+
+function [31:0] log2;
+    input reg [31:0] value;
+        begin
+            value = value-1;
+            for (log2=0; value>0; log2=log2+1)
+                value = value>>1;
+        end
+endfunction
+
+module Data_Extraction #( parameter N = 8, parameter ES = 3, parameter RS = log2(N))
+(
+    input logic signed [N-1:0] In,
+    output logic Sign,
+    output logic signed [RS:0] RegimeValue,
+    output logic [ES-1:0] Exponent,
+    output logic [N-ES+2:0] Mantissa,
+    output logic signed [N-2:0] InRemain
+);
+
+//logic signed [N-2:0] InRemain;
+logic RegimeCheck; 
+logic [RS:0] EndPosition;
+logic signed [N-2:0] ShiftedRemain;
+logic [(N-ES+2)-1-(N-ES-2)-1:0] ZERO = '0;
+int i;
+Leading_Bit_Detector #(.N(N), .ES(ES)) LBD1 (.*);
+
+always_comb
+begin
+    // Sign Bit Extraction
+    Sign = In[N-1];
+    InRemain = Sign ? (~In[N-2:0] + 1'b1) : In[N-2:0]; // if sign bit is true, then 2's compliment
+
+    // Regime Bits Extraction
+    /*
+     There is a Leading_Bit_Detector defined before the always_comb block 
+     which takes the input without sign bit as module input and outputs 
+     EndPosition of Regime Bits and RegimeCheck which is the 1st bit of Regime bits
+    */
+    if(RegimeCheck == 1'b1)
+        RegimeValue = EndPosition - 1;
+    else if (RegimeCheck == 0)
+        RegimeValue = -EndPosition;
+
+    //Exponent Bits Extraction
+    ShiftedRemain = InRemain << (EndPosition + 1 );
+    Exponent = ShiftedRemain[N-1:((N-1)-ES)];
+
+    //Mantissa Bits Extraction
+    Mantissa = {1'b1, ShiftedRemain[N-ES-2:0], ZERO};
+end
+endmodule
\ No newline at end of file
diff --git a/Individual_Project/Test_Data_Extraction.sv b/Individual_Project/FINAL/EXTRACT/Test_Data_Extraction.sv
similarity index 97%
rename from Individual_Project/Test_Data_Extraction.sv
rename to Individual_Project/FINAL/EXTRACT/Test_Data_Extraction.sv
index 57c764b..42a5813 100644
--- a/Individual_Project/Test_Data_Extraction.sv
+++ b/Individual_Project/FINAL/EXTRACT/Test_Data_Extraction.sv
@@ -13,7 +13,7 @@
 // Author     : Xiaoan He (Jasper)
 //            : xh2g20@ecs.soton.ac.uk
 //
-// Revision   : Version 1.0 21/11/2022
+// Revision   : Version 1.1 30/11/2022
 /////////////////////////////////////////////////////////////////////
 function [31:0] log2;
 input reg [31:0] value;
diff --git a/Individual_Project/log_2.sv b/Individual_Project/FINAL/EXTRACT/log_2.sv
similarity index 100%
rename from Individual_Project/log_2.sv
rename to Individual_Project/FINAL/EXTRACT/log_2.sv
diff --git a/Individual_Project/FINAL/EXTRACT/vsim.wlf b/Individual_Project/FINAL/EXTRACT/vsim.wlf
new file mode 100644
index 0000000000000000000000000000000000000000..6ae17f1eec8292e592dab643123c168c45eaff1a
GIT binary patch
literal 49152
zcmeI)UuauZ90%~<x&QByt!WorT^D-OrCZ(F=Fk2J!C=!SrI}q9+q6zsXz!A1y*7VJ
zlXMl)<w0eGJ<KtN4;C`<N%rKcAi}^0A4CQ|i7@bG`sAbF*6X=R)A}?J_Au~!;O3m1
z^ULp?e8}7H-W)8@J&F;L$S)%GuHkmPsqKz5-U~b9gT(qT%F$@#bkut;IhRe(&W&6+
zpPt!SZgu%Pm->`gBo-Ns$|O41nCQL|8SZL(lU5vFAOHafKmY;|fB*y_009U<00Izz
z00bZa0SG_<0uX=z1Rwwb2tWV=5O`(+jziaZGWT+;{7R~i7wLVHnle}yBmXTX3LX|5
zWBhgiIhY!ggMu{p5n~gAq6Qx=OF^Hgsli5CwH$@IMfRC|laUZ%Cunr4DaY8x02N7Y
zCdGb!X94?RN)cF8p6-#G;sCuxN`XEO$#S2r{h_LfN1F0}{z!x7d6SF$FlZ2f00bZa
z0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U*RiN`kKRJ%@8ZZ6xt5yGJ
zlpuMdYL`|i!T6k#5NK#BzBp}OUNlOTf>oqmS~9EF;uX70r($NYVwDz|Fg$8)S_u;5
zk+sTtjSuvAJQ|NiC>lGP5V}UHR4Y{KwYTk}&nlZG8XTdCczC{Qtyd}B`a^+mlGfHz
zX3Y#wQzdT}!#S%&YvF~t3-dXX>{v8^CLu^PvQoDbqQ-<U-6ZlHWlYIU#lsnzNo5u?
zsre*L8`;?l=h73D3uh};yB4NQxnOVDg*utVrR;j;U5oOy@MLBtIXxCmU(C%W>DXA#
zs@9fRjh51zwRJP!sM(eBXmx{K%xZq=h(~x&N!Ha`^GaoXRbITKuL{4W=$AfO8<16l
z{N4HuRp^zjk*Ak5nY?36$dskw9{ry9a{udWRFEblUFO|f$X<~nYH(nHMMS!ESW%Wl
zt&u6uS|!t#Plwo`_~yVdW=VAOC9y}kO_FEUTCq!(v0xVKmh{PWHYDyl%C<u68}Y6r
zk+LOg>Bi<-rEHaJQY*o$w?gaz+uF}0<+P%mZ<ytpU9Fmu9TM;BL*s+p$62=P>#d%d
zod4)G%{q8Cy6@AR|4_Q?g&P^|_ZL6>V*k(m-XBxl(vbe!E#15Sg9GV?>PeRihvks(
zfnU-+XS!cG*?ZkLuD_vqzidprW93)<C#6HDPU!kQo!`On6>m@d`C$<P5P$##AOHaf
zKmY;|fB*y_009U<00Izz00bZa0SG_<0uX=z1fGF_Ti5?r{h#{yd-rnZSgMN@YD)XY
z1yyz=y3G1JPvrAeGzdTd0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz
z00f>F0k_V-TmOGv4ux|-00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|
zfB*y_@Sg-k9_{`IK&v?p`_^%`$N3xgo&e=Ydz$C~4{@Af);+=pSFa8;KEC3P-AQ*o
zzzoM1bci<p3J5{|vE_#g$9=)S-2{9>=3T>ZD7fuT@IgQ{<Yj|VKr$XT7w%_+PxyS0
zN4on4`Ksg#NNzrA8ry$%0w#A-edliGrkZr~@JQn^bz=N`+htoZem9%Z-s_2BI1f9K
zD|^`@t;m(#Y`#v6cQ4y=E5_?)i|k|@Gn}6~k@bLJNbMc#yLpVx>Roqrt(9SkAATpG
z^#vaq+q;MVe(y-_?c#1^OKBJ3_TG`&$1b`@;$P5pc(Tm1&o6vF7NEo22Mq!cfB*y_
u009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##{x1S<Wq$Yh|9=2QH!e{C

literal 0
HcmV?d00001

diff --git a/Individual_Project/FINAL/EXTRACT/wave.do b/Individual_Project/FINAL/EXTRACT/wave.do
new file mode 100644
index 0000000..52c47ca
--- /dev/null
+++ b/Individual_Project/FINAL/EXTRACT/wave.do
@@ -0,0 +1,26 @@
+onerror {resume}
+quietly WaveActivateNextPane {} 0
+add wave -noupdate -radix binary /Test_Data_Extraction/In
+add wave -noupdate -radix binary /Test_Data_Extraction/Sign
+add wave -noupdate -radix binary /Test_Data_Extraction/InRemain
+add wave -noupdate -radix decimal /Test_Data_Extraction/RegimeValue
+add wave -noupdate -radix binary /Test_Data_Extraction/Exponent
+add wave -noupdate /Test_Data_Extraction/Mantissa
+TreeUpdate [SetDefaultTree]
+WaveRestoreCursors {{Cursor 1} {0 ps} 0}
+quietly wave cursor active 0
+configure wave -namecolwidth 228
+configure wave -valuecolwidth 100
+configure wave -justifyvalue left
+configure wave -signalnamewidth 0
+configure wave -snapdistance 10
+configure wave -datasetprefix 0
+configure wave -rowmargin 4
+configure wave -childrowmargin 2
+configure wave -gridoffset 0
+configure wave -gridperiod 1
+configure wave -griddelta 40
+configure wave -timeline 0
+configure wave -timelineunits ps
+update
+WaveRestoreZoom {0 ps} {917 ps}
diff --git a/Individual_Project/FINAL/EXTRACT/work/_info b/Individual_Project/FINAL/EXTRACT/work/_info
new file mode 100644
index 0000000..efa3a09
--- /dev/null
+++ b/Individual_Project/FINAL/EXTRACT/work/_info
@@ -0,0 +1,143 @@
+m255
+K4
+z2
+!s11f vlog 2020.1 2020.02, Feb 28 2020
+13
+!s112 1.1
+!i10d 8192
+!i10e 25
+!i10f 100
+cModel Technology
+Z0 dH:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT
+vData_Extraction
+Z1 DXx6 sv_std 3 std 0 22 VYECXdT12H8WgbUP_5Y6:3
+DXx4 work 24 Posit_Extraction_sv_unit 0 22 bn3PznRThVzP^6UkH_5Nh2
+Z2 !s110 1670345888
+Z3 VDg1SIo80bB@j0V0VzS_@n1
+r1
+!s85 0
+!i10b 1
+!s100 kkd3l`7jT20YMnnzWd;0l0
+Ih@49V9TbC4`QdZFIgh;fR2
+!s105 Posit_Extraction_sv_unit
+S1
+R0
+Z4 w1670345718
+Z5 8H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Posit_Extraction.sv
+Z6 FH:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Posit_Extraction.sv
+!i122 1
+L0 31 43
+Z7 OV;L;2020.1;71
+31
+Z8 !s108 1670345888.000000
+Z9 !s107 H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Posit_Extraction.sv|
+Z10 !s90 -reportprogress|300|-work|work|-sv|-stats=none|H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Posit_Extraction.sv|
+!i113 1
+Z11 o-work work -sv
+Z12 tCvgOpt 0
+n@data_@extraction
+vLeading_Bit_Detector
+R1
+R2
+!i10b 1
+!s100 l2kJkAGiPg>e_:A3_V9GP2
+!s11b Dg1SIo80bB@j0V0VzS_@n1
+Iz3hjCHHVz3l:3P9[a;jZY1
+R3
+S1
+R0
+w1670345624
+8H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Leading_Bit_Detector.sv
+FH:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Leading_Bit_Detector.sv
+!i122 0
+L0 19 42
+R7
+r1
+!s85 0
+31
+R8
+!s107 H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Leading_Bit_Detector.sv|
+!s90 -reportprogress|300|-work|work|-sv|-stats=none|H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Leading_Bit_Detector.sv|
+!i113 1
+R11
+R12
+n@leading_@bit_@detector
+XPosit_Extraction_sv_unit
+R1
+R2
+Vbn3PznRThVzP^6UkH_5Nh2
+r1
+!s85 0
+!i10b 1
+!s100 cX_79PIMJj05[[=BdYB4J2
+Ibn3PznRThVzP^6UkH_5Nh2
+!i103 1
+S1
+R0
+R4
+R5
+R6
+!i122 1
+L0 22 0
+R7
+31
+R8
+R9
+R10
+!i113 1
+R11
+R12
+n@posit_@extraction_sv_unit
+vTest_Data_Extraction
+R1
+DXx4 work 28 Test_Data_Extraction_sv_unit 0 22 ^LRE9AS;45c1I8Yam?9=S3
+R2
+R3
+r1
+!s85 0
+!i10b 1
+!s100 ABS5o3AL??cz?g:QDWXN_3
+I<HE9fnaE9O`T>n?kgXj;21
+!s105 Test_Data_Extraction_sv_unit
+S1
+R0
+Z13 w1670345613
+Z14 8H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Test_Data_Extraction.sv
+Z15 FH:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Test_Data_Extraction.sv
+!i122 2
+L0 27 35
+R7
+31
+R8
+!s107 H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Test_Data_Extraction.sv|
+Z16 !s90 -reportprogress|300|-work|work|-sv|-stats=none|H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Test_Data_Extraction.sv|
+!i113 1
+R11
+R12
+n@test_@data_@extraction
+XTest_Data_Extraction_sv_unit
+R1
+R2
+V^LRE9AS;45c1I8Yam?9=S3
+r1
+!s85 0
+!i10b 1
+!s100 2dDDaKGQUbo;WaVRCi45>1
+I^LRE9AS;45c1I8Yam?9=S3
+!i103 1
+S1
+R0
+R13
+R14
+R15
+!i122 2
+L0 18 0
+R7
+31
+R8
+Z17 !s107 H:/INDIVIDUAL PROJECT/Posit/Individual_Project/FINAL/EXTRACT/Test_Data_Extraction.sv|
+R16
+!i113 1
+R11
+R12
+n@test_@data_@extraction_sv_unit
diff --git a/Individual_Project/FINAL/EXTRACT/work/_lib.qdb b/Individual_Project/FINAL/EXTRACT/work/_lib.qdb
new file mode 100644
index 0000000000000000000000000000000000000000..23ecd0348d456e7d025277b843639ee474ee08a0
GIT binary patch
literal 49152
zcmeI)PiP!f90&0E^Y+i|W_Pp6mQb@hZ(@l}Y)njAttCBdS{Dh?iX{bmT4uA;?a=)b
z_f29e2v&M1^&s?M5e16~9u(0-4}ugV7yqHCD2fLKMG)z!1%-n6=FM()S~J~gvA6HQ
z@@6K#?|Yfg%%0x9d7@Rd>dbB!)k@8nH6%llWvOTwk|ZVgC(1wFP2oGFd%#=Sw;!~d
zkftArr|92OMBgXT8vRP&NB7V$$)Fx_9s&@600bZa0SG_<0uX=z1Rwwb2tWV=5P$##
zAOHafKmY;|fB*#kUxCvlH8w)2{Nho^tkkWBX*ZAAb&GB8kb{Ry3(F;AdEvn0C1dln
zF=4Kqw5!JAq2<zJrNdK(oAMu5*P3R-GL}nEFHaf1U1mRL^-_+_YkGau%^K?+9yzr5
z)RB@mP<MdIDWh}R8^G&(a&k5j9Vzh{X}ejqPO@j}wqupeHK!$x%bWjpd2VwzI!nSu
zW;Y{|V{&X{+cx?1jE7}8{1P2gxsiyUF(yt}r#jcZZr0bV+1^!&<GJ2(=W=*p%J6C@
zCwD5*k@;<bSHnjr&$=V4{9%Z@4@8Q8qV%jpuhL)XC-fcq8b81W0SG_<0uX=z1Rwwb
z2tWV=5P$##AOHafKmY;|fB*y_009U<00Izzz#R!><78ZuSIwGLwwugqJ1n1$kg>Q_
zvzk`hbnI4hl#qS0<Q#8X?0BnQEsJ8ldf##^R^6_Whh%BhYOh#L=Y~IRPb;LLNN%<G
z35ArD$*88STW!WW6^s1oIf=eb&(YuLr}SNV?T#)0AqYSK0uX=z1Rwwb2tWV=5P$##
zAOHafKmY;|fB*y_009U<00Izz00g)|_U0b|#4~*UU+&HSNAi6BUk;f6C-?CAe|gLK
ze>KDB|HV%Wy!n46>6`y|*Z=26FH7_bdV!jBmwsLUTtBZL)yE2d6h17RD=ZiE{4e=8
z^HzRh^eQjJ1_1~_00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHaf+$DkCxwt0J
z?@)-6EAmyqPPte$`76GQ)=8&ruDFYa`7&R--l|Qnwx5+Que|JBl-1qC-OzBq5Nka8
zz89Jw;-MvXq@jRNnXQ-CnzrK~@%v6V+YM*KhFN3ytQX!t=!VDn$b)^t<<+*`Fx#i5
zIfDF?NGJDay6H^lbcM-pc<DW9o}PEdP6wrZV@7kGRH~aw^-WcndcaFPFu+qYuJFLE
z?xOscC|9SGqFg0PGPs;Iq%(tF{lf`fzu<PCD6Y1c&7YOtQ?k{)`?8bMx;ZUGj^DOb
zFSj@D=3KgXaPEdX_N@pe_r|)xSePKcSr@$Ebd(2Y+!3Sy5%CWc`KD7J>DEVXQO|GC
zlV1HE!t2GuK#FzCtlG_5xma-_t9%LmiqmTQM<K~hO6{i9z9~M|n3vkExGDE;DFG?p
z*GxVwLh76x*EA98mtl<qFL)Vu{eO<GOY}OuMz7G{>Cf~B`ZfKGZqSeDCHfwHn_i@^
z()08sdWJsF3$Z}}0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00bb=
z5r`5^mUB7L3=fNDXh<~KtY`)YMU%;hCY=^dDkYkM0nsFrqDdq~qiLdv$3+v1i6$Bq
zO(Y^3LPVpgqEQq=G))up|M?AxZm0Y8o%$jz)3fvg`lJ4_enmf_zf$<F@K1gt|7U>}
z-YN_i4&oL<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|xQhZi$@~sE
zV4=Nlm2@st9bdpcLzV*j+hU>o&~4STM)pCnG#>Q-cKi3QBp<xJK!s%{$b9e!z84mx
z!xdIoYK+WqlUux8VxUh2Yb5U{3%rjo?;c2mEoBXD7g^xf8rZ8JG9J3N!eaN58Q$;B
oSM2XD+OMR-A|7yvw*`bN<Sz*Dp5m>0^gZp$ef(RY^?wh*zn@g52><{9

literal 0
HcmV?d00001

diff --git a/Individual_Project/Core_Arithmetic/work/_lib1_2.qdb b/Individual_Project/FINAL/EXTRACT/work/_lib1_0.qdb
similarity index 94%
rename from Individual_Project/Core_Arithmetic/work/_lib1_2.qdb
rename to Individual_Project/FINAL/EXTRACT/work/_lib1_0.qdb
index 25c8aa8db4dac3c32cee22e62d61315da7a4377f..0f80b05c3e48725bc14d22d446c33b5746551226 100644
GIT binary patch
delta 835
zcmXAnJ7^U_6o&WA&g{<PzIXG!?2n=lh(au^5)>kcSST8Tf`!@yECdldg?nQY?Gh|R
z2?RkzurRmqfuI)J1TjK_q69$#l0p#_jfe=&oNV!Z|Ln}{&diy1TeRC^W`NXeb04YB
zsoU6*V=ls9Y~VY-VjXMvi1%2*5*G0i&+!Bguz-8GgIk#EOr0(|Gmctu9!F7}1vCQc
z0kwc?Kqa6YPzrDXHoyevfHWWpPysR^4iEw4QI4XhSu95Q<CnIMRlLCqJn~DK$M$QO
z#zmaR8Jxrf4qz0+*oIz|5EVa*FU4B%wpa|Sot+tM*`^{bL>@gmw(15Hkr0`X3*$p+
zzapb4(`la{;X`VBd1xbgcXYz`aIR-p_Pn;;oNKf?`onf{uG0L-xXn3Nl6?1Gn{h73
zW4r#@2Ims}9!^@W&i`~$)`m88%{Mf;IMLP3Bve}AbvT)p{j|_&OFZ93OGAU!aW>hx
zp2ya5*0j>~*&5C%UC$3$%{fual}k3|tm4CNr%e>K{NAN>=~k<_BaXL7vXZl)Dbd!k
zG9>({b|RGV2O<<HqqVW20vHL`;}^d98hpYBEaR2G7*FvK_i@)>iW`{4Rb2MvxPY^m
z^aVMNBRGgLKaro#^a)>vo2^9pedo<o5>X}weA7_Y&u_CnR->0y?_t%tS=BC9C1;g0
zR;j_Cak9SYxVC9g_1%VCg-5wE%a(%nlX2YCmX#V-qFE|s$%GXvmXL`w{<_3U8h=7k
H8g2dq8-#Im

literal 32768
zcmeI*S!`5Q7zglk?lQ}rrOULP5}5N{q9hhdX<18I0^%UZB9@|7qtMzZ)=p<Sw3uqk
zQlJEQFg_R&1BM#JM1c?`(Lkbx7$T@qcqkCJfD0-HV<bEnqn`O@8VrfN3dw(x{=e_s
zx%b?2e@&mxv|W;JNhG`4I^34#)ozU|Tp^{H<GMl!EB~bnzYLw(W5X{w+}xyEqN>&x
zqFusN7X=Nfi}d78&c<61fB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##
zAOL~?6zDe%Z!{K@{f*sC&B;X5+MecQ`ib`8YR;>V&uxgi4Rh~a9CwG0x_7m<B@=Be
z?!u)F@q6R-m2Oue^RGeMvx)1YbZcu@qWk)I#nOcjtcd4l;43RCCYYY+yqFYisg^`f
zS0>rkomknlwmZ#Z-Q^awvSzr;ZnXQd&-9q|Mn{g6{YAs8&n`@#l`Y1NYtJ6Map~@|
z`h`p8);GF~;*FJVq9@VO*_~?YNVpC0hZ`zF`W7zDk@N>$r7QF!U8FB*h(4!N^dY@R
z@6uazfcDc~dYN9J=V%+%(_)%Wb7&<s(o@tzo2ZYTrq1kA@qz#ZAOHafKmY;|fB*y_
z009U<00Izz00bZa0SG_<0uX=z1Rwwb2;8m$)_PMER9ovf`#5{ENoKz=g)lc{qu=0^
zoE}b_)8aIBT5I^)3}+{2nlr`O!I|W2=Pc)pa+YzHa+YvLIEy)pIK!MF&LC$Xn<2GR
zRK?C4bd7$dujve(prdq%UZodlhu+(NhLW_38fXE{q-wg8%Bg@n>QD8n`c{omqf}VQ
z*wxr2wL|r(lv<_g)jg_CRjYIAQ*~UuqYkJ&vGcJ@x9fKo>xKXXAOHafKmY;|fB*y_
z009U<00Izz00bZa0SG_<0uX=z1R!t=2n1)_hEMN1Z?)3m^69_?Tk6qz%PZdaE>OjT
zb!J4oemXFo2YoUl_OA+7+EN;3uOYXIedoO6Z0YyO-U9DfeU5IzYNv0SZRlfSpvX5>
z-yqu+2B+k1wM7hxp+&*TxzXmxOXB$3!AZH%CV4@8a3(l0H|m%B#QRmjn%rok(=3jB
z5~${dY_KngLzgw6$7h6Q*@j+@81R-H7H3EMXX;`0j-E3AjC^aC`s?zoRpOtXZ_S9m
zHs2b>&WP;9#u|CD`|m(`_5qEIX@8WS=8NiqPi73;TgFeCvAm`Hq#4sG;fp%OiB!gk
zaG#DWc(lSP<~}7qYkb5h;=V)fedwrD$bHhgeA#NJfctj)<NJ0yVeZT2n+tvmg!txB
zqhQ(eL2r<688tiseTL5;bsRpkOq{6w!trxo8re2xx#Q!$M4r8)&+&2}k$Xme<9N6)
zw&#!9<=EU8S=-AC9gF+07>d?8CikJpVRMILa37RE$w8mY_P&4|bksRfrFIMb`~Sbw
zFZ8|s48U3a+y5u&I31%SbdX-7S7;|aPuumU0eY#MI;fQ%rw8eNby}U$d;G`L5p|Fh
zl~GuKGT<+DO<hqxsIT<j2$)5aX`I@pcB_N8z|RAg009U<00Izz00bZa0SG_<0uX=z
z1Rwwb2tWV=5P$##AOHafKmY>&n}F9c^ltyw**?qA|CD~fJIiC~?fUf-xU8$<(l_2~
zNhy1cN<H+;-f?`icPxz&dW$=Ep;4=6^6MF<<z^h1nyXu;<m%?hxw>gmuJ%vN)r~bg
z*@kK@;E_G~**r7zjXfjZSatcvoX!`l8NqAHnB}=@M0stQGJZEx%B8b}OFF_ORm`QM
zh)c4NOM3yA@-R;mH9~smm(d^}jRv@sIb2HpTuOXgB3>@V9xg>Tm$1bpWO4}_w#M`a
F{s9kpIotpM

diff --git a/Individual_Project/FINAL/EXTRACT/work/_lib1_0.qpg b/Individual_Project/FINAL/EXTRACT/work/_lib1_0.qpg
new file mode 100644
index 0000000000000000000000000000000000000000..7769d1ad7b42856e17ff381f4d358fbf00cb8607
GIT binary patch
literal 65536
zcmeHwalBR4b>_YIU7lA#eF_0olqWt7Dm+k7QPF@r6cVBY(O@J26e3R%QP9}bHYTx+
zZEZ`by|olm+R}zhnHiGOmUd{vOqtfqI5W<SoiS5q`r5Hm+tS9iHf2&9=lj<F);`<)
z?mh2bn%4QvZ_XcYy|wqZzqR(-Ywvx|x%ZwkzkbW2O68PV1xFR~0>~O93wl&ummCLq
zI?8(??}9u9@>d`mkTW1pg`5X@8srMd@sJRe>Cz`au7rL%<eiXbK>mKUQaKZH=D13w
z4{}>?rE-?!*^nz6mC8g&z$%j^r$|nPL~-RD=@&xY0euD}+f7paxsvBePLn)e@&ZWW
z-vfCO2`jap?T@Y7Ua$1lcWkU|?}6Nof2gyz3VG*UOP5ezvvKtmlvgfW@L|gP?zv+n
z<+`ixx|;I3d6lc#ehnK|YU9QS*_NOE1g@9;pdZVwo3orew+=18lXCgc9g8Vf^sih+
zdE5JgAFJoAe2Dt?;T>}fw{_z%<zvGeAEn%|Wu5u;$V2yUqJHm=IX4^b*2OC*=PX^b
znsU|RB_`*r*tCKA#^J5^QLejbc!$ZMO(r+pyTj}~GPwOQmRBGd7jwH(`-;mGZ@>LE
zGHJp$f~@{crhhBw<Aocq`tg6Q^1qXOOL8GB^lRMem2r(=a9o3VRe`Q?YMctK3Z13x
z<Xdk~Y)q_Nig6v+xD~isrMF=T1^rdiL;IR|h$CNUSFv`Rq5tjMjZt<uj<m}Pm)@Ro
z>Fu0fy^S#5o!fh+Lwh~IrS?{xq`iY3+S~HbeODq66o=jh?Q=Z7i4HP;E?Me+F^=a-
ze-YB-$oiWf-Fh$ib__qXm9ifOD6a&L<An6wNFC|9juPo<66wkOZXi7$p#&W9FX9~5
zw{Z=ZtRKstcKO5d$2M%?{Az3hPnti@?=rV@p6t#<eAsW#7vfsk>olJ|o;eTmI_I@K
zKKnz~|J;6i{D%$SsXy<*>d*L+&*PlRPI)GaXZhAuHogyT7`D6!{VekB9279$>dHIT
zyFK>zJ<@k7?%vO||7^c>>qf4Zjfb$HQX&n((;M@q-MCH`|DlYZex&htyQ?<c$91~#
z;HD*;DL38wKu30_$j&Pr+8G8u&Chetj{S5=|I#=ONPkQGtWW*Vb?%$w11L!7DPJCU
zwrBmW1kd(k@#OJEbK`kdJdA6~^G)dx@9?Kp{OC_Rp8wbS)#<#Or~R>0{AHE1EzX_d
zFYgjR8)u6gr;D~-G>`LTfsJpmZ{sxb9eH|MrbD&;`&{G;dB)mjm`~it^8WbzWv$N(
zt}o|Tt1bKA4u3&UZ&&!~)l<8|Uod`(;c;3W{iMI`{JF4tT37rY$4=vOiQ?WVerC1a
zl|PqtiN9QUUq?8e%!^L_o88ms3O}zpp)34V)xNIq3%bP5KJ#4T_w!WtzbrqluhtB&
z>yn19OB%W^Y3RD7q3e={u1gxaE@><e*Ch>Imo#);($IAY&fDy|q_I3)mo#);($IBD
zL)Rq@U6(X;UD8+=u1m0g+I2}o*Cp}%&(BBS4A&8-yo!SKe3RE9jd4BS%RImiQawA!
z2Y9{KsPt?(AMzzgUjGgxx|jE%d>P8mg52Dq-f_9)K7=cQEmQILaY&xOQ1U$P2}qtN
z9)@JQ7a@Nge!u<pc@ILhBV14R3_oFx*P1RvZLR6@QT+4v+Y@)6f(Dcpm`bf##|0F1
zUY{Z!hObs8Hc3ah(Fh8jyD>3{N4RiZS8KX781!!C*S|P!0(rdM?^*vQ?$$Up&%i=O
zdusuJf0MXw0lzC;_tlzPLV0ZevEX0xDV1wHn>cAS9_pW|RI4lT4@P{@^m-Lp&7;QC
z5z6LH{PlQHci#OfcbxOGJ$YA)*Yt#!`x1E$;cv=o#Yz8NcV6Z#dDn>7^n};PQT{hy
z7QgGxr|asbuCtr#6eq85C*RU|Fy8bdw68UfLuWsDenokY@@k9xG<@Qx$Ey$j5I;BI
zq942t!g$1S{DSN<ZnV2C)DN1T@OnSVN8V`}Z@+Yplk;*vB<~LKnhsvxxM04~f93}S
zj#`!T?_j0pepCMe{%~EvIxxJ_{OOBvn1~Aca|&wV?+n#fdHq4ZRKA0GL47*@)+;?#
z_P184PGLVHu3p`$cvbsRn&2i=PwjiYKZR1p6>j0EHI27kZ64M5&>q``yzh%R_nZ4D
z{Z@VSTjkO33xT6QJYP%wHeBNOjCbNDQ%~)?-}_OT`fa?4-?Ybe%g{04CUG5wpO<=k
zxt=p_iq|fmFNOn;%Huc@jq|_eME-*~eV^ik#m(|;4<z%+bmUo>&wY`{<HbB+yi_0K
zrScfBi-4Pic%1>6#>;R?yarIuxC%F!I{SSl{-*t<Uul1XzkSWmsvnF8@YTJL?1$^z
z?@}I*o9dg+WA+d?!$YDOj#~5jgrDQ(aklordHWpC{TX(r6Nh$H#+~{k>6Fli!u;>E
z{TzCg*P$#Qz_`EtcAvMaP7dWutR2S3aFAAxc%m9dt$H#3GM>J!m>=-d>_dk2r>}WK
zJ<MC;JwKUeT7UPfSKe{|r{7xtsyq*%KFj=T*gQtNLBqixjuUmmC3)m=<NQQ@jIWJ5
z{POwAyrDl2<1g10u4~V<Sl7Zh_6+lU1peS&cZe6<!coInGxf%x)x!_2`|KajTYzsn
zkE|a4tS#ApIFA^A=7-NCo(F-~`cJ#2!|t?D9_{6MOdaDK^O*f556782u5&$Y<+tja
z&SUoAzu_U#3`dd2zFs)q=MUFO+U2;>uIrMK|M3vVc)mgQPWbzQB0tBC{H{wze(MkX
zHoK5t#)ir~@VxQ$)7qil`vF=UML+wY!A_IwA<LB)wm&fcoaagPCm-*b)_&sdr<6Ar
zLTCJa-3$3wtiM(dfBbyY<)d(%{&OE-|5qDtW6*Tu2lGAUWq;srT-O7>$d7@5ukw7D
zade&YIOTEwRNr(Svj;y74~b?tin!%*w)Vhz`y9U>1&lNIufI>6)A_z7neSYWxgPlZ
z_5AHeDeYdN{I~=<<GVJL51NknI-dRDJX_vFIdS)U9gp#499?%jU^r^cJz@NtR*yIY
z{=Q~EYH9zTdf;Qe&_35q@|h0%o<GjJUhUlPOVw_I+L@mCt#-|$@}n={n$8p273X7q
z{&<;qH;UKvgqP=&?B8qRohsh`(4Xcc=>`MNc`ru+`?*=XrYF2S-y<(JeOu=ji04aM
zPv^Jcoh4qbFN~k*;N^O-HpvIFw>r3PF;9Hlep}-_RetoNmg99g76gv}e3h@y%2zsG
zad15SSOY(}pU@86#8GSB9{Oeb)N0go|7hih6*De&6!KGAADnNC`(<$`^6Xyax#OM|
zE{tzq%m>H09mgxbnw~#v<hR%F6JHot*j4{?KIirP@Vs$8U-z8%s~QK;<EZWW2~23l
ze>SwwV0@1TyYaf&*K^MoYnH{0`|mufDE8k$@*yt|K{9_#2mgy1|N2lL`zOENF)rli
zeBt=|{yqm9`Co-3zv<wAr49c<<vUqyJ|vR-oHr@|TxjHf4U+t(gJ1sbS|7@rp}sgT
zM=_3&Ppx>bKrMM+ha|7*;C(Ig+vDx=$MEAQ@{}6+Ic}-n{JfL=Cm_jhdgAvUEAMOW
z3;DF0<3{{m;Z0BQZr}0qg@0Li(@XGI3ICGtrkCKa7XC%yO)tS;Bm4p3O)tSO5PrY#
zrkCKa6@H)arkCKa6Q1iI<8OKi{(9jj32%A{exdL@pCG^K2|mn+Vtj89enfcF6Z~o`
zFYq@Ce^7YSOYrX#evR;^C;0WDJm%XX=*+iQLiwQS2|mn=!k@*$zbU-wCHR|!e?xfF
zOYlpCKQ6rK3I2qY7yb+h-@t;(_?upWUn+cEc+*SpHw#}8-t-duEyBMANq<aF@Q19t
z@PC=`F9>gX34Xco$AmY%1pj{Fj|y*k3H}4Z9}(X468s9`4-0QP@H`)wiv6C~dED>U
zTKxK&1JHP$xmNN^+5V^R4K<fyf8aX$Mp%cNb*j*RRrn7=W8V6H{i(0P0P35nCz{7H
zjho?<euUaubAQ-}cHfEu@<}$sd1`Tf;rN~4^Xqf2_rA}1eJ+1N{I>=EQP;UEvvRH@
z=tp%w{xVJzB&|KpuMOYgx@2F5=Xqj!9fxWh#dXX9Xeqzja~{90oC;jZleZ&l%G+)H
zW~#jj_~(+NN#5MP$J6W}4lBa=HV-Jyq5bH;%tz*-eNNQd^ZoXHwsAefyq|OCxSk&f
zEo#;9eKz~LB8qC&C(M!B^PfAN@tXK~;xO*dhx6Fl^Pf=p7W1R;`Fl`L+=-C4+(zt}
zguFx?C#`~pJe%Gh{I1>}^lPmg`Kj|myACF9K)5>sZZY=<o)<a}Dvnz9PW%OaiSeOc
z`09s4dAyG%oLwISAMc|%{x0!YJMgTb4r%4deKel`rT5W{7vo_(kokSIaK6|xjAnN9
zD;^(#M!#o<_*G{~T6tn`5IEWkxZ?g;V5{l#h~mB-@f`2F@t1M*cyryM?r}A}Ufo7C
z<H+*~-j_ACQu~CpNB`eh9%}h_mi=4wYnJ-;QIw~4KPKJnt_%8&h68^vSgWpAx#5%Y
z4WT@aquN)QZ?F@`QSGbuhVmuW4m^f~wDKgbT))zBG+vCK@w6Dnu-^3yFHk=>20QWo
z=cb@vYvqam9~Ul+Z=-r&&=*@daCts&4)sfn5B0)VnLzBX=ZkRF`&I7w<M;=}W9`6W
zI7ll`;&3%^X?__m`fogtb1^_%Fa5qS@yxF+_?wRNgVKGRP0#X+cGw#E_L#MYKguJ^
zGxCP|;_r~+?duH3(bgHRU%fp)!p{f$TC8KI8b!^%xBGW*H$EN=*X)Kk{C<Y(9psd)
zz;T{G1X-(8FMXv>{`2hqHRlD#hd9!G3-{eQVSt-H0}_7N=ZfGTRQoEw?@L{{s&Ip#
zwf5qlHvu~N^YVtuDQJ(f)w<gk>f`+7`TaZc83BNQwvU1*em>#rlYf4}^L(_spY0ic
ztrrnbKiOXP;`(-e;NQ*mY`0S5<ew98|3W*47e}qS5P#|4!;pyQ^nOV8Pq^l^pf`P;
z^Unngk34jL0keaAwCnNpc<1r8a*VH+dwgxYP;U8yJhFYUw`Z8&+n$hopQ2`VYP-UQ
zR*Rn-GcVAu=26rm&btpnqF+^$$^7Czg7d-ZmqL9!59))?xO_P)_q<>&&$A}-AK1A-
z(s&RLYX`~s%JY;jBL4K_7oo$COZ{_8x5M-Aw0tZ(#shw92l-59=a<B{Cae#3|DOH%
z<*a-xJH`WkYX`~rrTu|wahS}1k~pk~hU<*xgkZmU2(nc>o4854ew*JD<9*Cm+O!*>
zxul)n2l4xqZ)NQ~PxyUtwtEqO>5t_V^rO%@KEDFVJTaN&iLZ|w_j7?u?fZQ2`QiLN
z?nJZQdHg5w+uQlPX8Sx&Y{5KD*I%0lIA7#?c6u@&Oh-AdSEi!enqk~mfS3D(bszS7
z$A|u|)w&RH_>PhE?I>VAt_<z$^D+2c-I0}h{=S6r^t|2LBVP;;8TgCy{FgKQSl0!N
zXUgyPoX4*VW&+3f`R7D=yWEdQQBcErr*_rHq|XXGAzYQ(^K+rY{`0~=FWmDe#!-8|
zSLfT0Q$zlILh?HxnNKE>Pl|u_29!_0x+C64pyH@iZ^U1GFRc1WNVL0K_S}!^cdA_N
zs$Ty@C|_djux=U-^1D=S_0Z+F`!~hvQ7*q1yWePrqZaw;FZ{Zn{xJ`~8-G*(+@DXW
zoT#+@lhA-)V(nnhaFCx?xz)RWkn&@S)kFVGz+Gegh2G9z!=ax3($CL^c2kTG<-QI~
zMLF~2Tc_DPh}Zr7DCfFm{K#9cHy-E@Vt#O)cq;H#zbDA)u5-enpO=~rdv)lH!{<Wz
z4Tew3pAO|qtRCgo9`gG_`IK<K{?zLC2YtxOQLlEPJXxm}0mpSJv}-gC2fwExgE)Us
zjRT(xg?!z;$n@g8j$Z^pTqcd6ocLEI4Tg3ue;yrU99~iVdXtUjh;(mvig3OUI4*C;
zGpW?S-&H%%+3}?OdIK79uS@2<Ztu+u_e^LX$J24gGo15#`!9`a-Os$8^X2|@+s|j!
zPhR*VkA}j2))%kCtUMX-TY%$u`@V-T;;1zjD4v&rkK;rf=fhk0%lMs;^me{~62<m@
zKKBeX=5xLe{9snz$7aa$y6if?wMYIL9@1b5e<Q<>wGUJ>yxVgg-v{z}?)}c&yPu$?
z|IP6l?;nDOeuv^pZM*51cQ>PaA>vImkEQmvXLzs1?T4Yair3Dp+~ai=<s6SglGYw^
zGJK189m()x#p_sxKPugMJYI)0+*o<>LWXxc&f~lbfJ^t|ydA1>6#4ce)T-6#YFFJQ
zeIX=xd_3ZQEd2ArJr5X;Vn2SC8g66!sN|19a$HR&`|+(PZ?zxahH~!5OkA|PTlU<K
z>W`~@E+odW>h;fs@+H;|d1E-ppHR8gLzmy~-xRAyx%^)2exn(VTI8p{+>hxW=k;#<
zP5pC!ep2N`rS11X1Ad9MgFVARGJq_%diQT!jNcTiNBQRi?i%Ya>f8BiIN<3o{rsuW
zZi@8_?R=ijM0weMZ2aSj{n&UgKYTyl8+fZf9prS^e<tXcnhrm(t>CCte-?ky&$z$t
z3;K;JkGP);<wI7F_QntS^P&7E!$Ut4I(fek%9mI@%FRCHew7;^bi;Xh-EMDK`xW@h
zJcMX@9MC%R25LLm2ajjCcKhI)8P55=eY<@yZ|D2q{OtGtmxG_b|A(lw`u#sn!|eC}
zw?p#x{|_Sq>F@tnqMX0~-wc`l{+~L3|4+%^|8Ifh@BhCHOZ@%+4QGVk|Nj~!fB#R(
z-~WH6FZ}+W4)OQ@l>GfaWvk!+e+cFL{Xg4v^ZS3||8M&J|JCRRMxy5v4?Xe#e&<%N
zK=SwXEAfL=>O0mgeca?D8~8ivx_&2JUxx=5P=9o5_`QAw(tnpvdC%5)_8fpuZe3-+
z^WS{uCr$s@)pwYkW$UiGmF+iQS-CZRZoviLW`8(-=wC0#i~W4po^ybJvcLHG_)^Np
zcRaFz@?Hd#a_bn+Hwd5i^u*^E<j*S@=&e**J+EMy^}BdpfyT9=ac#hjcl`cc<J!=;
zHrSlMYsWH!WAyLUSn9t^bcy>Kjp>yEoQHP*yo2fBW4k9HvHr_`cpd`zxe!va!X@!g
ztzEazJ8*k@(Y_OVj6-U#+vgp)J)R$RVsFJwLwxSXsBxHt_Wb?vA4oz(zZm~H(nlcO
zPU<&`4d3na4F)gcdfhwfcZ=ln@pl>g2*3No?dMVTj&n);WciizaUMI)rRCY#E36-$
z7v8QtewE=n_2)u84}kow;@2t9MdIlcKTzo|ZWmXknSbne>Sw3+F2U--cAerc$L`t<
zeinAUZt%0^&+@Gy-xz<cKj+{t^Pbmvlq~iBG9NCKehl@@d(Q*5_q<`d+lTLK`CJF?
zKdg5N^&R{(q>p6$#5q5u+by2Ea3|OM#+FAnfp6^RJ6z;;L6r8Rot>qRZ{t4F*t%(}
zJx3zoignxj<C67h+y<l%bZGB`8-ktT?c1$i-P*fY_Lg;MZ>;BWc)wiIpR|9MNZ0pr
z<M<F)K5pGTF9XY?+1;sr<@~vRvd{nE`7`@>s6#uqZyx?Q^JB-RjjJd(;lU=BFW^a^
z*WvMVNq^Hg&XTV0%W7XL_GjYLcz$H*s@rHMly{zwvt<XHP29gy-rUYG3etY~^F~|}
zpY~%=dVh!fW34;B-=1HxE^uApytZ`<IFp%Q7fSc_3#$3;&o4nUYv(-Ql<qi6u7fim
z*<W9$*pB+MvWv8N2M-3Vr9ZS|aZ{eL-O2Fe>kGnuQat&(Q9ntZd_5R<k~|lx-Txo2
z*7@^~JzkxjzucnhlTPtNxY}plcZ$ENa$Z;X8*$g68~)32SEL*KqAvL_!P5@A;a`Hg
zAl=}H^n9I8<9SPEURV6H6(2mmXFm6zYcWsL{UNu@buHgtxQ<?db+J{y+TrI{yL*nz
zRbAq5=n{V;z8Tex|L?1H_uQXFUGgvK(*L0@@wW(%VY7bo#3LQocH_1Tcb*xickOvI
z;r>uV_lFv~KZNJh*!`h~?hlPRkJ9rtPC1MXEWOSj+OT5-^B%YF?0NvVc})8A<?Q>#
z$@fIgMw~E19^idfe2%bX8suxWo-Gp~7bH6OJL<f@y&e*`Gb<+`&w`v^?b$*e%88KE
z)NTYkJfG}`&g*+z(QTQ6zYBny3b_!H=U0^HLLLR4_Sr6f4h{W7`{9?lVdHZmm(-}c
z<o5#jIj28|hJLc%pF^_}8scU6PM$-vI>FcU+!{*fB|G{5v7TGw&sp*3)@*}y^e6uQ
zj_Vu!c@lrUpVa+(F#NoU<(wxh_vgS+$LAo`XAochF2r<vF2Q*N+%C!HK4`6YkElH7
zWjpfj6tC$CZy48F^M#BTDvn}YU3Y(Z9!cJ3#cMivd0t5Wg^&Jwd);4b?nOb`kM|i*
zcrJ^@0Yu~>AHR3%aX7_@YWBSYw&#t>l;;_1SA4(1;7LDz1CBC3Vb1)2N&cG-J9r4M
z)pH)aP#gU`7|t)wgV3({9+$`6suO#<E@|#l|Hyl+1#f6q{Oz}Ydxmj)xl*g^YlHaT
z+jCyi57~X7Kj>S|vG0=<&yTX=7Jn`Zc>5kJ;x~zLMcgk9`PUb}r<lea<Iwi^`CK45
zZVkoLbj0&a^Jn5<DDT75?cQmJ>l}I*$5*)cJO}0{c_)b1_3@#6l)tKPI*-|d--d7T
zIewq~vv%;8@jaZ3&j57BnSL@pVIGZ+kJXpN2WG4ved>qlNqj<ipFPJVwUft3xELSq
z2aL}o@w(3SG3C*EX`hdxyy-mn7>@JH)>BHTIEwE9czldk@i~~p=R(8x3@c9_P+o0O
z+_s!$@g1Fyp<g}2%C~6OpOeFV4Svq>d<^+stExOcC+A||_`MtMe>HrLjOok&0uJ9R
zkYCks-5GI{sdHT2e!KPAcoCPQS~qBq?RHwci}~+<bA6!Ss*lh2QF-+Hy};S`!yr??
z4VU;m^PRZK)KmNJ_YstG9rSg`KBq|hraiWs1pw#!d!WJ3QS-;=yMG_naNtpS94E5j
zJl&o%f*!=*c~1_!@wr>3BX7b!QT*=O<Hhq4#!K}vUMi3Ax)eD6?uO?rX}k=V#OpHD
zGp@o-rp|uzJSXjMKCkd0D%SntIUzhB0=~-c^>80@{k)9F=auT4&SUlvH^W1s8IELL
zLF0INoUJ`@-rnE|p6e3)iO)kiUpmJbJQg?953ygh%6b0W9D80E`yI+B+WrhgwI4X*
z`MDV8z5N~&diBCkzJ&9Q<^7UYjyOZbQLBdgpgs0|WS)<ifAHV@g5>&Et4xl+hasNh
z!0*E`|1h0UKXK2H^cm1$e>(RelvlZq&~Mj$UUOeT`}q79_KUjVlRWdd3LoQdc>(`?
zJ~OZA*Grnue*SQ_ty>d!Ka5(QFR*`4C+i+t@!-11_FV7aZ`<c6Sv~yY_xIR;t|RQ9
zuWz15KL4y9e&)~Bp*2Lv`osChaiQ+dS>U<`UK<zYt?97K`JdX$^PhT}|6FIt!~7zT
z>x@^*<9@3?{vOrr!GFU;q8W}N|Gl5NUCvk9<v7u<>ynXwZHQw$uO@pZ{LDl0({J*-
zE*bf)Kk(b^Li+dU8fb^{i*~IY>b)PR#!>XM9~$h8`5dK<>Q6r2^n>}gS$Q)HI^*x_
zrN`gnY4z~Oe|O{3pQAK8w2#kGG9CHB6ZVvs{XswCc^R+6()^eMopD@a<$9h6^tqvY
zlz+m<=OCFq_-S}ZG{aHEEswLc2hRP-@#|5*IG<(}?VndQR-C#1a(-R`9>&A>@jT8}
z4}bFUGV|yMCFdvSJ^SVJ^Gaxp+q00&KhqKad7(Vo%i|yL#r`y3JbT3B`c)Z^`>pz>
z^O!yOZ}=AR_k41^Kj-Xf+1)F<u1iM#PUmONe~tK`6Tj<{k>C0Qzs)XWyZLGDQ15m?
zi=)W1{m|09v1-)k`8QVHJgK}{00QPszOM5=Xjwj4JNRihNcSU^%qQ*(X+B*CjrsH{
zB=gC1<dctID97^@PG$YXj(M*a?}&I!2k*jA9{ZQ)X}}kGdPBfhkBi6k8#5lyQ`I+}
z$Lt{<hEL++--~~rczm9Y<<Fvkuf74vc)7kfl*jq!{-{3sWA@;O;am9QaUTI+x?bKC
z_~UbgT$hae)_?GtT}aRCcDzf(`=;4z47x5Ed7laS8TT!~aTN2Q9sdwe%&*rV886o*
zBfs?n{+eA#|DI|-kE|W)M~ttyPvhfxBG`%RI8+?n&&OvqAD5zaIv?}=pq`G?%>iGX
zi}viV>$hY)o*$}@`@h*k{|pa_W;jO2Ik&qED8_ld?7A))`8yrQoPW9adHu(Jxh@&`
ztv~SF>_U3}HPAji@3D5McRQ%YQS_7N`LGkm5vtYaJY%h^d(n{l@%z!5<LKu-v<rK2
zom&kp9mfwqXFQ))e_dY@$|H}Dqw3@Nh1o;@3=fHBIEwz|{jm1HxgR<HU=|Or&w0j*
z$6>|egCHo&>k-ta@wheMtFJ2_uHTmNcsx`e=c(C4{|w(E9xKJu={o!&`Ey+UxV|dm
zaeq`F{V{v+!|*NqX}6x;F1ydkuIrMK-}(<;vkU3-pdByAo%!~LcwLu_yq(V95%8ts
zcZc}*h~IU|$Z!3Czh)QG*Wq@4-znZV#p}9c<n8o)F8BMx;^#c(y5qWJ<hOpnU$dLU
zdrfHH@%hGGvWJ@pX3zDHWIUc%s*iq{J=ixqB%0wU=KbB!IPW^m^R)qAt;-+RKbrBl
zKdO)Zm_7Jm_!j=O8`qD??punx>ynY*`VU^S3prMvuM;o7$j9+?T{7~XY@V+d{|Wi+
zx@6?He!yR|+kxL3#Jf+tu1iK<%S-T?y$*Qq5$^=W!*$8Xd$RNAUh(gj->yqWe(MMP
zHM@!5`Tk<<P(K1*t}hTciu~>i{fPUv*XKNA?Nbk<q2D(<UM)UH3we&`prAk8*I^Dv
zZC5}3@_gZnaiQHt=v+^yn%)>RJ-MzKFnsYm;!VOoC%o&<k76A7oHN*MT0P<r_>1Sb
z`SXZBF1|hDGd;0?hWNOj^1P@&;No*pr%5*$?E3S6?-TD{@tO|a%~?Fw1DD2Qv)T=)
zo#}}`Iv;8>59!ZL*>|4Mt{89kXIQ-9^U21b>EPXw`LjRs=YF-DC4Wp${Hdd!@t!Mx
z=E@)E3GGJv^MH8wi`R7U`t`Msr(Y*{zHCvu`D$l+;!lI_z@OFfXMy~2p3rWzKM#uc
zfOt&@uU}udKYqUJ{%lpdg=%Md;!iK#fj^JSpGES=c|yC<{yZe!gW@$k;T<pDJ>ne_
zZ$Acv*Z=2BH&~LF+r)cFyrzTK&%eE&eje-n<UHp*U8Z)XC;s%vpQG|;h3q>|Xg9i_
zkBIlMcufcIfh;dQUhdC!wOgrnrYHWKE`MH^Kda@B^MrPz{n;VjBjPn3yoWP?eEoEP
z9#y+FYG-=l&zbUvKlI|fT`PZ_C$t;w&tu{}DqhnQUT^Q?uJMcOJ<ald(rnrH`;(s#
z?^9^N{oVA0H<TCmcODo1Y2jU$Y&zb|Am3d2b1UT6F89~(Gk%A7pA@g_l1;~(9jxPS
z$A9<gadVut1J3mj=OV8DoQvp>Ia+HDXk0dGT>SYA>!o{td_Dc7{63~}F+GVN(gjB?
zK1csMg?~YK*WC}tFO(lvkA4^pc<^O2&g<Pzi04J|m=3!yncmm@0W5&TrTg+h?8|2v
zQSteg<EEjU^=GTzzsE9N>&UsM20rI+gn1DC^3Tz%c{1*<pO>|tCY^Q<!0r^;^>y;M
z(SQ2CT5;${J@-d{zWg>Xmw#UFzq4MS;r9#g`;p_1sr*ESA5pol6OO09`{3_XNPZ6J
z<K@q__xPNRg_-_4{v6@+{#kL0c;(Nz=X<-jUhL8M_M;)=;m@0QKc*rc#D(*Xv7bvn
zE#yx;pYi9?J0HH(Ykc8+VeIGT4~TE3WX^{lCmY{>^~1-*`{D6$`{BF+?-y&sw?%wm
zzxL;=6miM<biUAB(1!16@g0<ZKK||eTPPmi&%M9?Ih^<7s~A7#gU@q+uK#zzL4NMe
z=eJ|rCVe;c<nsib=f(S8BdAaB6MQP*t2?xAy8dJ+kJr(Dy{Y=<2<pjW_OQMfK3Qk{
z{_Lm4vopjaK99`%;XJ;Me<t9o+vJbypUrsOAJs>H%pUwOd<%a-i=(z{1b@>wJO!QS
zB|N|4KIXb)<hTBV*X%-$b^ZH2;^ptz$m_af<UQHz-|rRw6XJJWGV)tL;IG+D{N{Ns
z=evI|$l9TP1m&%+_jU$5U0?5c9?vvhJlC-v^7V7jnXjH7KCUo>qqd9rOFs+;zpOZ0
z?|5D^WEI8drcXoXc)p;xn4avbp}cq=_Cd|B?^C;%)XsFY`~FZK=R-cP+)vNr9}o|(
zLpbiPKa=rze^npvkDER8*YHWdeO~49al1b#yHCij>ynYb)B6i~zkW#kPl?}k$;fa0
zf!}5q(&q{Lo93IfL%rKUHICx`!Vg0$ThGEc73Y^b1HSsI#?SR1$#^{Os&6`v*@HiZ
zheR_Rg+Cs5$9vp&0mXb9kzLm%BY&rH&-s5;{4a~&b;-zY{ej<R7t-VIetX`#-_MGd
zzY}Gix-Qvtyx9St`-NsWin#n3v~(VGJW(I_9p`bo3@qdIn(Vs%<Dq=idZ7B~kJ*Di
zhKHoJ)Sq_!eGVwveNlE@myG<@fAE@JNRK1W+tU2CcBuFM(TmiN*Mpr=>m~Cq<;i*T
z_40_;%b!3y#`&3Xwl0p^PkkPFoOcI&b(yVijX~FcGUM_2p!zr;%^vz^ct|wEQH;CC
zIk&q9D8_k(?7A))`8(bBa{lMV&*xY(Z(Wy+{MH}%ZFV7j9Q)BejlZ=+z1u-Gj-sD@
z4m0eG8pnR1Ql9)iXFiS|FXtQUy+v2i4fx^L+n<NVxQ6|zF=%?SuZ8lyrt`afqX7>k
z_sg93r^I_m@iaZ*_4C+JUwkijEZ)81JuF_+!TW;p+2i5&cgFhO$&F^Ph{sQh|5fpu
zp7^~U^&GcQ-`?+>_h-b*>p13@>EL}?e*bK!kDm)OZ!k`Ay;}_}J-^%so#XZz284XB
z|6C}KJU$OqA3q;9d&p12L!uduB0m>FOY_j$1LuAao#NjJ{Th|ei-1ab#>(fBy5Zw}
z`SobecsswwH~Nce%fChZC&}xdhsO8}fRFJp9q~Rc{x5|3j@P~Y;@hY3bN!1MkH=f}
zasHb<#Lw_8;_Z3s>xHkk|3r58%C75@k^f}Zy<ZSN??*6Bu1iLK>ks@kyO6%_%|-ik
ze61boy&tH?QRLO!Fdi{ad_Bo|#>$fuS)TZM;{5IMWQqLo_3r?5=E+9oiRs9bI`%{K
zE9N2l&ph<~w;lgb#{W;n|D^a$2Y;XVcZTwq&%S>5!2s>;lr&nzG2}sU-F`xTIZr#k
zZpr-mXY%Wq{4yPW@%{_@{m(;v+xanq`gA=yD8ALf-$B>El=1XIM?Qq-@8fqsBhbiW
z_K-J*ha@V^n=eDdIK}fg<`;R?-(4fnQh$CCI>&XT{BiwID33hukLsg8W)FTCzJ)*S
z?EaGMu902WB_qGZ2fSt%(&tk<-d`5)3h}xw8F@Qh2S&h`#_LzazgGONOGbX{2mCd=
zkY7T+r1z0>-oxTuCSKPiBX6hIF**OQihsTMU6+jf)(`k=c9VGjT4>*Kp8pHkYsjAK
zM=~DIE7eCo%pU9;9um!P6zk)!L+d8be<R@I_d8twO2*^<s6P5*_TY!%Tlj-+;uw8@
z>nKV&zxa7C_YK!2Bfs?@yk-}2tUUjh;;o3+b;-zkvU&cm#6Ki{*Civr^#lHz-46Wz
zP4R9Nuj`VL*YXm4X0HR@W8!_Q8shA_WaK^B`SY*Ezgd2}E*bf)AMn@gCVtOF`*i=e
zcBmggIoB5m97TS=RJVS_{om_zp0W1--$Fyq6Tfct{6;r$)OJ}t{P6qUF8y~Xza84g
z-wT_L{qKcPK5Bn(UaK$R{i=AmzjI!g4qk81?=>(kyuZ$M^WO;nxW?D?1n=ijj{mp9
z`}vybCHNPG_w!cMOYr|rct77Xy#)X7h2PT?`fGX#{yzx+obaZX;9nB{S>a7D!T(3$
zcM5NM3I0C`&(G;NUZ$7e|FiH<3vYS}{$=6)zJ=)}_}>xU@2{9%g8whV`+W=3OYr|y
z_?hzG^b-6l!Vd^<dI|n(!uJbrdI|o&3ICMx-}Dmv?+Wkt!A&p0zbgC_;y1kn|KEk5
zFTCj``2QjNT;WYG!T+A{vxGOj1V1ADR7mEh=_UBz7k-lPrkCLVKzOd7<TpLRKWpX1
zdi6hr=l73@H@yV^n(#Y?H@yV^hr)AzC%@?>`2QvRUP$6iFTwwj@Oy+ey#)Wd@XrZv
zdV*hV<wbn{SoqiEzv(6TKN0?E;Y}~W|Ech6%x+`Q^aS6qeW&o}xbPFSADN!u*9V@s
zAN`r|uW0_7p5XUq_&*o^iLkE^nx5cC3}5*3b;n~v<an80f`3DJ{~Xoy68v8XzeD_{
zm*D?W_-(?QUV{HC;r;Vh(@XGg3h(DvrkCLVT6jN?G`$4>4dMOz$Mh2X-w5y5d8U`(
zPYCbVv!<8e|F`gdAHehy{5OU7>vYpg@P8}3Uk96Bf`3bRzy3761pjx!`}Kk8CHQX%
z@7F=5m*C$P-mf1`FTq!^F7P_5uKYB;1kX3s5bxJdrkCLPCSl_J`oi=QJl{w`{0T_T
zU(-wQb>ZI>-t-duIN{$A-t-cDukgo(H@yUZitzm2H2pWd1kdl4vA_F;H@yUZs_^@S
zH@yUZn(%vtH@yTuUidx2n_hySApCQ}n_hxHU3jijjF0Ih_%np(x=g(3CHOOi=RQQd
z=_U9+;koY;Z+Z#-Ea7>cLA>b+p6eIN<9>Ix@cf<?@unwuzpw1qUlWBtp#9PG1m73t
zY2=?I{F9o$rl<I9zkZMKleAx(p5Xm+IQM6=@Gr?9(@XGEgnvqS(-VC7T&>uzrwaeF
z@TQmG`P^mBmluUMy##-*@Gl5&dI|nK;g1P#dI^4-@JEF=y#&winbQ9w!keDp{c}H$
z{{_P1Whs_FrkCKS3y+5~8s790e82G9gf~6GkC>mucwH#`artk034Vs~uM2N_3H~DC
zUlZQ+1V3PY7XAzfKTH0bUV^_^_?g0+p5QlT{FeyN?_F~KnqGo`ukf3NH$B0>VDq%_
zf2Qz@)L+v}@RtfdUwG3?@RtccOL)^u@Rtk!n${E3OYpOV_wVDFUV@)3{EOl@J;A?}
z#fJ}y<a}8u|4lE!&k=rs@TQmG=L$bpc+*Spd~PrO8Ik{{m*B4y-oM{vdI^4>@Gpzs
z^aLM1=PUAOzVJ^e-%L;NuLqvEUtA^pH1*f?1n>7xef_ywcz#~V{5L(pAIs*;HNw9r
ze@svCJ2QW{|1qA&)L+w6eBg=xUn@Mnk3oM-Pw-C}PZ6K%gnwH6rUUQaD?f&M-e2z5
zz72t+7Qc@|E&cupXmHe;XPEtoyT4sLN3>6K9?>7h0sU*5IoR`Yq@I3%)a%`!v3NZG
z&U1?q6u(<>o)_hh|1RRA>hCGSzodGeuhL)l;~B#h_vO93SM6MW#q#f+zt<SRdj`)n
zT+i@x;vay{``J^ae@X4!{vS42pL|}88}ay^LUZY<B&yl(1nHmq{i|w!z4BtM@iv;D
z`Wgy=Z>pZHd8`<terSw(|DJq1{-;9wLGvfc<6ynk+>d^+e+%WW@^6>)rjNJdUEXB>
z6ywU?v48x_{8_?(pnp;PH;^CpbKX1;JwMr+JbC`4`9=O;c&Go--?4ayXh8l_rupBB
zcaO!f_?@dYM?0V2)YEzF^?ttVy8C-$h<E(_XMzE0)%RuPysrs=s^Rm7;``aw9-reF
z9@1d&$G;D~$MUSeuLUpj)W6rB<HP3@1>WsBkALnt7Y$RMyj{-g<K1ce<{LqgSI!6b
zap3o`_?z1Ic$yu=;c3m61Ii0)*5c1JE9)7y=ZWJz^Y$M4<hkNL4<;F|)|`Zeg?9aO
zxT7fNym=suSJh<le8*L&$8*H1TiT50gIT$cKg}_Zrt=^4_X0`dLI12B<P#{TKVQ^(
zvQ>OLL!QRx4?mQZk7dVrz;Ep!_hxpsiSOCW&cj*xSaysD{MHWgSY`*R#bE~jN#fwY
z$DF2d9)aC74n#A47xAB@-Fj%;?*@Y3P2OK>)sFi?+RpD6&r-XAHtjCX+WB>Q_<dAg
zb6J~qmt^gH-C8JnyieQ8&&#rQ9vA<8GS|sg?Jm#S9Yp*Xmu0eds7<?BSv&W0rP}$r
z?fFPJ$6<EXt`GdQ=f5wlAb(r=IheJ}e>d8nwc~u_?+0xjV?MuyiF$f6pG`;k36xJo
zxxulnhV`O&4sq}JFt1-mzmNydf9`bCKR*{b{0z$hYB)aB7c1|d32}(uKffs}_jP=q
z&DUa`wf4w=!$ZoixQ_47@MEpxdo#S-a~@yE7r_wY?)yRBF85;z`cuR6W!0`aB%S*x
zc%bp9#rIhY|GaR|dws9sd2?uAT`GACB+t`LVjT^HHG8gOtM^%RpWyqfmxXqB%U)=P
zab2!*?iV<}t9t$WL-`VGhw~A`L4H8xRu5f%yMI%x9_8|TvHPulMgDogulvJ3)-$|9
zez<-2=YuNG+utfbtR3tb4)QjYTfO@SDL<xIJ@l0Uca7PD-p*gcp`QM7-h3#un__$@
z$27%J+vWT1w@$Np5YLnQQOkL3{K#9cHy-HzKI>J1w|aY!(_LR3^h-^Ly*kZd-0leF
zHyA!CzcZ9Cv3itSd&m!m@+o0IIJLSa=tEYHdbJDX$^LvbtnoS5zK<9Ve)orY9OvN|
zLcZ=Mo^djPKU^<4|33nIm={w*d98YP<`3s9^7=-V$9{e^l;33K@bgR~s8v4}%9mO@
zlnWQi>(y;;X9519|5l&$>spj^zR0i1#L@4kv@ZB{PCrUHeyl|gnyXd+kjaU=!~M*@
zrr%HX_I^I=&j~oDc8>FQUlUKbk6Q5f`sMlJJV!Gg=k@mfJ<YoiAI4jF@8?$aqk0|w
z;kv*$u;1ana&djvkJ7S!znuBu?el)SAHoMel5wCP9G@c@U*6B$9=eC4*8EM&!->1y
zj(Dtp?AHPD`aWzp<gbr|$JNgx9GABX*N?^Zv_HpTweovi$j2$+yyDdA`k>#a@|e#X
zLitTrp5*gAp?s;eL%HD~t-M}+*t&~(zZn0Ny)S;f{CQpd9+C9(7C(>iejm?po_D_A
zIqtO#=ltH@_sds=TMs{(KY2Un^Ycr`<?UwLxQ>qZ($N3=83&H<7WMbu5Wg7zjX}Rr
z<uU%7LitTrp2Yv-p?rz8L%HD~tsL=3HI7=9_Bn4%ZSm)E`4jGg_caHwVDr97yZGN1
z>|QEd^fTNS?`v8;^40n7w)jrmJt|(Z<M#f(arUmUzl^i%E_uI%>-rYionOCYQKfQ<
zZHHAzo<G;5_ej<y$3b!<=!IMec?#sGAsdi0AWwzldFpA94?vEGguok?gFZp>bVy#`
zodLNCh%+Jo7}uYDknhKhrn4l^hU9~mCqj~Fvg8!WsgUG92a*GOA><v9Ga%V+lIqWu
zJWq0(<oS{pKob8R$cspb_t9^EY@NLqe#gejcDz@+z8(MIy<N7A<Gt(kJMUVGXZiQm
z*KAzP_kh>0T(;oDl=t0p$4bg|SKW0r<#qEaSF`<^z&j47-=+K^L2JESU+Kpu9(v>f
z@>C#cclD<2_-G3Jc##<82E0g&Qtyke<F#a4tsWhq)cfM=m94`&*q*GEA6v1?-gkfP
z;@ht$ZvIvGT0fRAxN8IT2j6$?4V1UftK5+KKZ6AS0Djp(R%*Q*Kl1B+Z}nS;mgAL6
zz4hfocPyq{(Z7=K$*te^{t*Awb5`>G%JuETJLVW}>qfpmxBl4h#z!ePY*}aH@yJ8>
z<JDBX^?P^Bx!G{HE?z-7XX%>Nl&cmmF*yeTroICKHi-aJ>b-AhZ|{Ap>%DLF%Ha0L
zJg)HjE9O2V@4I0Bjpy|k<u@e%TJlZFzmoh*$-j_%L-OmAe=hlFlE)?gRPs+Gd3{KG
zydI<+hxy*GJm-7#ECC>=nxo?y%Ik*ms-e7UD6bmItA_Hbu`c9QLwSW+WBJs;@`j`H
z_i#?bDnWm4MXU7vkFS~@-do)2J-pLU&vq*zv5v`p@t$MOAHG+R6)uT~YVB6tj;P@M
zdtsi9YjkRF2ikXHZ|O<$@9dDDaZdZQ35kjPY~VG5oWG;SgBSFS;~z*u#P+y<(U>Xy
z5Ty4n<#+s8?=9quIMTS{_N2w1?bG)>rh2g7-TUXy27&vR@^bx1b=o2Sv_^P;tLFvF
ziEEFaX8fJ{KV9!vCBOTX%1(JM>he9U16{tS^<wO*^o#vI8UHT9?#On_aV3(DGw<Ir
zzg$9%{EYu}>DYW?e&yqo>bDQy$Ng(m9y`Cw+|CTy+1a6;Rh#a!_pCp-=}xZmjV+IE
zg5SNdAMNb${D5)b`MA%Q)PMSw>PsKr#{H?Wb<<Yv*IoB(K=xkl(B20(1Utjqw_Cr0
zKb^nd{bJcW(V@NNTUT*kZQ!-_CpB)oKg@VeLt=Ik&vSrhzSZGhtNp>(vp0YvU#oe}
zcAd`4**N>8f4txC_Bg)SUf-tVfU+L$n7kB!sq^#FR6;e~<yiHOb9vElcwh3BC`k89
z+_o}ameXF|uU7r%yqw+T`+;ZooMHTyz_3HRW%2O1@U6vZ9K7FXZsjh$+@+Vdlb!Z+
zu*-f9s-G8T{&i|^PM7xP$llE-X>V?q_U6hS&kHy%9^X#;cSV=>u8_U^PSW0$UD~@+
z_BdZprhoIgv^P)o9z98W^SiV+U-o|bB<)?*rM;_U@3&6U-ql^&yIS_1JxP1lbZPGz
z+54lDw6~y3dkbXmnUl14ZI|}0l|BAWC7lnP`005{yM1C|wb$CcYwuqV*W(Rck2iLN
z>+!DN!@d(2zJ7keICOfwi!dQhy^T)Z%ifRoq;uWz_p(#xK9awe{V?9Meiq7Kf#mfJ
z<wQt-FFV`u{IehBlOb`&ZSQ4&6_VHOlspfj<oCU%0?+H>X^`#S%RY+(jQj2`sZn>y
z^^4#4@b|LoK2>}#dq3(CKf`zOUUr_hIv(%qY*wLD_Ccn0zg_QT?-%dazc>y<Q1t8f
zaKX%e<?m%50v`TNvasNDK%RH7-Re*t<Kp+zx2T-`APy(J#!DTae^rm;AKW(|G#&S!
zoj05>;W_TWc|218$d>BP%l70&(2Upggjf9b-nx|6{XKy8EYJPr{R8sW#cMiv(H*k`
zfuneCi}a@Mw={L1BE~0wFZ(pbfqeWvoc!G7`E(TJ^lL;C0!OVG+7+K0c)82`z3emP
zKW=ZCo%Frzbv$o5v@8B{eqkOBhjzvHAv`_-S>%nbAKvj^_Ex;1UGcZy{%!qU_6q#s
zyrv(r`#^utyM8bGn`nnP#`m&^{2Tq=appC~A%3rm*DZ{<KWBmY$$Z$aaWfs`=I><>
z<;8p1-455eG*5(!?;Yp$0eKII*LCIr$}e>utp`=rH=T#)qx9SGkWg_H-~aRXj$1qU
z%k}R~eE-#8_%mSt6z^pZ`93;6>_=IA@bS3yL!ZA5nx4cblo#)1cRP7}gp2Xv=i!Xc
zA;rga#)I*39*>Xeo6d8F{5E_^e5{?~b3BR9g=o(_)coxFz3dvlI4=IaZtiRFe}<25
z$oFsmd)eK-uXkHuH(l?zuhtNkqgwxYUxWR{W^VI_>p`nL^!3W$4{bQ`s66(UY`BiL
z_gXVA{dr})uHv{-az8K~@ek{GvF>=h-V^XMR3G1OuJRpRpTTeMXXg9OCsRw_V{bTo
zE-(M8Gf~ga<%NsygXiaVX@B$l4E`3+)#Z5x`$5TmxX$yAl*jW^^-bq7dx)FiTjeKs
zI9?uSYY&{aM>UROzVkc>cH{fa&y!9(c>I0l@%`q)`+Lhrz2BU93BIB8DKS3c^Y@`I
z;d;Vy!$I;sCiM6{j7y<2&Wt0^Ys?<}Hy%i?6Y2ZSiD&*zQ2udT(QeOF>HW~zKd#p(
zuX27e&aQJmMGemWt-pi)ko1rC(f>iiLq}tbS6_^)@G);KFW{fgi}#{E{i>^-=eg(S
z4AjDp8LE%@sq&bgvw&kfE`qEj`DwT$KWD!aH<@~B-{<oIl&169coV;AkL`Rv_w$EV
z?`1!htb5iBf4DBPJ@*OpZ_M|y4``gYj&NLjee?WR+;&+#{2c4KrpzZqDy|#64+>t(
zW6n3zF`gWs)E>v1dEoY#ryOs89wp~LdDt)VxX%1bdE9T++d4)bvj_hT4~b?tih0=1
z?qxvHF8OHJb;-y-H^ec1FO%$@@LvuT`THTs@495<xBkFyvkU3pZ)u<%^U3qe+M(X#
zhiV)}Kj%V&o%kFqxNY@055IRr-h8}69K!ETP(O+J%J^%3#_z9tJgpx7`1zSjKTqR4
zVgI*5vj3(dKL#`2JU;@y$d5VV*&!a++22<FslMqvW)FTE9um!P6miSrW9@<S_BsA>
z6ns14yey1Ed@p+)fki%#it`n)hjnw*c)4Gc+}FeTV=+H@f1U9^2+8=Hj`+_D<?(zi
zkAJ`y@t-fA!{TxMs*I-(I^tSYebaf&9{e|ai}-s!Io{WWs{?=a2qgV?T{7}_IzMy%
zYs7y@{H{wze(MkXHoK7R=BKqoz1smTjv~*FLQC_8wXDzcZ>;&bT6wbot(pJ%x_+(7
z+vStBgP(?jq!;ufmCUDb{#oSHbpSA*cs|PUFdg~i;}^<L{=Mw{e2l!;(++qS279r8
zd7cJ*k*7DvzdrG}eq+Yd2c3DU`lj=kJ;cNCNnHH*TkjK($8{`!76p8DlKgRfamM5R
zs6P5*_TY!%TlnK~cmI9f+$6g^Z(}@NmyG<@fAE@JNYCqbyi3H(^FQ*sE*W{(qdwiY
z&<sa058Ck$0mb}kh~IU|$Z!3Czh)QGzlWU9BWs6xkB_;L_|YHi#QoCibDpv0<L1!c
zNlSzOZS&RlZ67Coe#ZW8hGaZlza``G{7`+||IHryXLv|7!!bI}x!q+z(e6e_+I3wr
z@^?CpIsbC;ZxO%il9Au~1Ha8Kq~~7)?b7_QcBpqdsK!zBlkrDC;y6OJ`kZI1aeNr9
zc;A(FHYexbA^te;);N3q&NY1Te%JRyXIu{le+ErY*2g;P>G$J-r+Fmc?7W2jhjzvN
zM1TL@2gJ)S25`JgPk2ug?{nfkCf<G+M1Pt`r5g<Pop*(JCy3W{uDgLJ-e>mn9e@Ah
z2i5L{z&mJq;?Jq_hyCL?z9{?76WWdL=dI$^@6iTL2k)}XpQFGrUhdCrYWI@-F+K68
z2lb5mi2Qk3{v1Uqc|yC<{;Whj$CH6z+)W3s@4uc0zK*y*A5yzl<d5lzKfS1@KXni=
zKCj9j=Lzjb`?E^CQ{|88;AQ@UCyp2OG(NYh9sAFIn4b7Ej_$x8UYF9J*VNv5Lc7ua
ztQIf72SZ-d6JF35?{W+P=hYp;_Y3bjRN{6yev$mJ`ea?hmxGMwPVo$g$8^~B>+1A<
zk1H^b&ochvx;w33hWfKr{{|%cpT7^%c}G-laKvkx#i@vkm%H@$L3;c2eUL-2JH>$h
zefL_^-v`O{hxJpldVl|-^QG@!B%b%Jcz?hI{Bu6nbLsD2^y@aS|Ek8z$Hm{{_hA5d
zUbZHTPs}%82RCZm)GqEv<mLG!um6~5e&2BoG|sQRA>Rf~2mf86Jno}?Y?j<VuzKW$
z`|Z-NJ3kWI$Ik~$2k+gXJYM(YyjEYryH>mh<*(`B^*BvJJ?}^CQ=WcQc#b3Ihv^BP
z<DB9@CcN*PrkCK?3BOtVrkCK?3%^l#(@XFhgr6zA=_UAkgdY&z^b-8N!uJbrdV)V_
z<wZQ{H}mB!;Y}~WZxa55@TQmGKQ8>6!kb=#zfbrh!kb=#=Q!E<f$*l6;5m_rKP0^A
z3Et<O=iB|l?@@l5p5V6`PZ7@tgg+pD(@XHoOZu}zc+(U7ezRNfKj{4O$Mgj6=jHDI
zR^c}aZ+Z#-A>r2xZ+Z!y{ipwHg*Uwf&+|dz*9dQV3H}k`d49n8Zh8rRyYN$mH@yVU
zxYM6W!kb=#e^hwC9yYxM|CsQ8-Dr9V{u9DC<d5kIKCJ6SK0Ge`KH*JI@L^po@ZTZ)
zA>mC2egF$D*UdLj&;8n;Q#2mBpU1F%1RCpE%JO#mwV#i<^yd_Dea7$a;`51o-~Gb|
z?c+I6@PqvNy-c)&VzYN@J>cF5{x|mt->-TU<ES;=uJ2>}wf~+_yZv3ZGujq^*dLxB
zbozXiH{d_}=YAbUYxes)A(@9Jlh2o~NBLBIp7KPfAGLpfS5`g&I`?({O+S~sfCY$)
z@nAo;c91JkN`Jnn_<T2X_%Z7K^ry1&vFsQR_^llz=T&OwN%7S&4#=x`fA-T^`B-+0
z2mIC!awpoScA#1urt=^4-{UYB8rG9|eYgV=Yt?Q>)-J#P+u5ewMOiz}OWJz@c+Mlv
z%U1RVvUW$+?j^N*xlOx^vv&Q4Z#4b7hk4)1-X&SP{Q5-S$B+5Jd}O|N^8As>$@53_
zeY5zSkpp4=P4apC4NM5|Hh%{f-kcA#f|93I{yFMA4y#>Q@A{g)9v%zj%@-y8_p@Q&
z?u+*W@^+3ptoV9f<n4~AK6p`U`tQf<m^X;)BwttbyS`EVP<iY}pW5aAObg}z==~VT
z`k~(?j_QZXV?XA$>Bqu%sUJgGKlHoGQT<SP><6EFz;VpSW9_@tkBwPB^t;ee{ZM)A
z$F?^8c;a2^$5UB9^t;wk{ZM)A$IdqW*z+#+V_((}{VsP@KU5z3aj;E4j=W3#IF|K8
IzbkJ3A04lEcK`qY

literal 0
HcmV?d00001

diff --git a/Individual_Project/FINAL/EXTRACT/work/_lib1_0.qtl b/Individual_Project/FINAL/EXTRACT/work/_lib1_0.qtl
new file mode 100644
index 0000000000000000000000000000000000000000..8e7df8ca029a5143b1999c567e150144a015c699
GIT binary patch
literal 22681
zcmeHv2V7Oh^8eYr=N77$E&_5XDu|*~5gRH92r71s4FyD0kSKPE4Wq`cNEESyy~ZwL
zCoz^t>|LHM#@@T(KeJ~KUT^e8egF5%`~Uv$eB{iT*_qkda(2(|ey^rP5K2n4S+N3A
zP1YGk-|<?W4q~`y)_D>2tg@qk69q-jXeL)AZB+=u>k^H1BjB|l$8<qZB<<(&<-m;+
zh_gTQry(nl7l|F0la*3>O~#9afErwstdiuRlATu8ljI^vVQQe)3LYRH!u@A4e<H~W
z;_+98I52M1!?@nS9n1ZKQ`v645!1^;Ei7*>ZnqjZh~G0t@<&Xibh5@kuH|x(=PUbX
z_7D%#2g=m+{A&#K2LTWDnbr9t@dyU`a(=R(ACyvgsT9xjvOv+FTy8^qIZkj37tJ!^
z_G^KIa!X=?_GLV@PZ-lv5NvO(&yKvEqj_9R41QE5QXEf9N=iU)oFo?n<jblQ-}#H-
zv4WsxVG^K@pkM>E8BiUUi-J-UozOL26jYj|l*BGb8ziMM8k&%nfP7q9pT0=ZKLOgi
zPol3rKcH*x{>UdlItA*M>gI=h5a+{E;$x8S(=RCvX;PmQ=uaw5WJ(L>Ke=mC3i7i5
zByQJ~(-sMdNtm9T(69&6gt%VJ-e2eAuN$iKsj2hn-W49;F@gO9K|(rb;hD45b-sb=
z={jG3T|j(yrk>{8RhQ-$2!GvmY5qRaGf)u09TGu~EZqH2D6zg>(KkpyLDB&^6IR#x
z1*PlK)3G4M@JCV)np?H-tYlrgJ^}KeSw!A|29>Y>gz0cWvkF?G)3FCdb!#XT2t%v|
z=pTX@<0Yzq#zJG3JXNGx3u;UqVJ=`jDW;kW(0c{N0&~F_C|RcvP+PIvT!3C7h(&@1
z>2AzJEEY7F_<%7)`yOW@DH2Oeq{K==tc?YH0|~Kl5X{h=*a{vj6FbR4S-}JICiW6r
z?tRul@L=YSQmWJYY<aHiEM=#o#6^XV!du(oYm?UBI+N+Yt$M3Vz6XzMD(|#5Yg|&?
zeA(o_KM&kj=2)c9rm8P48UJIy&8*{=N5uwJ^7D3dX)wJ0(7MC&Ov$!4!*0(Tef#Oi
z;dN@%zyEq{+~m8VJBKW}>{4QS)^?1~$uni<_bP7E`XAHw2UKytX7c*yw`C_P*KU4&
zwP9x4)b+1AtZjMh#-tQ#?UcE=?W)6_GW_p5_s`touUL9u$%Vz`cgNivaOzI)x9O`|
z`kCf!h+16ZOpPl^+mc=uI1Z^8yfL-kvfsaHyY$th;6{7Pea&7ttxl_hI&vl1LN#nc
z(v02Lmeh0EL%e8%rJ*atu;ny-Ev-#E(Cx-mXe3>2<l4GG>C$4IOPig<t;II#626?e
zw%tly8szzht|0EAOI^MqJBfezGE%V}*+$(O<hnNB=$c!THdqZ#TNIGmG=i*DRHf}m
zzQVOZZcWmBqbF%X)=&@9nQSNZ6cJ=CsVcT7yA&?#SfCm~t0kjYufiTf+_5#_D%KJ!
z;we^<I3YC__z=>Qv%r;075j2723$CVP;n#YwZOXzq=2(UT2hhNh(F4<(Ca;lC^g#0
zUXYfal>$3oRRHPRt@8Ek=;*vW&tRWczm8uXxO)DRw<Y+O+>Y7)`W+E|s6mCaCJN!v
zR#CXp*bhdI(G!IuCQ9`#cN@P*(rgCp<F7Kl-hqzWjBg6{OCb#}(t*p=#wX(xH-BXP
z?(t-@&yf!F(iopiP~1OfL?3)BW;?2ggE5J>CL7$Fb`q{_9zRIz@mMwfx~k-7wZ$kE
zF{(jg`(Txkrx(e>?HCZz4j_eZjp>2|8vnUL)x??|)n56k{519K7aC%|m$n_lwB8sI
zCu%~qHB4G{d-1EdZJ|0p##EI$?`IF;Sab6G%J06R!tYIm{qu}sYdf<HhSG)4qzvwn
z!Xrj>%QDfelX|T%t)pu0cJWvm)0%dRCY@kfBUX~@jm+rtoyx^uw|_=vTy@`TM*3}0
zEgo)0lMgGG&Bi%~#8)R{de=EjOy-EaD;bfi>0-t!W?uUlsqxl~w)t6kdj=C+aVHbn
z8PTevL}wc#Vr4IO_G2Eh6G*e4aweD=Dcn^J+ndo@Ba}`GOrhpV(p)vgUY%6?YO*l1
zDD7vZkh4`N?q)8&eMYuiZ!<?FtUV?;t*p>c=r#U?ovAp((KOD(n9hmQoIRx?v*(eJ
z+N^Gm4JCt~lY$$sR;q+S`%T0{`KIXus$&)b_h_Y}=sXi~@nBQM6%*?9+|>I%%e?Ic
zW}3I63?0;+6zqRHK@^%y6>qyKR<$uFar0<K9i~@%5UKGGbK2@5-G7BXI-w#*v&kKQ
zX8T7^vg0JFvE7_5XheLbP<zX&KANFPquZ*41BXmsWhy2InG)-Ura_s;imDEp`GF)Q
znJhV^B8j<VM<jF6c`CW3BMW29N%C~^#+?L461(iMu0*I($3z<!X*zl|#O!bCog~Fv
zB*pv##N37y<o-5+3T<Lc&#ZkAsdbpC99r>wd(ED$UeO9+Z4bfoQn%$oW^>`j-gC=t
zL=-=*y0-Y@tnJTx-8ykTF8X|c+11-mb@xZvO((Mp4>c-o7TGBMyS_m-m*+ZpuCpm~
zDT8z#)yvj>bw;Q8-KT6^97Lm9*V~nA5f~hC=|EOB%Qa^oKlk)G@7b#D>~*n+x5Yem
zz86q_vhFMMIxEww&gs3S){gc&iya<EKkR(yanGHebr+qpIplWs`1;<h)phn?Y2l{n
zJGS!J=%|UhDj`ncKM&vLxTDjAry)-l5!VaJ6L02p+IV`Jc+=rgp2OIby%rOzj{DyK
zM4qFY|AFG)Z0y{f-b{OS&VEr{9~=sf&Pwyox(K%w_2P~_v7a%Sy#vtp_|ksbOgZqc
zW=h36X@<m^66YyJkvW^?6vf7ZIr0yTg)&Hq))>CF@xj7auwW0C#)2h#u(A`Z*n_pb
zU=0s!uC##%HdnIA@!edBbEw{2$!1aqERjeY`NYaum@6?yQeH}R7ChL9tdm$5K9jm4
zONkpL?$Xp*RB!T>GTF`JjK;+7m^vqE&CZ3lE_62;+`;Eg%A@T)hn!KGHNSZ`;`q4n
zX^~0ZL*5>@^0EuA6F#J!Hf7|(>b1_)nSXH9-I~dB%KdR{PEU`3BmTBklG4(;b($aJ
znUr8^z210C!;?O9Z{O(nazI%2(M7r1Ca(RCM^4$ZKIF$@Vz+ovdi^H5ri%7+2K#pR
z&aN~@Gjhb%%sYvdnz=e3csO=Pa&TPb`ImRu){mVrGdgQ$e(&i$R_>0f;4*x{{=^mI
zj&EBv@%xgLfm{4$_#QsgzT27N#CuO;Mm?I)WPDC{v!S-3&yx!pedn-yVYvl+j%^xx
zwMdbY-mSubjXQ?eIUZihMq`1`v=zwO56raH6fo1SR!B2#kl2A17<q`1bQ#RFTa_;D
zU@~=Sw1&8xbZM~2rFpJ<d$L{O61LQ(;WFaVjI1OsUFZ&lOC(*PY`Mj?3)v;Q<p!6|
zwe?72vP$8h_=;>*)WV6CR#mhkyQtg7dNh(Oryh#-Fh>W8kud#w!IZm`28&T-nZir#
zNWklR(=WC=PFKVgZg>$}EpaB5#mW*Z5DNm6sx#4+&Z@*lOMHl3>9m>(uZTaff_Zht
zZ4dT3<xjQfc^Pg`2HW|D)V|+fd)UhznuEV}>bbc~{Wm4}cQS>!U-%mlTHK|=#uo~q
z?{Sz{=hiDvgwd|Tk+v|e=4kzDl4kvApC>S{p8xmEt2icpXkMlAyqdq#MAb1~JiPxv
zj(E0~`gWSC)@}9dpJ1BZLE8?-Qjj+fiPIxfsy%JeYSD}4IL%(EukxC%Ch2x`Ts4+M
zg^q-5W6CjKld#8TbiqlD|I(liV$F@}D?L?S`&A@8pG-+q6TdgK{7W`O8$BfLuQHqB
zLQ)~yoW`m(8)=YMtm&%u3RmS%RL|C^h`lQb31j-3#uFDDCgAI!5-IFbhE5#eV<Wg$
zCPp2%!>9eqg~I$8tx7T7B+8>&nDEOuRX;&P&iT=j?#yV_FQj0i1r4ukvaemeT%l5w
zYH_A|d60?>Za_wiVL1e7hzpKLkb?^;Y-vfiWSY5EDCr`k6sYWnkcjIllK+w@uBeIE
zbLxGc*$lZz7HubiX{;KW-X{A_kvBV3<alFpJVQ+;1=AjBOz+ukvi&qstzmjKyO5f@
zSVp>Zl6TvRetk`8^mBEP(2G;)^Q!Hd3O%M+i+8J%@7q>cVI!m`+HCoS1kELamx_2N
zkc#J3ByJ3uW=saz&=IxN#5<H$oUJBtgl1CG+}f5-bWk>}LH4JR%NNNX`DFcYms)CJ
z@eM(_&t`^TQZ++M1?!e3qSZ`gTrK!o2%~4S;cG!fLQGkJ#~jF@8l<4xpxtG}LmP~a
z4=|zgFDcs=&}BnN(KTc8_7%0crXu7uNqx?Wck(Xz$2nG_shi22WV+xS`k_%<SnAwi
zcC_3<(m#_fscB3tLg<lcDzaWnv^th-(~xu>D;b-SHx6V|y=2(KXw_ZZdzAh$SxcT&
zGcD<a{uEEQF@t|}wWC)akX?6&T{6||n_{}p+=8z5HudPpGL6qCV}?{L7FBzd-N!06
zJ8Mb2ndxQRO%N2bd`VPN=4n%nRgP(N5WU;gg8cTtWc2U-+NlKboTcbD*4)jx3~g&_
zw97#uR<s}$hMW49Ft-if(*3VU;mdK)%tWtCMpmmW>ChxI`+d+yH<6HDs6#fLB&I7#
zL>qFeKt)O-$i%5kT-TLU4ka$oLdk@_feA)Ql+>;mh9)y}(aY1QXA9afk7;g*U<FJb
zZAVK2$haYvw8@WV{pYI49wYLcs!3rWebJk#6rLk{*t&oe^(4Jck_Guzv{tBia2z@7
zG~Zi@Y_Vv)U>z%DC_8rAK5_J$JI^9d<dwO<esodj$_?uh*2Okkm7QU|dSw440XGlM
zyti#`hl<W?*N)F{EVuEz-_XNVm*=iMYv*Z{+%jooqq5d>^TIAKtmdvR9NX(o`8S<f
zrn|T6m(pjStz|-;_8FVMdzo^o_PIA(u8#>YJ&~!&zuvKRr5Ag1n(V4Ew$qVsqnCI+
z=(Az|&e8Q2TRd*DsGIYR==r@u#_u>5zqI6V?&#zpDTC?@cb|9-z8RL=YsEJ!F9(<n
z8C-l|*yLLKhCjb^|7rAC&Gy^bH|iWr?r%3i$Z0!wmRKhwCUV5`-}*XLc${+hWx3e{
z+lg%+&FXot#|zuKxvdwS3QJ0=J$u(p`;u<EEq3+Ud~eOEp)(Usq+TCvG2Ajb%q}d%
z^_%`nkImn0mw#rk!DhmerqX7@H0&;L;YWd%=xo>nflmgunNZ!lI@?SL5rU9bXI~OA
zLJ%g#GA31@86l}n#T2@Lu9Lnmp0cI+1$AJr)4tJX#$ETze!R=5`tms*Va1L$i)_M1
zwA$xY^mStHsloR(RTDhE4n6!VdiJG=^3xp0`mIS!x{@*YEAd+g@$HB_y5>}@kxhk@
z?d`|xuD_>czw*w@yesXWUVq=kfDT1Ic8!e=d)D1m&h}Ev{WE%Z>YCqXVCTfD2j_RZ
zAD^9{dUk#P*$1kI2PTc(SffJ466{-i>fcRjd|>AHrbRB{t6}-Pjj-kOdiT&36qe81
zsegDLtey*KjrV3??ABN<r3>I#Eo^)cdHov#T&n1gxx$4DUb~QgvV`5{^%qOnVXaP$
zRSHXg5LWk92#KeJ2?e5H9`bCrC{&s)wDU1)7su9kV+v{g?=VMFWJkj4D(RMHqT39$
zpExaEHTR%+EK^zuR;Ed<m=;?Js^pcR23LYAQ??RR{dpy5%2t9(!<C@Qa3!deR)Weu
zuLM<Ewh~nRc_pZkR)VK1Z~lFeLMS^xxOPi;2+Nm+DNklPOj>_@Pp!OwOxwe%V*56Z
z#vQV^#h#hl=jO#b6~iuW`XTJ>iNO<ZUK&;__L$?S*MEpVH3-=gT70at%he%$+n<P3
zrV^#oVv#B>(_(%+^oRA6lScw8-d(iO{<xQ&`Q6`pCl&V9j!CY&ckN9F^}MBzS}r?X
zYvJwad#6V%PJY(+hx!w`Tz~e|DJDE}%7v2QznI>*9yso|*MFSb*z4LQ`&xp3XCK%%
z4bk5>W!ng_Uy5B$QMzv`?W-z*$7O^HD1NG`fmQ^n0qP3W2&f-WW1vw$O@IOsoH=g_
z6!&AzfVKo`4)i|IGC&icOKO3pfQ1F8mYiAv#U5+RsU4?ffr41D=e!Hh=D@oGML)2O
z$kNMk>cFWZr%s%f2a5W*U+jhs1*+&bpi4j4e-+c>g?_NzDE5N~<S`ZYg~c}Q!x|#r
zF20oyQs0Q6wn!7ZwZ{Elu}eVvK%~KbLLk~pdpcB!i=2PvAIk~pDl7-;MFdxiL_bYK
zBikU23~gN>X|yh;1=41Xr8rtui|K<rY&%zDdMWX^k1P&IipTwGv1{)xEUvzNdg4B`
z7?)P95z}j0KN@MZuy(DGwy58bQE<n~58LBmC?Ay8w=2@Pv?P{Kig&*O$O}NRK3KQ{
zWgk!w_pTSP0|-6H^qEA-a|8Yli8tYTCOqBbEtlg*i2lAk`p5lyL&^ktaw!m{#!zl(
z_c1;O6TlyD??3JT<7|QHub`o*9!04f-<uLEjV<Rhfx>x7Y21zar^G?MX`mX}Ie-oh
z7?Ae`Jv>i<YG?cZQ0;@5Uf-_o>34ySiSqs_()j|IVSmKq8n~32@^HtvWQx+iii?0g
z>V1eBo_DYpO3(kt^0DLjJ^_m5$M`<vAH+<~_7VO`9H^&XugJ^gLW=E<`SAXv;r&U2
zlNieJd<LnGQx8soFgZ?rm|mMxEvNW}igF-~R_7GIF!amAb~+5=XYoS8F}}ZQce!2-
z>jCBZ`2fr6!5&Ty(eJ0~ms41Lmc9cVoqU#m6IZ5>XD|Bkek^_`V*FYDZFxQN@leCZ
zLk%AfHGDkO@bOT?$3qPt58?ccjfWaO9%}e_sNv%wocpoyP!lPQhZ;T}YWR4l;p3r(
zkB1sQ9)cYk4>f!|<nNJ6BaajOyi&a%;+Ojo)+>&G8l~b}d@taQC2SEb&|WydY6L}Z
zoF^PVfnq-``w4oV>JRl~e@F|UASERZ$slGI#s}kik{T589Gu6WCBh4c{8UJVa<X%2
zB3NZ%I?{MFgL7O)fOde6i~3LvFi+4dnab&(OYbjNLTK;&UuJPzW%K&aGKY?c`AP2?
zoi4}C`K%ns5aX74Ie$KmWbtt&tBVwOX*py)L;8m2kNM!aw`5PVHUf|N?B%o@uSY&k
zc{6=D7dMRa0@vr`R%skME(Zb^&05OyMSIMbk9*#X*YnH$vvYimhmUime);&9#X7W}
zUvSU-@^R9e@!%IHeym^YKhS@B0{Nl~^Tqzf$8&ERR^JXp2NeTxdQ0iBTIc*oss7~j
ztX#0hIGCF+xMBR5KYKp`SHQksl!_#5zcNb21j!{O()SDdECmrIy#LM}3p?PD|2))#
z@qaFU2SEDVfm|-NvvzJbkfL6!R1RyV2Df3H$N2@?&zZLa!EqJ%noJJ$&GRF8P>y=&
z0P2TedjVgc$@TO=3>PIhUqE_8<`2@jK8(gp9xCOhmGubL2k3`N{<Wq0I1Zp6W(R(l
z9#AIN!_%;X0z6;<ee7o}f55G<e1S^+PMcMSj~}Ry_0I_0#_{^Vg9Xe_2lRCbp14Ys
z$@TKH2RY(*bSzyOhw!}s7A~6Q0e7%3%@6f4KRgZr|E2Yf$0Eqf^~!jWq@p&~Bj#@n
z`7l<X1DQRP|9!cXig)}T(l1vgFBjH}e!jTXsh=;-3&7W9df;Em5U>ke&h+)<7%%9T
zwj;MESg$CT+mYMDs-MXlGCT0Y^nfzCUcKUX9@eYej?6E#AM^8pc0_$F?*d*<EI*b@
z2Ne4$wma}OnOrY^Y!B3v+E+u$Nql`K2R*spgBUJK9N-T64Vgbk=lXEk#N?qmpx9ry
z9&zGwx&O%e7%%!^cHoEU0cCQ%dT;?f{r<)LLiw2=poaYm^|2nD;g03v^+$A^m-`pv
z4f+@618Q*50n8ra;r)p9GyVP~=?TIrUf=#8fO5}`1B&Ae8^2VFX*i#0KQNBrdx`al
z>2FIk-KC5v!T2HWi8$T?wPQTQ;fmS<@jN4<e+M=$pgpz^>Y=wkpx)giJ!jO1IM_T0
z{=K<9c_ZcL&GndH(DMej%%1!AlfFABF_hytg8AYd^F#WfaTn_y(o-=V^((+#zdy_K
zI_3j<rSqUXKFRn!-0uLpo@kHtFTW3l_B_AR@y!V;7|NB6_Gq^iB8B(Bntxa1U_Owq
zbVLR5RRSL4!+r|&$=(|*AJ@ymeu{DGfa16+=g;(^AIkAUGP}?p=F8imw7w1Nm$d`5
zuPm4Am$etjS^t54#O6hnA_>>)rh4D8l;uOGW50%aF8$8Ieu4aMkYYVx{Xw}{I{<#O
zwA`K`hwq2d?;l)eqg^K4v7FL=!aF}$pXi5C13&Wn1N|Y3&%;^xKBGUmzI4E$U)le1
zg%lrZp`G-;(LdSA?f!(@>)W9%mP7yjWcBm`oFa~+xbNfxRDZwYOZNc`@ruF0190C)
z)4P8H^e2@jIJh_N-)ItjAv&Nkt}wn7SLo}j!+(JH^F{0*f95RU3VqWh(BD*u7@(BU
zn%b<|N{LD+SxuIj$*r;h0?E=Zuv*n-MR2`!(5i?Mmh}c1=;4_Wwu+2emGx2$^ko0C
z{d(r#D!T{RL$C1U@v%_@TAcu-lEuT);VtRSc|*Ugc`sPS<Ce=M+soya<BBmTzoA_#
zo(@$8*E{sH#0$byNQd%TBaRU3)6gF@6_ekA*9+78@c1%#{3AG(?Qb%@clA4rr!RvH
zvEB{s<Z@Kuamspzc6h*o`Ac#Cxg0sX9Q%2ke<}wvgtytC93uBy#NyOswc|XTh_ZO)
z_zv)L80yRMoZ#tIc>T!way(PHKUq(XL)J&Q67-vzJe}}#BKuh;l`pFo42v*|WpRDB
z%Efk-{q*F1SUQZFz`{jK{bceunI81RP#*7LF5l0oobOb|ziZENJpBcD#r8C`lk3Z$
z*Q2awXom-7A8Jo*XY7yT4ANy3HlMY|v0}ju`46G6Js=H7x4}U5N4L<fX>3%2P0lnN
zjbM|LQ5h`_sA_+d*91G<75x}``eSJ6kD;)?3Tx2}{eoKocTHRNNa~J!T0%VTrfU)a
zo{bczBg{7pjwx`IY+4$J#J5aJd=JI_E{-<ujkaNfQrT#ml90m6C+FLwtHdYu>&NtE
zxjY){!M1$>w(aW&e*oL|>ju#6>hPDKOA7!9H$F4U`2f&Eo>L&e1qAB&17pD#xZ?Nj
zI&cqOKn;At9dZDcJ>%dHwxAHu4gY~Wu!gQib&!KbO&R+g0(~2gYa2Bh?Z3Gd++I1@
zo1Hk&W9n%v6kO1?@vv6M9%SUV7~+NRun>da1#K0v))Jz-6!~oo9|2%G)tDo{jT!P=
zvCfzwz7@MA*tVj`nB&>(IG)X(;n@h$mScD}kyr>G=t+Wni?D2ZkG1Bk4F<{ZY)qN6
zc09GLlxqJz3s7*T%<*ghZ_{T1-p1H>@HTx`$5UM-&#v#YZk%<;RQOb-q@r{<-PS&0
zTD8obV^`+(&6$@r!*qCDtka&agO;!8TuhzQ?*4GmxnM!rt_PL|I@Z#9|N8u4weCrI
zFMr*<V5NWAY>ORp${Mfu^~lbzM|K<DYw_{7>O%L7n%y=B@4Yqrsp@LM;|&APbnwj&
zk7~2|f_QlP;MHq>%6K;U^13FmgMZmP#VxAA(@XV+CFZFgzO>!BFj(_z>-w*+t|`B&
zMM2KhO5G1upOjlN(P!wvI<49*jJVR%_fVq>0jsvx9UgJmVa~*x<2LqbKR*9p58E3%
zsQnJrg5Nx>LMog)xFi2UM@@q1qpKZOn8Ls;A90gPWY!1HxV-?~=CZiaDpCiaX1f4>
z7QTXpuOV(N^NE`ww#_vXpl6T(Ft+WYTZ1*EE(u>!jkMkDvMz{*FY%=K6bw&Z?5Q?9
zRb@|g;i(#X3Q~Zcg6Y9iRRF&2pzp)jaLdCS{@9uY!zUK7&Lpm-Ft*+6w8U{Y!4D<8
z5YtwfSc<bHRw#nkqUvrqSO2A9A-+N3N%Jj|+rsUM$6db=$Gr5GVS_Wv99+CHd2=;@
zui@W$={vaqAZ$GW!nPkEY|{b4=9*IrRzM0D;Yf^9y>z;@!n19-&?QG@JjR4Z*kZ?{
z8>$g)Q#Ey1tLWEELl3MLZ#7nt*GtI4CdB_cBigc(;#xD--QMJoO+L-eD}>`igpy|}
ztNZGokE+NvSXZ{gS&Rg1ATEhm0-`-a3MUwoE$-B<zU47dD48SFa5qu494g*^)u&h(
zyH9m>jM}rDnxv@d_qcx|kYSF*$<vgoyP7oorQUeqo>t{o-H0@4NpCJ?&aX$4k+Ya)
zj4ui6Z$`JA)wt~{=_RC`RQctrNRu#fe~uA(EYf)z>@md1lC-bD42mO3g;C~oU=7XU
zZY4#+(nYGp;p*k{07_;~MmRC$fFR=XHB;99gA^Vw15h%Jn@ibaR0xgI3aj(9c2Q(d
z78y|o@LUn3;z+=A`H*Sd$e=<x0&?{hX+?XZQaqOh;JJ+6$8!Y(o~zRh;q|`Xr;Crh
zovKlxFibOhJnoU{Un+sD5RH|6f)zRaS>yQq&f-hnZAou#Fq+%TexJrA13u8-yTIz4
zv$1lDsiI?9sY!h$tASSZ=0%gaTkMBwT%LT$ssyYKD>}}4-^%)ntZcr>%Jz$_?7+(S
zv&&WXi>&OwD8h1IWaaQhR*qj}<pfrrUx($H)rLDS6@uM2V*AIV9t*9m2^o_PZYtQ{
zVad=RYR>y9VrIJ$CaX^M%=&7B=ai@IvIZ>(E;!XIufxK!J5RJ9J9v8KozJ7jM6I*W
z*&nqza*@~C-;!oVjPNKp`PI@PV1BCo%!p~_R-GF1?N^ID=U)edg_T#G9=b7Vp=Rfa
zh;IjtuC?<<<IJdann@=bFO9fS@k-aqo0~oGDD)|Ns=<NlXN!VnIOkrdRqNTWyB+72
zxw<MmxTR;eujid^o?u<2QU66pCOiM+=5_PI^e66C6-G2WoY|!DsXJqC*B)D^VBMjp
z*%|gbmS2c>+*G#Uha>CD9=F_I;n$d;tY*s`{qpB5N}M`wS5~gm;Pz#FeGg`MG@T!q
z6@4x}XIZ0qos6!Qn7lQ*R_4k1S>JxMV9>S;cW0Kl>oh?3rr(;>yL0>+`*llsu%bwr
zVt1na(O;5pg$++@U3lOALbGu;M#n2oeHhTQbJVR#N0Z*P-qLMS#E*ApFVrk;upoWb
zhE}V$=Q>P3xNm)jjLt`wylOeG^QBim>}~TA5F8sHVPwG38osOkeIU47voEim)#bd-
zmrhWhT~xRxzEVtB+e5btqgAbQ9x5j594z;o?=t4Xh~ya#FZS#^nfSQj&rALKd{eNm
zXz#&SVON$N*uJj8v?I3~Kib)7Q(}dNPad}RKiwtEIhUT@You%tpL(&+#3A2W`j~bd
zVG)!Q{$kHB3me`3zRH^RPo_+1xHn>AP=!XZ+gFb)o>`ne@X}V>n=O9nyu)>BJsk5&
zv2Z~j_)e&dSh#=wQ?kDX!&wUYU^vV7!EjdpA{g%22f%QTc7GxmPWU7+9Q#?6O8QyU
z2f%RB&!YY%Fr4(Ws1JbQ_|KvM3^(`F!Ek4<^nP)>b)AbD-A4|Kyzq8Ut-E0>d$eiZ
z{J>9{M}IW49C)@to4hs4>&J%HA6e&Epu3;xw-I~7liKVX(!SD-CriAO?k85hmGAuB
zg5WMOt%qbD*lG5<Uh(|KO)?MdThMYq-!uKcxh&q>Ss3LSS;jK3@bIK|`^1j-f4qHQ
zudQ&s?)^0f`h5TL^3mHf6>A*I+4NfQZv(|eL)X;@#UYQNxEP=aifaWFL2-|OA}9{G
zsSp&0zp_M798v_uA^jXsTx;-$pg6Sq98etU|0jXsupIC$`}cw3S|u=0Tw0e<T(*m8
zUH=|%oCmav{&ou13W7=uDHG_)Vb=(*zaJcD2~l9YeAB%&?!N*_LG`n36sUeiq55Ix
z7q)NyFN5Sh6rVn*32RZG|5A_~9-d-7;PxDBw`tfKh_{!%e>p!qr2CLuPDcud78*Hz
z<n{Xnwm;^>x9|RAKysE)aE$Y>+8??-T%Qhlvw@9Q^lMme=*O@gvEFPI@Pm`j(6<Aa
z#OLVSn%FUYl|lX=i^u*mL2`0E{%s&RX?)c1@lnIa$Ik}K9f1CT{S;dp>-WE4Id<Ie
zU$7i(x#8ilKlBUeA0GwF6-((HjPdSpT*u`=;QD;991I$m?|(d4P6o$mrE(GvDQ<5W
zEXULT<G^w_Z$Z0!6f74nmBX5;!EFTR@gxC`?MlHlIKJXB9+SiI8y+%%-~aVsxrw|!
z{<neUX7O@if7Wkj27ZHf#|{DSB?D1I`v0?Fxm;dO1f5_xb-Y|<CH}u)IbI)FF8~@!
z1p=1@#+1Q6z<)AW4q+(JZ>**H<o{o=oZ+~O?-_v0O6wO-Sg?Lkj`N=kp8B5x%kALp
z^k1+X>-W+jAoTlx7g&z>hd+VdbiDmDIn@WfAy^LAv+(}?$xiM!e4U%6Z-*A23YJ6v
zxE~b&RDb{DU)>k@LeQMA&pXguApD1WI^P8RZ}$*1Cp~kvy3QwvgXVDa{v@0S;#Vv@
z0u{#HXJ03ZvB=|91UvgUD&cH^;G6}VB8U#(zQeFwL@I-Pa(H@muthMCp`EO^)j-eC
z4&Sm5fq|~^cwVq{P1Zdr-Ymm%aCvDt9(<%6Z~mroh_L;E^(vR+?nlbO<)!7&ay!F%
zb(G})<L%*YP>wqvDF>IAmZSPd%2E4oDo3b6Ic|TX99&*nj+l>>qvPLHj(CG|-1<m4
zxV*F+sUIl^f-e7#_dJ8glMU}Dj!!t-+I|4CjF=Z3g<XMu$tVnF0)p?{k|dCyFYpq`
l4-YlpL6U3g0urjjU^)~>RG;pj@=wC10d84>DB}mr{{h{60q6h#

literal 0
HcmV?d00001

diff --git a/Individual_Project/FINAL/EXTRACT/work/_vmake b/Individual_Project/FINAL/EXTRACT/work/_vmake
new file mode 100644
index 0000000..37aa36a
--- /dev/null
+++ b/Individual_Project/FINAL/EXTRACT/work/_vmake
@@ -0,0 +1,4 @@
+m255
+K4
+z0
+cModel Technology
diff --git a/Individual_Project/Posit_Adder.cr.mti b/Individual_Project/Posit_Adder.cr.mti
new file mode 100644
index 0000000..90416b1
--- /dev/null
+++ b/Individual_Project/Posit_Adder.cr.mti
@@ -0,0 +1,39 @@
+{H:/INDIVIDUAL PROJECT/Posit/Individual_Project/tbPositAdder.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/tbPositAdder.sv}
+Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
+-- Compiling package tbPositAdder_sv_unit
+-- Compiling module Test_Posit_Adder
+
+Top level modules:
+	Test_Posit_Adder
+
+} {} {}} {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Posit_Adder.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Posit_Adder.sv}
+Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
+-- Compiling module Posit_Adder
+
+Top level modules:
+	Posit_Adder
+
+} {} {}} {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Posit_Extraction.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Posit_Extraction.sv}
+Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
+-- Compiling package Posit_Extraction_sv_unit
+-- Compiling module Data_Extraction
+
+Top level modules:
+	Data_Extraction
+
+} {} {}} {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Posit_Adder_Arithmetic.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Posit_Adder_Arithmetic.sv}
+Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
+-- Compiling package Posit_Adder_Arithmetic_sv_unit
+-- Compiling module Alignment
+
+Top level modules:
+	Alignment
+
+} {} {}} {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Leading_Bit_Detector.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Leading_Bit_Detector.sv}
+Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
+-- Compiling module Leading_Bit_Detector
+
+Top level modules:
+	Leading_Bit_Detector
+
+} {} {}}
diff --git a/Individual_Project/Posit_Adder.mpf b/Individual_Project/Posit_Adder.mpf
new file mode 100644
index 0000000..e4cba0e
--- /dev/null
+++ b/Individual_Project/Posit_Adder.mpf
@@ -0,0 +1,472 @@
+; Copyright 1991-2009 Mentor Graphics Corporation
+;
+; All Rights Reserved.
+;
+; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF 
+; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
+;   
+
+[Library]
+std = $MODEL_TECH/../std
+ieee = $MODEL_TECH/../ieee
+verilog = $MODEL_TECH/../verilog
+vital2000 = $MODEL_TECH/../vital2000
+std_developerskit = $MODEL_TECH/../std_developerskit
+synopsys = $MODEL_TECH/../synopsys
+modelsim_lib = $MODEL_TECH/../modelsim_lib
+sv_std = $MODEL_TECH/../sv_std
+
+; Altera Primitive libraries
+;
+; VHDL Section
+;
+altera_mf = $MODEL_TECH/../altera/vhdl/altera_mf
+altera = $MODEL_TECH/../altera/vhdl/altera
+altera_lnsim = $MODEL_TECH/../altera/vhdl/altera_lnsim
+lpm = $MODEL_TECH/../altera/vhdl/220model
+220model = $MODEL_TECH/../altera/vhdl/220model
+maxii = $MODEL_TECH/../altera/vhdl/maxii
+maxv = $MODEL_TECH/../altera/vhdl/maxv
+fiftyfivenm = $MODEL_TECH/../altera/vhdl/fiftyfivenm
+sgate = $MODEL_TECH/../altera/vhdl/sgate
+arriaii = $MODEL_TECH/../altera/vhdl/arriaii
+arriaii_hssi = $MODEL_TECH/../altera/vhdl/arriaii_hssi
+arriaii_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaii_pcie_hip
+arriaiigz = $MODEL_TECH/../altera/vhdl/arriaiigz
+arriaiigz_hssi = $MODEL_TECH/../altera/vhdl/arriaiigz_hssi
+arriaiigz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaiigz_pcie_hip
+stratixiv = $MODEL_TECH/../altera/vhdl/stratixiv
+stratixiv_hssi = $MODEL_TECH/../altera/vhdl/stratixiv_hssi
+stratixiv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixiv_pcie_hip
+cycloneiv = $MODEL_TECH/../altera/vhdl/cycloneiv
+cycloneiv_hssi = $MODEL_TECH/../altera/vhdl/cycloneiv_hssi
+cycloneiv_pcie_hip = $MODEL_TECH/../altera/vhdl/cycloneiv_pcie_hip
+cycloneive = $MODEL_TECH/../altera/vhdl/cycloneive
+stratixv = $MODEL_TECH/../altera/vhdl/stratixv
+stratixv_hssi = $MODEL_TECH/../altera/vhdl/stratixv_hssi
+stratixv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixv_pcie_hip
+arriavgz = $MODEL_TECH/../altera/vhdl/arriavgz
+arriavgz_hssi = $MODEL_TECH/../altera/vhdl/arriavgz_hssi
+arriavgz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriavgz_pcie_hip
+arriav = $MODEL_TECH/../altera/vhdl/arriav
+cyclonev = $MODEL_TECH/../altera/vhdl/cyclonev
+twentynm = $MODEL_TECH/../altera/vhdl/twentynm
+twentynm_hssi = $MODEL_TECH/../altera/vhdl/twentynm_hssi
+twentynm_hip = $MODEL_TECH/../altera/vhdl/twentynm_hip
+cyclone10lp = $MODEL_TECH/../altera/vhdl/cyclone10lp
+;
+; Verilog Section
+;
+altera_mf_ver = $MODEL_TECH/../altera/verilog/altera_mf
+altera_ver = $MODEL_TECH/../altera/verilog/altera
+altera_lnsim_ver = $MODEL_TECH/../altera/verilog/altera_lnsim
+lpm_ver = $MODEL_TECH/../altera/verilog/220model
+220model_ver = $MODEL_TECH/../altera/verilog/220model
+maxii_ver = $MODEL_TECH/../altera/verilog/maxii
+maxv_ver = $MODEL_TECH/../altera/verilog/maxv
+fiftyfivenm_ver = $MODEL_TECH/../altera/verilog/fiftyfivenm
+sgate_ver = $MODEL_TECH/../altera/verilog/sgate
+arriaii_ver = $MODEL_TECH/../altera/verilog/arriaii
+arriaii_hssi_ver = $MODEL_TECH/../altera/verilog/arriaii_hssi
+arriaii_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaii_pcie_hip
+arriaiigz_ver = $MODEL_TECH/../altera/verilog/arriaiigz
+arriaiigz_hssi_ver = $MODEL_TECH/../altera/verilog/arriaiigz_hssi
+arriaiigz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaiigz_pcie_hip
+stratixiv_ver = $MODEL_TECH/../altera/verilog/stratixiv
+stratixiv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixiv_hssi
+stratixiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixiv_pcie_hip
+stratixv_ver = $MODEL_TECH/../altera/verilog/stratixv
+stratixv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixv_hssi
+stratixv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixv_pcie_hip
+arriavgz_ver = $MODEL_TECH/../altera/verilog/arriavgz
+arriavgz_hssi_ver = $MODEL_TECH/../altera/verilog/arriavgz_hssi
+arriavgz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriavgz_pcie_hip
+arriav_ver = $MODEL_TECH/../altera/verilog/arriav
+arriav_hssi_ver = $MODEL_TECH/../altera/verilog/arriav_hssi
+arriav_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriav_pcie_hip
+cyclonev_ver = $MODEL_TECH/../altera/verilog/cyclonev
+cyclonev_hssi_ver = $MODEL_TECH/../altera/verilog/cyclonev_hssi
+cyclonev_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cyclonev_pcie_hip
+cycloneiv_ver = $MODEL_TECH/../altera/verilog/cycloneiv
+cycloneiv_hssi_ver = $MODEL_TECH/../altera/verilog/cycloneiv_hssi
+cycloneiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cycloneiv_pcie_hip
+cycloneive_ver = $MODEL_TECH/../altera/verilog/cycloneive
+twentynm_ver = $MODEL_TECH/../altera/verilog/twentynm
+twentynm_hssi_ver = $MODEL_TECH/../altera/verilog/twentynm_hssi
+twentynm_hip_ver = $MODEL_TECH/../altera/verilog/twentynm_hip
+cyclone10lp_ver = $MODEL_TECH/../altera/verilog/cyclone10lp
+
+work = work
+[vcom]
+; VHDL93 variable selects language version as the default. 
+; Default is VHDL-2002.
+; Value of 0 or 1987 for VHDL-1987.
+; Value of 1 or 1993 for VHDL-1993.
+; Default or value of 2 or 2002 for VHDL-2002.
+; Default or value of 3 or 2008 for VHDL-2008.
+VHDL93 = 2002
+
+; Show source line containing error. Default is off.
+; Show_source = 1
+
+; Turn off unbound-component warnings. Default is on.
+; Show_Warning1 = 0
+
+; Turn off process-without-a-wait-statement warnings. Default is on.
+; Show_Warning2 = 0
+
+; Turn off null-range warnings. Default is on.
+; Show_Warning3 = 0
+
+; Turn off no-space-in-time-literal warnings. Default is on.
+; Show_Warning4 = 0
+
+; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
+; Show_Warning5 = 0
+
+; Turn off optimization for IEEE std_logic_1164 package. Default is on.
+; Optimize_1164 = 0
+
+; Turn on resolving of ambiguous function overloading in favor of the
+; "explicit" function declaration (not the one automatically created by
+; the compiler for each type declaration). Default is off.
+; The .ini file has Explicit enabled so that std_logic_signed/unsigned
+; will match the behavior of synthesis tools.
+Explicit = 1
+
+; Turn off acceleration of the VITAL packages. Default is to accelerate.
+; NoVital = 1
+
+; Turn off VITAL compliance checking. Default is checking on.
+; NoVitalCheck = 1
+
+; Ignore VITAL compliance checking errors. Default is to not ignore.
+; IgnoreVitalErrors = 1
+
+; Turn off VITAL compliance checking warnings. Default is to show warnings.
+; Show_VitalChecksWarnings = 0
+
+; Keep silent about case statement static warnings.
+; Default is to give a warning.
+; NoCaseStaticError = 1
+
+; Keep silent about warnings caused by aggregates that are not locally static.
+; Default is to give a warning.
+; NoOthersStaticError = 1
+
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn off "Loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on some limited synthesis rule compliance checking. Checks only:
+;    -- signals used (read) by a process must be in the sensitivity list
+; CheckSynthesis = 1
+
+; Activate optimizations on expressions that do not involve signals,
+; waits, or function/procedure/task invocations. Default is off.
+; ScalarOpts = 1
+
+; Require the user to specify a configuration for all bindings,
+; and do not generate a compile time default binding for the
+; component. This will result in an elaboration error of
+; 'component not bound' if the user fails to do so. Avoids the rare
+; issue of a false dependency upon the unused default binding.
+; RequireConfigForAllDefaultBinding = 1
+
+; Inhibit range checking on subscripts of arrays. Range checking on
+; scalars defined with subtypes is inhibited by default.
+; NoIndexCheck = 1
+
+; Inhibit range checks on all (implicit and explicit) assignments to
+; scalar objects defined with subtypes.
+; NoRangeCheck = 1
+
+[vlog]
+
+; Turn off inclusion of debugging info within design units.
+; Default is to include debugging info.
+; NoDebug = 1
+
+; Turn off "loading..." messages. Default is messages on.
+; Quiet = 1
+
+; Turn on Verilog hazard checking (order-dependent accessing of global vars).
+; Default is off.
+; Hazard = 1
+
+; Turn on converting regular Verilog identifiers to uppercase. Allows case
+; insensitivity for module names. Default is no conversion.
+; UpCase = 1
+
+; Turn on incremental compilation of modules. Default is off.
+; Incremental = 1
+
+; Turns on lint-style checking.
+; Show_Lint = 1
+
+[vsim]
+; Simulator resolution
+; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
+Resolution = ps
+
+; User time unit for run commands
+; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
+; unit specified for Resolution. For example, if Resolution is 100ps,
+; then UserTimeUnit defaults to ps.
+; Should generally be set to default.
+UserTimeUnit = default
+
+; Default run length
+RunLength = 300 ns
+
+; Maximum iterations that can be run without advancing simulation time
+IterationLimit = 5000
+
+; Directive to license manager:
+; vhdl          Immediately reserve a VHDL license
+; vlog          Immediately reserve a Verilog license
+; plus          Immediately reserve a VHDL and Verilog license
+; nomgc         Do not look for Mentor Graphics Licenses
+; nomti         Do not look for Model Technology Licenses
+; noqueue       Do not wait in the license queue when a license isn't available
+; viewsim	Try for viewer license but accept simulator license(s) instead
+;		of queuing for viewer license
+; License = plus
+
+; Stop the simulator after a VHDL/Verilog assertion message
+; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
+BreakOnAssertion = 3
+
+; Assertion Message Format
+; %S - Severity Level 
+; %R - Report Message
+; %T - Time of assertion
+; %D - Delta
+; %I - Instance or Region pathname (if available)
+; %% - print '%' character
+; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
+
+; Assertion File - alternate file for storing VHDL/Verilog assertion messages
+; AssertFile = assert.log
+
+; Default radix for all windows and commands...
+; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
+DefaultRadix = symbolic
+
+; VSIM Startup command
+; Startup = do startup.do
+
+; File for saving command transcript
+TranscriptFile = transcript
+
+; File for saving command history
+; CommandHistory = cmdhist.log
+
+; Specify whether paths in simulator commands should be described
+; in VHDL or Verilog format.
+; For VHDL, PathSeparator = /
+; For Verilog, PathSeparator = .
+; Must not be the same character as DatasetSeparator.
+PathSeparator = /
+
+; Specify the dataset separator for fully rooted contexts.
+; The default is ':'. For example, sim:/top
+; Must not be the same character as PathSeparator.
+DatasetSeparator = :
+
+; Disable VHDL assertion messages
+; IgnoreNote = 1
+; IgnoreWarning = 1
+; IgnoreError = 1
+; IgnoreFailure = 1
+
+; Default force kind. May be freeze, drive, deposit, or default
+; or in other terms, fixed, wired, or charged.
+; A value of "default" will use the signal kind to determine the
+; force kind, drive for resolved signals, freeze for unresolved signals
+; DefaultForceKind = freeze
+
+; If zero, open files when elaborated; otherwise, open files on
+; first read or write.  Default is 0.
+; DelayFileOpen = 1
+
+; Control VHDL files opened for write.
+;   0 = Buffered, 1 = Unbuffered
+UnbufferedOutput = 0
+
+; Control the number of VHDL files open concurrently.
+; This number should always be less than the current ulimit
+; setting for max file descriptors.
+;   0 = unlimited
+ConcurrentFileLimit = 40
+
+; Control the number of hierarchical regions displayed as
+; part of a signal name shown in the Wave window.
+; A value of zero tells VSIM to display the full name.
+; The default is 0.
+; WaveSignalNameWidth = 0
+
+; Turn off warnings from the std_logic_arith, std_logic_unsigned
+; and std_logic_signed packages.
+; StdArithNoWarnings = 1
+
+; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
+; NumericStdNoWarnings = 1
+
+; Control the format of the (VHDL) FOR generate statement label
+; for each iteration.  Do not quote it.
+; The format string here must contain the conversion codes %s and %d,
+; in that order, and no other conversion codes.  The %s represents
+; the generate_label; the %d represents the generate parameter value
+; at a particular generate iteration (this is the position number if
+; the generate parameter is of an enumeration type).  Embedded whitespace
+; is allowed (but discouraged); leading and trailing whitespace is ignored.
+; Application of the format must result in a unique scope name over all
+; such names in the design so that name lookup can function properly.
+; GenerateFormat = %s__%d
+
+; Specify whether checkpoint files should be compressed.
+; The default is 1 (compressed).
+; CheckpointCompressMode = 0
+
+; List of dynamically loaded objects for Verilog PLI applications
+; Veriuser = veriuser.sl
+
+; Specify default options for the restart command. Options can be one
+; or more of: -force -nobreakpoint -nolist -nolog -nowave
+; DefaultRestartOptions = -force
+
+; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
+; (> 500 megabyte memory footprint). Default is disabled.
+; Specify number of megabytes to lock.
+; LockedMemory = 1000
+
+; Turn on (1) or off (0) WLF file compression.
+; The default is 1 (compress WLF file).
+; WLFCompress = 0
+
+; Specify whether to save all design hierarchy (1) in the WLF file
+; or only regions containing logged signals (0).
+; The default is 0 (save only regions with logged signals).
+; WLFSaveAllRegions = 1
+
+; WLF file time limit.  Limit WLF file by time, as closely as possible,
+; to the specified amount of simulation time.  When the limit is exceeded
+; the earliest times get truncated from the file.
+; If both time and size limits are specified the most restrictive is used.
+; UserTimeUnits are used if time units are not specified.
+; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
+; WLFTimeLimit = 0
+
+; WLF file size limit.  Limit WLF file size, as closely as possible,
+; to the specified number of megabytes.  If both time and size limits
+; are specified then the most restrictive is used.
+; The default is 0 (no limit).
+; WLFSizeLimit = 1000
+
+; Specify whether or not a WLF file should be deleted when the
+; simulation ends.  A value of 1 will cause the WLF file to be deleted.
+; The default is 0 (do not delete WLF file when simulation ends).
+; WLFDeleteOnQuit = 1
+
+; Automatic SDF compilation
+; Disables automatic compilation of SDF files in flows that support it.
+; Default is on, uncomment to turn off.
+; NoAutoSDFCompile = 1
+
+[lmc]
+
+[msg_system]
+; Change a message severity or suppress a message.
+; The format is: <msg directive> = <msg number>[,<msg number>...]
+; Examples:
+;   note = 3009
+;   warning = 3033
+;   error = 3010,3016
+;   fatal = 3016,3033
+;   suppress = 3009,3016,3043
+; The command verror <msg number> can be used to get the complete
+; description of a message.
+
+; Control transcripting of elaboration/runtime messages.
+; The default is to have messages appear in the transcript and 
+; recorded in the wlf file (messages that are recorded in the
+; wlf file can be viewed in the MsgViewer).  The other settings
+; are to send messages only to the transcript or only to the 
+; wlf file.  The valid values are
+;    both  {default}
+;    tran  {transcript only}
+;    wlf   {wlf file only}
+; msgmode = both
+[Project]
+** Warning: ; Warning -- Do not edit the project properties directly.
+;            Property names are dynamic in nature and property
+;            values have special syntax.  Changing property data directly
+;            can result in a corrupt MPF file.  All project properties
+;            can be modified through project window dialogs.
+Project_Version = 6
+Project_DefaultLib = work
+Project_SortMethod = unused
+Project_Files_Count = 5
+Project_File_0 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/tbPositAdder.sv
+Project_File_P_0 = cover_toggle 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1670427142 cover_fsm 0 cover_branch 0 vlog_noload 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 cover_optlevel 3 vlog_hazard 0 vlog_showsource 0 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 1 dont_compile 0 cover_expr 0 cover_stmt 0
+Project_File_1 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Posit_Adder.sv
+Project_File_P_1 = cover_toggle 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1670428143 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
+Project_File_2 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Posit_Extraction.sv
+Project_File_P_2 = cover_toggle 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1670345718 cover_fsm 0 cover_branch 0 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 4 cover_expr 0 dont_compile 0 cover_stmt 0
+Project_File_3 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Posit_Adder_Arithmetic.sv
+Project_File_P_3 = cover_toggle 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1670425187 cover_fsm 0 cover_branch 0 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 3 cover_expr 0 dont_compile 0 cover_stmt 0
+Project_File_4 = H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Leading_Bit_Detector.sv
+Project_File_P_4 = cover_toggle 0 file_type systemverilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat SV vlog_nodebug 0 folder {Top Level} last_compile 1670345624 cover_fsm 0 cover_branch 0 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 2 cover_expr 0 dont_compile 0 cover_stmt 0
+Project_Sim_Count = 0
+Project_Folder_Count = 0
+Echo_Compile_Output = 0
+Save_Compile_Report = 1
+Project_Opt_Count = 0
+ForceSoftPaths = 0
+ProjectStatusDelay = 5000
+VERILOG_DoubleClick = Edit
+VERILOG_CustomDoubleClick = 
+SYSTEMVERILOG_DoubleClick = Edit
+SYSTEMVERILOG_CustomDoubleClick = 
+VHDL_DoubleClick = Edit
+VHDL_CustomDoubleClick = 
+PSL_DoubleClick = Edit
+PSL_CustomDoubleClick = 
+TEXT_DoubleClick = Edit
+TEXT_CustomDoubleClick = 
+SYSTEMC_DoubleClick = Edit
+SYSTEMC_CustomDoubleClick = 
+TCL_DoubleClick = Edit
+TCL_CustomDoubleClick = 
+MACRO_DoubleClick = Edit
+MACRO_CustomDoubleClick = 
+VCD_DoubleClick = Edit
+VCD_CustomDoubleClick = 
+SDF_DoubleClick = Edit
+SDF_CustomDoubleClick = 
+XML_DoubleClick = Edit
+XML_CustomDoubleClick = 
+LOGFILE_DoubleClick = Edit
+LOGFILE_CustomDoubleClick = 
+UCDB_DoubleClick = Edit
+UCDB_CustomDoubleClick = 
+TDB_DoubleClick = Edit
+TDB_CustomDoubleClick = 
+UPF_DoubleClick = Edit
+UPF_CustomDoubleClick = 
+PCF_DoubleClick = Edit
+PCF_CustomDoubleClick = 
+PROJECT_DoubleClick = Edit
+PROJECT_CustomDoubleClick = 
+VRM_DoubleClick = Edit
+VRM_CustomDoubleClick = 
+DEBUGDATABASE_DoubleClick = Edit
+DEBUGDATABASE_CustomDoubleClick = 
+DEBUGARCHIVE_DoubleClick = Edit
+DEBUGARCHIVE_CustomDoubleClick = 
+Project_Major_Version = 2020
+Project_Minor_Version = 1
diff --git a/Individual_Project/Posit_Adder.sv b/Individual_Project/Posit_Adder.sv
new file mode 100644
index 0000000..e6595f7
--- /dev/null
+++ b/Individual_Project/Posit_Adder.sv
@@ -0,0 +1,48 @@
+/////////////////////////////////////////////////////////////////////
+// Design unit: Posit Adder 
+//            :
+// File name  : Posit_Adder.sv
+//            :
+// Description: Posit Adder Top Level Module
+//            :
+// Limitations: None
+//            : 
+// System     : SystemVerilog IEEE 1800-2005
+//            :
+// Author     : Xiaoan He (Jasper)
+//            : xh2g20@ecs.soton.ac.uk
+//
+// Revision   : Version 1.0 07/12/2022
+/////////////////////////////////////////////////////////////////////
+
+module Posit_Adder #(parameter N = 8, parameter RS = log2(N), parameter ES = 3) 
+(
+    input logic signed [N-1:0] In1, In2,
+    output logic signed [ES+RS:0] LE_O,
+    output logic [ES-1:0] E_O,
+    output logic signed [RS:0] R_O
+);
+
+function [31:0] log2;
+input reg [31:0] value;
+	begin
+	value = value-1;
+	for (log2=0; value>0; log2=log2+1)
+        	value = value>>1;
+      	end
+endfunction
+
+// Data Extraction
+logic Sign1, Sign2;
+logic signed [RS:0] RegimeValue1, RegimeValue2;
+logic [ES-1:0] Exponent1, Exponent2;
+logic [N-ES+2:0] Mantissa1, Mantissa2;
+logic signed [N-2:0] InRemain1, InRemain2;
+Data_Extraction #(.N(N), .ES(ES)) DE1(.In(In1), .Sign(Sign1), .RegimeValue(RegimeValue1), .Exponent(Exponent1), .Mantissa(Mantissa1), .InRemain(InRemain1));
+Data_Extraction #(.N(N), .ES(ES)) DE2(.In(Ini2), .Sign(Sign2), .RegimeValue(RegimeValue2), .Exponent(Exponent2), .Mantissa(Mantissa2), .InRemain(InRemain2));
+
+//Arithmetic
+logic [N-1:0] E_diff;
+logic [N:0] Add_Mant;
+Alignment #(.N(N), .ES(ES)) A (.InRemain1(InRemain1), .InRemain2(InRemain2), .Sign1(Sign1), .Sign2(Sign2), .RegimeValue1(RegimeValue1), .RegimeValue2(RegimeValue2), .Exponent1(Exponent1), .Exponent2(Exponent2),.Mantissa1(Mantissa1), .Mantissa2(Mantissa2), .E_diff(E_diff), .Add_Mant(Add_Mant), .LE_O(LE_O), .E_O(E_O), .R_O(R_O));
+endmodule
\ No newline at end of file
diff --git a/Individual_Project/Posit_Adder_Arithmetic.sv b/Individual_Project/Posit_Adder_Arithmetic.sv
new file mode 100644
index 0000000..51c8e77
--- /dev/null
+++ b/Individual_Project/Posit_Adder_Arithmetic.sv
@@ -0,0 +1,140 @@
+/////////////////////////////////////////////////////////////////////
+// Design unit: Posit Adder Arithmetic
+//            :
+// File name  : Posit_Adder_Arithmetic.sv
+//            :
+// Description: Mantissa addition and subtraction
+//            : exponent and regime computation
+//            :
+// Limitations: None
+//            : 
+// System     : SystemVerilog IEEE 1800-2005
+//            :
+// Author     : Xiaoan He (Jasper)
+//            : xh2g20@ecs.soton.ac.uk
+//
+// Revision   : Version 1.0 01/12/2022
+/////////////////////////////////////////////////////////////////////
+
+function [31:0] log2;
+    input reg [31:0] value;
+        begin
+            value = value-1;
+            for (log2=0; value>0; log2=log2+1)
+                value = value>>1;
+        end
+endfunction
+
+module Alignment #(parameter N = 8, parameter ES = 3, parameter RS = log2(N)) 
+(
+    input logic signed [N-2:0] InRemain1, InRemain2,
+    input logic Sign1, Sign2,
+    input logic signed [RS:0] RegimeValue1, RegimeValue2,
+    input logic [ES-1:0] Exponent1, Exponent2,
+    input logic [N-ES+2:0] Mantissa1, Mantissa2,
+    output logic [N-1:0] E_diff,
+    output logic [N:0] Add_Mant,
+    output logic signed [ES+RS:0] LE_O,
+    output logic [ES-1:0] E_O,
+    output logic signed [RS:0] R_O
+);
+    logic Operation;
+    // components to corresponding logic, L - Large S - Small
+    logic LS, SS;
+    logic [RS:0] LR, SR;
+    logic LRC, SRC;
+    logic [ES-1:0]LE, SE;
+    logic [N-ES+2:0]LM, SM, SM_tmp;
+    // logic SS;
+    // logic [RS:0]SR;
+    // logic SRC;
+    // logic [ES-1:0]SE;
+    // logic [N-ES+2:0]SM, SM_tmp;
+
+    logic Greater_Than;
+    logic signed [RS:0] R_diff;
+    logic Mant_Ovf;
+    logic signed [RS:0] shift;
+    logic [ES+RS:0] LE_ON;
+    //Leading_Bit_Detector_8b #(.N(N), .ES(ES)) LBD_Mant (.*);
+
+always_comb
+begin
+    // Confirm the operation (s1 XNOR s2)
+    Operation = Sign1 ~^ Sign2 ;
+
+
+    // Find the greater input
+    Greater_Than = (InRemain1[N-2:0] >  InRemain2[N-2:0])? 1'b1 : 1'b0;
+    // Assign components to corresponding logic, L - Large S - Small
+    LS = Greater_Than ? Sign1 : Sign2;
+    LR = Greater_Than ? RegimeValue1 : RegimeValue2;
+    LRC = Greater_Than? InRemain1[N-2] : InRemain2[N-2];
+    LE = Greater_Than ? Exponent1 : Exponent2;
+    LM = Greater_Than ? Mantissa1 : Mantissa2;
+
+    SS = Greater_Than ? Sign2 : Sign1;
+    SR = Greater_Than ? RegimeValue2 : RegimeValue1;
+    SE = Greater_Than ? Exponent2 : Exponent1;
+    SM = Greater_Than ? Mantissa2 : Mantissa1;
+
+
+    // Mantissa Addition
+    /*
+    find regime difference, 
+    when both of them are +ve, the difference is RV1 - RV2
+    when RV1 +ve but RV2 -ve, the difference is RV1 + RV2
+    when RV1 -ve and RV2 also -ve, still RV1 - RV2
+    */
+
+    // if (RegimeValue1 >= 0 && RegimeValue2 >= 0)
+    //     R_diff = RegimeValue1 - RegimeValue2;
+    // else if (RegimeValue1 >= 0 && RegimeValue2 < 0)
+    //     R_diff = RegimeValue1 - RegimeValue2;
+    // else if (RegimeValue1 < 0 && RegimeValue2 < 0)
+    //     R_diff = RegimeValue1 - RegimeValue2;
+    R_diff = RegimeValue1 - RegimeValue2;
+
+    /*
+    after the R_diff found, remember that the regime contributes into the exponent
+    as (Useed ^ RegimeValue) where Useed = 2^(2^ES) 
+    so the E_diff is (R_diff x log2(useed) + LE - SE)
+    the reason why it is R_diff x log2(useed) is
+    the exponent (2 ^ what)is what we want to find
+    for exponent bits, it is the difference
+    for regime bits, they are log2(Useed ^ RegimeValue) which is RegimeValue x (2^ES)
+    */
+    E_diff = (R_diff*(2**(ES))) + (LE - SE); 
+
+    SM_tmp = SM >> E_diff;
+    Add_Mant = Operation ?  LM + SM_tmp : LM - SM_tmp;
+
+    // check for Mantissa Overflow
+    Mant_Ovf = Add_Mant[N];
+    Add_Mant = Mant_Ovf ? Add_Mant : (Add_Mant << 1);
+
+    /*
+     In the case of subtraction between two close numbers
+     some MSBs may lost, it is useful to detect the 
+     Leading ONE and left shift accordingly
+    */
+    
+    //Add_Mant = Add_Mant << shift;
+
+    
+    // Compute regime and exponent of final result
+    
+    /* 
+    The exponent is mainly based on the larger input
+    taking overflow and left shift into account
+    */
+    LE_O = {LR, LE} + Mant_Ovf; //- shift;
+
+    LE_ON =  LE_O[RS+ES] ? (-LE_O) : LE_O;
+
+    E_O = (LE_O[ES+RS] & (|LE_ON[ES-1:0])) ? (~LE_O[ES-1:0] + 1'b1) : LE_ON[ES-1:0];
+
+    //R_O = LE_O[ES+RS:ES];
+    R_O = !LE_O[ES+RS]|(LE_O[ES+RS]&(|LE_ON[ES-1 : 0])) ? (LE_ON[ES+RS-1 : ES] +1'b1) : LE_ON[ES+RS-1 : ES];
+end
+endmodule
\ No newline at end of file
diff --git a/Individual_Project/Posit_Extraction.sv b/Individual_Project/Posit_Extraction.sv
index 6b1e310..167c4bf 100644
--- a/Individual_Project/Posit_Extraction.sv
+++ b/Individual_Project/Posit_Extraction.sv
@@ -12,7 +12,7 @@
 // Author     : Xiaoan He (Jasper)
 //            : xh2g20@ecs.soton.ac.uk
 //
-// Revision   : Version 1.0 22/11/2022
+// Revision   : Version 1.1 30/11/2022
 /////////////////////////////////////////////////////////////////////
 
 // `ifndef log_2
@@ -38,7 +38,7 @@ module Data_Extraction #( parameter N = 8, parameter ES = 3, parameter RS = log2
     output logic signed [N-2:0] InRemain
 );
 
-logic signed [N-2:0] InRemain;
+//logic signed [N-2:0] InRemain;
 logic RegimeCheck; 
 logic [RS:0] EndPosition;
 logic signed [N-2:0] ShiftedRemain;
diff --git a/Individual_Project/tbPositAdder.sv b/Individual_Project/tbPositAdder.sv
new file mode 100644
index 0000000..86f23f7
--- /dev/null
+++ b/Individual_Project/tbPositAdder.sv
@@ -0,0 +1,63 @@
+/////////////////////////////////////////////////////////////////////
+// Design unit: tb_Posit_Adder
+//            :
+// File name  : tbPositAdder.sv
+//            :
+// Description: Testbench for Posit Adder
+//            :
+// Limitations: None
+//            : 
+// System     : SystemVerilog IEEE 1800-2005
+//            :
+// Author     : Xiaoan He (Jasper)
+//            : xh2g20@ecs.soton.ac.uk
+//
+// Revision   : Version 1.1 07/12/2022
+/////////////////////////////////////////////////////////////////////
+
+function [31:0] log2;
+input reg [31:0] value;
+	begin
+	value = value-1;
+	for (log2=0; value>0; log2=log2+1)
+        	value = value>>1;
+      	end
+endfunction
+
+module Test_Posit_Adder;
+parameter N = 8, RS = log2(N), ES = 3;
+
+// Input Logic
+logic signed [N-1:0] In1, In2;
+
+// Output Logic
+logic signed [ES+RS:0] LE_O;
+logic [ES-1:0] E_O;
+logic signed [RS:0] R_O;
+
+Posit_Adder #(.N(N), .ES(ES)) PA (.*);
+
+initial
+    begin
+        // initial input is nothing
+        #10ns In1 = 8'b0_0000000;
+              In2 = 8'b0_0000000;
+              
+        #50ns In1 = 8'b0_01_000_01;  // R = -1, E = 000, M = 1.01
+              In2 = 8'b0_001_010_0;  // R = -2, E = 010, M = 1.0
+
+        #50ns In1 = 8'b0_10_001_10;  // R = 0, E = 100, M = 1.1
+              In2 = 8'b0_110_011_1;  // R = 1, E = 100, M = 1.01
+
+        //#50ns In = 8'b0_0001_100;   // R = -3, E = 100, M = 1.00
+        #50ns In1 = 8'b0_1110_101;   // R = 2, E = 101, M = 1.00
+              In2 = 8'b1_01_000_01;  // 10_111_11 R = 0 , E = 111, M = 1.11
+        #50ns In1 = 8'b1_10_001_10;  // 001_110_10 R = -2, E = 110, M = 1.10
+              In2 = 8'b1_001_010_0;  // 01_101_100 R = -1, E = 101, M = 1.10
+        // #50ns In = 8'b1_110_011_1;  // R = -3, E = 100, M = 1.01
+        // #50ns In = 8'b1_0001_100;   // R = -3, E = 100, M = 1.01
+        // #50ns In = 8'b1_1110_101;   // R = -3, E = 100, M = 1.01
+
+
+    end
+endmodule
\ No newline at end of file
diff --git a/Individual_Project/vsim.wlf b/Individual_Project/vsim.wlf
new file mode 100644
index 0000000000000000000000000000000000000000..af8526d11d5759981d9b6b875123c332bd5337b4
GIT binary patch
literal 49152
zcmeI)PiPcZ90%~<o0&H|>t;8*X`<1%`X;W8h{<M?mX<);ByMCQF<E1h775*KlF_d2
zpP1eJ!5$Kd7XMt5R-vahr9yiUq)=M$Pzse6JQjK=UUDkpsk9cArrExECb3=$LJvZ}
zkIc@zH^2G)-h4K<H#@-@x=t-bq|1%S_+Ulti9GB3pxi$`M-(PHf1Sr-%`e3&4tE|)
zb`KnD>_5`ow;rl?<BMPaV74^3G&jXK>E#PdcRz`Qdv+s{io^#5AOHafKmY;|fB*y_
z009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=|B*nsOc!M;chlr%#_QxFebDg41*>FK
z{XWw*AG14{d>nw=@eXcl#@=&`9n?&ZeRtHbgSzFh7rH%8*`$!pKIDsxv}U%Sni9*r
zh0WAcmiTg~zFR(7z-}i@jm3Cx6<^lt={T7abUwm)o!7eO@wDHy%y-6jF3^x{JSr!H
z1_1~_00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##AOHafKmY;|fWW^BxNH5YmCM>!
za_L`gYW<&4JMo!fCU=_JnH=No8tqH8jr69+MntYK;bf_nQt6^IGMmX$YfCy?aB?F|
zJJ96JIqjtJ#>v7|Ngh~jZLzl2W{SnywaUg~i6#our4yN~W98F1iZmXiw&+mNnJPwQ
zh<u+wv~!Xs<LOd5+FPLUbT&HZ<R&RPe5`+HaD0x&a;Ik7b&F|HlJ64m?P1J(EzuV3
zp}u&}a8G=wlX^vRp#O09K^lxE3&l(+N<I0B%uHrtn$p=+a;k935#yz3X-wNeof8wz
zR8w(=u`Vk(=oI6nl-82cYP+<Q*~*V^oBg~nb=o+**ZYe0#S-19;}#Dl1l?l7@MyL{
zzWQ4FiPO)5={@<F(Rh&w{ng!k*9DDswRm=IWqsO`wawI;j6Th8^ma#5{l+x2LwbKb
zYu3G1La#EY%gaXtx+hvCFP`7C#G-~Zr&m=QH!36gfSF<<q~C~mud$o${+=rpm;9d!
zE!n9Zoeo_6(&xY9?Th;ds{9w~4bO}IQPRCV`2i=FuF3??SH8S&$$#E3BmT>SMud39
zfO9gF>u`qC*=fiBV|``)Ufz4$G#YsSexrdr-tM`{Lf(nwOT4%8<0bPO%Z%`zD@Me7
zKN1?RDY3wPulGngFG`tWG0i`_7`o)U-N5fBw#c|^`(+8A*`1omoH%i@;w_Ke7&;RV
zePmVHTdL1gSWC56Q*5E)a^!_up+r|x=#ZA!dg%2ObxvhUZ|9s+JTo5tgKbOJcsn|?
znUndP_3rz@UqXj#>JmGRo7{{<x2Lw>@rD-zr-FQG`(mGQuWs$!_SQBp(VOcJi@5)&
zXb^w^1Rwwb2tWV=5P$##AOHafKmY;|fB*y_009U<00Izz00jPr0`79@Ki2=LPWIi+
z9b@rIGHKb^(xrKL*`P7Dd*c`R@+=wzAOHafKmY;|fB*y_009U<00Izz00bZa0SG_<
z0uX=z1Rwwb2tWV=&x?S&&cC_-|GZ2J^MC*ZAOHafKmY;|fB*y_009U<00Izz00bZa
z0SG_<0uX=z1Rwwb2teSO1auj_`O0+p{{OS(@?Y=CG547ObLU2?fD9>@8#h1a=iTG^
z`2(!1{$>xb*(Yb8M6xndSGkcgX8kzK>_<d%4{e!a?qOK7%S59qZf|sDxFKjiaLc+~
zB6-r1y9KdE_NqHz^QXFDL+Hz*ylngAa5T)_AVzLN8S37{QNbn!8y)^GY5(SqskGHH
zt$VUs1==zR7h-M2b}^R4>aQxBwVo}mf=qS6oM0qaa3k9xmF?vEB)=7F&1#Y-)g)y%
zsJe%2zs&0o>uPcfD%}>9t|(YiFd^$d2#PYPvOZb%PZ@t!Mfe13cB}m^N2XP^Pr{lo
zCspE<s<SNClBzqc>hSeC9jeZZ%EDEhVAxQZSHvo*TU`*VLe;E!3NE0osS%p0?5cgQ
zDqnf>Wjwymtk8w3+@>n)va&n#kh)%%GnZ8I-X4v*60WTzk;jjD1MBxWsiFh&I}%I`
zy+JIs7^WRE7!3jtfB*y_009U<00Izz00bZa0SG_<0uX=z1Rwwb2tWV=5P$##o<+c2
JPT&0f|KGi_U48%n

literal 0
HcmV?d00001

diff --git a/Progress_Test_Draft.docx b/Progress_Test_Draft.docx
index d74b3c4f01f8df0659834e4d60b652cea17d2e46..20204fea38c7dbd6da9febfaaae50b775a41586d 100644
GIT binary patch
delta 4703
zcmZu#WmFX0)}CR8j-k;ZB!&=>ZV@CT1_Y!#hfe7px`wWiu0cuZMi@E-B$Sd46;ya>
zP~!8w_kQ<X>s#MGKhD|fth3Ml@vQwkd*2jMrd)vNwA4UgY5*R9000260Ll)#7;S+7
zfOZ2NI}XxmK!E(&Mf7dtOzR@NldF7itTe@z-r*N8LBv<_OkUd@x&9*m6=nLp<HdV5
zIYEc_mu4-SXSq$nAhWsYrzoZ|tOpOKQtepyYP3j|mQ^_7p>r|JnE)Zu-Ak`NPbMGZ
zL<JW@&AiisUXq9qNBdaTYa7)W4H{VJj9l_pha+Xq12r>EsQLz_IUqs|-Eu=;xa|&k
zOx;xSD^<^zPs=OPRSHfW3z&5MwX8Jz^L5gj;(R&<tW$W_g=i{PPNQ<XH-YrYFwFQ-
zSnUC^_?#MW>DATcn27I8`B?<f`>)WfA;&yWerpvhR=;Q^zl}j2TZhq`(HF_E8$o8W
znGLo?c!VSjw>@UER_uEOXq_q`P<s`+p14&Mz^M}5K_#Z=5t2CHNyWOA6a8hW_mz!}
z7dPeOk+se+zc5*wAFFB4_ZjegH_D`M7FyyRLXLt{-9W&tr(&xsaRag$n-H3&77#Ot
z2v;_A&kPR$NWPb`jz@>=Hu~)LS%N&o!tySB+KY0P*CL7|+UD^p&T90GcM-91DQ{^y
zS86lk;ld#a`;uoSrcvj#kAh!VSvc0KWEt|H=s3|ON7t0PEjwu2Fp4HEE})^O<@V;>
z<4J4WY;&9=2a&Jy&zwe?wVSJvShIU5hm0n9sxU6ycAhd5o==@f5?7OF58qdIjs?J?
zDdT(M72Qy<O^PNz`Qg^D?aBAEv=!AK`mZO_npD+5`2zQ2Y1KMGwqS<E7w~dBO=Xe`
zmsD)w;x=EZACTPtTZb9fol8YYlD<zE9vv`Tj}fyWgrUo)H;>uzNmD|zT$y2xY*h+8
z#=C%gaDA*DD*~w@s=m8CRe9a;unyRc$*uDrK4TInumULr9Bt#Eo_-HY{e84V!1kC<
z@cnhW97Wr_60KD&GGtnLZUEjJDgtdxxyu^w<|7;SGHE1fCy#~)1dRT5tu3;sPM`YI
zM8oBzChc>U+XwG}TIoq1?v${QiMp!~P2<OH6lQNm;+c_ya%CxmZw_5}$5@C`_<Q1V
zX*=9L;LHO28N;jw18J}EY)owyX9N`}VlUK_@ZLz#3~nl0uNM0J=n#Aap!qKGgm}o=
zzvTtmDOcNvz*`aV^*#;5#(4m$%=4y4@e(%lTDtV;q9jBnyM@tQU<zABRaLOFA2KZc
zx;e@Tt-Oi+>cavvv$dqa;D-}%egXf*4<?eCNPUvyBkdd^I@}qVHv=CG3eK(<Cs5<w
zvnLqu`w=QguVMPWM&4qkUCLNJW&qzFr<!G@f2*!7dmF6del8%zJ82{@o^x=(Yohig
zTFsFuC9o*Gax>emZQder%V(<XM;GK=Zuok}Ppt&m&Y?5rlPf&QmN~7Yp+9RTd!6=M
z!22NfO(+S+#dam#rTbMwX75VJ$RymWK+v1)qtm(+>wS|Qb_|t)D6vxG&@hU_91#Cw
zlEr6CWcxc#kUm5S|KfHrk0h;&w(K@|^YPlo=ts>_ddRTXSJ7i`PHtl2hD>=Fr~X0`
zQH(8e6qQJqIB2@(4zS6Yxv@Ik6_7{qQk}CrD%Dllp70MnOp+#ntXK+)ueBe92wd3N
zG`}Y4dv@)KRSOk3Ud7_(J3wTD%3f}Ozlg|js~TeHvRv%UW8NBr$;OEB1PnKuDPumQ
zmBS2g!bc*$q;{w=?Ij@wqh#U~?_6Y6jb9_B15=s93g<jljjvcsA5?W9%%<0?-x|jm
z!BL`lRg@F>3)O&t-#3RL&cx$`>q@@FCm%}269k_|zA$8(h?wb`NqCfx|0|KIxK!p*
zo8%)z<&wM{thTIe-2V#OM6x<0n8xznfW(9O5|2Pmp`3m0MZy4BvA3UvS>JhJgQ*1R
z@mig|cvFYfD_H&wvnI#lxliJY#AR`Xd6_D-_MWEt4jA8(VbL-<&aJm%IadiI_C^Rx
zvS|-9{<>6X(5Gu#Iku^A+@psmMrgj7Lj0<^k#0W;|30OGnoxZx*);mC%l%;_rtGh|
zN<<>pYZ?l|&1W4m`$YQpRVDmW9)saXE+fJM{m%&eg1F4ZtjlbQv3Y6xtOV;cE^F3e
zkrFb#%}XCqdAWSrut@~FR=w!Yjs48`mwGydl`E%QUkj-c?bg7KPJ)b=1M#x=S?=nD
zZszcoVs9&1r)SxWQ)$wNiPBXF6um!zr)k17*$?8`R>=(CRelm}Rd<^En9EUt6l2fe
zPVfHs;c>Cl&%h){Lv`jUNAQw4{Pn99`Ik@kt1~Jbz9zK4{T}RRx{%MDY&LRpV4B9L
zh;&M>l(7;(3<$J#!%q|H-%ys~{2H=)FKz;?coj%upYyYBG}oQQmVn*ZAvcXB!0u)I
zBTtqz+IReYmReJd%FoM>&avlPLCA>=4Ene<PE*%?6vPm9L8(XQVLsTK>V<!KFm~1A
zC%D&}aqK(){L3vbfx1WUFr;&%TjkrTBca3tC}j08{AF(HqYdH+1>cBm^)d^Bdn6FL
zP>s8|j<==X{bDAZLvP=5L3>sNi*xpN30F*Nv9DS~uOVW7{#k_^y&MPq)YiiT4YF?&
zc@~g5Htk$iAz=$P*sbr>b;W1Yoq4oZFAEzmEqVQD*2s(Gd_fH=7H{XyH3#8Zz7;E&
z5N>tL9G6Y@CiGTy3*R$tA%i{kH|<JVjMA9fwb;Nu&yvioa{ubx-_%0{6h^ra{Gs|5
zP$M}v5LuJ)UgKYMuW}tJ8nlIZ8m(2}rd9675*(JN&VagP2_o7#6s#?-tYD=znriv7
zvOQEYU-a7k@Ls8}{?oKw?DYKG8GS<kS}}15mR0rh=$a9=fMt|VKuAmAu(`HzLcw_&
zdqNV96zkn+K+R(jA-k!v=lr$AO4`uKE%SM#yEco(&Q*G>AZT2e<Fgn}e`^P>J}J}P
zfUj${bFk;xT5&ls%{9o6UnNt9j!GXd8fHmt7f*2AIBKntb~&=enWN2Rr&nAu(tx!p
ztg@Ig(sZQL{26<6k5-55!b_@oN}Jv9W^`LTH@!ViM?TLR!U>E_${wj7?bcf-yZ%A6
zIL`k^0o~n!0O-$UAU>dA8xaOHHbLmByJPAK1^}wiD;{7da^1a5f;?1q?>08>YZ+Kx
zB|*$=cck%W)zTB!z)pJ*hhd9g<MY{5@4Megq-2hHCM{k2Y62&d!NN=__M@>WkVG<H
zLzVvOoNWt|0^nPr!VIU!UbmfUE0w~jM0%2?-TlH}!^%&N_Al0De%Qok;fP8C65zwU
zd6MPYK0gA4kUWA4#}NzdIQ1J5EVy;n-vwa@dCQX$)oH8`OB5NqEhQ53lVd*QE9t&e
z-V{nF<O?WiR-HgaAlicriI1SYwPOkPqyt$(Oi#ie4q|zX(v6M9&YN5S+1ZGTvgbeU
zwFCwsFB5(qbd09Z))8vbe~hp<giA0hxrSl(8Dsh5kjXv#SiiPs3b<cxr)xCW9`q(L
z`^%BrUT(TF%q0(i-s>=>_a<>l9jD4Pgr0i!<&%$^?(XIp;^Y}<erAn^!&3Ax_m4hD
zKp*Up)qF_9)K%uvcCuGKEq#|^HdfEuv!riYoGCA%B?XeW36Iyflcziz;5~{=`z|eE
zFwMp!iL{RlF||qSdlrsIMarlN(kkp;<idopW{bZ5=$)Tv4Q9GH(r3nd*AGv8o=p5v
z%O`d=X-kyrC%KCYrFW)LJb0X%HV<lxZQaSD%r}0QkKA=43{l3meB)K8o2^#b+L?PK
z^_Nlsb6)EJP$BxB%Z=)S@d?9s6?!>yq|!205xJeBso;MQr=Uy=8}XCcrS~b82%mHr
zWh*qeLMw6OB);~$kq65X0SuN;#JSSD6q2YCbwQ3noc3Q3l<<8~iU?F5cdT)MxIKoe
z9)J&aawpwY1h3~wTi9d{Fb8w?YFQy8g;r)}7&G^7B6x<D&Pw=L7J7ZtU*Eo)^Ne|k
zEL7{doa&IOBFnH8B2jk5K!2t&lPIIEBoUd4)kCKYLWMDNdVY6>EGL#Z>QgLf50W|h
zBoWZ469*r%4%4LU?SpaYXSYb%F;)VD_k7}JN`^Hfr$zm5Pg|h%^Vac(+yu~?Gv<qC
zCGG<{Orw87iOH4@Vh%&=y-J%(@*)?B1)5-Cu|tw+XGC;%&lgl&g4Q$?^0VYW{Px_L
zeL{`;5Fze^I>4>^{M}mbTHpt-YPlO>ljjnK-dRr$DI1>4eb-9Gp&1f*-Vkvt?D~~9
zM@X!*-BXs|d(#T`$&^yWi%HGzs--%ZTddRR2>Qv#<a%$uNHWt!ZP!^C*Ig1BjFXG@
zruR0qtS=s#ARa%plj|}$ZN!dioGR&y?c0`QMZa@#vE4SOH8R53vNt~lHhp6{C^2&i
z=zF*uly7lyN&F%YY*Y%ZTYiB{Gh!kq-nC;%w~c=<N`jN9r^Y#57;GVTD-hQl@aFj>
zEU>g%u+~)8c6yz}?CVkCy}T+HBrS@m<bF8ODudy&<}gak0}k`9g~n8D^;;wFO+dEu
z?ZpG9Vr!*|t{p@Q+YDwO<ZV`~+eCWZC8KcDB@P9grQDw1#b?iW9y#uIp%6?Rn+Tsh
z^O%`IQvHlgTZ#L4a<8sGubTYk+}{6JhtQ_w1J0trCAY*$32WPr0mFdEsPq?Ve=7CJ
zI&QDRScZ(Zqi})tGMR2n9H=j0%S7GH%rq|sX42;FzU)>o6z=ZVv3_%Sthu$<%G*uq
zz{Z_Z>_N>8fmOGs21Kki<{(khHkCWg6)>0sKHl@Wlcradae7d5@A9W6z+o@=tfD%*
zgJW%ifS3y*yC|EoiUHD8w-Ym8E;W+SNHYn{V1Bhh$-DbedxhXvm4RZA>Qta=%ltDQ
z?EM%Vc<TIy2lW=4vmE*hLc!$fS$6*cb-p5GsBjHB6X|1?vi}m*d82SGhzxbD+b3S~
z9|DvM+NaU9hKUT1Oh2=`IU}9$9SPa8ejUCHf1~-a{dZ8xh8fZY4dd*yMKO&h9q}xF
z%K9=KPa+iiQb3*9cGb(54G%w=ucE>0qK6oG?dIpXY*FR-v7Ta*Uv=cs%$uXNV>gA3
z!Y1zh#3#CIBNvb*l5!aHAVi@kmA5;27)BKF!EQ|M?nV6y|6g^iN0F+uYl;RLC0laE
zCytk9a}WMzh>Tqb1!3GRR(a_Ud9JpV#e?~P$Vf30(6gab>u**jph4FUx$2z#2-VcZ
z;-!U@R(egNpj^$vw2yOa4^esODO&uvG5<E}Pc;Z!iJ$b_zC7nz$aj|$2~p#s<AL}*
zzEOj2J0lQls*tcaJZ(8jzS>15L0(DCKu7^x82)&?S;m(rewgvX)mdaE@Ma}kL5BZ5
z5;v9(yztbEf<sP>n-6l?Tbi`+uJ_dN0ccP4g#ulTe5&lP4BvVGAK;?W((?)zSE<wm
z1L~qzixrG8BSIORqa7QC?p$IS`G&RX3XxfY-K|Dy>Fq5wf_aB%5!0*HZ{IT98`>W*
z(A@;|olwe|xyZ>XM=GmoOVX?-vVnHokl-EODl=WHJ12K*>*}m%(KCJ%TPlKEapr@k
zXI-mYbH5*N90Q;syy;iZKNmD@y@5|_x(<<XLw8e?Y}xMDlM<HIkQ&bxUII=U8S>t*
z?GQ{6;|uvS2Oqe#v#hp#r0=n59iJp)QmW1=SXtUjM(Qr~5RcCUUqv}!duJ6Y>~37|
z#LVsenI>9q5gxsy@Hq_`Grg<Zro=^UYN+wI=8T8T2NjVHK_OD#eW&xC);NCAok`r*
zHX2XBf5mWmC(J0k3T3;(|F;0pQUl^V0{lNi5p;VZSQ}V~CQbq~(f`x60{|3%fboy@
zpcRwAFou5w768ET&+VTRoD?0E1m;8Um4V3r#?*f-69NGExqBk`U2PGLR{V~xR`#}n
zX!c|<A1L4x0Ii=4=3w}*93lC?zlN@$H$A{q=;~xJHwd~3KrbePrAQkN{u9&B)>;Q$
zoD8N%OQnFJAi=)?XoD0m+rKLd8kqv70o5G?(C8E}tKxsr4FUkj|2MmT?RIAX0K(ly
j%hTP%i{Hw_gU{d9MN19$&+q~OAo;Vve_r@p{_Xt_#x|j9

literal 299005
zcmeFZ1z1$=zBjxmK~lO)y1S&LOF%(DTBI4eLl^-;X=!Qc5|M5OL`i8RhVJgJnRk4i
zz0Y}`efB=_UMIfqysqmS=3>n~YyI>0uY1;GH561L;0}NR002E;0Dj!!hy(zkXaGP6
zU?3aHIXSvpI=Y)a^>MazGv@You&2vGMP~f~AS2fQI{qJ)Ky^Z&;}_n03g?Mec(HXl
zFE(;Y*pVZolDM=s(aHP>W;*ev)=tl<S?=ku-Yafnj+1oTmt^RoQ5P;z)m>;7e8AFS
z|Bzs42BWwy{bm2$h*I1|TlMqA;dk*|-u&eAbCFhtSW+@IXJY!VpJ%b2zC;SY{Qhj{
z8C#?_=fX(h7(c8-^}~5^JIbme`Vc+NaB!p&&*RUQ#Bmcijv|cnB&u-|B2j!CELsY|
zjSt0zbiLG;0_wiVtl}|#hpM`geAdu2p!y!Sx7Phw|NJ7P8iq?5&G@zV@Kweh3SOHE
zQcps|!2prxaE(h4W=Aq+;*@O~u7);IoLp&)<2X6)+;UF|JBTA(rDa{Zcd?@u8SE3@
zStm^+`><UI@EmBt0KEsBL@mYm@F)j12nd%i9FdiI@W{9M;r4rZ3oALeu@i_F4m^w5
z554Z1yyl?%!G>$!j^=Y!4k8@B+PS1_y@M>TBwS`Xe8_{aFgP3qc>E_frHQ4e+edt&
zf$%~sgqxbWTH3quaR2=K%RT=e_Q^l6{xPoIu7el*;ePOK@Nml$XggW129NpBG}#n7
zhM~$M!s$u73D~W~<Rr53rkPUT$Y}hKuUopJ$3lYf0Y#DyPI$}R@k^5q!|P^mATgvl
zpU->Tc?mmh?ab=7mqCTCH#kC{IDC-ePVB|o_x){ztL*Y;tA$v$_t^%=%o(e4GhK8h
z&ff<Jd`RK@F>a@9=!ae+sn8lq-8euVfN3RNku1RVF6PTzrSaFNTk-Y$QFd&noD}xF
zJWT1aFFs;Y95fGa`Q}0jzlDWx-p#%n#&iAB%80-KwjRDlE-k!IuU~Dt=tP-rEOU$q
zR{zpJ^5$A9j}Q^fix~j$5km2Da<$;Ga5DFBK*X}2L2SpsFlM@*IDlse{_vt0ZE3@?
zPq7orv<ih$-<-e6A6t~)p_m~ISz%g$3-iS3WdAgIM=Z~xFG-TYFZBcK)jNC-<p2qh
z%!qV6X-Ou&Dc)Emi56S^{eBWN+cwfCjg7XqzS5v;t~j++ZkzAgo}<22KHG)uwD1G7
zY14z}8K0jLyiz`f31c}LWGCp)^B&Pz-0h!dc|zwskbLCdMi|VK{G=_CixjIvm`gi~
zza1rM-FfbP0cC0(X8l4jIaGFt%U<!}1cod-qaW1#8ELS$;^4vd!!zQCblDC+veP57
zAKY=(L2)fdM*d`{Jm;az@_O6ojm1Q4;{?5!2P6DJUaB~g2l_g>nwe{lf-F;Wcw+41
z!}<Xzkp6^RQr?9z3u$yGfmcwKwtNA@bN3#BwF{r@gz`nuw}Bk_MUk=^<?C;-Ge_yl
zxryrtr3N{{SW~AIqIMY-M+}l+Ztv7LPimVMdunWW$&={Pl3!0Ux->YZ>Eo35H5>>I
zm&~9Dn?Z)a1fT&^#p>^ONMzp5Ml*9#W$L@ey&~PiYgH8@UNyi$QNH_p0(gJ~B0EsS
zhP3ep-Qp;u7cKr^b*a|5#{%UTw<GI{PALXfuo^IUWGmEKDC(0{DMntdZ@lg1Ht(Ho
zmt-NPwk)kPejO83UL|R8B3wP~|7`k2pbL_&OLj@J1ej95R4!GfS}h2+%f1>U^|cM(
z2oIS?BLVGzM-)?P?42ar18C~=NL!o_{Jskj)-SDi*UtplH@HOeg*M%rM)_8d@?=e7
zm3JfGbo4myAl2aMOfKyeSf<Vm(F`_<kG$sEe2{dS;uDgGn~rsQ!JcLQyatkesJ?Bj
zSdm_3*trl~VVDc+ZbzMoe3X^<0qKs75#0~|Y|@Eu&Q$Y<1@W8NWJzMREPF*>be;AV
zP4S0pd{&+l?$qC^))WO2j~W(U7L#+?C}4z`jmM0Ls*>x=H#DQaPojwO%++kdr<vEf
zvpFh=(eRL_W$J6@CG*i+LVJ9+@s>=d#*RgdhMp@ryz%O10lvo5RS#YfN(4{pPIxYU
z8!AmwBk3+Sp)Boq{D~xxX!BZ<Oo9ldg~o2RfsQQoBZ-PFvZGK8asx@^vu|+=eQUWN
z^vTc!kgy(mLrry9v%C-9Clj|3_b9!&Nr;h4{WQrt_z-zT$@Y5nc;43zO;v2hU@W(D
z`*F%R*@yO`tli0=;k4lqoJkbRPSVE;W?aX4HN8T<=n8kLUAB5><6kdK-~05!Wb5Xh
zLJN)a;XS1(&Tw-Hq%Qdr{iC*590yj|f<zgo+T0Pb<6H9%V4GA)zcCuWwff!ESzEtJ
zx2D}sV!Mq6+lJ<#8@w4Dz2_OZdc)pv3i)Aa<H(7<Bb-KR3Y4pdp)ZPFvXkI&p&q41
zEZ~lr7gZ2Fx<ikfOb3z?VJB4O^D|yB#N~^Wu$PvT^c&3q^(69VCf#pm;Z@E|*v!Q8
ztoAi&9O(@3V|KlaJ$$_YxZ_Z<c{d`7os78Njnj^PSuD47^6I%w_!6xccj$p;zs{cA
zsj;ad^~O`OnQxHfph^%PSJyeq8=0xi%V_bAfn16Nj}3n@)?}3&@9*C1Zj&;{bjY+#
zF$78RJoajP-m=<XG2hq*_%e5Iuc*F~?p2mvkneWh*m+J!@d|DcwED=N?%oZP1zRjV
z!;{o9rZCsILy5TI&=&2L>67T}!g$ChHx*nhrkmoedh-U2iSf<dHyDDGRV`5#-HTxf
z5(fDz^l|$=lFhRf#IJ4?mxbgO<7yRZSt|=-<VYmqq!+&onkv2K@j9~GbR#)%547>!
z8+>bqk6EqER+=%k_{zT3>G4x{&MNV396oEkn+f;kl=*Ec65@4GZumI7>(+~RIVjIw
zVOXqYHpYmEC}!Wa4}LocUPXl{evQ2{K<_LSSNry4gMk4l<SC0>NlVd|h>atzd^Vj=
z@8d^C)XqqxcUhl-2zv0Q^v5rlOamHN&ZRYbc)aRpogmoKvC}-qru%845#ls5<g-<{
z8TsP79uEX`X8q@7p<?>VgM3flWdkA1j}&F%RU1M557st{=$-u-z&3u@82+-+4Hq$3
z=N`9o4CDejQF}A>wTxDyjKQsfy@N(U@th_|4IqtBz6)kwN5VlevDVq`4|^rH&io#a
zre{H0#H*K|q}!F<wS0aU!u+R+7hYI+Ik?BzHNDVhaC#Brzp_?j?7}(74x((@_A8k9
zU|b=oSI2Q`@=fehK;kwWqIbE!qvM&e>Jp(POxfRrwJPGoI*^vUTxfg-b-j5r2EAAL
zMy^UkLRDG-v}7<211U)+7?D}Lzzht`$6}s*p`mBbNap`^SReO;YVdYZRrT{{=`s|d
z-#=-AK7j%)QGMIVk=qj`9*%!vyfwB}d)>Q-E<}^(QG>1`Dg{yhfg<>WIMCp8-;s_H
zx#A0#q{Lh<byZ;cq|uxbQuWkfK`bM4bUVj#0J`vF?6T2p<@tN_e#*y1DUWR*pKznq
zvxb)#ZD+81e{|!LZ4Z_zj?)x=q@1|dd-A?qfcfa5e2T<C)TNmer@r{?)Q7DaCeN}c
zOZ_#G(GfI>Xs*#KtJYuwDNI?*f-hevb<P8wrhGTWzht^E)@8YlB`AGHevO4$8<{m9
zt5e->@J?2U)%MW?iz^3wuH-jo{vn6)AoMCMy0&|TGCSHU9GtP-bI-#@KPp@@z0=Wd
zHLhDDckNFzedW0Is4&JZt2&a(Xx9h2A1zZVlOy8NnK|LBV(2|dK079HCA(2DU9s!8
z{6r)8_KjHyvhTOmdqOcc8jZG87k0uDrMp#!O|=A0^Jo#2RnCg6?mmQ$lcjYZ`80Ps
zcOY$AO@}TuSOHVEPS~iVwgsc!t7b&J;f-jj>|)ZBCt5N=;*~!pAnhw8hAo*eZ^|$A
z%i^t$164n)!{5ePKlG~bNBL+&{37CMvuqTTPbD~Js**nfBF8IQ2fDgwTrtu$(E}Bm
zc*srSc}JR7Vsb1{D1-_h=C+y2UO$r>WY|Fe5XvJ}nCx1b4HFxigvV60|11;!WrFm`
zdrO88kvcs<1pq1l1L?N}>Gvw)k7?3hD+(k;!H-z||Mt-w->=cZd++tWa&vHv*AnAH
znIa7yjAA_uyand8ulL~HCILrh&&oa&+c4nbcaejvrKX{)TiWM4)c%jhF}mZ3$WnX9
zd#jN%``$ztJ`c<*wsgY5B;#!>5tTNSdcnhn8=}$B_9FZ)@`L+cT|Pw*#`qb>v%^@T
zP7SmYT30o6%PSQ!3pazVUG5N}r`Q`~9c*6_JQEYWQLA;J&{=FLn-ipXpw%wzeEeGe
z(3QSZb^iWcvJ{m^r~y<fRvP!htLl{|doxnh`BvQcUz_qA?mnWosJcHiv`qHAv~Y{m
zZCqshF`LNk21~$4wzu12^a;_|pV5b&aWn`Ve@!_f>i7ZYwdjfH;6jz2yja5eXQRUW
zi&fAAB{g)mcCjeH&ld^e>~BWpU}<4%#$)SXW^KvGZRc!h4WEQB0QXcCRTKdvgiRs+
zG%Pp_AXTz-v~)Fdx3r*p;X|kGXzOg|=57g}23`Z0=;#>ecQ7$9Ft9K&v2e-m;o{)n
zQWKNllQGaTG2W-WPtVLD!o$oa$VPvkSB6heOk7GziiulZMNUFlL{dr|2@?wo7YCQ>
z-aRUD*88mD|KS7P2H;~LFQW;fAmIbZ_(&-DNbs*nISAs>kbXP<>KI7KD5z+6&@t{}
zV%-Cfkx)>OQBly)P!Uds<d66aK*dKRpy!jhL-@oD{k{tke_(7j27_!xJF(XA0i(bR
z*Py$YB&1~I6im!4tZeLpLc$`VVh`lx6%>_}RaCW~>geh{(>E};u(Yzav9)t^_we-c
z_VEpV9TNKHZCH3*d_rPU^81w3oZP(pg2JNWlFF*;n%cVhhQ==)U%z#Bb@%j+jE?;n
zpO~D2E-o#vtgfwZY;GMM9iN<@onKsDApt1AvxE5iJ4b)u2f;WJGAb$xD*8`;kdVC)
zFBE)KG<v=}1Ts(1&0GlY^9N!O$;M_^wBKbA&^jP~;W~^-!YH`Nboi5{-#GgBF%<NF
z#nC@8^iTZ2p#U}t5<+1p_<%HULTL!{4C3lRwh~$$7oy!7%2Xv#;j}wZvC$}NQGtB}
z-CpxtZ}GrHRJT9tpNnk@S&%kHn&^Cd^6dM4enA&rv(?<Bf#JYoSYkB;IY+g%2;<Is
zsbV6lhr1R9jB^Ve_a>Wfx}wq1v+N+&$V6+qYieh8a3E86{sM;<4iIWiDSd9qYkC9C
zg83MN7X-mK>O9uC?Ym4fQe$x7xOW;3Amze=C7Uzn9hwp2Ztj{0Ka8)*ag&Dh;&SRl
z%6CtCh|<~0dbQFf<EUjE@9Sri#C0ySZ=zc8o}yxwThe^JR`I$YuOBY{wTkU-O6Nkc
z#YZCF3ak(+Dr@p@Od2@Qj`dVMPXA&!u%1{4Ls5bQUx#-VCruFd($)=IwRtn;^)XXl
z+lfrPcCW4XxP6d_-5EU5?dYoTI@6Zwz6=WA2FW<TCQMwZ>J@^KxSduwkcA2d+5{0)
z+q!Dw*>W#dUc+7z!U13u4us{#z4ue`!bzS#UdXz*lXas`mCylItGEB-*KAe_5WIF+
zp5I)sz>o?NVp3w_J&W6;<bkZWOCR7!U!;n$y{S%ip7MtSQg`9NE8CxB_dJr!YYOe#
zzdX>qLD7UUN2{74<S1+k9t;96P=F5we^K*GHoxrVmrwm?;?3JHhfk~Y=>Us(t90;k
zwd+F!21ODW5dgKX;~vs(6ptNAzk%2V44|yP&vc*m^3TLJ_YCNxeY7m?H4!eEj*=;t
zxM!jm<?zDVq=f5U?1e+_RGVbpo4<uo(qCxzD-!&QlD{&D|DE|vcR?n|A=AGZ-zTa<
z1lrk@hPq(sBZ_*9lpBYFNc@bCvLlrIwf6kH>B3o(w9kkf!W()PEDi+PKCbqVf+&<y
zN@s$Wo@r}TK&s>B*07cen6C+#b>7uGD*EESp|*)(%=k#Krh3jLXG5!gZ68BWr05#E
zxykUHa0n^Rd*hBxGNbWF{589CzMR85i7!XG3XByzxSE$J->!_lObQ8aSa}fbMozXX
z@58wJJegmwp^VCNX$0!mG|gdJqp~VrX(%t!z=%fP`E2=>SdLMw=jWdk@x(#<9T~12
z;%D3KC#0>e1!bo01lW6E#YQfZ7q7i}(O@|<dN7(?k@5ENqxF!-xqIbi-`Mi<EkuQv
zJ)KSQ_Z>N3auxJB34BqXvD-<$Ls-{s^h%DW@X?s&qAq_4G-A~=AbE3RP<*_mOqcRo
zt>Lb|F!UkCvx0Y70)4XHcy;f5&c)WMpW2Oi6;f{08c$^Rhb9`tu4`PiNPM~}-(2y8
zQAN(%>Q&5$_gdfk7{xPZ50!~?%k(K@xa%s~Lc21MqS9&G(!1^8C*j;LR9(uoNpg<T
zcU>ZkY&c{_i+)?NZBS;BQRW}8?%oQLrH-?8AAWgtyYw2;Frn{dB12WqsdfM3^%Kv}
zdbozxjL5>Qoi16=!s9hfVpjH=_Ag(4)V4M3o#%6su34|tpZ)ZFmR*5A&y^3eWa|0b
z-kWaU;vOX5$kBMmL5saxaW3i73I!&Q76`T1HN?=)-nQ87Hj094IL`iFm8RqN%ra|#
zQ(zYh%O{YM9|wN^6mHJZv~ZeGx6gNNLJmViagV4@Pwu5V%BRIG`CyhS9FA|fG)Gv=
z^l`M+Q<FyOjDhBLDJd#XfrPNc2}W_ZE@|F=g;W&>IDmWoGKxF1HESHgbhM);lyIox
z<`>bk22yiB)+vnSV+s~Y%A<Pa<v<nl!cB(>bO{j|_B<YiA+gQ%)qEZ0cG_9#?}nt#
z_!LuO`GhfETDpGJ?>bzlSdNVy$*=34Ftj~~G=0!Ffq}EB%hK1x#rDlwduL0Pwfph<
z8zbK}XQc%NG%O3{$E9AvflWPA9%IzmC799^k27$U%2_eD`5RgRgD~tYnbn(_ljRDr
zWkIzUPhzV&Aji{R=g-&R02~Pa2nRmpgH|u@4C2CpK_^1+d>R~pvBzu|{W|$eAis>^
zmm~Z_hX3!QBEiE(JSfAF)#2S_?@8wH6K1#0-Tp}5^%w&X_`-Rj??BK%RDGhmwzt!!
z&9nMlEb~lL(k84Ne{bW#%dYTGIdpd&pWk8SBIIL>0#~hGy262aBWsxc(O1s_6_bQe
zQrp6}RK}D=yUk}uof)WG*9|kuvSgtSyG^gWa32szhD^vt|2cjXUTsOfgaA{E^Ow6z
zPS?j^IPmSstq0DpbH8Np%MO0|!Y@4dFU7<#!I)hR21}9}7uLj9(6`2Ci!_EeaG;q<
z1x9;8ECjg`1(|0b;)SjslD<YZnBTgmNnF+zJ~zIgK;0+oT#3?w9qFos?GM7tq7*4S
z$O+9_Y`76$oaN&#KfSx2@P(<av7VsIz7IR)S=*wbhA2x2O@j8t(9;Wjw`ZTn_8kN(
ztWwhqBm6=VHYZ+?D)D#Ke71}vtX93_1t>EqFC<C{6TyhID-O!aL#wG-yBy9y4RILz
z4A>a_AKe7_7>Z{Zuva3{P=F_z%QD)FR&&J;lIKCK?N0d$#VwP(m)iBp@!VkVb^6aO
z0h(!a=F~FU%VwI3iYD>dTT)abr_&rK*M6FIqhJTp@5!RFIbu5RKXcrO_U@jD4OnLA
zg}{LoT9I2X=_|}}1StyAAykE7J0G36K02IYP31=Ps3b8~hgxz~=&^j)U0>aeE-2W7
zW>3w_;ri3cHL^Pj88(DRvc*5Dvd1=W4tN#t)UV}jh!9=~(~+Ryx8alSe0fMya=-IR
zOEoC+{s(}02zZJD=yc|USIV%8iPsvzhDRXlYvAhx>5CGG!wQe(8ZGpJ-p$P*90)*%
z1H?+TM|i)^{co3nI_LP~>2EHKby!4GN0V(*_U6*x_E^v6WOkan!PV~NmzP<v8$3iM
z?yy4B_g(sb#hKF5i<pip?1T0aQzOG3L0OwIXwuB<cX+7h+P@q9V>s{{4zxo~NV5<#
z;mp7Az9@a*bc?o*7#y?YPWzx&9^+kT-cZ5;86P-saChT3nRUPrQ{+2vV8{e~gX}9=
z_s)-p_rLsNne&}A<V~TrW#v4D(X(EU4mU^mJH^CaJix^>mDATG*T7M9U_NV_AF>Pf
z&G{42+or!e{z2A-2rTFr4lEE3?=}Zd`MkMdf#JY`56y7k0`<htiSEqA3=SaX*umfp
z9%&eoB+YcUWb%9P7x2RT6&3<AUTOXXFMeI>m#lsn!!O7Ag$%z?^jDbpZwsjwJj<rY
z%Bw45<ddgdg%!;CW11gs(~M;#Knuz<628F<xFPOmsE<pSh9uMcWo|$VDpbv>!QwRe
z)n|-DTP%pP#_E!U+6UvcqpdLv-^asRbqyucNKw}rquby>ylheO!!w6d7N2T1s^*Z4
z7_IRV6*$mU<UzPW)Bh>g>jFH4NFMIPfhd|0>0YXshMA3|dGqkC`GDK_+Z}W1`>6w3
zYhK*!y<NmhpBkNReOQi)S=U(-I<S-(Y%w-U?y?+}77~lG*1g#LrmD&{8KYhk^SHt4
zT4VZc8>i=D#qA93;@R%$T5FK^vl4dvn8vGf{{gCP@%&fe*|L~wrN^hn;DxjC{vMKZ
zuys3Qx^>+nIKX}Y8a)$OX^6(6rP2}Y9d|^eDT%QgApjBZ80jI}zx_s;3b~0$Wf^f=
zt44bBr!U-jO`$fk+2@9}7n?t|vb)<hn^lT*F<M)CwGWeRUH(+9zmOz@l{ZX>s;sXK
z?j_qrU0I-+%F_v@Cb==^V^(RWaka#~dl?#Fg0vl-fKe<#V5s^cfqMbz@)<8(WF&t3
z2VB!-o}0)AO1FWFh;(x01D@0e<X4ygEAZDh+~dXboA3aBI8crc2Xa=+Gy37c+yP|i
z_JzkH?CupfrF~+UD)3Ka|GT*UcL?UcZ3#`r0q9mU46@yEB?*9rkRH-BSi)MbVXe|<
z@*i-qz8SmEUma<#KBQXNWPJBP{+cS9a-_Cn;9e>DQ$4l9(_4WD6$u~4j8nouPM&q|
zUsr2&{iuq9#I*Pauu#epN#=TKTF&1zU;AdFI#-smsFxe=fZnd##;)A$AYl|^JWwiZ
zd3irdNjuZEN&H}vZMdia>Zwbg{OH(2c|Ty<{cY}Ao&I~p{>f$O_Z!~l16@TXf7Q;P
zb@g}tLvhoC1F>%MSL!$8uXs29Nv%JZHjv~?wp6=3>=f2!Hll5&0u-e&X&@dD%AlcY
zq3R=jgQ@q4n8f)wG_q*ekBiqMSMK?I6FzN()zrsv4i-<g71$UkX8JTw1q_OFkJh|F
z{V?6<ep&30Gi^G0(}d^Y)f%d>`^DH;aQMsTVgT*2IJWhxI{~k><iw;SAxFHMv}fpV
z;DB7*`<P!g_qR5OefwYlx}^+VB1*_lK=O(V%$Qm}AVSJoN_+1vU3r_PQ3)0g*yrb5
zrgVwK-+o912X2@Mz(X7eID#O$D&ZecU<6^(8^i_R`C!B_;xQnhE@15#Tab>d!8Lhs
zNApleky~BC@zFY&La!mc^df6KEYQIE_CoqbhdI^LTwTbW=g$|+`&nP+XkMY&W}Pp<
zfy1W&_{$TPTjc7&>jUsb3Gk;&0JH}Q2;6>HCIGvkg|WZFyHI6BRC&57h@KA~qUZAv
z{m&QwTWXOIHK2Uf3FfHuemi3GbP-~7|G)FzIF$XCyMmI!W|r1$^#XkQ3{2W<=vad&
zSwoNSc>VuZMo6*C^R!zu#AAYel<Fdn8dU@*3wXS+#F6zok+4NC!P*b0>TbA=tzoYW
z@L<S2hzQS$JR9?MpLi?N`poNfb<-dZC2X*;pLu4Tyd&Kj{U~oGX(yS9dddk#7()vl
z3I@S}ptKkmdts*Jw_W;K^cxl$DL9aQX95nOiO}BYP#x~kj8G4Ozql;#U)^&6A85P$
z9LWvREdGaA6Ha-Lb`hG&5W!GuqFEAk=C9dZYE)KM>Zty5xqE;AE)>4j;SxF?h4V98
zG_)htQw~G^sdrBrf{h!nWtlC=of5DC&Hj-Fj-_>tk8GoFq=nC}*$j3!PA0mcsjrvW
z-0ZFX=DK>O5`OQmmAzyT&e0VE*^sG0^p4cKezs60{N7Z*ai={<_r8X`rZl}FngJg^
zb?d74`%hb~>9wp$rNIAD3bn?APw^`pu+#{6@#^zp6M@p=Uv96P+%+c_h|;%U$U0V8
z#FMXqWJQN`-Wd0gW-aSC0$t|+Okl(EjAWkp2ZV1gcg>&BK@pi<!7>87ax*16pmL3P
z7a9_XUp2_$l*2F`5zS+<|J3CyS)G}p$_}a0$Ocs^__pY0PuD?CW-%>Y0BvWq_c@*|
z?naqNOtYI4R=-G~eNMO#=ib%RMI9x^IKx2AOv(1A!CT^gV~olF^=kOLDwf|w=!TNq
z5}_M{k3}U}Q`$#)!Wtr;^xy}-%M8(s`+K6yuJFF@63F&$#<IL0YRM#6+>M<VBz68+
z27N|*J;wR$-QNEIhnnb763z!R)tRU@y3usQF+0VbYje4@ewlX>gNxt1diWoC``F=m
zw1p3WH1d?V{ImsDzJ{tWQu}4SDBUea;cuQl<Mp>V!Tr0Yr58mH&u=k=As1}*KjY2s
z+z0=Se*a<?|Dna1eF!s4y}~FwVEX7HQSu26Ole1zXtFTXFa70m|KKjR2xfFGUtf@P
zt8VE(-qhZ+Bts2s`Y<DKN>hFLn<qs73&+X-zrnYEB+b7;pMM=Yhb8x;BwTP#4rLb9
z^zW<aKY;_4h2jY`xN>ga{-QPg8~^3^bAs`0waO#4lO49&LAycDQjQu((hqHqe}gLO
ze*tW2{~bv1_njlh=f-yVb?8*?0aK}9EXg~trG{ti8QJxWGt!OC@AeTsitr!dgXU3h
zl3vzat%3b~4dSP+Dopi62n7)<OXWiTY*xkpsfhe{q2M3J1`U|tVOvG`lLa+x4%Mxm
z+eB$h<b`D~SHq*fpe^0Mu%^F!K#7MyK^IJQyg>W>a0Tq>)TgWZnsh;wu|H98Ea-m%
z1^>5U#3%wIlC1Qb@7jgmj}3(NroU{z`=rl{4)3p#=pSH&4FV$!tX_MQboYjnzq8fe
z(-8w=o3f!UCsGN&Fyg;HxybQ@P<St~C7K~HqBvZb<(l2?m<a6+&Sac4=D!+w|A%s(
ze}Ht<a!b}>f~9Jnkb~AlTZs{6iKYnh$B9VJ54rKQX0COZGrzI1R0+U=Mb~ZV6XYTA
z0f{C|?2#E9z)IFflyxMC3iDMZ_^=mI1paXaqRRVoj*lqgLi!L}u!pb^IMt>veX$C7
zf^lDhe3nvb^qNPjx4{)qf>H-8R{yDP`-<*Mr9$n8G(qk~V+#dYSy#u>H^zVh<Gp8n
zP7F**Chk$l2KQVw&6}dv4?f^f@|>Q}pB^H@4ilg=d{utyV+aSBKVaj%H9baDoVAc^
zF5uyjje&IN$#zpeyIQfMiD*ysU5o3H5&~6@=dY%Kg$gpNQGD&M2L9O%b@7kFMd{;!
zRZAB_i})W#LAZSFM7#>(plJ?6wq1s>)IA-(-u<Y0N!fQAO2^x~Cat8HiG_YyLMh8@
zISV-x>b{6sZL;PB`Ys#wW=)KH{gz(Nt*v?SXu!GY_ffX5y0!{sw2SMTw>*;mtnWY3
z?8E%cZSN=sI7YyM7<?1O9>})o1*uf{ge};>&c=Zvc*?N1_K>l5m$Qtzk%1t8P{$~m
z2p8#!f<iAq_a^x=WHvdCoFpS(o$r}-oWnFRgTC;~E-}g}fCZqs*DxT^#as)66p*sp
zu{lxazl}zZ)_ueMEtGQ2Y?Rvj^ep?vz$ZZ2v+}ai(AI$Z!I%OFbx?5cV>xm|03nKK
z_m(gIcriy056_SIO8W}t7%Q}qFwE{8eY&p=GvS(|ccZ_$c5H5^2JGHS6EwmB<2Nd1
zg?(NPOWyR)7SWn_ET@pju*k(a{Q~Y+*Q`vWF~hb36cxVJ*N!pP4J;Dn^D7xqY2{s}
z8&dr!H#fNbSnvbq-Hi4w8m62jk%AAMS*Y6c<sh-*nC5pXN7<$3);3NKYf<Yq3vz1=
zukLa;7d$=eUWZ6EYn@jgs~w}~UUx=`XkPn&byzEFCh!rTvnP3({+!d^7o}6&V^@{t
z$i$2b<I_h6!A%0TINRCT&s&Q`z^Y47Axq4YG3|oAiN3?T9L&ZoqdO5s-|18C2kF<z
zhscOpP*FGIrM0?*hvaFW+{|C%c{y#r5FX)VNX1B>;cHBOVK(OTyaYc}jKLF6BcmiU
z**N}&2PUhjc7-#Vwlf|^(>>FVur5Zs<yEbF3&Ar&1kl;!wTaEkAcLFTbFgV3T7Oik
z3~$WfadxPfngC9r=S-ye?Wcwu1Bbc!tV`sHfTG?%w){3%<l|L`&WrpjX6kjx(O#iq
zd#&KIP6<<1HxKLA=BIo0fRGwuUtot4a|8|WBOWgRZ4R8DE9UW0$^{+C&Kh6BKrpg$
zM&ZNOa!lFRvS813#-d}OZJk=0;w^}5zVRkSbLo;a@?P^f#`sW3bw(1l;++EqR}`1y
zqY|G1w|#}#hQ{ry0MmmdW75K9m<3l2=W?ssGo0@c_bhmejYwSH)wd1&Siyyz+WPfM
zQkuq*Z0!#on;vxRag{A5sVRSW+cs<@F7{AgU;k{eG19?AV1BNuW%<Z7>m+>p_*Pnu
zdqL4XLh0EP+V8RY$zm_jI$ix?iJBgpR~1|-s{h=dUJu|c0u$J54HV(Vkba+PyIeWB
z6YptAs==<M(!(PH9u~QvqMgb?{1AUqdOsPF+S`e(-jpA}0fEx3G06H!z$P3xy7PLu
z``}wM-^(5ke+D)7+bL|DKqBjRdi}26z-4fJOwuNcR*t4dmQRl^eu$>0oq;=KE7`nA
zie{L(84~EecyE|{j>R(d(Oiif$IYdAkO7USLz~-<&DyzS$##EXKht{t>AqvoYsWG1
zqoylFnVXrJp;~U1Grh@+c(UfRe6@r+)6e7u__+e#KlaM)ugJ7<Dh>D^aOmI#2wvBe
zTUx*Yk@Nc=2~@R+rk~K2bPJ)$g_H8<#$-j3O!v6yxq;*|j>}jwlgHrzJ;r?+*SxrO
zR2ThrvCW!<053blUW(D7k-ikEDCq2`_#4WJ9s*iCn|Kq`wnpc-HpxzemHo_4I`oOK
zmlf??c;dnfVrPO4ErWKA$F|2RKAZl2)7iXH&^G~kU_;a6(a~a^9YI5XX4-qT5k$qV
zN!w>Af?ou!d=gWX{cqliqP@KwG(oe=-tWxvtl9aPwFX<!s0>aT*Ic)PD4pl3PINRX
zW-N*O)9GK1C_6e5oaTf18L%r+A0uJN+&fNWOcrGb@6w|@dlFFh8{wlXhLv{4lr>E@
zS#xO<Jv1&)Ri-bP&-8DE%59^IR}A%yW($yJ*91*WR8BB)(XW-JX*{1dm}%t94&Yx3
zuT0F7wO)BTUJsd49>D?WW7@(CH<dBw2u0z{r+rSA&J#Thm(f<<!E9Qd&+pcM2|mJ(
z@Ar*jVv~yXtn*`@pPT5BDinf7T^CEMiCpotr0AVp$)8<W<)rFYg@PK&3hHX>&6=6N
zkO`)Bi^ikZ^$ls|Q;r2CL)G@1OucTX)k+$OMy`4GP1g&TqP$P2NpLKtR0{7dw~num
z=5lccmYJ)6;5Q4^+(){s!%Hq?u8LaKki0Z1-}HM~Ju#90hC`vj-Kf#4W&e0ohV!LR
z-n>y)4y7i$MNqFNM1}Udo4{9c)i`akv`AKIx*mz~T0PfIQjk}r!|m?N_^n5yLAB>v
zH<UM=t!J{S0a0$bedPsF*c^88#g&AJAyDa;lCR10BW~%uJu;)I{d2rpzOy`u(~t!S
zp%R{dN0smSFr*)}(hCPBufz^<P8+Hc<gW}(3_Gjd(YZOTEvyi<5e9Z|@N0^>8dVfE
zenUK;gIR4KRt9A#Ed<xx1_<A1_~{_R8KR)xhT`24C18vkc<j^~<PV2Eu6j1G_5CjE
zAxjn|?i3y-6;%*F##)Zu#z0OZtOcu;d{nS!*L~6N1$UOt7-BmZ|ARCS%&Z3vbc88x
z$H-D986XudPDmo8@$9DNbo0eNsOJQvaoz$4xG+w*@YH{}Z!mbTsTB+PfmB!sR(xEY
z27Yv*2llXh*c>pZYvRs44)9cYeLHB=<ZwRO{BMfpjd#1swtK4{VyqW*qi!U5j@!RI
zuLuW(%Hz3W@HU+1Cl#U3<0Kt7>2+-La4gEPHIK|fm2Dw0UKkIK><TGGP|viyP8{cq
zg-$oDvg%8~Z#1sw_iHl?c{xAgz2<g8<@c|p+*ssKaSb825XB0NY2;Utnp|f$l=)P5
z!v(W+g}Oy-!aRi_mDM(7W@EXdQ01lJ*75Ok!m7KLA~bs6d#V?#MUC94GF9BpjmqZO
ze2h)6P9d?pM!nK!=L=bvL@zCE9jyJIC&iLIkNYAve*arDgtscOXC0^&TaP|TT}{ZH
zsyXM?Ol7+Sn+B|cF&>mh2yWzsF@<lLf!M|91YLtEk1*(V-GVb~2ru+AGy{{Wr?~c>
zkJa27^a!b>9x>YFSx4#T^9w-sded*-qZIa!<~v}p^x&;|o~XfS502T#)w#nFojudy
z3ctmc`(L(<$qal()SUbtm{gaq$(#AevI4K^-um>$siot8lPAnf(^p#eEWePH#IbLP
z-<U0fZc4Yeyo^=)`oJX7!66{&j7H9uB2K@$m}9R|mX>om45^AH;4v^eG@=FJyb?Y+
zsewp>?`wI2%HppPdF#muOXH}ZIyY$g$4Kjh6X~RR^tjK=^1$0BJ_~lWmwC9sYZC6n
zB~fc1u6oMloBX%^cGolx)(rgWE@yd~w9=u>DfTe7>Z5`<2fhjizO+>x!V=dHu9K2(
z(ar7*4^+lpi`OR4WnGf&?s5&6UfF`jCjPDHVC&|W`NLyvbS>_9<m$XmMpnd*`qKE;
z0X(RwQNZ2cV3Uf|vYSydRd&?QF`X;G&MSi9xySU`(>l&~6qMvBl@<Po<g;dfc@vCg
zu4t_Yf65L`XrAKh#4uZ+`=PJHP@<N4L!<kEmxC?!^~#+#1{6Yd*U^L~a7OZswW_#M
zQ{A#tx8<kgTodp3j!t8-oSGjwx^d%or*vq)+gxxp)Mlh~y7jaZJLva&>X}|3_UM%-
z-8w*A)CT5bZfM(642%;)2BOMT1PO$Gd}KXPSz9C`f1=qJ86PUKPBYsP>64(f&DeSZ
z2l`-Sb(T6^`B@9@g&k1FiR1(B^!pS{(_eS0RMLJZTY|GVoz>(9`s;E1qm)D%cJ)jg
zq-=R4dCL9Vi5NVy=M(40CvHwowydF>zC!C^5)zi9sT{@zOtxIlGZLTNbjActr`b-W
zTT7(lKmCzDVd;UWMdq(AoyHB%iJEsW5H0c>^7sP%XGS)SGgUGS#s;!+GAwDYA6qI&
zbMtGE9jE(w-Gu`<LbE*Wh&idJ$&a6;8VP&eUC3{#4N~4?);G_XGj2cYaf-yo$z79h
z^&cc-$%`{IfCCYx*?i;Nx3n8>Pm#toa9cbUsij=$LyuTTG+Du<sSbU|nU9<mb`uBg
zsI#lM311oC_MY)xJWYi)!txVFPe2(o#{PmfW`<8Q)Ze9Q6Xlx_>oT^&;?oyHRdLgY
z_Q}{J9g}-^=MPN7#uxYNJ)KOhL@vu-rr3Lf<w9X!ghx?hx4l#_OV#N>Ox`cR@!0%w
z>NkG%G86GSqEq!OmGO{V&!f%qayh}<Z`wBxyyoD5fAy&VPjwVO7yHpLXQHEh^)+bN
zm(hQuI#jZ|S7YXd2`|#6Z>FtgsWFpNJFEnj>XVdf>)PU&fAKT?CDc%IG2g9bW2kO0
z^lN&;xOw)@!t>Zz1+NNaFJ^Ib%cqQ<X#2>0^ib{Qrd3hBMk(zRB(Q-dIH&z%8k^vv
zgco$?%H1;mozO?y>N}5kdu|t`g%^)bR>t|7i@P8kiMKpXNvT&S6?tVOtHZ9FbLWsj
z^*c~o3JdN09DVkgDH%&&9{HF?-Pom>$h_T7re%OOxp=L_-(Zs?0Pj@qWc0h8a5BgE
zmT53J=X&bPkW356kNpDoYF3Ac=|rU&r6Ep-bIZ@%qgtNII(XnNF^=E%6|7DGbRGr=
zOvm%L`#Bz|)9g)g>TuhiY=aj4)}$^e<Y-nbSseLz$bg#+O`&`RtKAVJNbtn|&%2Fd
zo<W|@=cnD0&h`36CB>sciDIEfWi7Ksaqid3{Ng^J^4?4Zo+}-koJ#!o!Zxw8S-6yZ
zdHM3KLy5$!#|;)+-lp8Q)Uynxj|Ci0n}xHj=oHQMIGTbCKkP{!k9-bx3{Ezd7KCW)
z#+8ztfLC44Q+3~`O3qe3D>0hd9H01d{@wpb@DG}MkO@)^@1M?V0Hc@Bm2VcN@aolE
z72I;pZ?v9CUvnsik5j8kO6Em#QSLf8xrp;7X}hex{GO(9$1S8wre`HCU^}tt8xKb*
z95AUnA|mCFxotr_Ax92eapJZ_x$S1n8g^3KTo+|c_i$~#zrCC36$?u2kVU3zUW54)
zkBB<hqvZKp!>Z^{8L)}di|IqLU2B*eBIiCmW`Vu7!Ovg3o^m*m3?Tb~L{iM(fbLp*
zh}30Iw#SH0cZ&KvJd8{4^w!>5$Vchw_!gr&f&;4zPd~|q5!7U(rEGER9Fc;6m+0Re
zmXh_@HGf>iACbJr<^_mL_1|_DzIAV5heB_;b|gYagcz%Awe)7)UrXuN5ujK}+<ZW4
z%KkW3-X|5+BFW`6XxeQnE;QbAi`Y+n<x4ngo64Llw7a!w3aX3P;p+lP?76JV8^5n4
zW@^<!mpP_+fcZo5__4pmM2>OmY0KF$i?<t><n+y6@@%o>e&|UAR<XO8?YatA%g(0n
z2qXnRWEJBB^1UGXb#@hQXC>nFKZY~TKZY~<gR?N9)f=orjL<O8LvhX#t5Yp<)Ac?o
zf}!IoN0cmcwX~VD(sJP}!Q{;3iIzq}jM*ZuRj*UT;7?NWLCRU9NtDl$CLX!_TKI%+
zn1R|e7R#q#zTAb{HK?aG`5+6bj*zv`6k^Ac2&~ocH0kymk9dIe0r-v^^eytVCd!98
zr(0?!)yalZl{~|P;F60fjd10-XP*j4DiIHxP-5kS&;{X6h>C4%ONYE4yC8!DcoF_y
zow~~g(Q4UXkCf&HRE3Ob#&ncUm2R@L`(=!|9PS$Pj3Rq^Nl@^+S?=C1>x$!fsdp*1
z#)-TckDbG@iwajeRXS+gVjP!pX)K8vdw5!;5s7)Ikf)B3uRaW2^`&Hvx(^t6^9y~B
zakECBU`or=P`%5eF4Ss?o#|KWlVkr%)XdlWJnV4t{-rFGQ!BsMuzV7G6k|ALP1lnC
z{D^LWgkfFMo4Di+gu)*>QCSI2yN-R(i)*MaosoJ=I~OiO!JT3^$5wMxkmSJkwl<;v
zDCCbJ9;0?s(by5f0yCX3rf$h^6auUBT*YnrO2vB>+KMobYkhYz*^u}e*8E=gVJ_gH
z-M!2bKlsR2+H)7o1@i*I@D|tPNrhuB<1YCjVGg^5vco)_yCnTiar&94R+frrk9TL(
zp6?7Yp5{$(rk>nrJ<nJ^M}Sgc3Gd1FlzYGGIE+{^Y1|?OCFp78J4Ki2aCPHnT6*df
zjSF>%zWve>bWM!0x~@sMlu8TLjL5oA0b6FHB$tuEWk6HtnRl^h;lwGwdWlir*nEu4
z@bhu?gjDBD@m)v%2mB-56yt(W6lO9D*a2;t^QEt=9fOZ{On@H8mB~Dp(CMamj&6kO
zMuYpl-~4((2-YscqX^aJYBeUqG%hLViAfl(dl`L<D}Q_)S#l&0U{aV`d<x1dyO922
zI;zQ61T`u*EDpedMeLjZNAuTvf6ZTUU|qw5Z=K5e({orxpht5;>47L|U=eh>Bp@AE
zNhS`FHm*h~jC0Rl@|%KB9S0sXdR?1NEIWN|`gfFjhAOI>dB$aPJ$2_bN1DZ?b_nzR
z9Wt%w+s%01SdQ&fBd|Wm&?{7o`bUr&k}l<B`r?647d4t?$tpw#{H=Tl`b4Eyx_9^1
ze?DByAXmWet5@ahrZ0x2yl%yu4pO^^+nqgESFJfZrALvk`0eOEPNfs4L&~McJaaAc
z+J!?HJ(D?{yzCe=q(-o#=Rn2uXV3kIZzLr(g_`eY1ZboNuz|CZy9=PX{S&5bm8lvD
z68hz~BL=-MYScRHNM4{?3Ar}aGd1Ea&r5P(2ycjaj2^3<3fZz(#3;e^1l<u2?y!CE
zdf29Ov^T|J=M%*xBbe{|;5|!rjqgYzWRC+70xU~a)$+f;>v*OPX(^BMfAne0X;$S*
zA2RH}(+jB^VW}GxA{yyW7clO35NfIEz*!W&*Pb^g^Yy)Ct4UBI>s%gD>xij8^cu3T
zWvtU(kURzUML?7^k@CMzEbCv1Wy>q+l%%~hiczfk491?Fd&@{#p?pYgU{tJFm%hM#
zM{1*o@!C9n=47?b5JmzA_~}dI{0YQLfVtL|BvX`4=UoMz##9n^Tw`<SX8QS^HIw(<
z3}S6XYV+WU(~4A+YZ#;~0%{VIH@gW{@2N?sqMT^N<Gb&~O#F_ujq>S{XwS;=D23*1
zlW89~1JR%fzVJzvakGH~DLnC<Euj%kc^yk6!!HuKwPpj_tlld|4)RyA;Xz{2feKo&
z?Y7d#=gXQ+24}jO$6!~If9`kWfc9~1Dg3`fMXQhVlIG49c?|T9@AEci69KaK<Rw;B
zm<BMGDw>>~DkDyt^loS}vlt9n7_US?o{gFZPNs}*6G^G=0puf2IkhR4sY^C{`UQh2
z)ryMq$(T~+lbODmK!d)zXC)Ysh$eWvZYsQ@)HARO%kTH|ZPbJAE?r~0KnKOseTGS`
zI73vN5(0-_c0~u3{VA5=EPk&(cRFq~^~^h;qZ$#sPN{bJEtc(m`Fo|Ax#z;XCF%BW
zrJ0mst%k~p<M^(7*1}w?@`_N_C9WwPnE0M`g<m2d*evbG)%vEqgW|*eAIy4md%AOU
zqPxM=Utg`ze2Bz<!c>CLN#t5ND<azfXXD0Oo4I9!wAE3gyg<k**qM&_ZtQHAE<L{o
zi`6_r<B=QMOR%wfbXC<3;z^+Yres_zQ+E-KGZKi5(lfP2aCT9uVt4@Ev&|@LvC%!<
zX?(1#_oPu|dk)P<8qTEC4kY6_yZugyKkF4L#@lWckGiF=l_Tz*qg=a|6?*k8qkH9O
zi?JPFhEs%#fZgfqPp)?_ucFyB<#&e_u4c=Jr+=7s4=c@|#>XtwT+hzO5vmQJq_v2v
zGzeEFnfsp0tTC#ue5phuL$S+LBA3YYH5>e+vBjeG=fW&nANl{A1sTyFBf9!^xQXWt
zcmamJUh@j=C~(LSQN!fK<=Y$m$QxlDW&Gz&yjXE?pc{7r)@p^AzP$K=>He3U$w*t$
zs8<&eu;w>MzQ8G%)bt{>cL)z>W3y;ZdGdQ@juJtn#XEg9#KWQXR2Gndo;_~IkJUc=
zoSB`A`!Dzno5R<H*BM;nFixc2FVL`}xc1%ppWnU&?}R-hb`SWONb16Yo+1>KCDNM?
zIIvp|>w8Fbw0+)VNt~5zckuOTQPTad#Nr9JI~MmamOqzjsbzB!mpiSGG3q;FChJTm
zqT)id<@3J_e$>k3KNRkSUWgltwwE28uB%MhWoaIX9=<p5SoX1*Fg-q^1c9jY3<=``
zj=h*Gil2;mS)JH)M%qW%Iv-B=AqUoK<;MgFbZuW@_NQS~eJ`W@_=$q2UXH3zY<V<Q
zqV4@wYM7Nd*sY;+T*}QNMs83;b6rUdm~^5M#8(YkBJO!+={1>mj`Ym!A%Rq}GXtL?
zNmWSTED=FBan;znXG5nm!joY<j>~nzn;!gM+^#F=u?9^ZJU*Qv369rl4U_Hia}Fo9
z!QueW3r!M$js4swDfZ7ZWmRk~e7yJuwcj8|)dKN4W0s5id(S-YSkbveuMfqlcAVUg
zKH6f|jb3}o-b7Ry8*)N3H};j&tIoMOhInkaIm<su7R%q?X7l;B^R>r(BBQBYT3<qv
z`!OzAW?uA(`)6F(a4SD!mVNy^Eyf(k(z^>?!bQpOO)Pn1y)~}&yL#8fi1biN&57u0
zB%ZTGXm_QYKwBSKB-#7&UTMTWDA;pZ>y$dj#DN3i%C2{Oul(z269J_^Z1qNJDiK#&
zyu}#~Z@kRc*T4`nu|Z+ABQ15F!157I38j#spHa#d8#F_R^4;95VQwC&fP9?)sS0N3
z)p8kSDY{{>$*kyGq3``G;F9mJFTs0P?$oT?&YNb6*}io+&UNu&@6GZEsK5FWrk@eH
zf5tW}4XFcpWIn-4uQnSPm&$YBVa2T@F6Yjib;w+^3iOpXN~C?GO4AfH`hw!0_OPR*
zUG%{L2HaRU@Z1}GBF2g`ScIafIrj0zsMY7OkDs`6Y?EvvMK}oM+j#9Al@==-It42H
z(bP1~<wcuj1spXg47wd647Q$6i^gKk85Vm^*YV>!TzAw(wt6#q2})T_dye0V?-xK%
z3A9xwc1LSxecgB1y`dxG<4uN?yK90meIg_UOgdu56=}v1!QFJoccltK1{yDK&gO2b
z@8tdX)Vg<dz9%nXY{6+=C7hb8Bz3!UJ|y_wEg4zPsrioNLprMTQ^5vHi|Wz7+R}1=
z)fNqFj#3EsmDi36OB9!d@V7D#UWat$5GSs&CWq-1jN049=}uD#0`XL*l-k)Eh{pA1
zZHtPkt-)=h=XAM7Mu;Y*fs*e8$lSlVGxpMIY3yrBAxEtMsKVI?6_8;W8)}#^mCl@=
zuYEO^S~D>_Z*tpH9YX^3FQH_t9XoV!7Exi^!G#0jK^;DIb3~b{p}_H$t!r<OZDF#5
zp2=0BZ~B0qUV!n*{$$&=W+-HXumrp?APwVF$e%~dUV=C@8xg5OMqvoIJ&y!++4LmT
z2-^NU&PaW2=3_a(3KmBv+5M0uA-Z=nuarf<`H)?0CHg)tYDkz(Ruf{a;jXJK&8@QA
zT35$VOLA?k-Q8Yun?Fc;1_!K_o^uJwhjOLq^L)_fEo8?In)ku<0n)Lt-HCVRUiRw!
z@bT0&_Oo$4>g_iMtsd{s7?zW4PShn$=utRqOBQnxU`1F)61b{F8agm$<^^e>=RbP-
zVW0FOz+gkT;78hxw4}%6=a*iNj@;a6iAQ~|)3Zr_I`rLijY|_Nu6UgFEDZ}<tDdWf
zZY{((qs9>TlsjWQ)3|nfG}{nH&_}zov4d7n^zK^~i0K52nP^q!o#8&cCLLj5V06%@
z<29|;(!R>d?P*ybORG;cN0;Y&>MT(XOij_NJ5F_q(LsDJcK7KA4BcN$m|HBw$qb->
zD1H*BtGiq0pl2*J761pp(xZN7<mi!{4yPO$D(=_ojg4Ay%@e{SL3z)MbZhAqMcV-L
z=!B6tbyM&~!0qXsLifFDMEw<{`@``@;ygQVq~?A<D$B~){U^Z`3+CO3_8mh$V_stU
zt^dO1bj!pn96&YZ`D&mT##uSJNt$2yG+L(~qNMazHG1P=m@66cXnZ7Jw_v(aokcG}
z(*93XORFC$rscIW3hO>du%(|pHfdX>M0J!M|C;-JnIm{K6HPepN80{q>#gr88(X3K
z#O$<V3tG*gMv8TTaGF!WJX4c0(;(C#GO$aLfYM<hGX0)+&o`a*+}3GZIDmdNsmibS
z1GI{uD^rsKs^&%AH#zSZMUDDmX-r-&y>{c<r_P>s#^7{38LeE#k7d)2&`*t^qFWdY
zTyVaFe*uNEeX=q%e$?YSJ(YSi8!kNC5F<R_B<|g74j@fF9!stAhXd57EvMTvpbWvi
z>N<^S+kgzrVee#>H%jp;>g>lmakb~V^|jVqll#Kcf#jm=ky!?e`Hb;?VB@a|OVjGV
z(N_J^!72dp<7I;B4_rCCojGa`3j}^V^H0WY5bLn8&TJli*<TBt=o=l(Pi%50&{knY
zbXy_&`(wcdKdK!Rc6oT*Z#8TSUezuZ$=B1EM?RN{=4%50UcPUPd5wrF(R*Het9Ac^
zNsZb`dBl-oUwyQO6){!lA}TM+#;@w^X~M!xkD4N5h?R@*6=oq<L(DGlE|~h9h;4-*
zg#1`dit%zfE5@ou-a-%2*zK^BY(lC&kyI)Z8bB!CYyP`YTn)nV7hWBDysOCk4z-J-
zx<0R(e>h?`V00I!^?>XYc;)IgHnN%+H>$}E{nT>GeJYmz>C;Wq=KSa6R*umc4Emt0
zjwf-VMxwO#b(E6;iWtd!vnW~L%IT@#?Vcm3^vY{qy=HqRO*1(O4!m(_JlQailBfj@
z)=*1rf1fN;yq0{;Exa1gSDo0_-uZ9=UkOqQ$r?2Fn%655VlMMI51`y#(WyDqO|vFm
zaoMaJ;5j}^%3On5#j{9MO%qOJ;+go4tR}OtXl{Qm9a~>-gcRNo=u6mw=xez^#liMV
z@*F;n`O}Uw-3oR4xO4oM3$ZglRkB<58(~|j|BULw=Y}If4pRSzx%Uohs&CeXg9Stp
z1d%31=^a6O6_gq&Ql$ndQbX@8DvER@G-)Ezqy(gf9;s5KB|s!J>C$^mh-djc@Ba3?
z&pF?__xbj9eb@Ofe}t9Anl&@`J#)|ef@50b^q}xIhDR+C5_)vnxkk|T&&)eyoGVy<
zG*D;7&M1ZTT87RlF6-h#B!EGPYy)6iACRE$Mhgi8|03Z=4IZf6lb8C><F9Sn`=%fC
zkD@eLUVnkyp`eKGV-Oc=y4oi5v*cy0=ObI*Ql67Q(?lk(=qea?cK=q$GwY0Ivy#>0
zS?8A*RKiA}Ra`6=z8yhh;+si&d5Q}g#tvuCwkLJ9CHabLQpP_(b{>UyHQHCrl$mL_
zBaUxYq&q&OdT_m>PmHAfr`^TzqEw4!B<5@%-{d23oHd0t0o*?P_;^k6U*|mu-T!TB
ziKvJbylE0+WT}YX)VrghixaAQl=tx|aiG!@`$C5EP+ZtI)SuXNgu!UP{BPYJm_G8L
zDTm5pRlCqKMlRrCNlc9OshAqopisEoZdOtjm8-#u+a}O`dX$WIQtBX*#~kHchYj->
z0xf|o7{Mmx?_5Ne<9iHi6Bm)!ErgtBZZcW!)uwjU0w)L<YmC^&-2yYjdyJ$pd?i&|
z$QrrKtLWIGVTfyOI)$|f_AZ!fx-B#W-`*U_`xt9s78&WCI?Yh~V?vtqo%hRMy#ExN
zQ7}}_Y772Sm}FhFOL{8SK+K}<=y@~JCJyOlJAm98oDqBf734=N!Cf{B`xfdR8g$IG
zqAiCI!qnj)MJmm$yqM=`6L2t##w4iZLCXs{ez=ioSKYFnE(lJ>?7{1-@9(~|hYZ7!
z^C2?ut)Y0h?);|Y&k07K%m_TFtDeRTlsEj+WuF4>F~P`rSkioYx?NF3U~57ud-bQm
z*Jg5d!ieXZL-CsfU0q+RT20-|gR6#|^JOm4TbL2zm6y^k9m`*hH*vGdS#dd>m^7+1
zDgKi>Hj!4@7j<?OUT;4u2#tAigM403d+~OpNnfcnlCqC{4s7iiYi;nq+}(Sa(+>9h
zg0C)t5g1?S=B;T0%%p<Z`V+(!cj*#Y-}!jvTh+$g@98`KWuetAD4QbydPxmw)Zuhf
zbMk7MS=`eiV6hnDmD7+Xg#-EJsYkMjbtHx_gF${qoF&yU(C;5VkZ@8;|NQsgrcE9n
z037nXmuJ^6)5A5kQM)7bh0PSX5a`LT2eqdWn$oVZ@SriP5W+EVVXEoLj}7+yy}s_c
zCrYre{HO(U&M{#L(L#&N(qz8->4H7h-c3uA^}W5l-8z8-TKbw@3T$Zvwsp@|KTPWk
zWJkkI-l)i86*YnW;jH8!eMxAku^OalBrFtu>(WmCPtTWM^HOzyN`t0(YdH?$S?(KZ
z+-l{&UDy&e6jwGh0Vq|>eJ<CiF^p<D(sl=xQdP}id4N0I$NoWdlV+zufd^Gcx7P*Y
zaNRRJk$A50bN5YLYk_yMa8AgviI%=e#xhRNF!t8f@lM`v3?K?m^y~a2lUX7gDpYNB
z3qU219j(Tep||n1A$CC4{|$0X^0ZWwxU?nnbx)dg6ahpj><sH~&>!buv7Z;>(5<Sk
zM50B^aJz4D`Ys31HI76>ibtTk`IO{j$yRb`Pw32Iy=D{g1o(c@Ntz~lQy2>MDQ&xj
z+k6l9gz`OGO{?SdB3Y;>Lr50z?jef~m-P+wm$e~)74fsTR&lRywD~^!+{-M?OjTzd
zw*ex_igBM|rm)`&I?D(<mXa9z8)9z>{CBd1F{^MD-TjG7?I(FTVMoEgm>$dYzr2f_
zxybtQ2Bl6=hDBACnbXpw5w^9!s_1^o*lb#;+!1F|cWTvboAJi?l7pNo$ka8<y3Oye
z&BJy!7eqdgvkXXm2XMt~QRu;>RepguB5Ya?iY?OVFzKcvFYPKR&j~&He1nr`lWvmz
z$*>^o`H%YbugvhE40_qtCO|HScUmp{I*QX;*A+S8l&#DCIDJhn>LBwR{owm0lP{fl
z#}xztH!_QZi>sPy;EKcJ<nug#Xz1*Y){*Q?V*ZrGOlFa@-pm#Ics|Gz6%L2EBtN=a
zGPkSlsk6VOJ8(_=w{)NBPUBc7JGpiwD>Pl(mYA<<Ue78WCDSf2`A&4QzOVreG!;m^
zw0fnGhf{DWy4BSFph_pHtH>#xR{LBE1&}0)w}<T7%Xd^}z%i72v%2d;TbskE8E<b(
zz=Bk>v!74@bwVBcCz`~?SKxBA3LrgMzgzM^gL>T(5qGrDq`s6HV?a}qRsLh3ysK^T
z2Faxy1C<ra5Mr=<81ACJGZx|>8hm{B|1i;OgxEfFcW`l{%noEWc3*y27p&L!t=xR0
zDgFWIm5w?Yd*-s+CIW%fMxZhY$6S2*Xc%^e8-ZA5D$jB83@&oMUT4Y?#{nez*vkno
zO5JFwtjT2Lms1K_0F>4ob`Ea@0&btIA7bwOzJ4w0t@>z&xhdR^s#xu>QazatuV<1m
z>hL)FNv1aB4<wd%!9ASqDAvuNZdnryvyG{b2D5+GUt%TgwYkQe1lKHL4Up@Kfg9Hz
z5Ss^XE;M<2dU^;}q?)JBY*gclDU~l{=};W+0`1!rhAtGPOr{l`;?Dj2##}AeEaedL
zO~AXSlK-D148B@EIpj&8ISP8|A7Qbdr+eH1D6H)YHD17RP&jkeT(wm&dOP8<o|Gl2
z7P$WBC5IwHTIA16&5_Dn|BbNo2u44l5N&#%V4#th9L}<F<u`~=@MGg7DS!T=u*bc7
zq?fN{OK=_<6K<thTGljO)3r2iwLJV28QtX73tfzlpKt4;1g@jVS*EB(2E=Go3L4`&
zf2Owauszm_F^54Se}e*D{(8_h=S3Zm1M)GY9S64GAci6*e*nft|D9$w9|AO{l$P2G
zpfOM*TcqRmuxw|g+DnG_@oG;pUy$0l$>e_;|DHmw?f^tIo}4Zmw5>{PRqFZ?NsI?)
z4T*a01?(~-=UkPT=DJDX{{AckNEH5V;Y(>ETw^UtKhMw4;5>1zNrv^K-RWL#<$C#p
zcGY)Z4sIvo7I=7g7-d!@_%wNF4*&@z4AFf$se=!MwQ>BXk49oJ10GZwcD28}9B28a
zsJs*+e0GenYPSqP>a;Z1HP&O?O*b_i-&vRoS|`CD+e|uf9&*xnDDbIjQSQRtE|tF+
z#Z|-Ju9P>?6#dJ)6X)X0G8h414iQN<i1VN9Ctf)h>1?#FZb0Xuw^DHd%c-jO&FMJR
z2od;u)*c!R@(!3gsVVT*S**wL*7SHQWaqI`&4~-~rEC$A0+{Mspf$7n!#nOe9Rt!h
zngb#`TDYcOyrCv$yt>v1uPB1~9*683^k=Ay*r&fkLPU7!@bT7cdl_<OZvz$(>{z&c
zEm+Q6!)Lu`z8WURQjLo+R`8m&pYj4-qVbZJxuu)lR99CwEm=o&-=A{Q=1wBE?)EOY
zXl$Epy1FCZqm=}w%-*LMF8Oss{e-FRytu-7287`CmHo-!qhY2b<3@bb`fS6E|1!(r
z|96&y-BnECPIE6*O!9+5b3$BJa9h{AHQlR#WICuhNb0S<Uza}vfC3nzSIbEPpp@lH
z)eHU4oAFODTg?N}uidMEs4TddY&2<FP%zt3lF$a9HJuU;v7v`S;eUm_xz-ugXY=cK
zZ57gM#+YP|w;ftv=67V()7%dIDY`}iT3jhE=ojEB{9svY8N6n+<}G7sdFqcr+0-FC
z=SIq`qQqOYb2e$*1U`3@$R#K*GKcy6Z4LInc*qsJ2sO~BNa>1eO$-{x#wwzdAbIXj
zn4>@1+#$Js$3sK@)9y@CjN36+yY@7ygLq|Ask2ch&B@!vrNHV+Io7q^JjMx3^|+;y
z;~f>tR|Th9KJ}Cqr3x{4Mw*y2F=t0zDe9nDyeU-lAhX)V6~6#c#Co`ifkV?g2T8qj
zJnm<>XV-Ec0hNgGb2rXH0H>U7J$1irDv2O1@##+tbbHD1PSf$i3lJM`ZQC6X2?qzW
zUIWGrzkLp=u=@FLxf8l@jjrayu+wobEk69p7WCa`S2siUAG8MXMjB)vWF&;efCIfN
zfU<{W^!d`Z?{T1c>(P4smy_=HnZa>1i|n3s+3feXu1C2k2^Po+f94k?m$Kq1RSo_(
z>4E*Ey-gyZ)(ErRI(FgG>+?^Oc3QHR6E-I4SpqJ?b%Cs9f`zT4pbFwYm@+jjo&FRk
z!p%{`!oD2xXU4h9niPT|rN3;SyvKrg!!IU0rh0~k>s!Jqn%%S4{&dlj6)|%aXP4G;
zPVOiC2E{)4D{{Rl0#0b%dAO;_1k7CM6ax=S8rYiFMVAHxEMgY=S9Rw9p1*rE`k`B!
zd-#X>lrnveq;cT5u~40&7j?jDw-Qr9Sb@NySaIw>`V)t2uR=pqfo8$OQw31ad3T)n
zescoCvQ%`Dr;lv-DyUR4<yQU?e5ho4h?v3<FU9$464fD2g8cUl9akHbjDTz`09za-
zdAWOy2#ls2VSyRtk5`3U=Aa_VUs}iA2<gHTMn>hguDSW@f2C10n!3i?!TtW$;Q-y0
zqL&AD8EZJlN3Vb)VgTa9>l>o4?!lNCB87BvTx?~V7~S*LVslkmb(G0Iudkm5V~gLk
zYierdD7;@5)$>d!A}F20z}Jg3gv0u_8-^Z`!j!Z`>jO#$<qkyzy8Ar!;FzMr$vr|%
zO{Z=xW4<a}n!3Q=^W6{T3ku|SOj4@c&#(_?rwh*gMI>rlR%;?|gM)45xlNMg2p{PN
zr4(gFVBeoWAt@*>;<HeNN0yXi>51;0;a82G8NwfCtt^?ybc=atwlO~$zM6J)^aVFj
zBS>^jbbCkpRp~y(isFxMIX$9~65_^YE~k=xxXvrnGWc9U`q=xX2+-x#@Yubea3hyq
zb<}B9kfb*Et6Odw*(teVv?f<BMW^?&NiGZ@SlIb~Mm1*g0gCMMj#-WBjBPsuZCWs`
zeRkf1+;rtNG-Y#EsE2e(9U|~Y=C2ltV#Gkp`eehUZ+BJHABIQs;RgcagDl2Sb(pT*
znz*t#R!{8_@^sAS+enrc&#bUpqRj|vsH$bGHb3rS;N`_C@}E&)*Vub;F(e7i_uJUg
z50=wfkilWr2SKNijpEls6;Qav36dZC3?n9f8r`Pfim(;T`U%bDR4RNmKLoRFo9aCU
zWJow7@9{H~YYK`E?}qEJ?n6X6xjxyK4sZ`xIdP=tAtN*&<_HK&rjR_C4)3Q7*v?2k
z&^`@AEh^SMRQi%@${r6MzwUv*+bJ_>^px(j9^ZjwJ(x+e^!+o|VR_~#*{==GyiB=D
zH;=A)>!xyn7WDLG|II0%GlNhi1fV!Y-B|k#LLdplBYA4u9yEuR&@X#pFMh<vZZX)9
z4_&&5MSWU@t@m$J)<Lka`e3IzDhJy3<)_h;fmLBEe%o0UY*LUO&qp-`r8{OscGZtH
zN5!1px6<pL^Ia&vc!Ojv#`ay{lP;+|Vv_~wL#IM<lee4nG*>=Rlv!US3t+xZINV;}
z)F<8s_=UQA(Dx{WO=@>f+imi~lm(li>~Blm(m%ZchF4O%n0DC2eH7!Kt5_za*cayx
zrD0Q8pb`FQs>jRhz`XK--`y`K6h>!+@Hum|!`#p#1B;6e<vY6YZ>h#Ft2A_Pew0*V
zep2NsoBt#lHwXpm&bk>3)L#A+uW})~D<~_=Gs^*GCb?_{Dd-BlNplVSye^vig?Q3r
zzf^WZ)f=!iNtW&6mK>&bx<!fY#H*38VJaJ%G$3y?#aor~?%gK-C-vm=N_g^Se618F
z6MKMM=0c<u0dRsU_olJ~%O_{jUIvZIgzB`=60kI<ch1iRo)&$py#&}EWLaqJ99Gf!
z3M}UsUrdK}s8ebRC6F|Wa4=`FLE3ZW+LYFxo8a#Azy9|vO=NPrZzw)+j*Mu%@(F0&
zzswe<vz+8-BAmsd$y*wNgTz!xD^-GlB`G_1mAdnA--fnei@u?16#>BJ0uNx#Zd?ph
z1+TKRSDq87fap4$+s{X)BtxS}zq061J|3#K`*7o@zejYbI7;b$bS)V<&M(NviKu-f
zi$<a?rY5`QAva2$q=c@YLX{If(D88!v0Z%rSQsSN7(RJv>8(f&SUc3GwiRD`w9hSW
zE1=f5opOFeC~pag-V7C&6kYdrA#y?`eO_}luDyO7zs+9<abuw3N|<JxlC|X?W7y|4
zwXAh-P#P1$CvD8nFMg`g-Elc^f?IMI+X^<?&2VG$N6iF^f2NB}*j&4&Az9_6`Rry^
z1Vhiy(e8|lDy^e9A~a01q7!AWn=a=#oi>$07`bb{D(nWG-xY~8s>w`^Ak=;QHgt^^
zPM7eqnwuWPGOfJ%s^MAxCJhE+DdgU|cHZ2@B$|?E@83tnhnZNls`|BN+-5jz0H`O8
zWTvbN&9tuyx4M6dxd@Vi4E}8^c8f(c$r;cR3zp-MQ#os?=e<Xso?cJPcupkF#J@+3
zho~0?e8USvsGYrqT25ZZSoXdp+3lI0MOVFw{gfS(!teB}X7$&hnmU2&0~fF#<SkJA
z`#Pc2T?$u-0*(=lN4C%0MU4?;O3fe^Q$vc`?g|7c{lURCqSYwqgBqscC0X$$Tjrew
z5oHyGlmnj{6<Rm_oDk(#?TlL;QOSZzK!r{rguY{w#w@c(>uiyS+ncw+3?lh{qYNGF
zS_Vtk#x!V(<l;R7Zq3Si#`u}bg;7CTLc4Dikhv6;Ktpm)xqpK$DEo)f>dhdd2oF6S
z8zGa0$^pYY8_)M%75BekytBB~L-sO@j8{e23~JZvd`74^5fN2Ow#)m}gwg?KGje^6
z_vHGY+V4t5W+<4=-Th)e%D^Di#T;$NAa|NhN;=!9%lK74Kgpx2aT(^jc|385(e8lf
zh{(lfKw6QgX@@mc=M2R9w}Nl;p?(UFAG*jVN#Ml=tHo^{b*}Jp>G(7M+~IG@lF6)#
znmC?7x;Omb(z>U!Yf??PeSY(K=vIZ_$m(%w80YS-+U$Yvb?7Mf$9o*yn0o7vcivjb
zS?@U+6fo+D!majncb#V=j!r2Hc$LAb0WGkKr27&Buui7uEwE=)k%xZKxMhvR4@cUa
z0ypl5W1|N%Vn1bScoke}diuO*K8IS}=cUBCwlebdPb&=>Q9hoE;sF!R;F}wB6ZFlZ
z^9U<2K61PE+T;>lmBphpdYxbJwwTwP%!@ZEF|o{|ZMW{fs*5@MF<2lysp@_rOZ|&!
zyt+i&p9LE&QI8YQEQ+#e@M1}1{L+c+lir{V@*GFB^V6i%A(zwE9E;lqMV*|X6XnHW
zHW-^-#U|E*MVy7^eMq%Rznt2=o3E}$8DxKxmcLr0byZjUX7wrebnD79FJ-kY0YluW
z)EReo%*4u<Q0MEg&X{LzN#uweLv9nFfMjTr8%WVBX)g<^;N9t^s;XH~Vtk4`<C)CO
z%Vl-ACQx`5D0L>$K!)?>K+ND^?Isn10!5jV%14FIt*!TsERhtpcW7u=Sm@;`vuqiE
zRnWV4&!P#zeMQ>!!HwWEShO9J!c1-H>N|}O0^{&4sLnNZga^?~FtgQlyPqxD^JPNF
zZrC7w+<3<9l0#8X{8KKTz8aIe<Fx}?3X0e#=-TrjY3>Xc|LFB6P7GpD8H!xBE1uob
zclKNqRSVE#kX@Gp2_Nf*l$7~RA|#2|Dxjzn>|$*K8Ep&pdK%NKXuI4a7I**(qdn#L
zk>EhtQ$2^Gc0PL>DI~rLHXbeCI3$(y>O78Dn=t&=wA|CTJtZa^o!-Se&CYY>b6sjz
z3qUba&emkd5eOGPSK&O}>Zg#JyG;6<ds|Z%KC87a)Gr!W=F#`xcHwbf--bkv@lNEY
zMiZMvM$wJh`t6!Ey#(zF&ClXe-fd!#s!lr6cTl@Ucsq{_g=k`1*{N-Run>*=^7u?t
z#kv8+R=d{}2x$Y{C~-r~RxP++NO+w{g9v=Ryjq^N`8d<~O6MEBEg4QbH^scAh0Nr;
zx0{^)D8+y!^VdTn<9PeQ0@FfG3CQM_mR-`A3jsNul1EH6&c6zG3sP<es~OfA+VX}$
zn=c;g$XE^X^@`V+0J0eObN{{8sCMQOixyV^yk^{X@Gl-H>|k!?z@lnI1h<5LCi;?6
zvv)!k?bkG^mF`A}NsFJu-FgKI*t#luB~B{D&SPZ|P+{y`(LDNg#jLX*oqvwaE^EtC
zobaLRRxB=D?6DSd@P+lq@6a}(Hs(>UHk_v8_KEqv?$R<Z`c19Yk?!_ykU$=t675Ok
zuVXP5n1L{o8Z_&gbmvwQN5vOH@g8s_bCw)?(f<@a<28<`0E<rUDn-{m8Xpm?71)$T
zYvk4MZEi8#aA0!``T5y~={ab(y*$*}sa^6IRUY9{*x+jdTgvqm_Pw~^qD|dwK2PG{
z@#R*s&WtT1wRs}yT0iS%!cob2R_LMq)_xfMZ;;4|%@p5ffJeJ!w-0U`WNJsqgs4}*
z9IZ^JEZf-EDA-jaQ9b>maQ3i=6m}j?c+P3KiI!3f1n#nHWshg@Hp5v~bm(^FGc9f9
z+PeD)g=kikHQMi)&WNXNtn*pV%JNPk-%l=a9x_LNcq*qgv+j-shb0in`IBAG$AWo|
ze}hu6rxz^N$hyZfI0BHJ7Y6671$eWYyT#a%9AWgS3X^P`21lO9V`)tTClCT^?)99u
znix3PXUoCqY_Qrt-}zWSAkW-9lObh3&JALFk^L?g(%~cl6ueTYL#?NeG(?g92I;OB
z;CX}9ulW<MriStD-ZYy{1+=>QQ@6PHbdygjW8*`~+2WWJH*~At+5y??b#*7|;2uB>
zZ}Xgt^s=@A0g#3BY^Ji<;>2t4n_=^pP*V=4WpLWm-WFZg`&UwcV#a#!niP+?ei1#-
zKoudiP`)xc$@vIqLY{+FjsiE>%)%kN>_5!&+g%*S$Wx|Sxo+D{zM#s=CluY*wb=O&
zfeHOfJ-xkewe^EGG0yF&QFHv>IAe(RJa>X|y@o5JFDdEuU3K<!QcB`;<(J5<q;3!9
zV$LbS@`jx0;LxK`AB>j_-`;-xY5{&d_<TI9NKqorg-9KEcy~U|b-E*v`8sujCsV0F
zR(O4?67PoS_J^CVh3Ha`|IEMzGZbqH2~_M?77A~FioQL*s}$)bL=VRM^oTGqvc%5l
z8OeC$aWZF5fOhV`)PK#sR5%V^uBZSK<SPIy<#Dmxnm+S0IloqdOq?<65Q%DjG_r;z
zzaB39@oQTv@1nt-w%PAJ_ax8J0fQG(I5Xd*VDIBA+*^mrGu)VyPXniX(C3FO#C0Wu
z6g1(<yySS<e45qhw055WU-P?HQXq9RtsBlq%%8i(Je?eYR`360rFH;o#J!g{Dn*8G
zl~d*hVTUb+8mC(@X-^ADdJINyK-EK@{jhBTzgnI=Bc^8-vHBp2PGKF1g|%g<H_ylD
zyO<^#qqn4)IK1ZXm6dx@&OasOeficJ(4Zoa+8_K!5PM$(6YixgM7~{Xsf7z==85s$
zcZa!hr5fCKSF!VzGx*+3Ix^O<{!Q7lfXp0@b2`ZfbOUmM@P*6ZoD^Te^?-qcpu8Vb
zCuyBRN7=?u$$^DYhCo%x7kd{d328c{GjB8c?&-gB5~x`^_Bow6b87G^(h;hwu|S?~
zA3q&HyZN@5=)6hm3PM|fi`(q_+}QeKHBrlhgvwL(XF#6$R7B-FsqRNK4ho6NmhQ-w
zF6|WY4X#VjZLZ$siA0obx!KnxgM%Cr86~qjE|Xl6-JK*$(#q!T^NfWb!(lPT1Sw=s
zNpkLGp<DBZ#MZ~(u|QM(hEvAj`D;avF`R%)I=fo%gw&0)2ZK~r0<z9x(a^hn$?TiY
zGLX?Bs;rg4%t6G$NF>c=qvm%YDONHGi@zPpYNXkyv^B9zqB}R(+uP~t)TFiq2ASNM
z>DL`d2JN+0X5eiJGVHs$uDcE!9yDC=wLf=1qh8GztPaj|M*i*Hyl{;L^Rypddm=TV
z6GV<~h5a9E#i|8%KwB>e1{8j%Fo11RGJefYIk}`YzKLrYuHEHsty)d%bqGL1s>=$(
zLw@DC&DgppCyjdX%UEv;1S3$ELT5@RcZ$`9rcJ6~uv1IeJFdZxAyB7JQZXS91h?oe
zR7FjGw`CXbl9~&&ovtK~DLJr(ng%z|zWHn7PKS2XmJ^B8S@z2*TbBw_tMKKCmZ)v0
zjI!b#Upl%c#!h4I2aDVxYYnEIyLDN>7rf(sKx~9my{+*;!oHd#9E{&Sz(_C<49f3M
zYYTcu)O&FJxVaSv%%RW9!|(r+O<ir%7H}N<Bd=AtB`uBYE6<K3s3Kf~bjqMmdF`?R
zsw9f&z3Ikk_c)71(mP($VxDV3=*0x_B(NHGs_)3>)CN5bv1wU}4tZ2pciH#+F+Gwi
zvN>s4(KVbW=K<NAI%n{;iH<746ZcND*4+7P+H(41wE|5F%5hnh3JZyKMxtA%RboxY
z6!D)5J+-7XqT2a|3M$=ocZCbW8slqAUCjE-uYJaBjMHykQA=(LX+nZZsK3;2$=oV)
z?Aq(b^-iAQvGWpIl1^NoQ04uHEE40juJWoBtpek?6%A-*$&|DvSw`g+PnbHSk!+!x
zQcKilbhFecjEaCN&j{uZ!=wla`JNI#m-?2i4gX?#p2rsL+Z|k75buGdycB#PbOg1G
zUxBNIk{P&V(W2|({=lWX2H`OwC96NiKWwe&)Hi)t?`-90`0~PxJ#zcIShY6m-Z#0g
zAc2tPL+0Hityqf&!SY3H0ZK8u@ojZ@g1G*P`w4C~O2<6gd6r1S%e8A&hc$Z$jWeEW
z*N`7e@RZw=Js(zLy=RjxA+4JK_uF^wgVf4VfTOXHoV6$k{H9L(;Qodc2ex&jU<Q#r
zlBxGxGs^gMn1YruuAZx&Bg5j0AKXliNJqe&21bkLLiJnzjL-J{>PqTTWM@*S35Se!
z)OLPEPIC5=*nVB#g2{#?ZioO-;Cc1}<c8^*G19<=DdoG~W4Jt3F!zMv4Xv!Cwr*M0
z8d*>0aoS?#!ZJtapygth`Qn<_*jhP(Ycj+xhPw2qr}O8y?961kyUOw}G<o7v44t~&
z_<%Bsf#TCW@7iT^@POV)k{O1r%WMtt%2J|rve5Q!)YG_udfTn|7b`_9F4En?G1(~x
z1T#o+Q-QW&EHn=QHt~%uqw{E<r-VPK0r#{ml%wurHzSRH4zE?ogA|!5@9?js+0tE2
zLfI%_V6JseC7Go>wYYW<wwOt{ip7-|Ko#R}b~T<NQFS!^eCfzsG1=_mW!sC6Yg2~{
zAM4TKh+e2TK_6C;-Q45(s$i(Ekx1J7{7m7=hFb`Do(`{5Dw+9wca1{+Q|5y}60$IG
z(M9)%1NIDj%Hk<FFMMOj#<}=ZgFzA9q?xce;TT`0-`q5I2fbyhOT9jeGxpQ7>RZvX
zrHHw!n=GRDj~gz@VlPLJ{LLdf4B2x8;Z3NYv%fM$1qlZ8+_fm7iZ#1}dVcN29KL;9
zM&>gbC8mGGy8o-dgYr?f#=3u9&Q8jD|JBvyqv6ov^!E(%V<ylJhMr4rWWK2mT`Xeg
z4ie~^+(jOsSoexCZme5yV$OUzX@Wn(`OJ=nC;BkIDbwIpPpO4cY7F;wrrh4QC1z{e
zS$RnHn+4xO<f-ZE9!Dy<<{si!fBS!mbat5&pXfU|r?f(eQAfX={~780H*WTAAwY}t
zEu}+Y2G&B$(o%5NM~{`)*Y5u#zVXA_P3IwJ41f~mdJ@5LF~Rx|Qpg;-1(aHMqE?S@
ztkG9mfyGm?^z5sIUOE~}#b@CO2Zfht!sZul@n1_{J%?!w7$nFU6H)n+>!xG5!{P&K
zO0?^-#|B{LxpL+!N0;z<uz}+t&e$``!f9!~0w@z|9{bCzh>Y&k2vhknP4?w(ZDHh$
z&@QOD{^`{|9(U2$NJP)<o23N5Z+OFCY5ZFM^fFNMgzS9%H+0KYuv{dZeib~g5R6yZ
z8l2xFr`LN%BdAJLv&v7rxyNA35w4{dt<!Ipd0KlWvER5a^yIAqBF{}JA}2;e9FNf7
z>jwj}#n+`)&tw{13h)NpW3NQ-8p_3MGTu)3d>XJ^a7Aqk=QX?Hhf?Z*u-j^hq5F=(
zZc7pWd9euoAIe-Y6J1@ss*X87vA-}f)3%no!YO4ltKT{^@^kF7=Vy<4NjJ;XJezR;
zu~?)6i~;V_26b(CuAgPFPifpT-g^L=3Sb`dQm<4TWJwHG+chpfQ~QEtdb2q&kT2j3
z702q#7|=i`85dcQTrV$Ke>z+>;1xjpsRKWhF^C&WJ4F4mtZW|L5^wTJ>kK+Rdb62)
z{7xey{K~sWf04VroOd0J%uHh=Iu?~+aG21aG8<a_C$7<~DeUTOjK;7)DA&M1vlZ9w
z)w(3F;n~zthSND4xXQ?mq+R>Zi%p*uzSyQRw~hegdgyWdR>kCZ&pbvmNt2L@ZRC>g
z`l9}Sg_wr@M`8+}qcjq>s)Jz%C~BHVmoRrV{~}+O6H`{o|10^@qSl;nO@c|H)LQ+m
z>`U&g)Yd@1?O=ADXoYLD%~&oz9<|-osUPwcuN-8W`<h^_+bBht4cXHe`nYCZbXgpc
zXF@PLzhd}vewM${k7Jjv7O^T@SzEI!SuL*kSWKYbZ*8De!uuV=%YL8UZ^i3ixI9{0
z=r+V#U;?ug1NIG#KFa}s9t-*s_E3U!=H|=5JByw9A6kpVz5XSGRhkpr6*;E>TGXVy
z#6RB+mi7ZylaV__!G1jhbOVyQyLoVhZ~%!=oq*-RfITUJzT3+x*dIp5I>_?wf9Jp9
zM4BNQV9cfi5WTzREC8-f25>jFoz#FdVgw7o4lV+7NlY>vKNPa$?n(%$;SJDGZd7x9
zQ;I|S!yy5UHotC9c#s!NHXr_@fVNJ}Nc0~k39#nv=vG9c)_Y()AE`WGt+QsJ@8gQZ
zOaRL7!puOJD&PaqT!ygW+4U}4vXuY@{uI=nGwACHfC}&Z2H{<R^=QMSyt}ty_H9MI
zMfoFNd)6K=h1q$md5}HV3pzsFOwc#8SI{*`GR)>ly%$@GB&m|kdA6?QrE9G<nT`?I
zaCkkhM>&h|eO9TH25etRP-1xzf7hF<Vg(RmR}+(s{cI+{qO<q+wp^ITveg%To_!g~
zWxKB;kib74pyDk#Y_0&3`8Mx)YD{HhTkP%uo{U_Kc$9Sg!sf%5UwfsGnLo7F`0rn^
zA1fb;;dz(8ur;X$;*h=r{kcEt{op#dE0IQEEbvn-%S*;5-8x~r`WlP7+iEY1?~YMW
zml^Zg#A!ZTxw2$$J8f<)Fzj=Xr#BoY0q%U!&S_a-D#b8bhslcTbYT7f%*FWDFO6**
zscgr+dUMf-_gg$kFUx~pL6}zLH79F&V=vK+wQK2aEHQHVYcJs5m}lQsG=uAw$jaw?
zOQ(CKGVw3(K-;>SpH;8FYZy9QPO14qU0C23%b<~eY9o?<tLo$8#l%7B?jY+RBgp4#
ztVRCJ@E(?HkMXl1T3?a!FVxAB(C`t{i=&*QTg!216Lre2D97Y4oQppf1cnNEHy1BX
znxY(L(78&I>dEh27vv)DO?+MdkW6yh*(5<s3uC|VuBXUxE?%MKEsJ#C8L@ST*;fXx
zF+-t7LrphM(m`2tCzd%Y%O;)(`{+mQD_0Xb(x{#~qw*-4yjuoj9qSOEm1Cg(?mBU}
zK{Cf)xYc;N{zpT%zpeD({lWVWM}hV6E>@8tx%{8o<NBE*?s}LAlIq-(10K$;nlCK+
zzk1NmZ&+$cKjk2a@b0-t_Tk(aS`gieWPz6IUg)|l=+4YpW35*5kh*O;_ONYzkgo-H
zSLn*ZX!)o3D<dPSO{a;8G>cN@o=GQXR9YZcf9$<eMT_@)oMP&G2`TYnFlBYZJq7o$
z{7Z|A!;)KD?#}l$-9P@)@0_%J)XD77Nc6eV&y@Y6+5F4u@<$RSpG-r__ZHS+7vg`C
z88qE%HXr6pAN=v|29@3wM!itd%Os(00?tUp((;q{@jq2~d%MM^LZ&<igU?3Be}l%G
z-hx466?ERbiDHJr7#doTPy50})(-6Wi=fyTy@of>jqKc&&YuOO^!u+VD+GSRJ@p@7
za=!FW9V4mTG@=>{2k|SQ?E2-UN0y^UTKi@^Q#k%CtXN@G(ei5s4jk;8=C;KCK-mXB
zX|s~$y1*!t69~@W6yNso(VZXQ%jPt1ik#|u-e`|DITwwl<$gT%p=9uiW!?%;`8`F4
z&|9_WGZ)mV+xYO!3^O60@$))h?*Y}Q>aOBzu8tbGX^C3mkGeGc`y(2o2w`MWYFL?f
z^Ge-izM?Q>3*OYcwhlS<`ZQyys;)G2YwL`{{pPB^xlT1?dcd2%@73->!M?5L)9RA>
zMPc&iYx!~CF6ke`HJz$vs~d^UaFL9Vsc}myyd+|>lH;UW%R!TFYhFq$y*%9~<u~ZD
z%^UJ0DV~z*SM-jjz3Z`3-?u;2HA1xg&xLR}nk@8)OCfR-se2OkNhIpvt}shJd_Z43
zzN-c`_3aD1Ow_kItNPG3dqv?3pSIj#%QmiW8d2N2$nza5lu~QH?e9N4R0E|v?tEtj
zE?x7Q8VaZqg-S|LEbZgMWR8lXll3)$Z3b79i+F-XP(+gKBX>aFZT?5zZ3iQSWiL$v
z+aO;E3{?RP<|j+e8xFL2yDZuXKDc?wE3-d+)0jtc?@@>Ii_QAt1dmG<g=(4=A}nU2
zrCKR2IBf}N=ppY!OHDO=y=4QZu6<e2w7;J~Xra(N9H0rkTXuaD*VM%<HeH_F>QkB9
zcXwcLibCYBvK(*`V;y~e<cD*p%jUU)zs#o<)|fiH<@AAI&dq>;F83?yH#%IQR!SS=
zog;M9wbg++sC|16?^)(2JbaS4ndxA&Suf)Qw_{q1c{tcz5r41_l$f9O&QJ5XS<P(~
zC3b#*mTz)-K5x5lk%6A$QwfFQ+}=g3jP?M+A8UR*TSSnUj>t+f6A*9;6`w33$XpG`
z1V^K#=SD@?8<lA?m(Xd0zLDWfSyL%r`CDjj*4Sl~JMDX$y2H3Pp<yPd;Fwvb!bS-c
z@a*Ha&32Zij$F+g5eQ(gQlsX(+Eypsb0z2LLHayk_#|4-`*kvWQnh^ct$(OGj|_Ll
z^z=IFr@^>zvrO4q(i&A@FqEr<KJgAl4c)nzld+V*Gkc3#Ke`Gin*Y4s=l<!BU9OLA
z5@g+y!itN-j{*Xid>j(^fGG)~bEV#r<|e?pmjjO=zzK)Y+j=|WG4hx|>~U*|4XLIe
zM*?+qMP|hQ(^ob$JPb-oPqei3*|ofgsGrw9IkVm#5$1ZY>l<JnmCL@OJ!kaNxVyh#
zjhuPR%A#D->=bC&ohBoYIfM(pb`_IY8o63F$6DG?!AJE61!7f?+VUPYE|$|fAN5`Z
zmYdNQX87%gxs79W8fWvG*qSlMmd4wUrl)Ie5wvsf3p%1z?W-QayTnn`;N}RMZ=2@(
zDJu6mZmY>H3A>mg#LZg-w@{Fl+<n7Y#CGtuuiJEl^1KkKkj=V5hUS)C!|S#s%|fU~
z9$&Td>qdjP91+f(ZyEmC87`rR`zW{h=50DS1`7L0QHc#vDsmcdf(o_EG??et69*LS
zytw_Si7Cj~#2$sffvW}<t&oJ^)$#E#mE)F8x>nfE6^T&Y4qTas3R240c!%CT0t?n_
zntJu!-=ebjD~kt+;X(nQ2)yoGaa$~_L~dHha;AxRIk+E2d7j$gS6uu;Xb>&_YfwFt
z2Qs@m80s`*iDEms(2NJ14dmn>L>Utzaoc<yAM=^x-DEz_Rryf?gIZ_0`BtUzuONMA
zePg>`Ev*5-hS%zXkP+herVcl(*N>^kT;>AV(z0qsQM&1FMp1eiz4EWT_>)UGn-B*3
zcoahJa*>PTc+mFAO{u7wVrY@(_SSMkioJ5cnf_^Iewmr7g~gjT!D++9`k&F6;1pHi
zDEF4^u>&H)Rwod6k$r<Va7edyvy+$y)nBS>-W0Og`9I^skUL%cY<lZ0?r1LA`X{9<
z&_Cnhb89pY%OI8$^Hm20RLAj$<~~=NAAamYOsvIlo_vYZAIIYFA3p(UUV;_4@o;{4
zy6319RENauAl-1VHnQ(%W3#~1*)Onm^<1H>)SZ5L&TpLe`WmgQX80&AZ`6)3$hbWf
zy&Zq0AdqMoELWZ_zF(yQ_?lIJ>$zDiP=9}+TC$$Ojc|l6HaETQ<^8by)Te;To7aLY
zWGeB4`J1a^-=f*>`y)dY{DE+PeOPlqz|_b5z+5Q$$>fPYo^|oMfx*O4WEHGBuSd@A
z8!HTp`VD&em(yI>-)IGtVV8BQ16+u&a7Dyx9Dx4v!0M0vNd9uDi{PiDA@>n$wtD`p
zHLfZ5zS+daMsKh)KW_4*Pkj#xomx!F(9=%<Co&9*A`egfMWmuaWJ8o)5d5;b&XL6o
z7|1hTkcxL;)(g;j_!Lv=;GQ2a^%Q?DJ4=WT8RVBV1J>VuWdwjU>+3o%^A5qCB<6P=
z{HuY%0r@gMD%eNXOAXeYZqn>2E2q92Ta7-L!Cv-;qJdyx{Gl^;l1Rc@P~jL<P#@t^
znmj9A-{5%gg=y`9Xv4mj=UD63$o@^;ndO$0ztZ9%=3`PujPXWASd822&3OoA&JDA5
zt@tF|Cw?_?><=x_jjS1mJ0RJg$D}d;beFGBvkYBp_5mv>yOaf3p@q~q<Bb#aEXxr^
zN?X{wFCYh~ZBStE0wy|baIPQto^ZAZ(O)1VgaE{&Sxf~%B3jaq$buIlP8%59nT+dP
z9f_rqRLnuGWCa=gbL1+TXa-8v%1{9IUrq$%)n_8YzydYUUtUCl@GkpxzqhFW@_4Sj
zWJ;w_VRXCXR7s?=!14>K%oU~Go6BASU+)f)0ieEOZ+Hl9>~#3&md#){9Uu2Ii6@%6
zCURD4iyZ53-+f<+Hzq9U#op^4i9e;6C>zfZno0GCRLqQ2NNAtU9Jd}DZ~h1hKx&z%
z)dv?Zdh%qt0BTdyFArXHU+(!;5>8bg^5Sq%ZF#(D9f9A*5Sg~xbu<yTQfgW=pco=^
zE@i>o=Nj^ZaIWt$@}G?Bq2i~xFYZvsd~7Ctb{xE)!MVhlQb%kGe0nnjItf!cVG~>3
zm3kX%yz|<VLb7{ofjHo*O%0timr4hszN876!fuz0jAq^V(K>D%4@c(t*MC}}g_{Qg
z84PmbLa9r)3idjt@|BP0+=u!*3B*WVxxw(Yf#sIovtz1vtPOo`P?y%m+17KVx$+J1
z#f%YCbMR{6w9?%7Q100d)TE@Uge4$&rQW<=q(&n5)?g*;$+jP{^=I>}?l&Td!N$xV
z31uBX<zDpwj&e?)=KhTcvI<R`1&-kzNzmIF4Z8WO+vPakl*z9Ff600M&R%B-d6+f*
z5ObYUa2CydEp$sy&1nOwqJP*&HZ3A|n0tiKpQf2llNo{0&0x4d8*6#_rzo30N~VZM
zh7*B2I18kwm#*8W{bN2|Z$yC2I$m5>tF(yskr5{6I0pX1bsCVZM=g-$=<p{mmjpio
z&{e6=s{1~G(0RB}Y^Xnvg>ES3z#v!x2Q0@L#EQZ?%M#t?D8!>Ot9!pnyOtYTUyadJ
zPYFbvDU8qV7){44XZkz&thdyyp8N8xo-MyDTz%d*Gr#^FuVR6ibV9Xg-jrj26+jnw
zN<K6%S7d~3#pq)H${&yL#KW;|fYM!DrVqlt6<(>f!KgwVH`#Hjg*H-tcdAA4##<gO
z3?wgO^~19`fo)GhegwIuH5r*+@$s!~OF+}|q0)D5z`-y_FSviwNtmHIiZnwqm4}mn
z6%157`5c{Wlm=WL<Yo{`|L2jrA>8LMWmf4D+S(96rF^YO?SWjv!<AT~k;z|{sZi_(
z8r3hfi`H0~FbDX4Hd*45$WD^RICY*{bi9fCwx&|cy#_{$(~y+{?)>Q<XK2yn*@hB$
zv{|C?=?pF~O-kkPl9&9M6Gh1tYtsG#wt$O03JTICZXtDMF2S=E)5A5(1q1d#$M-AO
zXzeDIA@at6uUF;@J-rZX>P1TpQYqk^3R&bdPSM8kxS_%x>eHIBeeUy%d_c9-&jh84
zRPoytd8->K<XfPwx%uQ42b0SN7wC$P`~7zy7LVX?)s;Xwci6YEY<Hr{{}UeX)Q-!G
zIML<Ns0*ln7RDL_?}5v^uOerV;}`OayQ^K`6_2G;V2ij;8Zc;|GN2d+pxo_MERm_x
zYwZJL+M`<Z`=P4whwR_Q7nVg`-G<JrW>j8&Ws&YO@bc2>*Hv0CZ4k&-Ep`9%pr1E<
z4sf?<0;hgh8$e6M#z3VauI8G^ZDoE0c}80S?ZY(K`j>4*quWCA04Td*Wq#tnQFpsm
z`!R`|Ut*D(m;8h*5s2^J>#BdFYWkeN9@cf?ZRHX7h&-22n5XY0uiHME+L64;c~^cU
z351UGPg7}WYiWH?=hHN;0KCp`$atqa$3Fmy+cLi$^}5vFyNFDquaa+HsIQWroRR09
zj;emjqcq==Fqr>gk#)_c18xX-l}Mn60~ff)6h{;WHW~{<P8~4!roO<^?9ngxK|y{8
z^M&XmXYw#b;|+-Td6B|j9vp9J&>{9Kwb6j_$gIcCG$JdcTPO|~sRK{;=t%=*<q|g?
z%?YQKb6<Zh@6WZ+KX{aWX&3T#xzWjreWdXb>(pwz?ej<*Nnm^J_azgK@K@wL;@*ZR
zDf8Kpb$_H)i}-`nhCo+@i#w(cn8QlNEx)8$dp;kW+3TzFcyFk1F5;<Df7R-P(-#VI
zL7tl4yu9k*!1X0eu8x8CN)`Tq@OsR5;HGhn<ersfyIr!bx1g;4+lFM}i>+=NLqhFu
z7;U?#(win*KQtWxyU~7eE~k_=3Y`h1cJT=j<jxaZDT|9Y@Q>=1CPo&sD_0VfRskO>
z|EKIulHpHa9}h}FjA9%A(TpintuwkrQ*{3WrReZs?tZQN`W|fq&KXEW7aUr5Hpj~{
zT1Jlx=e6NeU&r}(dnHGfC6}@(8IPrIduDm?=kj5k3Xlg;z;KI*u2qg_{s+#T`SrAn
zhPWB?QD6A|!q&Ft8;dskGS<2wls^FXwwZ&n<+!K$daLutYXLY3aI8Cx*c!QP(T&zI
z-<x2jhg^M5wgUn0mN;Y@I4)il199^9Dom~fpKUkQRv-!2nqGNZ(pxoI&LWqE25aYH
zDmI2!`OC9%sZDfXGs56!)&A$pJh7~y(j^8N#hWxHL<FV)HMnRNl9fsbBWA<q%FGo-
zMzlAu&S=33Ig2hpU|6Nvym1fB?KZ>%0yca+dBHEl#cH&S{B>*&Ycuf^$$(B5V9Jjs
ziw;K%6q`O-0Q*I;$Y+bu()LYEW~b=xx4dtq<UH;*zqz!@$eopyzwNGYhQm&t1x3k}
zXP+JWhsq_FZ4%^;%+DJO3zd<#qcC|4tgfL-up#M#PkVnBk$%1QHy6F7H~@(IuQ}(O
z2m<2%gpt5MD|{}mOaNJa=ekR_gYpM$#izox*Z67>QBZMX>bfOE6>^9;C}dXVHz+da
zeo{9HWq{oFIV?3I1YWIM0q_{iNv6v4rz82%{dU0CUME;%S|CMN0uH&t|N2>kWUPNr
z1LAqjh}%JR<9XOoTwsa&_;Jf|Bhszo&MsXzU8QgF0OzroMZ5K0K1MSCN%BOkiX~t7
zP#Ro!VL)zhK%({RbQbAp<sYNzREOFjeY)PthN)SusY{(>o<g84V9#^JWNyk``3=&V
z?ABOhZC-xER}K;R)&gwNRJt2Ha})znI=M774<%2xRf7u%!8ud;3W#f#i}&f2oM*F2
zs3RC~qO*M7W&=*2rdJ(&&(1e*4Hy8`i|v-NCO<~9$Qp*)7=(@!PXm1UCRqx1dM$fw
z;Xnz^s*YqxGaSb1G~?%lIK~d1H%0F^n}M}!fn4FRx)b0r(>Jna_U`*lLe50bg#@AM
zgxRF8s{}mSV%7YN@Uj8<S80H@^<S9dcHF}b6Ir1;_;Xa8kYd1=al69c2Aa{IH`y0*
z=K?S-P_n?d#KpO#0*tZ}Q6l=9zPc3spUhStJ50FTO?=MexzA81<p3-;z5C!NWtL5G
zgA9*-QO@DmRKeh<G2|aKVD3(H;&bu}Yi8*4VT@W|w6L{Z5A=F@Uk2E5MOH6v<)2h{
zOz>X?s#S|r>0N3-U_ZG?6<Nbo4WHq2h<v{o!Ds^RpX|EZ-<??=+1BsX7CGgi$}>2I
zvit+A3Gl~%@q7Q-QsdUyoeS7bv&-3Uvh#)R;W?Vfb>4$DSX6q-6tPH(JTj0chAhJi
zSm|DXT&-B!UVCgYUt{TxGD52SpOl(j?o0IBLyhs%(+8r$gW;ip64Tw)CGwaaw8rd&
zCq<<U&p8b7*zgk^{HHu)SRf<!*Nac?>jI<9wmIl6aRz!{j!7PtvSK7tL2U94dqsaa
z$ko3|%_Uq|?i@l%x4Ck^VoM)j7~*lyn8Y1FFZmG<lJJ;oi77*Ag8=>1o{A{Mg`&zk
zk8oR2E(M`)+})<f`R+uo9@OqXiyE|~Kj(3yG>8AGcNxiOuh&fj6fI{AE-dUc^EW{g
zQi>5T^htEv;_&9nSWVM}9CY*QrQi1oDwV$Lmyq?L{_AP>fiV`mTK*eU&}9BV$H}o4
zV-Ca*f|5S(f1O9(Ty_!=k|%8c`2TFvt9$<%4oEI8W~Y9DVNJYDWMhnHhlrp7Z@rzF
z2EQ~Rg|W<h7e+dqJH;)Pa{p<m2f&nG3(?`v?Dw;okdnV?+@1f|63u@-tX=$<i2Gl}
z!~g&P@X{!}TY-D{sfC3uFt=nDK*xQ(PVpW~{puj>>c5&>3JyO%IvXZ^UU_^ldj{-=
z20mw}o#D67fXF{V^Me1^xe2iu=m;k1k&<<aoWA`HnuMIEzTh`Iv1+SvfdWhU;M8(z
zS?Y-mQ|we-?<w#{g{1D0K8^?AADatV-&qw-1!BF_4?eZipwYeJ6Xn7@(VF?OWluOr
zc{QXtg8;c?h3>=a5jnESiSx^hZ=?-8V_FUXqDr-nW$0l~ekf4VfNxN6TDVt2_2IT3
ztL1zC5xoz<?rH%2C=DKEQ+Q2>%eqH!IdNJn+@V-dsPa%^+7FUC_fse@hC#++;)*6M
zGM~Caw=Q8t(@Egga}NZmNJ!*`0|;?+{w~PV%VXtKbCaLbzoWZo9cj}!O+lwo7dhP2
z@9aIGEx&BuFO5Jz?ZI#SG8DdNEixyr#(J(}#b=I)b(F8)DO;!B8}LD1E=<Ar=<{9y
zS$P<)YzIaAHEH?+3{7|=*ilN+ax`*nek|MK5^QdLA3C`myIe?Ib45(29gZf}h)5oo
zn^|(c?bE!nw`3zmLZm$=lpsni)1^l4OZ;?nGb2}HWX_{fp}c;hCS#wuWilfTr(NR@
zu@9%NOzy4%2j33ImXs`cZ(~}tntrgrM<axoq4nmOuU|QSGWjuCmH&mom2U&~WZS59
zdgHc&vban>3f?Qs9%##^*qQiMrd!caDMvR5Y~(|w-IED+^bjt;`?5cUx^BLc6sLPt
zO^gbn<q7;Ua-IfFRLw+C+omet`fl~uOM}VbdHWmwdumfFf@F+?p(O_B4O`N1#U<kg
zq*`L%qia&zlq3vae?6qEC-Ai>m1BQ{?!q8}!kL8+J&QC2Q!!K8{p!ruBlxd||JY4c
zKt*Iuz#!w_>9zn5KK$7BvEMsT-0$_N=cVZe)4ux@n{u>S@ygN{<EQyJDPkRyitSS!
zZIa2@>4X|yIdEFvkY&yH$#rp0o2OFI^kJl)l`<aThif%e@;CL_%>^#n#s{vdjL(XE
z)3aftoE2(Ls)|)_es?Qg1x`LiIx>8C2WTev+Wpw{x8rOybY7xSJKyMgM?>D1G7YDt
z(YboSvN|y{gL-=!eFnh*!JpmKhY`B@-1Nd}pV3&4{i$Sw{0+)5tNElLm=$DMy!KAb
zu-i6=DThGiJ4ux$Th13(jCf9TU4zG-W+pql*<68>tFcP*cML}-Z<QMuKOZlmO>$&n
z_T<pm;J#WSrYnGZANy;=(0t~0f}}u<@1;k*@nvK(E2zg|;tJ(WZ_UM0{?~)qfdQZh
zskF`vS+&>=pMWpGRff2@(I)noB3ZcJE9j9~7TXUIr4=*`f8?xyP+Eorj-BVk0;kIW
zndmFzl&KC&_Gq|2QpoCPYvr~Z!Zsy0USf?-RbD2koa`(Q`z0fD<d^4ou5s3>bJsT=
zBxJn1BH}lwmheEh0BrvJ)ret_AVI<1n@><f@AHiy8qGT(s*sCe1HAikN9%ypqd(Ex
zi*Wp0p2*%B9mqj<=0_c!a9^#~_~$XJY1`4jBbyA}Lwi{#IhSM9J|mDDfyxsBzY06y
z0}#=I&nf-Ej|TdjnGPZi&<H*~Mgw@$0Ql7XYY~EZ*3(QpV%tfA{00rR0*v!jCWI)Z
z&Q8pvR9!i)nn{|MTa}36yyx^nqSJa4jd+Rg$faI<(t|4ONDMsR04gc}(~o(HtbSRv
zf(6S0hlIJnaEgp$KlkoL0D9rAMff$1Pnxz*E16wCgFGA3Bdyill%@A~D-EXvOy&CS
znT$^jNO1*E;DnqiT71T8f90}pR}?g%l=fv@6oQV{wgmi%-AI~y5J^k+sS<p4<;~NJ
zR#|*}nrisd>eMGsOt9N_jdc~Uf_3_gumOHZ)!@!)&X1!!KeU?X(k?I=q#vEOHo&E+
ziUXoFAi&Xv{RSzXL5Tl@z4wl5D&6*nqhO^83aB)d4g%6UvC%|8ktU%iMLLn*2{w@4
zdx=UDAs{tWBhq^Z2_@2{D?NqyZe~VD=8SXZ&Y63D=ic}JMfmLOowe7q%J*6AIcf{r
z9@PQfm~;1yz~dY!U|qchfViC)ieMKizaz#N)VTt5mj2*PskW8#zkp^jD!Zrh0-qSt
zB4Dci=hpB;&|9CGRt?S^v-IC#KHd>y<58DY<@A+7eA|wCp}18yXBDy|k<u>Z0j#~Y
zlOtUbf*LK)e481vV5qLX7BM|)i6M(GAo{_}4Ss`Ch$;bl`%JxNcL!UWekb1Bq>)cZ
zSELo{Tc0(NyUW<?aNV}_9ID0%tPPAk;Zk(<<TcV6Br@DOyd8Bz;}Wu`h6y(D)56a=
z<j)nR@JdVXEUNz=^+A6(C+ybhTwAU0Ts>{xZl?@z0ydrdC~Wj|ZwX@%&PEcD&E{f?
z+a=ys7?r*=ua6lmmtZJkY)*?uTw{IsDr?$B`$K4S1_N(?TR>y1xPi=&#!9`8u%S9%
ziC-L)q^X?|JQUkGoh`#Z$*gwh)QajQh*5iVc8(QhtX(>%OGHwXm#mWP5ov_Hkjgk-
zH_kDKC89L)J^E6Uksjv(Iz*AJ9nN`o&VIuY1k}^Wb;pQ!1Som^uP*od--y)LSNpNr
zLBi@>8x_l8tk;{$p2C`Vh8c5Hs;XSRfF!?((5)Zptc_ccZeXV_#DJ$$4O#4TyS6j)
z?d<X6LbF_Twzst|9Kk0r*<YhZ)d4{s3Hh?oF=BNw??Ph8W=M9@8MJ&Cwc?o=5l=Ji
zu|NU|v;CSY2u~S})j|&{4D7tQ_ohQk4NxlZ@BO&(u6F-W=Klf{ias-~>0zP{CaexW
z6wH87VXaTjZh392d^18>1UA6`t*vAL@tREFQ%Lt$^D{6|@^Z;$eW(~uM(Vj>?<m}y
zIgD%rJ&ESl0AWbO8iZ$@PR^JxARSm4kgmw2jU8nfNoNkEaE(l9xYFUqi1FduF~_&l
zBc9%PT4h$g&>M(6(CUQWa9JJf%pwTt2W}3oxcUU)2us04Hk6Lpu{pj+!66)jhX8Nf
zb5qsGs481Sxdc`p@O#2i;2;35_WvR2Ke97s)tq&%J=SzecX~nAPiuxVAS7G<msy+s
zghW_hK`0Pu%leq#)odK*Kkyp5IP83}@QJhS^ZWHaojj|k)xk5L15=GWMi1L>EqTit
zZS;B3zgSTyt3X!1wyuQO%XFdqm$c7_KSr|+M`A93ov1vrYuKGjdPX;lfXR82q@yV-
z`8vHgf`%aK?=zEsL1g-?6up@XJRVY9cv2Qlfz~PjyKQjDBuWB~ZkOw^>nT>!DZHAg
ztMA@Hat;qnP*m7fa36AF6FXr}A!4_WltqBiIXl{>|7o;Mk2*|z0Y_&`(ccjrRfV&0
zEgDvJhT4emYJ@SGA<Z_YAyZxyS<Ar@iRCp4L}l@OSHLlVx7iyKqagE3m1BcD8XFgW
zyO?pm_|MlswPWHJ5Yc-bMK}StozE3tN&K%1#*ZBk-<Vq{*4F0mqw82ivt$n<j8GD8
zkAEZO@=a#M9^{DSBOr4gkLmW4?F>|o_aGiAta4wyucb-IYZ;;LiB2<f#zsi2HY{W%
z@fd8snz3%4E`8mz^uhg9nm=A>h)}}$WW=QT?WFA7OI~YiVT`CP1V7}kO57a0QqVVG
za2i&seC}kg0`lOw2Wm$I$>H!)#qz~z()75PEpPPBp_3d*gNn98K*ic`tZMl$OZK<&
zqj^GlJZ%^pk!d<|4Ln6_8%ifPW+?pyl>801+)J5AVC_Sgq6&M>if)H@p0*Two+=aa
z*6UHE@W_qvOnB0X?2o`yBs%>!sMy{*==0&cC|ip3hn<~ey=TLP750k+dzHcxqDv(k
z7ELEbHM8*wF<a+aqf#(H0(PL@7NUdd*$8R}--{6#GI#UpT=cwIX~`<Fx;=NhhFe}`
z<6cktizQfn%x?uJ2Y?Pye}u^XibNsJC!}XeP#hG((@0X2Yo#o!1A?8)*oCpL*v9O4
zLBLNC257>(i5{9OvfQLQyfrW}V;-f7gvRe9<I+gq_vQ#ouzA}@!L|l*yD0^6yA>#)
zNkPx7NOa0WduHYv&@&UJexyOQ1BDMEA#L|7H5r{oymQp=CH8uIyb0Ra5oHL}s~qkJ
z3Ku`kXr`V+h3bSg_du+vV|r|r!0MxY3f$=%fRLrXK%*uazJT@uHaP&7@dFU`FEJz&
zP?+W9lOi?5Fu}=drA+5c&{AJD^B>maE0^w-jFm9@oGJhi%4@uBTL@ITGznUAT|Pd>
zAj8M3?CEh|m@`I{M{eyZ7rtFQD~DJ?RZ&fHB}(IU<?FHw$|r8Iy<sT{aFr^+GFbA3
zq%%I*c$IuYue80Sa&E92=s9c+KCU;m`DO)&A{=M<J<t1xQ2np);kVNF^-ayHA$6|Z
z3-m9~^d5!;fJQSQ$G5^XOq##h6R7e)8ZbCcdFA|h_KMdbc4vk&hhK!dUcPcy;eM~e
zhhvvkKR4<nD75PaC;$QGH6PS-xa9;xg55;ul%>n3qJ3;>3MCFfBTuMTy~_x^@}xj+
z*hnrzf*+nFB6G>pIZgi(qj7Uvw|fZfz#~i2ZpH|IRYb7wNynx$TiMh4?JjGu{y~lE
zWOvPy!FJ$OP0Xk3@P7-8{X;<i+bzXE$A3TCcKw}e`D3?nT;omBjUHR}1itRaYh1@5
zjYlVKVDADCx-SIxvl~Yi#9Y7o^T78*FA2vNCIoP+EX^NDMp#ym1HQtdVW><X)<7^C
z@D*7^4Fbn)G!mPl_vt9kqc*0Yghby9a%W*}U?Sl37K`^iaeZk~5gTT9H+xRiX}~5o
z)HtBPU;7N5%t15>xq}d|d~08vJrdm)byE9ns~PFd!viNf>TgJaPE4Mx=Pp}6jLD9W
zZp&18%syRXlIqF=(_48r$w<$(`OyvQXK=bTmCP__!w?3aHSFn*Xm4+YmiZ`yYxTE-
zue@9=mHOl+(G_TGjXix|pG_=I@B=pLzzxp>9qOwr2#4xiSH!ZMtb)bVgLe&HPuuP)
zHJPzZvE)riq@m(zhUZac@D5}_X+Xo#7$Gw%nMWv@*>Jbbv<%-n<$m)L&#T&uY0sJk
z@^vUE*BtO|<V-Dge=>9yg+%&EoC)BM730shr`A@GSY&)C!DLZc{jLdIYA$H3WE`m)
z#*&Ojf`&T1VAQiG5E&@Me%#2x!Wr2}JxJ4qgwZNHt_$ho{%3rc`*j8;N@Gu(7M3UE
z<=JtDx-(X?xr|5CIM(n}aN$dlm#SUqY;Urci?a-EzR;L%_4zb~e>mkl%K}syTTph|
zgG(|k4R0F<YDWq(KB@ItBR$qg>iBkcI58u0?AgYMe7`A;zDW2LuClmxQ}6fW!VfPf
zW`CH8_U?=PNOhyEpLq__S^8k=d|RPcO%$igK}x|$k4FPfG7w$jBQYDzrhF9xBWbZh
zihgxZUMmA-%RE%1H#6TV;Ogt5Y6X>Q9;yqTAbIf4S89EqJPRNtYm~7xwpX#|PU=u&
z$*4ypJC>$GVb!=BTX@ugJHTM8?LcR9?+I&&`{M`KAJkGT3j0aiU32hoUTFv2exzL*
z+P3mo^j*W}I#P4$9P^N2ED7hUs1>yxZJZCwV7P#6VDJ1EJq4?j0(nsJ2j-+L9F7<r
z8;kWh`u5$VmURiVSZ}y#s^B)|hvu@V2Y#Scb^V^#%WNJc?-;yZU3e%K%uQ;i-6UCa
z=y~JG4@aTeD}505t;jDRyX&+jD%MT`3YhZ;YxFNP>VE;9T1gbg;D!m|wyy^UPIX<~
z)NTjectOKrQNzZ#+~H$_sb&BQ1*k4RdToC1@Ui3JImuLZ?$0O?Mnw7c<8$I`dZ6~w
zYP`tt`b`V7<9P$-eBr{W7ZmSPP-)%Kla8?feShZjPt&p_QNgIy3v(=+hkyw3l}KM1
zoUfQoNDA?x4gUtO=PBE~ss%@(YufS=7o()9%bE3c=lUx?KXp}`J5`x&z?&3X5mHrJ
z2qf^hA88evFeqeBd}gM*zJzWk0bOW2+-ToR>(f1Y4V~pV2ZXJSUK%Rj=Hs(VHS|$x
z?YBjU+UhOb1fpY?W&04kJdMu2${paoftyuY2rMkY;pOnQ(Ri=gwECho74FnJ3cm$J
zZyT)2;m}q>^9&4V#im-L+H=if#v3KDMrr=jfy@_(4S>CS4hO;~C|4uGy-@SvRiJC(
zmmB%!q~rq^=&s8sXG+pjhvzVLKxP=pYXc>BpI(~)H2V?2ZP_~^fSJ1@2ZXPDmQma7
zjGf47;@vjDrxICaT;ynbbNL9oxg{|>CDYx37Wte{uhB5LK3y_q(oD&FoboLBc#TA3
zQfM~4l#`X5COX<{nhq#``W%fcE$!#Uym%Co#p|@Xo3eBK^welE78e%Gx4g)wFQgY>
z*RQiP^0o%Xvte>GJG*Q&|4OWCSy}qx4!BL#1EbVml7mnfHt1c7ol%HM3m<8@BG_C*
zx6q(Y!V-}C+${$Jyr{6UCe%=88<qh7%}eMAF9F<@>BJh16Yu`!+MX9=c|X9iE<6X@
zT3!G~#&x&heT-%p|M_i@zV#rwipyTgnVdKo)`QY;>{QifDxYFUIZPbZUzoT{lli5f
z%RP2kiFin1`R(U;p&++q*M>r&^gMBev~wJBr#dA*^tC1|K81_K2CWj2d={=A+A+|h
z`c^ozzF1d|`SzH+H0l;jk}B`y75x{C`?DT(iaI1&UtPIZ0ZhJVg?L1#Z`!-Kycw1A
z@rYMcEHGC7EElW1fUnFa3SR?Sn22VQ&6UNw0(2aIx|ZnYEkN8Eg?&!^Y4z)WS>V6;
z{y)CWtg`)F9r``VgsPy+aQKnX*_oZi<ggJUBktyR%r#lJD6T%P8KxNp>4lzdH?+8;
zv|PBxbDUnc&%|eBDwO-$sy1@!dU-km+N2eTxn5l<#S(J2xHKj~=iss=^ZAtLoAnRv
z2TOs&wi{#eo9v@w;QWAA2v{}Nx}sG}Vp6lL%{K3g<)itT&y7GG;HKoa3;K|Z``+#k
zXm0Up_R-^SHQKgsP*vy<_=H&@zQK>fOmtygoj{ZXv&%olUHOT31W&{KvHqK){yXG2
zqaAz&5D*smZi(an%1sG&vgaD{%+s)Ow%)_y)J$Ur9fw*uS>_!v>uCv_1XP<Od=*to
zXo3GwGVkS8(mlwTf4ADJS6t#t#a6?kzn?WE*na68#{!LlgR*pxJvy2ptS*1+RAZ`O
zNeLDPzYp~0aTItn^=FotS(cuhFpE`5C@Q$T|8S}P{WMv3LUy@wbIb6;7NPw_Vqh3=
zZ&%A78kWCok^bODq0!U`V?OBH&m8f?h_`Htj==s{PJJ0hDZ9tw31$6oITCWR^Mc$d
zQ)8tzGSVxYR6zGsQVJ<@&PFH&Cu2Lo`uFKUt~VWms)Gl`kUFTWjgla3@kC>cVzxE&
zS%1ww+iB%H<(`$V6~-=?F1Va8pM98>FBhFTnQM|)UFoq-a4{>Lc4k{zT|*gWGU5!P
z*P4a5lawh~>CPHgkg&}X-TE3w0|V97FHdV|L`8)X;WNT}^v-M7u$r#@b(sPpGw14^
zgE*MkdKrVBHfe><@~u%Gyj#D=plSZ$!ik;>x*S#;Wyyya^3HS*X)ae<b~&gTbXv@$
zL#+9}o8fZ@Pfh&DAaY|zH_S6B5fiuZzHqkIc09c&t#9dWyw|EKZT(y2saeX8wnxs8
z_&)b#oQzb?Zf?$u9fOMlyRWXY=5*lX7q3_#O9G5Re~l{01%EULawaRIK<x3<_X1wK
zhdFy(68P`FtJR}4!Wdn@z(QmBRJHDLj-q1>Htf)`iM{Uf{9J^Ewv^p-OGm_z3jed5
z!-*%CVGe=JYK|vAw1kuRHZl~1mIx#iFJ3KAQst`#Bk~}{RXvE}^amRuVk+<XGE0!<
z6i(Ev;iZdNL#yp;Zz#vN{4Uk&PfdG^E6Y}Zw~xST_=WAQ71L0vOLjzMT>M@$+Vkf<
z`yc4hA47saxKU_yCc@a(W*?w{m9|Z!jgD(Rn`(-H0(x_OPnaN1M9(nbmEtR9#2hl@
zUcSf$HrLzkF-L-Otprha4vHr-+<76Z9Qr+g0q3cQW*UyBP&K?pL$0;uJaT0Wqf_g`
z8`nrI42xjXCtC)mBwDU@#u>$7WBJp%%s=&K#wOM$>O-!r?mW*ZGc_K+;srM&^{xp^
z8bkn<&xsITr!{Xps&a+Vw8yf3AzyuM(R8m7R{73`{_P@$<cKf<N(oV)A}xK%0@POc
zXAez>g>6VK;l4)>CL&9m@?jb!0QjxbvnjO}IyI@Z{7A-~!FQ)?DtPOJ<TbQFlSXvz
zBH*$3^cB;rPJbHU99W{|zins7_q0s$w(h}*D~I(#jIUWdgPj+&uGuB24a1cDGMbyA
z{7K$BehULQ1q8ytN({XWw?PVtAQ=5-G){%89Yz`oxt%1anv>P*0XQV-TAIhMD*;^n
z9t8O}q02uMrGLLINBg8@@{FqFZu`k95XY~ocnR>w>Za9@`&JiibR0P;(ezOb;u<Ev
zk6+5q-^gzQy2b~KdTomduyN$bY<l;4FY8HyN+u7DOAdSQF=<mQ2{`=uHSK<wk(;FS
z9Q`&d9<zsudz{i=*SWETbX`Pm1b~*lfVyW+QjY+cWRBT*AdK^!9RCp($Y|3$L1ZcF
znH*S5D=Rh;Rx>GIopC=^2VZSVejJKn+}G+X%kJoCh#A~)pcjgA0Vc6br|53m;gw4Z
zi~5#q-gF%7$II8&K|+>qEj|bmlZu`6l>lj}sok1US?zYw`vR(sJ0;2>fvSa}aQKxc
z{|#VzuNC}Wll~C8L;8z@=mrFIx1+9Kwqs-0lTOZWbCd8t@f|=*FuxvjxuDmXOeMvx
z<+k$y-K$-Vj`-*stB&!F2Q_^e4@!&%J7;^fXBo4%p)GCac5LlqFCV@e+OSeziadS8
zdsR0V8Igv6FcnVa^?@krH8=|9E9Om9F~eGKhYqmzK*tM9iU*zWo)9jyMC`qa*em5h
zG`f(N^KSbTH_}IvlM@%;tiU&rm&?)GH@$K0?$}em2kZF%%x3Nn)a{SOk8j>XKFHv#
z99!@49cJoBOr3;PLpOhv-X53xhr7VPxIq8j+c+|8DKeuh8O1lZUYW-yoVmUKQK_7q
z^DTHSV?U=u6zU33{5#*HyT=<+-Q4CJtTWn}0!MWnDg$Gn2+%!i7MLo~FSLz9fGme#
z`9LBfKWrz0Ykyu?KWw1|gB1nc@w)s46c5`0szQb=_WQv<v?4CQV<@Q%Ak8V`CY^{s
zl{gT>AK(<O%A;f;{xD%K*%fz#G!}i4*dDw2xvIpX>4Exz_)w}|An@0luYEcwxxahH
z<a0!t>d-K5ZpM_CG1}gb)-9Je9h>%vc*(c1k(%}t*b~?}KN^Ky8+}rtKl<w0ZDUZ?
z6T$FjH1??k9V!KY$obi<Az;7d{O0`uMBSQ-6ja_#3#1>eY&&}rt)6jutR^E*(8+Va
z;W_J)-&&Nlxx~Jrhi)<?@4HC&bD0OwXqSkSYDuq|9I7IMNm55)N0~!wy1eML5tfWw
z^w1-*3i5T*(RV17M-H=y-?1FljGb6Ji8JeQA5pk|;L*z%S8``*$7Iim3|W312u)|2
zI_<l6k;CPtI;0~C)fXkEtG|Gli<fe)Vy?C*h}{lWJNnu-ow*^|dj`?xuw`duXdwh?
zITK(vcIB+R(D31iPOx(j<18cPhWP&KHxc$S8s!#~+{YrcrnJtJfuthBj9UA&bftjl
z%m<lxmh4n3pK53^=8Pzm&7PdDz&odxyk|~&T4C00(2;!%Q?wQNisn+yTejnm=G3H0
zW~fxKbo?UH@#Yq3yc~n~jXX&A**8m<%}Yqk^W3T^YibC3DahJ<<H`w8%Ogh+B|YcN
zhtPy}@fT&N{Hi3i?g3nja{LICbW!Qt+9}_+V17jxCj4nz3snBXJu;W4W<7bN8FvFU
zp1)&}B(=#pg^lC1Xi!sU)BxJ2PNQXU&!B8#E-BgPitZK^FCCJQWUB18wb`F?dRx+n
zU*^Dh-Rn;-9y-LAd|zorG5)YfP|sqjhTpB=Q<`=X9rW;|hf?M*H=cp!=mP_npT2U5
zO1O1K?1ECq#VH53%(!Z(^iiCCAhA7Hz^v3<XZ5{r%iCGF@qM5yu7W#<cJ3(`$%`r^
zUOVV{eWmeIN<u+0R}ClA_QBA&{r;N<6)(#?8|i{yX<RydUWM)?ZzTx>r2M0-<<QvZ
zm5eUAu<1jgkYN2M5j__Yv^CjSl4Mw7UJIf#cWcp@N`$$e{xXdI>!05}H1uBG?e`WO
z#0AxdL`YIT$LKxx%g;hCMM*Qcb0`%Ira4Utn{zv)iM#T0ns~3v=0)WBkIyk)yIY}^
zy0t^`kpA5DCMlkBc2}zK(6XjUYdqRIUsZf=&TgyAO2}GaB{R_(C?6KTNw0(ynqKdu
zXuQ|V(CF1`gcX9a@dV3#a>(yj5M$hIdd{)r>WM~MJHu2FThB#$W@cRa*LylTp9i?Y
zY)#xnGa8>17bhM$ElJ;AA#vxvB5p-59^Whil!&hKXin4H0U@)euu>cFN8R1+%rvl_
zVa{s76OE?$bqJlnX6FoXXg3sRvKxwvGa`6|>R^Bf)tPA+0KceKflyp^kTu;k8S%`s
z;?%ya>>WBYjKS@Jl18vr!lM`<ltlnHW$zRLp}5NpKqwBzkJ{c~%tuWVF99*Y1R~3n
zGm)agZ>9DPXCfJy=Lux-D4W>QS(S*wD<xY+7Z|BmX~BZCnKJq<>35&jHr&v#(-ZeZ
zn7k@dSy8nt-CC%v$4lZnp#osm@ZZo`o%a)=tWm!Z0p9zLX)#*_RFkC98n~A52!F4Z
z_#{MtNHl<bp5H_I-+ot+M{2$?Qi;lMz3~f3@$po%;}?)jTqws<&tAr!|0wiPucYr2
z#v)d`l^kq&3(DSsr4!SMIXHLHw61VcVwN#5!I3ZF_)K6o(P&^QQ9fC!zARRj?2>OY
zx8D$F;wRCz2d*csD_xYyKd<Rkn^-QN;kgB4uN)>achtWuU1}dj8dQ*O+C%2}e9)8+
z4JFbNA(upFQ%>034|U`iVa(qbz~FZ=gR894sCCwQ7&`ayD2=y~;V2VaZQ4Nfd5?D2
z$TsvUwB#+PI>-FX#S=vW?B=Xs?hnU92~)T1t<=PadrR>9gX}eCJaDiG#XT(6|6TxC
zhQ(Oc&s)N_R)J7u*v+40k1-z$A17Y=Be{W8^)JdJ9>_8DGB}r?ImPc|G}iofXKS((
zu}8eX3UMj}8%pctBC2BT`LyGHPLT`T!e^<SBmBgdIxbB_=hJ!;ueK)gY!QOAtuw3!
zT_g1@5=CQEPSmib5+QAbMXwSlzXJ9tX)?K2R)l7Db4Fgiy3Q6%$KYL$iuSsWCoF<x
z=rd&&@3zU+Fg#!B2&;iUbhL_Nf557{tcU0N0k&ycwy`|Sz>^AF)!HPe`YKz$&3KP3
zMO`A@Bf-#{2ik75yBVT2$`511$v=%`-;I&!>QBkX7)U{Ti7d~e8FXg6SyWRyO<4A@
z@NbY(By&En>vO<-3YqgId+LV~0(N~-@Qop0*Z18b$g3|UQQ_!BP<Sj5!f$*z4Rj_6
ztWy4L99Ug&gGym_-07Bj{BVa*1Q>0XQGWECcTbvE;eOgX+{t+kh{52O+}HS3fiuxs
z-_%`6RkqF>6(3%s7U@gUEAHhh7NAn!d_SP#`M}vJLMc5W;*FNg!^6WNLyRQqG5A5K
zyiZC#aPZLlt)N0Lpuft6TQA;jePLmnApYX8jpnH<2KxSzchZi`+Q}gGO)wHCBuZ#`
zmzR163-lvr>}UEtU=dgTk#A3au|0oP^osfyRS{TbhF*HxGPWc9KG3no8bI_KGxms{
z=5H+0UIiBwb$8;E8fdUKplO-TAE#$iX76Z<vwAMS^FflP5v>17hjcT3O?otf`QGT*
z7<^!W^R(6Z*SR=)|7U?J>ZLL>^Ycm*LG~AQ8+lD*L3bS-Y9(grCVC?s(3mh=Fv76@
zWpjG32L`E%qdR_i)M0bwg`11Gkh^weo`AhuJ;{SpG|aD>9Po-AhxErKic9Qpb6EgV
z%qw*{K!@Hcb{SN$24=OGBm?ijvbIM%FEz9G19tnb@~+3(lWyRAv>O!I-LUS9RRMN4
zlBbeRH~`Qz4tt1~2%NALaqAzK?5i*73p6;YPN|wB7GSm+&mq<@#8&?P_NIv4P?W?l
z)7$Zin5LCmD~`bSZg9g`cG(U>G#b3i$44B_QuKt5d(+9s&hfE^*yWLju+Fjwz++eh
zv>O~7+#6Ec$9R)Gi42&_xr-R#-d;ig^!$g?#O^cQRnqQElg0L(wEbuWCMEKW^q30@
ziky!k9$H@JcTg73LkU#2+7kS^Br-=*LpyE3B;cEsCB34&Nk<5x=^~{)#cCRm7wOqG
zjVl%Qw^-ack_ZRqnx!RujHYycBOh?y6)Y^g{9kZMK^~>~#ufGRaEiH5`8wYsWye@-
z80T^0y^?o+F7l%e+U~il<BQw!{HZw?{7&)1yn>nK9M68Z_UZV;EQW&A7=Z+lysPC=
z%Cb8^Jn)J|*O+Bo#bY0aZc?#p2rlcK@Y(Yx?#d8Sbsd8}XZIuO(^GK6Sy;Lk!${$k
zUV^{cIb?5cKBm@#CB|zx;y`5?8|`q)dpOyaKk1`+P=n&3wlwd499hh2xudKsxG79K
zmg4kIZeEpWn#ilCQ}y-^3w}3h$vJ91geoXL7s*}j9}C5}HsvXrVv#@>>^b;myZ;W^
zX`>y&Zt9}%XW1e9mmEFPJ?DK8WWSeqrr3hRMIn6xsygZcex(tvx5v`@gz(29<|F1D
zED~*#40&tG=hz1{?p;2&z)B7sPJUF+Z3sA$fH)DY6)eq=96Zl@R=r0?JU!R(x_o(6
zMXGQSn16EiL#g1qsnoZ<H{*D17xTBg9`-03>H%mZw6E4R%gCs4y!zM`<5y-B&JK*z
z4}&>V%2sQWcWoQiAgZ!|7}xbiv2C&)39#E4BH@&D#h&BIvo@3HOO7Xm4e3jx^DfJo
zlE{ej=$fgEBo>w67qV1wu-bK+M^=Q+w7H_8MUyWeoA<D;g59K0Yd%p{@h7=+K+OP2
zp+mpN*`KvC9QMPz=%)G?VFgP`MC$4bkL<aIXY@aK6|4~}Wq~HpJ?y)el4DV5rCos8
zc2x~*&%7iPz}{^d#%j+}E%P9?!T%IQ5qtDzObUD&XpDJoVvR_lq#(JTXzc>A<R~vs
zys*Ya#%c$Q)QKZkBniGRbt~(Lrd6kd9o9Vw;9kP{y2RA9tbBhF0ddvadd7n~Jcom6
zhA$=YZK+?{csr9}P3c)_Ih^O0+Mz$sh(@We(s@ce>p4yMs7EFx&)9=LKbY!tv;3W8
zThe`ps)KBHr}n?PY43uH>liG>^!C~-P#Rfo%^_0YSN3}1zXi<vpGW!Y9;H{*ldj@E
zumb^d){W;>fSmP+qqtA>pY|x_ahD&}ZhEA9ut&$y+uXGvkCW3EV33R=>XAf7LM9_6
z?EG`{?5vG&#JtNh4nRX{9B?S=SmV;MxitZQ5o#v967`!whcUf|=P7WTMhnm^i|3=p
z>%&3nx1CU}%f!^A*ffs3q_I(Pd=PIsB*6h^?l)Ov8Z!CDGM|tj9!aaf;wGsxpT<Dx
zX?i2drLua-R<r_@Ie_m<v~+Zow!&GOn|IY!qDl*@5_=}!q4iWrLl}c*Mj0<iu<p1b
zu6s`<!s4*BJPtuf@oC~!@E$mQ>u0z||C3++0}cKIy^nxu_b=+`R^J-07HldDr?cdD
zzz9xF*nE%F<x_+Vj^b7O_?MkjxH5YC?;WdU?_7y^)YxGvbHs4}ea%T1;hu~&j+mx6
zAxqBP9Az_K?nHUdn~@5-hoN1~GTQl~vq|Tb?k}UNh9CHSc+F|~R@5OV%n-v{$q(<b
zT-3iawbX`p8s!}}uA1QWmTK7{h(E{bbj%%tR6e|9P(B*)3FUTQN$weN_=8uA_^8pd
zawFcjT}S?O!}3mdKDuS0R!{ia%h2mO^!#OJ<QLxAFdv>W^*Da5W69v-(w0}?l#6;2
zOnsI6ca7t}=9K^3j*sWZ_Vo`|=dZ2l-+tGTZ2el-bhI+Xlj_KTnS&CiFQ+es!BgUA
zKR41U>!u_6S~4&ET)sro=W}G^GyRS`)ztdFWvZ>=g^Q;W8!^4G1){*h#nUcE3oEDv
z;7l*mYoMI84I|ttlBjy|B+D_>49gdgEo@T{l)+q()|xgnY^aZ^XD_5KMSDK=NS!%H
z;&igZeyQCe(zLW&QEPx^LP@SkTPf}F8F6ZCSc6BgZvif<Q}0&cdsau9+o>e%?|j|P
zH-SJ~A1Gty$L-_vqcB+sYuHfT^zu0bSOBapFsJR;<Ib-)v!fKxc=)|ZYom>e9A=>0
zULsE1)aA|v>a-JRBG8G}x;jvrC}GS020GT1b2`|C^E~V#`1H6Z1V_v_8GvAL!0Dyd
z-dc!dJ~Q1Wyo>^CNlPqgsS@jREb5vorW3bwpquWv{v~0V0QdVRxrSxmhl>f<?vKCQ
zdEL}Sq=NjF*+U%H*@&M0@yl;aicZ`u3m1D8NhRxHuNbc%-Dw83rLFQcj2}(c3DmyY
z+(>?EAK0~k2^e0Qo%g`@i2qZ@;ifRg%IVv*o2sQ^0`T}uNmjY)Cn|c7-cP5yN0gkK
zCa?ozZqugnmS@Y}t91vP+g(l-67F+;^#%!y8|tZd)rH!bR(UR1yTmFu9uGL8pFDZ?
za0Zpb*p;|y`(BK$vX|XJ2kb(N>6wv-=^Mlk5}hdZj%X<DGi4(MUaJMsy512;QAypU
zd%PT@ZHJ}(4>2879L&(za#^|Wu`ESlamCRY9K*v9AoKQaQmffiO66+@$tn9Tjj)Qy
zMJjDY_v~7)>yg6}@xmvE%or}7hmhLNlBPOvg;n0GLV-L!HfIbxi&qLUC2F=U@nA=$
z1L4t2lLr)USl-sd3>vNNi?lX0YcEEbzZ+Jm)`4m5yLhVch4WVChV7R6XB5_7<<RDn
zVtc+Kg|*AJ@5CD4U!kLP=kWwu(5Q@RKN-!ucgF#RZ_a+h^V*vaEk2Y)wItibn8wrG
zV%m4~f@6pMd(SD49GKxHU^-@HEX(bO10Ph)$V3_>V#X9(!k;VeyMK84Vu!ki?K~cs
z9&_Vk;OcyYcfjJ(k{*{n3yOdBWMQP^gN762J|)t?d5>Q}f*43t)>FnS)6~v1A(g5n
z-NBy%v%uV)qlbnQ9R@!WoN2mV;v!s9s&Y@=4V8mP3?oyMXQ2yfo33l9S>j#dRq;XU
z(J^IvMpgR6`UI8bsa5;86B)b~{+=;EhfB-w{6WuKkseDaw;hAC-?GY<afHSK;nIvs
zE~KZpSl}xf!3*R^V<q{JhoqBU&&zU;j_q8@2$w6E@sEUfjl@LIT-?^uVS~pp!V_LQ
zj%A_&DPr3Aop5Q&b}eiDgeuddi^6FcT{8*R*~lp69HF_s6iM!yVmyM9YH16;wguiH
zh%&dpSM$r}iCqn_&LP+bbwWD?;)t+Fy1JR|Y3W?)+}~#}(%n=ou2lP2aJ~5pNYBId
zzEyN@ATgp*uUao^CWn)c4}sYzf6tKQwrpp2&eO$KZ#ol2k$s!$jEIJUHW<USEi6g8
z^zo3_!_U*3R-1#F6=;le3?55;x~eoztEJSN4?E=H9)l)3l55h<Q6A#-o`xol3}$-I
zRWT-sdo!3z&XKChzSz0rz^k#<loC~lPqR<J(nzYOe7%t-P7dJ{z?w23l5$0B0P1|4
zBaQ_P%&34_qf;v5lg-<<a-a1X$9-&#Q9@=c^(q#2p8(E-Jhj_R8Ul2a9vnas9CA`%
z%26Fv#2J=P!5AP<x*I2bWNk#0RFon{Q993V35`XKw|?9R;uM~H%vWc+)NdPruYE>i
z+TDRluT62^Y0LfsIt<jj69|^DZLtzyOBC&xKX+l)5atME6%M{v&2n?C+w85bte(zn
zYOii{e324Kl@bxyAa}PlTxzYRF(^SJMqh#Xrd4;$C|ccZF-di)uoB-r=%2Xhh_UNz
zn*#ra<mx=<9S#S_d~mrxfmIyn!~4A3hld^n#zNivO4M)f2?cIp1P+P^Lcu>EmTFr8
z3N+150-@%b5};|8F%961ztkE&9`;Tc28<>+ZA7F%z_zCu7jV}l2jL^F9&mqw)Vag(
z>ntBXg*rp-_OLTeeZ46GTAO&@MLeqgmC^glk&u#Nb><8x$3Xm36<Te!C(2BC?~WIl
z8WmjuEerD7a*1(OV7pkl0b?RWUHp2r^|0BaTSaCGf%z>{ZDQmNRlcL@wCS%bovRav
zHWpSZH+qeuU#!r3N*gHxVe>@T*};e->y-&K*6K^Vtz73bu4E5AHPLPHs;OFxysF&L
z9h|Dl-7cL`<T|wJ;k~lVr(HP{JHNxC{RO1Zt!~i$qOy1WWv;+dDnuH3?vm_AvXzgE
z*F$~=nKzOonVu5?s!}0{lnS{3fv)&=uG?d{b?@s&zhzd&UuQhfEarek*nr^Iv9?W;
z{kHx<v)E^RhsWkOUWz?PFO5Jz<`W-p9weg}gq-cS4O04??R1egp3w2Ciew-<!`Tc=
zE$OJxnja-#iQ_}OnwL^tPP62Pv-dgFJKF*$b*Y~(V`05sMxLjx|0tBg@^rRC3%VX-
zzD9_f5WS^1gDC1X$I-DOLzmU5^)aKvm&9_F1J0m|Vs34{P)`V6*crJmJ&S&EeA8(n
z79q0f(hcsI2~GFT*eF31RhJUNM|}>UimLD@2H+O`0@|w|RkMd)UA~NLdVF0axM?K3
zYF|BXh6N%9Cs#^{#A1(%(w0;&#&%|5hZqNcE5o;Dje3lC)#tx1k@njT+ZJ2gvvUdJ
z)GXMe!zNFnPzj-cRG;{&l0Zwy?+SBA>cqeRn3jF#$#gOfHZ)dWYbCb8b1qG9nIXlM
zCa{naB<<VjaU-srt2IBabM0L6hz+`51h<7E?At6IC>=Z7eUJV=%>3i$mpXXoh}e6S
zJ&alC3(w+MR~YwgYvHesB+Vm=mY~~U{{%H)RH~6@H4AK2nbv9Nbjv`mUTM^=r$O%@
zYcC?T6@BNicQ@g2F%1VjW@TS7egV1KAyI|_8NCQ1aF&`9-q6-IUvZFtVqt{?y^QyO
zUPd1g6oCN<x_xf(0#q{<uvr)W;fmzodi)<-45ayZ>vRd~pttZ05@e!P3SJ!$?p%?W
z8~bWHfS$A;Scn7!!lGdG_T1~atxd{Ju?e(klm^l${(<lX<G0jr+>ZxOw$3}&HE07p
zY1(!RFwQv~!@)syJVkXl>I#EpX2Upf(D<m&RtII;5?H+bNzHJh<dUA6mFlL8C!lrp
zM%}{><2My}Rkd((*;prnR}h)KHT!gKPZb$+)cq)3o_Uw6orPSJH_xv5b=<uYQMp3h
zA*A`rpnSut)qG$RpNF%~tm$MnRP?m;W?HW%;!#%up%nAv^&t&0kw6CM?5??2R%VBG
zhiYl2PvNkFzh^!9|B$b->u^dV#oXJH@at#oSUK%-Y}Ch?Kv5ijhIy1hr`@zC)jjc~
zeVk?VXJN&Np`&%Qw5|u=#p16bT4Al{_jOpgS1+ueZN*d<ufqnfT)9*drqrY3J(`1&
ze|gCxf^P>NJ*K_L4Fj`ES1d_1dJLi!M=^-?IbKfa)#5c4AuDB!mTdylOq@k?)_Xm}
z+D$Hgv+_iDXzt@AxW&6?@I(9PQ)(q;j%v<3bO^-_siX~R6O972c(@x3rtq?0DzO`%
zIRepPPX(a4{ELZX*@<^&=f&&`W7#4e2vZ6V%HWQ+P{qpRXGQU78INw@6M!~=y>2Gv
zUlPq6HwT=m@kSl)4u$<$h7!mfAK)yXgl|d><U!B7ptZ9POz%9_(fN$1VsPely>W0Z
zz(%k^?_?LvMdo|%bGNt+a;UitfTB|M-VL^H5etM9LT?O5L`&x1)qQcy=yp>YWqzdU
zyNH%aUyoUI-Yqpc$QWKO07#VDC*G>8M%cn{4xSdR8l&%B-{?C-uf0X1az;GX(2(Vh
z1hm+6()?M6=S}V`u4-Fr8$1$#u8^amG{KcfZ+O<-RL<_+n|*2Jy--^Nxy}>U`Jsyw
zXI}8wm%4Pg%$5p=dh|F}O)XVI^L0LoO1xB%`iLk3`nmT4m9LWY7d&`^-CAv2yY(GX
zoO!F=rH&jM@N}+!*qGyB$yZ+v40~WN4za>$_Hn+xpleq#M44lwrx>F00+ic0<E-_8
zxj2J2N1!R7HBrpKE5Z6|*JO8<0mTS9CVEp_M|v`r41c-z05p2ZGR{shdvffRuzucF
zzbMlAj^m7q+9(T_W)3YHlaW^f<;bGOn;J{IKyp~anT*cM^{%d#z3tSWEunrjNo)4X
zb-`8u`UbbTChB}{e$CoiE-WAGBX_leKn62+-{eNfb-yx1Om`*SD$;!X1R8NTKJHXR
z`B^uTz@&eJcX4oY*#5ZL40soM8u+evi3tO|3uad2t8+P(<))`B%B#Dw;`BZjZF;;Z
zu_XJa0ITE_`KE~ET-H=QPolIyO&nC@`75`W5-9REd&c66rA^GRd&DV_i)t88q*i>s
zv}5q+o>w9AYRYd?4T6WaB;_E&2Itq;CPsBMy)PXoS$BL~-yh&?sr7)=JL{yusAGrJ
z(GCh8JF3>SkG(q-(^`@Z%Ca)>=d_E|%9;A{oF&%y9Io>QMjA!B5$Cwq{EpM89FCfP
zTf-SOmT@bQXt$zRoiaGK)vNX}L@5XQ!qNhV#EDfTH~!W!?}E$vKZ43Xb~~|)1WB(_
z<cH2ouYnKbR;7(Zy$1K4StjW}fucoA5OmTxvSLhf#G0{&5y<sOEA54~V;uL$b@z*R
zp0iJO_f~U@Z)qQS+R{D>o!S9kxr3hj0=kPVr2lAQEAoB>j9$E?K8CI7^Uj*VzAlq?
zo0ZK`ZdZQO(P`ezzMX@Uw$ta~s<(WFQtSuw!^0x(+VPoIl_cssFzK<Qu|8m+)|9X*
z&uGOacYmmj%R$_GLbBmnj0#dNKfwk!hH=KII=z>iY;9Vx6-av%hr+miv{xOkz7$_j
z)>Ehko8q$u61UvkYzUlS%d6;QxDf~Ef0?mDV+rG}Qxy*8y;L}v+7Rvc8v*{7TmVkG
zySp5kKlJvAgC6G{-0n51KP1*JmG+3%eMHB+84!xqphX_QYLA^$P~KUw(aiR256@T;
z8%Pbq&0EctZpKO}q>vb1UVArq&Y8aSl8gGukWwUC=-Pvba3Qxzgr#J*MQKT?_b_3x
zn(T=@lP#imXdO#sah{_f>}ukSXzv}RYx;aU5ecKr+BUl&2-_z2xuJVdf<k*Mdg+zb
z@TSVTy4}QVvA))ZdfNQp$4KBrDCIs;gL_*yg)HrNUb)7ZZ&qgymj^U>b$YZ=C~*4(
zmum)j7><f~@=>c3sezdV*lhs#f3n?WEl>I2dV<Vf+u9^RwLeX-C)O_ntiL@yaar7|
z^zF`M-zlMQtcCPA=`Ix`G{{=<hx76$A#P&x+RM)<dTHL91Q!cFWAl}1TkFZxsMJ2E
zQK`yT1<#r{DtvOM=b2?@F|n(tu&n5zNZ(?cT45Y^D0qnUh>>rW1Y=-%yY%oY<&QW~
z9Q#`3s>qY8iPh`C)<m12VP+k2dJIZ7hx3>}Mwa6lz#h)fDj-1hno%4rWM9-}F8eaN
zH`?$g<^!V}146E*@h@S_=iZwKtUL8Nb1gd!59_EG)gLEI!b?2m3QV?5DM~3{3Z6_Y
zPF|6ewi{mP$5E*9P={9V+O$D`gyw#<->dyC=YQo=AE4b^N>_0}!>Hn8$5+FY5nL<m
zUwump{0BShADfH+r~BHvzTA7g>q$zPo~|Y`ZRGUSZDqqOpINC>rOZW@N79$gZk@cb
zZ?_M7-#nNUw;e>R@?Z4;Z5_fdZ9F4yH(8lOZ2;q;Hl9&P3v6s*Hh}SJh3p`$Ze0G3
zJLC(9;~6>CQ=>JiFQ8Hso)2Un4FVoUR|3W!9R<?nKpd(o1f-f_ss2*QV7Rq3EP+kN
zhXjMwj-D$oI=V4=P27yPI>NB!CD_3rSP@>CDm9q)w8k^=hTgE&4($5pPq)*}#ZOfW
zq!BB8jG{}Au@5|lLeJibRH7|SW;~9r0`mOAV`fkJ&fBHbIjS=FwiO8Z?b9@mn><xR
zJg#B}TX0C-Jll81kL>ZWL)2ADAEXbmIy?&Y1;;Sy(O>-nLbMd`k_){15B?mIcZY5w
z=&uC1)DKGwn@HSzs3!f1f{Fj2=yV~ZSoK7vZl>zTwn{ajOQfcg;hx<CH9gZ-yXCX{
zf3Bka<qsuvQh*RFrMUB89AL=QGb*|7zfhhAYjUV1z5!CHT~9!_o>8uiZxI50gn-Ib
z>Mx)d)A=btIA-h<YGTLOd7O9z2TQ0K>}GuMm27%ZqiuW`vHBTM9It%w#K-S`oZkr6
zm<gB{L!dlNq4_u0<blFF>3rPoyvR$jzT92k@j<@;D4tSPAy)enLqdT|2XjO-;U>oI
zS&-4{K0aQVl`}kEjn^qc(@tJ=Z*G=ZCyC(hOOA}vcIg?7nuj~a0RL6b<Su1Ya`wwj
z!O$-7_5DEE-<xId_L5NnoIrqKfpFD1onJq)?D-CJ18fZtvndqesV6XOt`nd>@(XD7
z>`s>D7tlpsD*Q4K(hu!kGzX$MEJPr{)8zl(@+e4;GlMozUSbi;rCN7Ks0wQo?;y;<
zwJ8h)+`_(q*Z~r+yzeCXfC)g5ErJJTiH3C@ttH~ifS_6N9?HKbbu%Sv2b2q5sSG1Z
zEB;FNcQ5_F_dx+r?LOPx^xq5Ja(bHmZEMqSOq%QB(|+Y5l?fONG>ESn5wB+dyVv_a
zR0^y5Y=PQDDfS>cB-{SApKD1vfm#yT;NAG<7f>?{d+d9eyaxY|)TVzociGED8H^S^
z?-A<?&Wv0WTY-~Ihru@zR&Y1J*T!EJP?OkO44mCT>%X&Q58yD1?^*htno})FtK;_R
z!Z5BZ1edU1rS<#O_@DbEzM*-s%mG3OO})$T!;9|3pNbJ@fnvn(%+vRlja~hJH9P*q
zV$+NzyhNAuK6s&<1yqcjodu=^sWu9^&i$@w54eR_>m~YXvuuq5PL1jJ?H;&z3H<Q@
z5Et<tfqh1QFIRg+@_+S{R6I)4tbpRf6q@?oG7)n)-x{+TTm28DknQL|;**dy{uHRi
z=Ih*7pJW~vtWjTZtt7wOJbCDhW8X<Dr`Z!zqoXCrdpP#@u~};NTS=9rrHh!Wm8Y}@
zoWJ2e{eIZwG8nh{=nF`m9YA8=p}2AZg6|S+VFtQ$kO!3Y6?^?X=cXPy^%MNgc!{~5
z7}N6@`L6B5y)hw=)M@u;<U<M5aUBfW>YFFH2>!IAPwJ)AV~wb$+~WzP_S6o6TPX0h
zEPVZC8C<iB!@h8g<IEYNkOU_%dOO5vqCmTcGbPUKmE3w976*fG*n0CTAxao-vz<K`
zD$h3g1cW=f>ROG%tjYxrXv@bh+aQ*vin)4(k?oG;^&|p!{Vw09vJ>7u3P~>|A_eXZ
zQD(7e=%4Y^iqSZsI)9k-325>ROHU{w=!Mb1;y0FjW9D8=?W7aOV%F^>>9S%_l*4Zy
zBSC78B<=2bYhtLMQG2!Es&U|n_krzYlWdV{2i-YKnCzI&Yk$xGUoiEooL~`WfQXBR
zmS4mXQszr*Z0S8#Z7-Yav*m}<9^<`d2|JxYV+e&}VHP208Aw<b3&Phnjkb>i8-=S7
zxvUq%g`GBo-1c1Ioz9HVA{$R1{C)-3$<KK{X4i7GT?&W9@?E{-p3e-{YsI+o^h6hH
zZ)f!5!eMuT-At!772)JCke6`I8n`<Iq$mM|eon5468OP=K(+BE6Naz}z}%V;AR$Yy
z`0XoaaBb3H!a<`cmiMl(HFPbIL<JJ~1Ot}a{s4gW1v*<6E-V7O|5LEv{EU<LJtjDj
zL|~OFx3&nF0&NELF!%#ID%o7{CllxwP<{7CybElb9M$xV2)gwYx_Mb(vRNtHZf+Ol
z3$Ky_VMYGoLGtR0(kSc_U}GLJz=-@|-+tih6BoT`t=Z%J2)9TPWU}q4br;$qUrMvF
zNS{4>h&A?%^SbExJx47;33tWxip+{e(?v|n?DNMjv##anbUUlMqVa@0d(7U~^+bJr
z-!Sms_rm`UuFF@e%U5`&pMy{n!w%07_W^m~v47-P_CH&je+Q}mR6za)A|mY8<SVQp
zAohaY{4UBD)_R+Y1uW}%^Q-K9lSW$bYhYabY>7XS+!i<tt>W8-L%%8qv9=QMm;)@^
zg2*qRiI3lO<*Tqf!c(pgJ#}Fp8h~nsptNt2^G&4xi6H+QNZ@OHF-pNaEi=rR^fZhB
zs|lr3!+*vQCjNgdBc1XU5XdL90xaU~Nt7=zib=N<3<l!ke?>^nqTe09)63WPx+)w^
z3**rW=N49a-x4YOkZMwe5}Q12eh-&f!9VeAd6rjNykE52RhTz@?x!y1{l5Gbm{mr=
zD(A<40j;0i8v;2BD$fOi2M$2a`3-PzIuNPa>+*r-B<4iujwj0w!=FL}yD>C}Mxv~!
z0B(~7CnohPPT0NTcnt`ul!F)0u$>d@`_%RXh{zH<3H}0KKnpYKJBPbSaZ*Q~^)1!C
zPLI+%pf08rEO=bued{q{U-fbQ_x>&8ywaTA;@z8)Ih7LEI-1v2*S-CK{J{4f%8B^Z
zEm3{bn7uyiFF2RK!FK#p5BGnzb}u4Wz$>3$4^o=8&`k<R61*N6R>|VbW*!=o%aNIv
zzozPQ?{#8g1*%;Xn-F96<mWKv--=1CZ+!uUBQQIzuwA)CYh@tnGF4jRC;jBE(eJ~&
z0WE~B%2sgSoxKIIus1G<{+OAhLkJXKRiqdx{1mQymOGiETylT)c?F7VM-YP(Ze`@1
z7<(8QOmvnA>!0nJ44l1L5_*xDo9%{z+a2|n`3%+=p!SP(4(jZr!l=DPZK!Xvil)_8
zy1^7bvagvfbqA~mVI#D9zPHO_yg72#k3GQfgm<7aD28;B4L%TxAbSD(6HqixY{4<j
z!oErAVjShLNBA>A5=@|!7*j-$H>sdI&F~7fI`gN(3&vG3tY^qqWe+e&A5!_<fr*{L
zR-Hgyq9&b5`ehJFq*pVySY#!Spo5j5j?K7SjXQAqLln>!Q*?6)h+7KbJ5Y-Ra{?}o
zxF0Y&fx2c-N?REk>deX08MPE{Mpn2=&Ua_aul5B6t!Xo8n*IWx^}A71k^oLK5(G|x
zzYN4;54nHB6Xn6DV1rMAfUm<~#|+SE?Pm1Zr$Fk{7Z52h81Tcw$Iy#ku`^j>KEWH<
zYZ`XJKBhlA>hxWpn_d7l*$cGk<(qr~rI|h>Q9uT+=)W#aajh3^vsj1}%J5}nMHkd5
zzYCsb>VZXso>Mzv&b1sx2!J7hbDU;F{E1`;z^w<ubFKhB{A~u&bVrW~Lp&g`Mzz$6
z!cGEIKU{zj&xL)xes{yd6}Ei>)dt@C067HZ3UIO~Fch%=Ibg*;XaLh0i6gtXK#XOZ
ze-{^U;fkeEgri0?EZVyW_<;(c7Voe^bbAl`PyyhAr)l$D0Mhux4U6~wlO!OSOPriM
z<5qJW_|LI?9zQ5~TiT`(x|aAmv;fo8rZI%iyU^YlfcDgizf$>)tl>D=S_1&>4-5d%
zUjA1qztIJ=GXQoF3{(Vq2(W`V@r}%HyxA!SUQEUY{K)`-_H{SEvg%iQ{tZN!Rmxh;
zA)w8Hjldjl<9GXj^U_Ti3CX}o3IF)Yn*Vf#w2ywa+>+C`WN$BU_bNV|@wkxdtR_f8
z>*Jy88ytc3YW8me<_2GQu2dfV`Wcp;VsPl<FWD$Z1;F%7`|o%G();}A9$yN&aiHCt
zcqQ%&Xk(3&_}F5<0UM9}OMR?;RqQ~`#M&__KcR;7m^+uolU~X?_8pzgcNu5+I94qs
z{sy0xT0Q(2n&z?o5%ZDdf6J=1Tg?H}`2!ngkZd#WNPtx{W%NpRTKY??_Alzye}Zar
zlsJianggnB$G)rD61sSsM%Y^5ud4J-n*UF=|KF(gpNGN~N}548%2y}d`4ErlXU;g2
zn}sOzynR$Fe#2w-C2gnS(mV0)Y7Zx<_m-lpC-N{xVPmcv)%71Bg?|GHtsbc*PH{@4
z+MK}YPVJ8m<zDm5_(#{0aO;1*%Kmd^2@Y;K7+TUrUVEKQf1Ll^lXEOCaxI`vS!>-(
zmu?%)3=`V#9ynkIOM<Qm^R)i5_mfrp05_tB4TcldFEW1s;^}XS_a)?d?}!so6U5?u
zS!TdYTjCq==GA9ME5KR+5aNYR_3eA`nT!ZPm(9U1AhTzbLc9Ak5|x{M&?t?Ht5s!M
zx06BacR<Zs&&cP{Dgoq$N}U2*Ljjzh^K!*FG|i^$O)l8iDR$zzu_s868;+jJr_>PA
zmcTOt&Ry0grZ=!&Z0UGs>*~iEM`aj*7b1yO8@Ze+2A9VsF4nV#3)`NOI8@>eoRnGC
zY+U6xxOA-XXy=I!+>u&l_l2nT88Ip5TS0P!Qn#*%9vM#^CqK|;Nppt(xib2hIS4+h
zs9oPJu;76BVL8LkWgcBdBeHq^&#(K^kiN}vx^wLzRRL)rsG*|5I_t8DQl#kNh{j|t
zg0if#OzOJ3zsj-SsgQ7loq|o>?Ex=RQ4#&wLGBwMt9PYw9*lH7R$`llwT`?o32c(u
zx^K_C5O)%7=9JKOxM?d=id4Xtj7&!*^Gb37Qz{{8-sok;odf_IUmB*uPfP+aYb`wR
zUnlbCe80TscmG`4`^B3}Spd4m>?Z%450%0R_@7D&*jR>Q;p<EQQhUP>-tK2c!`7C5
zsv`*hZ+et`HK$sMfpN1R(s)W=;qoi>Sgb#Z-~=!;1H1WC5?e_Y$SKYa|CFRXm$hk@
zwR6Y@yb=^f6jl6{>R;)Mc)!hac&SQ!BK}3C-x5a=di5RSc@k5&@QN0jgL=!!Pi}K?
zGU2FHPRglrTfUT%0cpL36^r!?kq#MJWhG_<=#`Qrf{i_94;wt+eB(pJ?Dq`*&*bT!
zqY4-<zMx%k*|`#Efl_>YCmm=TYIdKH+p*Z}1*$Uu2)$dK@n~3`=#AQ~&WPlJ-P410
zxzrN3cdIir_sGNdvh+`vDExowgXmU77H(PJp+p+*POb$QCca`i<+Ji>w&(Z7^{hya
zH5rp($EtxtQzP_#<OSW?=A&<ueOB^mL)`nauielbMOy=ji&X`!ER78AOSfDt^NWc0
z*j<^Pe@&0kp93H~)8LL5VCkN<?hU^2>jFvkOklTf2wYTX1TK;oDlM)Q??^Cd4~(gJ
zx`a%|us6xsgb=tCF4R`rXGuB2%g}Z>NTGq=q66pm|6SQ@8?;k@m3N<SAOG{#Edr|C
zi_p<6yo&%1&!i526*c|l?%OuEv|ojm>Q_6Gz4smeL+sz5)75{!RfedQ*cxwyB8?x9
zC|xU5-yLL4{UfW)HCa^9yKzhu0tw*f8xRR)i(|tUAU~fu`7U)M$uV2PGlXpgQ7PK}
zW=dQt%D=2f4L4k5z_4tpb9dltigTX>o+vAV!EJ(ZV%PTURQEX;VfUedO2{@0j$Ct3
z)OY`M7{SBJXk!t)ZsP=85uFO{z^nH@=(n|<LLx23BsKIO%`{=&JvJei9z5-sC5aEo
zT*;{X|HymqxF)w{eH=waML|%Ij#8yd@1Q6pARt``5EYRUdhbzClrEu3mo7C@Lyv`C
zrA9i^d#@phzt^*MZ}IHk+2`K7?>YP2?;m^&u7s?2tyweA%$j+IwXdL&>BrydWDjY3
ziyySv#UEZ1{pB<p<yN~ypG`uDP5s|!eNV|^H*DO)kOn`P%u7FN^T6ao5Bi(c{NJ+f
ze@*HB=Vk>E|1RnR#J`I!K<?s`ToxdAam}9L%8%>$gLPyIm6W8H)gaU<Er(Bxm?%wv
z9Mt1!VdO`GIac+NKZ^a0A5X-LybJxkUzPno$U_BS+9gQ{W<MUldV#;?n*PuGJ~jLe
zoLX2)IBzcKsYSb<$rpTa^VW50nO*{mE`9I_e;+km3BO#dFkliKydLc7iuaDZ+MT`1
z6DCR<^7Pmw8uO{WcSV!7g7?AQT&dt8NBAjmgvxpUC$Azr@?$?w??35N%nWnJIRhCL
zTpn`il^L&`&V+DI8@Vw{ze5m1F|tjV){%>FG1gE)*6N2A<8YX;!pKw6yT=)MWz^hO
zLGwrzAKA6(6}$_lWw|JS`AxYsg*v9=)SRcr?G?JsA*L`ReT74JK|ds&d`o(mf9Nm1
z6>`?psHt$cNl$E~<V53Spor=-?O<y;u}5WbR8N@PkS}}T7yP*zKw^{9o?XRI%qLO3
zLpi<Qbd_T=RWC9?$eF6S`!Zbu={DRoeI%+S*f1_|aYIbKPzARqyLMT&A#Jd+a|Jf#
zj8j0k0TEd{08II}T63Q)SP&7vY;;Ee&L1A$prFVw*`HuWZ60b_GQ!~i%3V!U->@yD
z(0}>=7s8{T{jj=!0c#3aeP$rXrWGOyNJPzNSUsk0@dNh!7LcXkhe#FwbqRPaQR};m
zIM3@q9yI(B>&Nom*v7N;rFG9ebGdn2K78~&LR3i68Gxs+D#r`xiLc9kK)N#41DViI
zo*4p=qaC=}JBHfp+P)==J%Bin=6uA<?_T;#jxvqR)a2#oKQ=PnyNG0cUIBZ#UvwtP
zn}G2tqc#ALI!kviVe(0*APyH5`kle;4)6OHwW^a&6_|wH$w-W)S0T{$wYyGt4thp`
zA^1v%hOa8SndzrSvS(?h1taf9fCdI!uI5&+d~=L}i_eSLM=Pks8AjX*1HfR>dW7b_
zp5OdnZTi{>e61{ciOK(={P7e8lSlS&5PL5i>K}Dl=X~Tue08ZM&Oj_%BSS-6jiaj7
zd8P%m_F^%4>uYV_TL5R%3@yBb?eEl1LAMPUn`);R50A=ZKLgM?bmjX0_9(a*T^e1A
z;>jH&j~>q!<=aRW;ScXjVO~%BHa|w46l~Cny!xJ!b0_8uaECAs=%|pN)|x&~Y4csC
zVcRmxrU7NNp12v4#RYP8mhmH<cZn?TIHk@~%|&#1MNk||oux=sSFfOs>UR2e3wKe-
zcAw-94BZC9oCB_1j^t(ytDB~bju@!2R!_{FtSjW#8`Ra*V@@E?v0swrg6A<ybOrbI
zC583N&N%cvF*D$gt1Rxq)P>>6=^gQYqQFH9IpDbHeG_G_g%a6t?<U#Lm(j9wUn{^L
z^WlZOwMYS{7(MLw?;AwsLI>MC>X+;ncAJ9iFX@0|2+lS>ZvSarfvWWz1+b4mu{Ut-
z1HqbOG8ysShzg=Ua~RXXi~p!WARETGU$_5l0m6%T2wrE1YV#U6p)T`?^nF+k5Jj3D
zJb2-)`)q@+f;bH-kv~&$59*vfs(uX3-^i`m(H5HVi1WJGrO=bY{>-Eb!1dZYwus`Q
zC6nhLx}H+ETm_{sEmcwJjJg8(1HW9{8OGqRxuCW;Me%+VKV>VJe9cyf!FiJ6Xz70%
z%78TvCvPvy;sZSnq0_&+NAYG*^gXLKbJ2X(|D%v*%7@E>3hBP$M8`rU$tBSumpQ}~
z3^KPNY#o;Kp!a;*qbNsOpx-V@1z^<yJ&rvEThIC?pNxOatqix-LYKZ=dBKM8dNuUk
zj@QuPzNR`vEAA(~r2UsP5&sjzbs4>j)EksfN5%*mD)F-2?rt)CTqYyn=>WZ>^;FoL
zB_=$N<9%ESxk^)8F84RsvCZh~?IjPG>Kpsg1fG7l9n8lQ4-0p<#(-k*AmDXk4AAQW
zvj<T9lRx5|!|CCxf$QLi+b4+X_`Vhu4MA|xkHlJlYN*Tzn<mxtg7+`#Oi8XnJt(FX
z^b|$d&z`v{Zi-U;lueOV(_=UyvP(qf*YQyTmb?hQ&u`qLwB&I24OvQFG^!spjRUK7
zOfJQ|8NM(!EoNQOVCM}D-Wp_;2uhVYXQT44X>dsYebOtL;YZ%(84IE{A6pkAD-(^y
z;)L$>QRwf|U1?+|+}G%PGZ${~2T*IQ_aS`j`p1lbvdVzxVx7*|IYP>b8F0n1h^s6F
zuQ;C)ki0!}<QmyO6%w_0CzB`=el~Gx_jdEyIyfOkWfPS|gJk7+$g|A?3xzz(ig#>r
zDtgw4se^>>5FMS4Q$pPaRn{=}VcYN&ARP&~|NKHg03=|9_RQ45H~|Bj{59d!@(TfA
zblJaFJ^l2yuJ-46AdLN37JpMb_Y1-IPcSF|yKx;jsn{dxK*^B^^S&Pb7*KP1ZU%t`
z-gXp*eeO=fh&u)Z&DMa%<I8lP!lwV0F*|i54z+>6p88sQHDwOlBKvCOfq2_wiQ|3Q
zD1crGsCpv#Z936gFP-k?=~Jz)#^SpeKK3gek$k2#li;KMyYG&Y3L(Y&ezj{yld&$L
zR*K)hsU7lde&-9<GzYW%v6^LCszN+wLC@kY(<)T4OkWTr66-vMxZWw{rXL?s_ZgR3
zJkvcmc4uWNe^>D1d+|yg_inX8{n3NfROE#H=%J@KUj6m)&v*XG&;Kvn4%Qkqda4n&
zgM)FOPST^zqzsyqcmoV9;`cT;fFiF_I;d4h&$Xz@dL~38pX>-9?WN{T5~B7b|KbE_
zuQD$OS60<r4_=d<W}95?+b>#7RU~hI%_4~zTc6Ja!WckdU{}#?LZ{sH!oH<d)-xu^
zau5}tCdFg1-H9Ruk!Iv8q(vI%M`sRYVwX7f4Ix>~$*<VzG*HO!+ZMAp>V22fKNNy<
zhyI3mA>()17lwTo(x#6<Y78@gfgW*ut=swGtkCrV9XfxJQ&Q#|fI|=hI0OV)Un?)-
z$-c|}e_=A@!*+9HpLQ=n#;gu*>dW50<yUsgeg@|W<RSd_beDe>VDhYg?tseY8oqP&
zo&i&zQ8}Z*5iVCaK$EF-Y?9SmRp+Ggxe{a*%+o68T;yd7TNnJ!fLfWzHyHmrAyl2r
z?t&#NlZuLJf3Ud$8`%(dpd)kbbpbxJt!2YN;S_PP^d8rdf&drqP#v0&2??4ORV(P5
zRWfxxhmMKfZ<?gww*&q!6!3qCz9H-B%({`TYGx+g+pTI(_oUUP@af4Sl8ym(H*B_o
zu&Iuk1xtj1<f<*}CyfxjAAV~qh)DltGp`(-DFzsLTE7rr_JQ0lIia6Ie!Ex?3)J=!
zY|AQ=V1#p?+u2jH`3BYU3b_Jt@6)?#(tCNi?|L(?@C=%_l+Y_{`i^7<V1Lw;zsYUD
zpDo<~%9Dm<kr3UHej~a&B-6!9`YD2CIZVnOrS^pY=lFXEGy9*gK7TRc{L`irVADZQ
zyM#QjU41SxX)mI5Rq7MQXE(`y{&#h~*1t{}{ww9>56uKng+~(1n{0|(mo<y`d*Bzw
z0USdfZ`r2b4$Sl46#f6p^7O}8kF~~to@()8rpm!ItOQif13yPH`Efr@HtP3)Aq%lc
zl!b{meQEnt_wf6|EARmmjR3L@rSK~DERSb2Jqd<zkmSmkLKW{+d4Iyh6dW|>f)O5Y
z70At7_$?Zh0~qdM*!D6JKJcHrKlu|<J5KVHbP|Kjcl0DW(#}T4DCw)#ch{qcfOuwu
z;ta>*8-`tX1Kk)EKn3}kPn1~0b^{0It`kx*6RoE__wAkEDHF^sjK<tFZ@IuVHFeG-
zv=$Xp<MGmvB{DL0b0E}&f`|$r$_MDZx84jo`OYpC93*TJm~C#Q(LM{To<wPGR9j_H
zoZ^vy8KL-ERc_rp(1^RK&-|E1SC9Ja<G0Ko;m6&<Yyd-rlQeD+f_B&hgGb|)?v)_R
z1mByw-$1n-v6^(8Ox?xSQ}*qHHy|??dwS(Rj=RTFVwJ9D=u&`K#5;U+91~2~k0p@R
z(-UOFY@uiK<K;SMnknax+#=k(+TpkyY*I=((SzwC86>~4b4O^7HLjVLMn6$MsQfO0
z@k_Bz_SeF?)sX<YiDyrH&~1KMcPe1O;QVEIyGKgd3E`VI(xmbYiUvUk*+tO^%#!q0
zka)q4yinK8LIwRnq0`in4EdK9n;X%Dfw`9G#DLnMk1cV-L!&iSFK#(pU~v)?OHHmu
zznslz2On5VL1>i*JIH1)jGT*2lz3caKZK@k{H#UW(tn~?=TlvG{wvWJ#wwSRPDYkB
zeK`5)4b{O1DYE@!H4D!nSL6(-BI0FG+BI~~5UxP=1$`KQ2!-o|VABK-Z;$o*D+ev(
zTTJn)zRmrTRnN$aUyzIW9Zd<Gf`6bVr4stQD!hS}Ghj2Q?zZZifs4mUx;=;#-zb{2
zxP9U5C#g5Hq5IkDH^*WY@i!J92p*iKfM1!axr-((qPvkWt@GG6KCD{WepPke84Y<4
z1j$*)GM~hmCdONY?UeVHRG7Y4Gog(lH0$9WVCWsJ1li(UfrdAAlp#nQ7}mzxb(icN
zX&YSO0XSCA;)wsK%tIXl$_B^&=#UX>+g=f>!881AFPgn>w6n)he)1!H{{nROk<7gw
z*$`4h=Nf9CC^>6_I8(pX;s~g^g66mdjrRKj)1fL!ROVG#w%r31*E98M`H!g<%HEki
zfjaW#0y3a!ZP~VJGfs8mC}~+l<C}A!bgLek)%JT#oW(akUmsLELTGO@nG<MxJ^kUw
zO91*86}E!CmrS)e7Oe7uL^7X101V~Qi?g?wX{eRU)0BkK*syb6XAjGg=Ey+s#Y60n
zdPW6uJGxyW*_3FrGO>`|wG(UA!47ubu+tj5sWr+#7tO2zsh$R&_dy)`r%1+XkI>iJ
zxQVNMT2#h3df=n6b)`jHK)cZ`CUfq|64h%1%%>>%cN|trL1xE79kFx|c5_rlHCFK|
z*!oHoVtX$vUabTfwS;}O)YZ<^ac4#K=_7jku!w}vt+Z9XDu6`f8CU)Rst11xqET8F
zO8eCR2(5wPNmu{-rpH9t*{NH08V&Snl05^gH*U3L{>p$t1*6zoe;ACL>`Hs#t&?#N
z6WD=xXM^#^(3hX(KMiY1h{@A}?;BaVuMVxs&aS`?_RM#Hm{FAXJ6sAt%8*?2)mPt-
z*MOoiF#l92?NBQ;K0X>W6RIfJN<K|s54;EA{egWYWwN><QadRQ2AR4S0Cd)RwXiUe
z@3QI*##vd<?;2!GN5?n=0XE(0_l{0zm7Pr0+++9UUc1u41w<J&Hr6|eo-n&wi`#Bi
zL6Scs!rN&yqNDXH!LHoGTOU6)=C>pE!b=9a&qXihkO@du=L~q4-<67%buzP?4~~A_
z7%SJZoa^0;&$b;l?%?HB=DG<~rlJ&LS-UNx1zIyzC#*eG<x?w@PQh`}m)?~4LD^ZN
z$;6xP1&5x!Ws#lBf-oPOK~KeDRd%%ZBg#Ssyqgj2<P^2=nVC^O^1Y{EP=&c|tK}K5
zwL;Kk^F3ODdv-@gdKo@(Mbp)G@d$5s_aCevhT1gJs0~E)yb!C%Y3Ic$J?MN&VHh|b
zvd(N#oPL=Ht<Chn)m^9P!0xR(x6fS1=N@X2Lo-h{yLge6A{FKbNxM~JL78Tj|1QI5
zFTyZxM<K~P{bJkYfis~ZLT13sWhEa`)6sNB^NNDZBVZzBTdF=X8I{^SH6=E!E2=)`
z;{cU1_c|Z$j6@dxL^ED!pZQa=8A;Qk7taJO4)P(cG-JEHZF9bVbL@jN_tFYtdimO^
zDQn4>=R960@OP33Y+c~&adC*ei(}bO9&3t$isTn0Uf^ix(d{0K`a;l_kv6huP#b$J
zV4}u?ccyo|h!agZo!VSA(}6AASEQoa?PLfmemd!#iQLzp-w=1d8=1`6We6TIUQX*-
z<;H?0xS*2pvDO&?(3w(D&9ZxU?K(-d%e$%WEM<WN6PB8(<cU7i+2sx1j4Rhw<lSmu
zhzOxH(XNFs6UPb2Ipm<Te#ww%*zJ4M7X}HNPD*YViP3s6STY~K!UnHWgSe_ECTGS!
z$?gfV&cDcm_r&$nTE^usHfA(Vc)Lj7x&f7n5Izv%E*3H|S3Akcs^&^JzQ(0)M)Ghu
z)x~?qdT7PvLbk%GQO+HhA1u}CZcUOWQU5D6R;i3~{wlz6ENAMf7@#m9GEO+lAq%Vt
zT1t^cTRYAm!!{n4>Rm}Wrx^RbWxh5^6(3@AN2fY;qo3MKb%HHSw_M4J+WEwZv+IgX
zu_s9I53fH)u0%B0(Pgs?a~D)3k9d0Tcsunth;wXgmd|G#ECLhv$>Pe{ORKXR<>al&
zF1YmM1rmNFf*kIFnd-jnr}t)Bfj=ThMQ+t!N+-7&W>3G9;^~#zuksu|F+W0nhu`jr
zUxM$wL3=-cF{VsA-7XlIT04u@90+U@$w?C$^gDwJCM(w!khJm^LhIamyf=!NMW~|p
z73m*$s!8;f%gbq&^<%qnsuW`44Lm$59@3+wx$`fSlDPWeE7oenO!;<r1Mc`*tJPM<
zNc+cOUhBpk7eo5v#-`1(nv(9YvbU7z@evQqzVWs^3#$vdXA^NMKUtei&&e&)I>LrP
z#vx)O!CKm}&Ou%tl#!#mkHoMKrR1hCm=SYjV%$YB!WH*)HzZr{n68UHkCQg|eEE%O
zFBO$&Jzd}fD#`uW6DP(h)v4b)q(|5lRnEYimv;xYdsLgIvRXSPGh<fsS9kR>;Rczx
z9_#b=d&x#&TIU8kS=xQtiMiXU2G9GR4$w+$6q7oQ_;KS;X$GIK)&cUsPJAJ_`RSdf
z)DCI>bwKl2@pRu4E?#)jvJyrfQe^#>s6*37D<rGHEmY}swos|^7*GU%^T3JcW0>I6
zs2*>rcpS}e`?_&y{=93n-N4>6y{jq@V@?{c??$~SE|@skOz=3_#xH}Roo%AKt8`aD
zoTDTzY#Y7KwP#yrP@6JAtSYJ5SISi-eLW<hP<k@FOoxAEzeu2J_e^MNroFPLQowso
zo{U0~pb2TSI%DoY;xRJIehr8ru2p>Oq<-y!@1*5s@MhQz-R#Dw`6AmDJt8$S(48tX
z*X;Jp4|KK6XjBOw_X_WLxbq1zraH)!=>mvM_Oic#m=F$b)6A8@SdVBH-a`mNOh}+$
zmC`+ny^^45+w_VGWKdo0W!-KY1KN#2KSn8TO(g@F6KyXI30$3t%Fb+jh#6$ftgSQ{
z^X@xv4-_)tQfOI?!tG!SYP<rMy@f>h7agH^7HbiwC#;nVPi}>-a+X1Cs7P7EMyQ7+
zOxXIUHm~R#mD*Ow_VFA<EKN*IrIx6#LANa6Mr_$8+?5YT+0f~|23*<Wv3vvfiHVg&
ziX1@q&L{X&HI4T+#KjdU?}Xs3eL#phl&N~Gr1?n9a2&SlGI*ZX#(H9%@3~G%e6&Bd
z>{VkY@dY?#*yCchiv;u|*Oz@O?zJwH_dtwzY-<;A)%7&|QE8^GE%*Z;J>yyhwZeSM
z{z@smGMb&DOEu?OX*zvYSq$5PtNe#Ux@KQ3H(rpi*R;2A!fM{lepjy&Q+a?I7jgu`
z&(Ur%cz=4WF9d`SfV*UI=1;~Q^x?<}7=>j(eyYBu-k@`OxT5{^(D2nu9Wo#H-`%bg
zYCAId)DY9#^Tc`;W_0GWs>4D7P;D$XVYool&>zR_hO9f<@%b*-mBi`>0VX7~&&6oz
zA;x8$1F?gJ){z-3A0Dz+U#_JQ3?Chle403#B@%zeVK2-W|FOEbyvkR;m+EE^87w>|
zo8>0<>!S7SfT~yuB4Ly$7W71Q>(14g(vm8Oe{zsgtu96-KHjZbWrD(*Z8`<T^O}G2
zeLc(5v~jEEr*DZ|Z+qVD<QDf%HGnQ=<nN9^kT25|1WK$5_90`oEsN-;0(8#}69%LR
zYC@sMOi2!0@cL%c%0{l~&gVxPcW_;aA2J23HNpg*Mh)p6MBp^XDrEjFt9_<-RhXEE
z3oMd!8Er5NisIp=@!T;Dk8SLDbT{kD<T2ziN3B*O3)VE$XWI?{zY6Gx8MJc^$fB?X
zp*Qg4oxsKP#}7HMajWD>b-1+Oz=t9c=P?S)#e3<^rmu|$g-2eOm%FVbNEIP4;@k=X
zC(CqaSuWbB-nv_`f&w(FmY7z^2znc}U`|{$m+HS=tv*2;B;So65g=~xS2Pigq);=w
z(oDqea!u;YxWyGYUIXg~wU!txVvkQysXI8*NzZkD0J6oKGpgtgJyXlJeqJ%xkVWK7
zr61vmy|nOKenc?AOK*pEL6c&qwc$3DdWM}VHMIval@{d=TGO-kJu18Xy9aqVrF<=&
z8n>--ta?FX_H%19_(Rmgr_m3Ctxvr>elxpcGJ)Z^Uhy+Qmo7<}1Cixj$zy*Rlb5cG
zqzMGk5!Fddjt$9MxC%-i2$82F7;dv`Jm%cEGfVXN=4T3*=zyU$58UDk$L&C`B4wan
z@~f?$L>)ps6~CY!*+c*7p!mj9%mv87Ib{|Ao4eO1yC$N(p7zU5lkTZV0htpwNV0*8
zry^JgUHB412R(3yuRj67P&un(&qiR)r^4>;*e&@T78OMnPom;y4;cUc&`T#se!c4~
zu0w5IT}a-eW#8*+W_Y*sd4O`;_QGDE^!**NZJa8Z4a@0V_e%seQY7ELAZSoDu++6%
z*uT7&&&J03GC1BMv(jbbWrWSA&P&S`+$WijUqmR!UN^G9*<z?$5^VCmil3acs6oH2
zkdf|+`UNP|(UcrSr{ZuxMNJ0csgkX8YpnI8jbuuVGqT>3-N08`(AYUlTUd<^ODyX5
zrF;}>SCGB17`&^cLif3V?&Qv~gEUzCZYN7Hh9uo-JTs~Uh@J;QUPXzCAzKO(Lo8NS
zoEC#BRsba-8GrJ4>XlIJ2QHzmRc^;>f}_aRPK{1#q1W}T@Rk)-5Af#v&}&aIu}yhC
ze;_p_e-A$L?^EgWF12yARNED$UkJ!W;w9wBN1Wx@6kVghe8@9I@Wz$k6?*;%%V76N
z?t($&aPmSlu6f7a-a*5FeNPx8)D}h#m0|8$?SAVPr1kI-h*pVringVlX$m{~NcXlz
zq*kFrhN?7WqBO&6Gk>gn?gGQ5lAcl?ux3?pFV#Tn`B8CNQ!R$ocxEn?UDLvq1kI3c
zfdr=1LGXF=TIPN)`H7}iHS3i5rWD(q!^MefPvci!^NJwEI>okxEfd_0<Js`jc21u!
zMEP3`HWa(3FQEA*Lac49()0p_E4+ixJbw~Bk~}d-RO?BZt)MKTyqvL{1(*e#kW+R}
zsL1Lb?SI*jKQV964T&1#I#}K}^4O;s#F$%|^v?i*c+hJ8q>6Lj$yoYP9jn^ms|+fP
zm4f9?4XQc(Gq;PXEXN#RIpAIPf%^JpdGq9P^jr^1rK)CwzEg9xDgyMnL{ICT0Hmmn
zlJbT1gDLoXcJVX(;qS6TgoPhOEz@ELu@R5}pO$0+m#!+l3gP`!Ib^rt@K8PA0pwbU
z@|@kbz8;oD$-%<INciv;FM(vG-_UV~e}F)O!_F;w<Y$Jbk6H}wl)M=WFeR2gs1ERb
zt^H=G{Ddy^WArAyP<oF_)<gvEW{PU=22iKI)-NEmO0(zNsaaYXf2X(LzVCzZanAvf
zmRG*oQ%NNJAGcF_f^^?xD4!KJxuJy?R)J8crnA*#58To3+__R;(x%*aaiw=@_*LQQ
zQ&UGlQcgK(YJ&EvwwTzB#)w3OppBq6!|NSU8P;`T?<}2o)nd2p6AT)vucRLNp;&t!
zBU5HluWI^QaFtB&I_(c&EGkO=Pk1%yy4g9S)gFdE>}ZqTI+5aLK}fM&tDu6&lX2fv
z?OFQ{(xbTpA7ZP_6O+B;T@Dg`wbPERQh3U~Lv@L0)%M$><zuvZgh!n3JHyY@kG|@b
zkWe9Qqn6v&C!(56QiICKXLR>L)}dQGUA{BAdO^s2pIbABO#|Q%hXD@p0NP6@H{U;k
zH9E!99PN@i@p-b+ZleV?H&sa0#54d-cwtl_%<o%OiAUmYeO427g#ab-uMd{>G|Q3|
zPfd(?4UG+*GL38-`WQ>E*1O67xWw7UWBsEw&28rjp3LMx_3ce$tlCxWihVuETXgW4
zVvcl3XMmU7m_^^i9;;F5>(3&!%t<d@T5Wb3x1Mv)OFQJk#&9}K3_;~vVM$*Ioc#y?
z(3R==am}7`YWTVa)+CRQ&BasknI*m3ek}~>JD#VNx7f<OZ^s&SO=y&<mJ{r^sJGac
zY<H41Q&6x)XM<<P=8|omR+7yCUX^WD1S}04O<~JiUFr`x?-r2OS3pjC!sz;AKQE1@
z%<F!r*cW%L57O5Yh&Vx_#9RetyT)VQ$worMp~_y>D-sqym{DNr$=Me~C4zNh!Wqvk
zhP)n_zmHM$+%Y!<QN7P`)~vlxKNPAYMRFxdEOV(uZOjk73bDfl-(O}aMU5}-vvo)w
zwzMj6>8F78q!mr#>;s}4=X+Kz_wwDZj<(<_;Fa&$hjX)3dVL^boWFIF1y|p|seMtf
z2ZIlf%VKcJ$HA1UvPLXpq797DSXP70Q|&!Qe1owfd1L{nQ-&Rsnufg<TN@>m&xMSX
zOrtuMePI5z3gH>l7N(vVNQVy))w97K!$AE*0Ih1`<x@75Dd(B1TJmFt{0W;)b`@Mn
zUN#>x8ufn>59WVn?iv9@eUD}E0sgD+MMGQhi|<AFqwm%4RXAM}9U!_1wY`bn&dw^#
zoXP_NQ8N*cIPpYqy$n;>otjW-nAS~jz|ovhB?8(o!Z@$+B}D)*eN9gLduT+2WldrQ
zh!UOw!*2&<8$y+KNP*M(F9dSnUaZ!{6(F|`mHk>|ErGb1h>ewHdt3Y)Py}*5|2H7v
z|M<DjYxdffO0#uC4_bpNbloGRHcEmoTA5L^XR&YQfOrBmR37}P4C$u&du1~%@40Rk
zE0XaNQU}hi4Z;%5(<|XUf~C@Gs+vwocisyW44-IP@P3xh(JcL#%f{>$qA=-vz%@yq
z(mwxs3FF0~4JoY4QL(3O(WqoBLnj7A8Rs;g5vogW$Q1>@<_ISh>$GerHPhIqr?L_<
zS8S`GXpDkNT^5fw=Sg}*H@Pl`%_Yhy>_wI<dfU^zXo7!!W%Sxkj9Q!lrw%c&nP2P$
zWtOlR87xy3xt~^(SuVMIRM7XtD5<ofkiRe=<xM4o&P?D{mt&@i)Zz#AAm)h18k!U7
z&$O>96y8Y*6lQ&vz#J77=4|~4alNNI<c6G3A0Ij4$#9pb2g4?sLuwA9WC;$QUES~c
zCu8(#bckz3PG30tF^xfth{c&OWl;??%#-oRNWZB8`kL3Y+=Y83BIhWmQ}r2RBJ0Xf
zBtumY8^V=k)OO|AV<Zvfx_@VZ+^j4*PPhB5LR{3~)q6}4)6Z)k=(hO1AW;;Xq@I5~
zujBKI+aqz<LFJMYkKn5JI@i(RjU#jn>dGqe4@hrEXjR3Df{f@SDOpoB-$q3E1tVl$
zrXgRDT0g`kdn!M{4lromD-K?v`d~&_;Botyb+)loGnZ;i=nbaCG=H(39Xh9putm(l
zTQ(H@CfTcv$qikm8iymz*r7NE1ZMdzzIOdmFRyhPS;OLVFgA>)GGS8u7#*%_|2AQI
zAD?NQc#>7MY7I#}l^9{B^aUSn7z91aAXlF=dV~BX{I&K$MF^;2`BO7>gL|Vgfn7h}
z^(J$F{*sf-?<d7LMLw&uhX)coh|3r*TPAGEpq4A#=oLH?Y+4xc(OF3PTK3oCoFf&v
z^tJR|CmXIsZU~N;9}VcYy)|lK?rKd8WDKv5Kkf-BZ(8!a&2Ur0^5WIJmb{SJF9dmf
z<P|nJC3b`mScL>L>}iS2pPtcp89FX^rMW?1*lXCn!xPg5SoZ#^po~YB=pgY(rC833
z76GRTB<=x$&3lWB*Q6Cfl$Wlp?N{gvmPZ}0SP(V2#4t|7lkv>khP58o-m7w<`)H2i
zd(LRF1`r{){qW4Q-16waddpw=^9czjrgBLGo%HOKVGrc!NHSEKPGSRICm5!7OI+>K
z;p~bmTbxM>@#^b(YXw~QCv5^`OW^=7re=*=>ne~A25t5OE@R+;Lc9nxDzJR#p4ORm
zRs;iWdLj^TH=0)4f%pWu@0p<9lkUw89sCiofpONgwXikmTl{MAc02SG@s?;;8;oez
zkfSlW&fs|B)5LV1s|U<gr_^4mDACI`<;kFtXVQoW?0bmfy45sCoXT**I3t|eor-$%
z$}yi@n<qgN-X~R_S+EpqhcFjf^X>94vE-gHvSsJS-I;aov^uS&hO_nDDMCW6Z7T?8
zpwTUZ`MXtBbNton86azkc9^ShTP`PYV|Pq@2<!ch+@KfbtnoQ;Q93e}Q4nipt53%w
zW<#mgV$)II*>h<SX;T+A(aw>`0<L;K-14x}v|A6yA9#W7)^Jiz$4R5KS@wdfV}iAT
zgY(|PV_Qh5xw4~*d_mk;*@*0C%=23Ik59^7;3~pO-IA2uUkDr--YdVU@859f><e{G
z*m;{FwA#Omf^xZ(^&h-Q7Bno=?X#^J8Ix;>neY}-+0$9IvEgHl4d<Qi?o|rnT5+N(
zA3VZ69K~WImR(Yzsgep_HFNfs&1KOO8m`Eztl6;!BTb?YMEy~7JV5NINER=vwy_Lk
z6ThXH9RQg3)<`<vhtKnTrneAkHgoY6SDkL#$vq$M&UCNzn#-_uWBF0N9%dGFOlUq;
zUxsq&GFx0(Ehf@xbWp$9d348`Iny_pdwLr0W9-kHTwqS~FlL9#eWm)HT@07gpzW&W
z&5j1D%Ap4J<My562ymQ-c?r(7HqqK_%3;u8gvV(NPhhlYOjniE9;8Z9npP5IK^gme
z<dbh(<1)D7{np(RC-{{~?0}Bm=A}bxE32=kW?6c`RW+_y;%D_3j+(e-1No>~=u6au
zt`aiQpXO%!z|=UMh#u$F<ErUtE!F)vhDF;w&Xo@{@vat5i#28QIA^Y`fRgfj=1_XM
z4X$)Dj#?uQuh~1#`Lo-b4Ugt_U6)h<<<2CF3P<m5*z}{PJ$EZ)4pfD^@(-$N{l@AB
z&kHn!u;_wWK-^j?xZbL!hul7WC~<A(GyEkcwWf{+?G~vc^JdN%+%iSGmJhOKwWhDi
zg&~QjJ9>JBVZogaG&8u;tw(8f?h^lF2MNwsA66JINjrvDlontXeGaz15cpTUiGV}p
zO|AJd<DGzeRsL;B7)gA(fMw-NS+i%EiPV!VhOkzk>9zT86%1l$Y@0O+WG}}n^hyb*
zb_$I;<5rWY9>Y~mPS#m1&U~)!zx47+Km%!KuO>Y5IXnS{*gHBG_soAwCE(%t5o7B6
z=1LVkZaQ~$3XA4Fd=-WDVz@%0YheO4cvCKGKtB-_niTB8)OzB&2f<Gq9grY<T%1?a
z)U73E9G5LM^9zs7xC@yTUMPm$p8Pzl-{@|M!9E~bY_Rj@w_0h0!u74fSqfG8b~RTo
zzbrh^#ZKQ2zS__gx~~$~4^^dHRgpxXSIrA7<F{*8y;t&w-fXSgL?Zd@cD0%&)J4!P
z@bcojkB<Zshj;0mV6@vmvX~Ikp6`kV?~Y|*g-4zwL>O>!S$GQ#WnslnyTz*nc8h)P
z<zuS6P98tq9n<0b+>a(<IO;8D&4u$N58?{Go?lxS+p@Kf9?COl3<z2Yqg-7-dhW%`
zJ-pME9@zRIfHlwpRvF`drao*CIm`Lvp1(-2Yg++SKVJx3!@Yun)TCDA*!J(%>{cRe
z>LKS0=R8XKV~`S2SkvXT0LoY@oog!;*96xHsOXXiSf;vG7siZyfZBWn54noE?A~!z
z-qq_}w*F~QZ<xLFF$Y?wc-7}uBGXQOis16@=NutbR#jGRi{$|6BO38X9)7sJRu(nE
zxD4gsQ*Pvkj}CfENz`{}o7!lXjY=eW2ByzMsPgFe_E<mwjr>R-z^h?$U~!($(Qcqn
zrF&6M)iu?cbd{H(saG9_-`oeZneryKa>vz3!eaJ8xL40iO^i3D6uJ!TauilSLohwa
z)t)x?7W!7D@)v?kez_;j@ezIc?}$shrnVbsXI#6$Mtqr7_~>QpV+q||&`jhqQhm=S
zl`mlHGB15Pyj@c$w7vv2-1r8b$M0O7P`quDI4k{zLsb&k+`*QS{L<Pf_BJ$6R4I5B
zSalP(WM%lEa~ysJ8mp^)F)V{Go<_MoQSahqI~7qKK$|heRKrzNqj8Do?WwP`ohe$_
z9VoRK31F;`(~?j^x{_5ywmY?^BQPcxuH1^Pkk&~!SEbmYZg{WO%$uUL8v{kn+w^~o
zoQV!H5=L29!ai(sS&CMY<YrcVO%!lrIGO5yR#>j?mGU*(f>YwG0j$Ll`+PpjsKu<i
zKm%Bn)%_j*+V;-~46$YM9(Nc(D%$1lf`yGA|3z({<uTD%>p*6QTpz`B6={E<4-^pK
zdh^^~V7boN;O>!KN4T2Bb!BPZn<ionW9aGO>QUCU`Ss%kC9mhDbC}XiRK1_PI&!Lg
z#({vafYi<sA=y0SiI?13gl%waioG7N_<H!vuq+<r1I@N)ir?RIxN#;oV|sbw#dH_3
z5t(1~v>#ddc%WYLG`hHQzDHe>C2%HLc&Tc&FBf9FcMS1gvQI2OtPz=)ddexgWtM2m
z@N^fR4E&7rlc;+^`BT%$xpb@I%a4^U#`0Hv`gNDB^LH=HJgl(F&l&RsbR%sYMmxGE
z554PX*i!VW+MC1cQ@1YnLU{$TuYP4Vv;DgZHB)c{E9BA&3c(WeRl~;U)wbulEU7pa
zm=Bgky#|+#v>D&e+tsYkyy6)^_=;qpoLMUAqWONnR?4QRQwdt->!}x&(~8qA0{HBP
zQaZfjKR~u9fiSr~;}-(P)L*O`ac9v1kO@yCk(BZ|Uzb?P0D55irs2)a^-l_3P4C%t
zHm?!2ZzfmBn6ZbFYu=Ps6WzpD(Gb;*GVp`nlhs`vqSXsK5H1vwM_OBrnyq374C!_o
zDKs4-tn-)ArTM$8whF5bsk0a0V0U0TkUc1gI_12I!!D__R4B`)wW|eJkjTk%RBtQj
zDQ@4}C4IqA(PCo_t*soZ#mRmySQ46w1IndU<}(2((4<v2Xs8?BNRr}C(S0&<j5o5@
zG4Cc-@61%e5uLeLiD@i-j_UDot)Ba$Q0PX@!Laea)esS%byZBv=hj_W>~Qs^=0z1>
zP!X~(zY^^8QTxc#DPFrY@fA>u+Ro-!e!e#<>ovP@56XCDHOn#K5cZIt9s;t39$ic}
z-39CfX|Wp=?>m@<CuRo9UABykP>g#=+;<=_EWuy?Q%6VhZ9n(q8OI8%szR2@ZHTiJ
zu1SX7m<`5j|L`0~tz~bo^(SYI-q}a*a=@O%DZB}x5z=<3f_Z>&^G};vW?N^aIfU6(
zZfIG{jG^xh8fSdY7iie&X{)FN_mVYj&SyEizWj*RCenMH^wQSWL_)%_yY(#2V#d(0
zw;~T{%(X|D(I+Ly8vxDVPd3f-mw#!|*mnQZNb*)q^;5z}683@C&CQZLQeOxP3V_R6
z9xx2BL;GHOpZ5#m^q!a4gcBhpOkNJ8QiY%<H^p_D#>agf&eHYqwfFCCd5kQh5vu28
zY>dHN218rRNGv(!x=a*n$)YfB7%h<ZnvYQ#A|ezOBvHUCHy&-1qcm(M&*#n(9nW(h
ziCAoA+RtilH|<+3wj8M<mkkoibPBgwVRqz*n~woOwi>S7jumw9$Q8Tn5|)ru{=usQ
z!v)RQLc_X8@>4k4c_=HPK0{w2&;rw5vK;y6K@fKzv(ge+1=r?ziu1!oaC57yuK1|q
z(9y^q6nGSump?cJUXk-^cNhTc)b6@^pTXTLk(?>3s_feqiFO-Ru+MDVJTLSFE`=9o
ze+;-(*@2OoAb>UCbYvF0Cm#xbge1oG)g1DJMrkdoywX?vY^7>u-34WhCsv@S+C>VR
zXBlS!^BnNsGtVl}Jh%JZJPKF3HRF7jovp>c5V*YZKLP_hfa)W&>|tsg#$MIA01Wb~
zbgE4bX`M-ym5v$P-CF!YaQ1%dUa_(nh;n5@R3Nq>)(J>-x^@5QsR&W_oMK-<LpCo4
zi?*$%mQ_MjU2!~vgQvtZQqiimLpI54xSNpkb8?1~AlA5a3;G}nSVh=9);sqX-Nnro
z8YMeC?`p=mE_#lYMpc2K-c9PU1z;{q<mk%ktA>BaM(g$?oapsb3m;Zjmm-nwmwl;(
z`UV4!lFLASJ>U*^;CHs(W~`1NaZbyh__$c$2YBz`Wl204R9d-z0Xm{QbA=7WK-9xD
z#OZrVE4Gt}YR=>1{vdLD|Fq$<uq^<o1jpQ57IjsP7d(6H*_v(cLMhy);>|Z{9Xg(!
zIfxG_?+~N79XVgpJ%qWr(Hawzg-|a?%kXunE}<>Exe=0v0D}s7k$m;i)owPMPIiLN
zMHL#4IC)qZbY_rqyI|NmJr|+>Tu<Y&`gsd`14TNCr&D@LOj=%*n)@Av=S;NX;pNBm
zQhi;5BXrtjY@j@=QzQ9^mfcl*&t)OB0&-;qL+qSACy^i0#y@O0Fm!`ZG{QQQASLNe
zt8BYN9b7K>0Ra)iT4YQ!*eBUFR!xU~CAwf0VCf|!S{kJRip9!+D{bE<K#}1jTajO#
z8NFYOgOIcIjSmKSlrKuqJ7f1k?gmW03EmHXqLw@Jh%|my*1@YoosK2_d0>L=EY5{{
zc+r@u65mb&&zWx_qi~wVO-z;1io!<kyS<z32`m-QN$O&SEsRKju`8155%96Fu^t3g
zILPb|+(`K!-N^b))vA(8=g}c2d}UP6A6oCtL)JUlJ2P&sA2%{~eRab6RIG^toQns}
z63vl;Uoe^yq?ENBOUNPfryArR6R604Skh&)Ra}Yl*$JG<29(?G=)y=9=^sIjrr|-f
zw=>Ec$cQ)k1ZoyeEh>xYQ3a6i)6A;0PBWfIRTY~oMQ#r(@ogI1g)G*kpo8ni1jd1<
z24BTFI7HM`?=C_iw(|?8+MOUUSJ$43pCDGoAplGpa^r)Z1Wy@|zY)SC<F=yvUb<tc
zo7(kCq%-8wQGj+VXOeoFm1RXvOLGQ~;?tZ)JTD=ZB$iY%xVNL|LY{av`h~>P@H^fJ
z8)^j-g2_x$VNHkV#SG*;VqtYb+i;@9$QGr8l#^eVdBXak1g@W>5dNtw=HTTHxsE?C
zG9ub1&#`*8>^Mgj;AS7_gG<Yh!;d$GTTQKX4@O3|s7Bel)5{VcqN?I%bfS(=&a2Ec
zzzfZE>YEJ7+xtMYp(is|S>QdUC^KiAI}1c;|9i0HzT*1B$PPHqNS%$Tppn2l7yf1a
z>GNzh>N;k}c)K<u&{VduWAfHcwQ;K$aA^tapQ39;qIgQ?r4Jibr61x@S{4qkGrD+o
ziz{oB`|==Czs}Y~P#3v2-_Lg|E;AFc6CirLC+!k_W6EX&jMFvdtI8Ao<uGynBd|;~
zPz_wbUANW(h_!*h-a3YlcPtD`P<;RxMS{Q92PuCEhm7S|-o+&`ocJLeQe6d@@}rwe
zUm?+P_5WaCc|@3{Fbq^edGX@;`q}pAq~ig8)?vX!HUJgh`)o+IGVWH6$+wao=|7Qq
zwFi-`S?N?<ZfcR>%XI%_^Uf<mwZN9q`Ow#GaK&BgIFVMm2WG)0L#WNA6#po7cPsbu
zVXG_b45<DFn=FSHBh8M?$MvTk4kf$*wR_x25Mn(R-)GfH%Gbu0BQ4~KSVYY3gS#nM
z`*;XnJ+RQ@Tzp5pa@GwD>B1}a8_yw4gw6|Xj(h@3$Yl(?eZaD%b41BlP12)#P!!UG
zM=_1n+b)cuC-nO4Y)tH)KOGNwe)F_|_W8FHA?Y7$Tkw@dA>WxhzH`O>K*0Y?Y~BP<
zHgevM<-Xw~`w??ONiBaWK9Os|;V&oeQX?5|GpJ80>Q;Ypy7jkE{!bt7z}^l2ye<;N
zt=7zBakZ!@fr1Hi_4<;9P<r0Zc~Gu&sOVw#m^<GYVuEFIZ|q+j1~RgKB$rX_y9&@~
zE_*lql}Cd-)N1mY^Yj$~4v#rBnZIs@!^vSWslyykOj%eKiCNr<uapMBt!TqfTrBiq
zz6jn@(zAP+6FW1|*nIBYp=QAtbMS*_SJXKj(!%GYx!$*Zrn!?KWs%FFqFqv3I94%t
zkK=tJXQ3}hIKOfg<rw-CMF_g;8}kX^(EOEYrbe?6Q2vois>b`*kx#}A%cttnlWMbm
zrVav-&mmfu!>mbPGXZ}jefduqm9J{|)CYr)R@SWX*{F~?d?Dc9d_XOQA&@=&f1dn>
zY1m_@$jLL71mR_5!*ry!d!HaLmfri>^iKyqF!|ra*7d)~687itfRX+~maxC(D9^ma
zPBB}dDP3I6W}2Y_wZU`~v)%aS|NSlh$HD~eD_ws0w+v#>Df#jjocXPfPE5X?`N=>~
z{N;$%{`(U`x+9=m(7Sqiwa1s6@AE=tWUTzyldlnd{=Y8@;0WV>g)r+&eXD+@b+3?v
z5SHU%goq04+#$lbaIOD981#>!TaXK&@%xd}rJfU-!xU`Oc&(WR0r4#spZ^C8eT|@T
z|AR_9OwHyITmE+yj!gm2rM(pna}53;-10vV2#>Owyv97^Mh1k}b0qn3oeDdGVK=(}
z5^5&;hnV`WIZC-L?WrF+f7Eif<!m+k(dou-If?%j7SO+@egDU^qKA1pd#4+yxH}5h
z`A(Rg<$l^kYck1>WGj6trlso(a;JHpz>#~Mr8>B!=>0F8c%&M8?C3hk_LOYIN1}4s
zG248ChfqeCDp0Gwhsr0g7=R&w5C$R)7k=evL0BJbv1M#unBcCL+!unEFkC4>187bd
z{5Qg|Qowy^(p|+@p}zL!O1on32mXlQ6sQz06f?hnxs?YaQ-5b^N))c{rlJ(Aqk-}x
zLZS8-jl?Q9BFfNW4p@3IUTgYfHQ**>R3R#{ae(h$IR~o6Zpbls9D_cxD8wCeDfh-X
zr!ot|ebdMH?L)zY(g&{Tm4>$YPirewvil|j(&R%lbdv4g1Iz=mQS@Mv0E*WH-?_|w
zB^UmcegxodI@^9{d2Qvng21t?@TA3S)OxNF#!V~<DW*rREA@%d%gkMHE_eUuI-&gL
z=pS#V#ovf#+}>owKe=)=k-#;X6g8!_9)oiW!(HU@TCv6NJ_TAuFP=w`uBrE6J4kAu
zPyITnibI?zxpcNdxfhf=ncwK>P;;waBXR_lQn<c}2N^9v08iBOE88&;D#H`X?&&gu
zKLCWm^}A`L`M2@TyRUv4*VwL>#bO~I|K8Emkr}_d()>q8OnZ^Y%a6)nk^4n-4ALiM
zL%vV{6{e4L7UFTGIR$#IuDdmTG~GNSHg4qYZ3(#j+sr~CmZeO@f`6e9ZBZO5=Su&~
z=U<7)f9^VQbh<VqXXI`1kVQ<y&4D2nJ3)?jjmJn08tw#M^(u-}@1trZQy`7j0%1}i
zdy1u<7(!r8#|6I-JXP;s10o{p-gC+S)}>4xN%8~8q4%tr0CMOf*UJEM=)wdN=_9gF
ze_AXc$yyp9)&*`afS%;Z*ejS(M!XMS4N?DVn8ZPs^2DeoXps2j1Am={xhc&&0l_Aj
zz7jL)0`sag^A177F4vpR^53Xtf8sAb6nHZ1hfRqCe0vZ9*qp*6uA!k$SBZDlXCjmb
zhUhHyLr=I74rXcvUld9V7f85Uk-ij!S_^|FZ=FB^H|u6!2u?RHy21AMYPU?ba~L~n
zw-^tP${?QsS(`zt*Z;kv;OdXg(WP&Q(;?*1Q&%ZF0S4(CevK(a>uKL+&uEZ>U0eki
zqz_5VPa8t|A2`a>{A!V1u`Jl)VtxOFrruKLi_?vMJ)iK}-nOJ)2xKpg`Yme9CVI~S
zTK?ot#TSBWP=H$W1Z?f_!YDX9(f>-Qa*-Q0*`o<qk9$C?QIoHH*p_JJUfWcGf%#oM
zGXFt?EBbF`VhIsUMTs8TBUvoT@dAmKzr0}oNqzk~i|4=Shkw@!^UTMQ6w~*_bn`{h
zy8EjZhu*qHFu!20_+4Yjl-}4!j{+f;L!$qaaBEi<RTf6dyITuaKXpAGE$}4FkYSjn
zpW?EH@mb+1=;;@)5hvm{F8@l&`v>%bGr2&%kv%}uS;C0n_-b)}utm+VDI#E_AcC+t
z{@ceTz9(7#X(Yd|&hjWUnDrLCl&+;QV-_Xz>)qk0CtypqH_aTmSRIm)DBJghv&%2E
z>hU5L72|U8?6UV_T1y*$XyEiTY!>H?N5te9rHlHTw8fCzsq<rJ0cu`7+~TxHgsGjR
zxT`Kg2E|!X!`K>6m>w!M0*82Dr@h}|KkNvREnObKD}IvJNY9=9l;*x<%gYRZ7_D^k
zY1#S4mulLNYM$9g%q7J;74x~Q_I*mpQ`#7jX9?|Cwk3OL0$1zm?b>-++p>?hO8IU-
zekI%fZS}qiveO0=D8t;dSLV}-A=eq-w?|J%ZV+9tia$GOY~IlO=pIxwI=oqkHUVhv
zF#MK|<is`lD}$;YKG#YiK%$_=@1T!a8U9CJpfuli*SjxIZ828EBR;)OA5{JHS*HCW
z7XR)Gfft}K&uet@O~an;C&F|yfWw!zs}7`Xp2}ySVlF3v-(VKtDzLA(#&Y+O+nJgw
zoOy^m+hj2Wf#q-2kUKvW+*?u`v*<JLaw-4hH(c>|?a&FU_kd(;rsQDMVjXO*0y^sm
z+pXr?L)}SqgZcU-&)7L{DPH<ccYM5E4=)4Ugd@ylw={1bt7OE&S^<7&R|tkldA1Hq
z+%JpK1e!1$-44S?1~1G>{0g;rA+W~Gc;Ky#K(D9fYvg;Lcm*RqJnAyQ98m8#@r7Vy
z!9%ubwjOrWCu~D7_to5QFz9fj0vd@~EDXqYFm^#<m?UODc#-x)Fk0ekV}#o=_{g^3
zzLPjwc6~k@hJFJO))syQ<h`pG$mIH<1WX<v9_iXZOEZRMA@D=zlfoLc&|e6w1OB_M
zX9e+6!Z6Gx1jk6>*uOUqkYg_wi7$FN;b1!h)O{bFeibJ}06vGQisEa+@FDQunxK4@
zt)FP!&oZ6^5Oiw}3_Uf5p)T-ZKd6zF->2JyjNj<;`9ZNBZ)M!u>P0Png3W!J1CG1-
z0&M+rIt(2To7;!}`e2=kli7=32+Ha@3jAJu<0-8;ObJf|fSsmNQ$Rh$Fk+4gcQWn<
zcqnd$cQQ`4cFQIl-b$$K1|1-nh*;Sz=AT-69qQI`sQbuI5BN<m{<mC?*TF4voY4=(
z2+qL0bCq>i)eO6a8%nR}_yzB9)!*BJHpe+uI=g5^B)$}@cfJqwAa{PSjjti0JI<-0
zT0fFyyNJdtK#JgZZ12HOVw-i|-Fzn*>PAI}CYKgb;oh}ZVMWBS7D=Anc~q|HHl8iM
zwFrV{PI~X0*K{9Uy-0~Nb(Ytl>^FLKhJ-4jJ4Uy$i-P0%cYfI4bwdbUwOztKU})1Q
zoHvz=63#hPe>@@7(`wHV?QX13n_ET6zAkix{s(RT&9D-QuE*dN=$Y|v0hl`HaGNOk
z_KA?1?XVpy7rio9@_j9-*K5PvFt<yWd_!>W_R&(=+B>0ka6OPEq7;jEOwwNPR1^bo
zrI+dr;-Tg47)Bf+0=O{~2YM&cm50qh_K3eW(ThfH@?nnyB;eO)=U`hTUs2o?aF(<k
zCS+q_<9tAKJrV<e;R>||RM|8WC8q#qTH-4JC5!-6xJK)9JRnz(P<SPA!2AdRABKD(
zU}5k9K;AV#DzPN$KrcjI=Ka65Mc=<Pjli}7*cFC-@rToquVJ&MTBMYdBnEgY6-!DN
z&XIiXb-y8-_<M7gSC_p3_(;xxk3<AO3YxSSvhQEyfc`$iMJ$DMgt-s1e~0*8eQV!V
zx{UxD`JqdneP0KkNvJxS#w@lv@$hysGKFK&EX@F{)4dws%q41O%Xi}xO<;(bsJBoN
zQn7mlAK3O5-`oRqe*k{Cc9OBG9>$}HP1rP$TNgWd;E@QdCFrZy{9qpNE`Xn?19d!G
zn62JEY9j$yN$DEE_uU5!V4G|akY~8R1u(wjvH)A4h|I-~?W@6N7)G;TSU7BkaX<ow
z#lWV0fG-M28|uT`VzXp7No}=Ico6XKQlc#0TXq9w0dy4aT3!HJ{^7Nf_n#rN$AB#W
zUhJqP0}Vrx_aP-fk9G5%Z5W`UIXbZ)hI&_lT~)`n8u-WDMPD&Mvo}3~H97RTk-eVN
z+g|Op`<?eTQT7kMV=pgzV-~Trk$F)MneeEo1$>`(f`DaxKBAsoT-#D0ItFrI<zdqD
zkkOM_&JYF7?!_gL)oPVX@62Mi^ttaQlK3#$_%RRXu}{KW;E^P=ff<mC8Ex4M`=k*r
zi8niu(<JkV>W)0=4DlP4=EaAkQz!XXnPQgtw}|JTcv`@r*0cu<Q$fVb$>u@K%h_<3
z=1?yL!WLig`=?8Lb4wOO1e~*R2iSU!xIN?d!^s2tcBr2VbJf*WZ>9#M2Fue~1|Kw?
z4D@3sWS{Q5uBQ;6MWVa1GB!HI8zQPEVzaW0TbVZK?fq^!On|DvANq`(<%eadnpvEk
z4(VyOCJUC*Q-1u|#m8S{c9t1oIkTf<BCbrXYlF$%QmI^W^yJLZw1XGB_CZpO(D!N&
zDFOB0PUQZdtSSD?t>}K(6<YX!`Ax0f!A(yU;}n7wdc{b={jUG+PWW|x1avn&44}_x
z1~L_1PuIb|D@i&asP;|6lKvCgOA1Gde+)pGJ-TG9WvHRzcdgwD`L105P%Qq1lVKXo
z7YP;)>SBGmDLcqAth-IXZa*iL7S{25Grt_&ZJ=)J4$b^z$P#nziMtJ-R%^)3=L0OM
zk6Ru$(<L}F6~!f(QftGDJg-#M(5xJcnTTgMXheay*t;bE_WOO*4*eK*2Y`d`LQp`^
z%>eh1J{eEQw;_aSla$4tfJ`xda)oUU0->`L06@eVG3q}v1MF5S)^G7Dz;3rj4SNB*
zHv$CKprlx;As`ve$@|-1AkF(w445@j{B_(jSD?*vp6r^5El`Hqwf)isws!{ABvVY%
z3D~rleWJs2Q0%`q0Gv@^yD$CIlK}b+$yY+b1gwSo)=lE5N3d3XQbt*6PmRC(G78x@
z2=$Zw4x$eNcP_ffJiY-}e?`a^me;cg7(tt69}&`TFH13pVd~))LuWll6cuZ0lQxV*
zwm|k=O7CnV2nw4US1KJ1o#yqjjvp6(kiQ04_o*!98nG)JT&%WF0rPfK=Fr(T_zf%Y
z{bk`qm3WD5(b)2vS^{v$+tHM!O_TY90`bQD|2CWm(x~xWGHA56W#I?kn7#tnV5X~*
z0iCWH>nYXYba*BhQDt)gPBj|4pRE-so{%`?IygeUa&TeM<nAw~@aRkw;Lkh2(13Zy
zaQqG64~+r&s}CDZJ<aU-{y+BK0xGI?{U09`6%auXr9--rE&)*~5s(m&A(W8r&Or>M
zO9p9`5)qIb7=#g#E@>HJ=nkc4fZ@Nn=Xwr!^7p^z-tVckj_a`2*3I7U`|Kw^@AG^<
zv4Twzr{33Z1{}d-M-9>^J2%CuSxn=zxIkZR8@0NNPpW1WJ3okqE8q0ZpQ;G_-z8?h
zqr3g}JTb~%J5iphb|(5gIu)39;qvl7Q?^E@UO)X)NoADg-`3k^iG=dT_&x;q@dKm0
z0DgP`CgQFH`0;;;x<BN>*@sf8|MNuue`-&W^BX0;j34`Jg!p$~*t{+bB66#5yPQue
zR%?AssB$B5MSJ(wYqHKwq<tfJ{jS-qJKw=Zzn_$;$eYp(mpbf_QhcLEp{bL{s09vG
zFO=%)(tEP<$j>p4Bz3}@F3A|Jre499KPz076%ntKtE9)@m6e~m4isHnnw9Ea7oSh-
zHw`4~+-nyXo;8+=&T8gCFx50?1-Xg?oDHe2vuHm(JrPLB!U{;*YT{_h`sxsEg-pXX
zk4>J%Y~5sW(4CX+gp{$!F-o`k<g`i0a4(#0-#Lvf*F_ApO2m9FbsjiX%C|tQ;au5z
z8uz{mlF0EDBl>q~`tARy;hD=@3TsknJllhW=4@QZ1eB~)38(eP_H)muJg6AI$Y0`L
zpm#WWFVuBBIJLMhai?h}6lwfD4)nEtKAN;uU%VBgFsNv>@Yv~6<I$Grn95>K5%|;q
zW=%{53RxY7Y_G^{y$b36SI6}UBUWOppE5ALVYKsfb`jUj(V+gkM@G~*b)5C_8|hzS
z>S)~8GpNEEt8;Irq97~coY&%t9nuF1)wH0S3n=3fE5)A`GmY>E%45p0h;3ZuoiLC1
z{Cc3KrII2P-L6!Nn`8>lw^@DvRc`ECAyc4!#u{N(;Q$mxsw2%dVpy;T>Wpd++9H1q
zSxYz9k^T4;eEcPCX+AX05FjxrgCQ*bMrY=*wDb?Fcw{PUU!H~-gN^{056KSwE6}r(
z3GF+9e0!kzDYad^kGOF29S?xNaD57ak>;Gv{n7>4*MRBwAlG4>4nPs<66|-q>36AF
zK+2=bXi66ZW(Qc2D`(q7_CW60GkYMW)U!MIfMo6gAd3CS1Y+fA$v&QhSfhA(7_<Hc
z-uk*E`?7^xSZM%+$OkIhHO+l^@2h?6<14&>0*DIlS@hJ+N6frS4rJ~*4>Bm(Xj|s$
zNbhTY%7b#4xI0pw&%8x>t11vy;yTu&!omXpvA1dIy)F#7FYek;ukhaT1R%@61;qSj
z1fSS;|9C!qvLjQcpUFh<6u6I0-=1@)b>aa#XnAF)Y<=;X^p&`$@Hk{uA2xmxok&h+
z*jnLOC*m0Dr7`!Lz$y8d8VnU9)NS?htI%ktw>ORpeN?vR2=++fAb%wh%k=rTf>X!l
zebw(2fW?cq!7n?tqX;uEX}!7O2RuB(ysm1<6ZAN1a&89P2=3d$CRe_iEwpIM)4AKV
zB(tsBh4t5_-yEt3q`NW}fV^CW9t(Wa4I!V}v3@c;aexpV@uH*}21gfya;M*Mi!hNv
z15_d_M%b%T0NMUDfLS*}(5JCoKqmF<Y~b!D5dG>cHUt4*2z&=Dz-N5rzi}s^2Qw_k
z`rI`kWir;W3zUXF`7Pp<F=XD9Kp6qNN;L3<=MTJ1tW5Eu%*-+1jLdfs5KKGx<Od&B
z6;W+#?>>q;J1A#zDy=rN(D+<rAYr)YaBG)E9e?-wI31mNJE1%kk;<^ryj_@^a{0_C
z+4E~Joa{D+hkc`$Ja>K1w|xDUaGY-fsB{_d%_E6-$)4cQJ{;FI!0%<BMAK3-xAkgk
zq|==C;-9gWDDF{Qf!QKXI7>VMei|O|BbrP-xa36#AMyI`|KY0tewM&9Y$fud_gyk+
zEesru<B2sIZhW^pJ>H&6R|i@B0$2@V=kdYKWKlb~B7YDg{QZ{WH{6MI&?_u|L#i2K
ztn1IqNbTN!f2FY<)3UMqa~~Tg#1aYlNKk{_25fM=_~2?D{4@eLlCyR}uVt1iA^-`?
zg4CTI2pR}fj0ZO2&w3;1!aB94wV!;DafNL)128@!x@<CnUHvlN`O^kOn)TORi(lX7
zAbX{5Td;1S`U~CXq9p!JtCN0r8d{#F9dO@2`2OtOEFeL+#6`Tl1Vn)Fjsw0n@CO^B
zN4ll19RZM`swF`E^ngVjGRD99tyn6->Lmuo>#9M<6Z(oL1>2$M2sTpVpRvKTxsF}v
z7@kmtq<t}if(KG#;0o7mJ12>ki<h6FpJa$E&i3a8xgV2V<+x@$&{YCw89bEpO&-ue
z#5on)^$8{Gd0La@Z4Tq3nQKX*+m7lQ1nD$%t-8UHHyK7YtZESl9c$sCP*eHW2sMo_
zk$WJM0nrVXpyOleFrO`YZXbY$uO0G_8~6!tA7t0AULpdUuz+%v4w4>iU-jFNCSI1I
zlVU$;8wZlQf8q>utyR7!FX<F%txU(1II+ymik)~2;@Zz;nb%VPaf|!;`ET<3z*G_S
z&0apO87QyZ2mhjrX#1xtj;#OK;-Lt7Ao@brrR00;B56r$0RUuFoDVQYL$MgxKgRgW
zNeEN^bojVpu}ALtk|Sex3K=Kpq0)YLO;YPitntcn#6{=rJD7CkYHyY9+G3dR-h}?U
z$n%P*maYPtqVv!1r1(o!W4C=Rjtc5-W9)Y!wYwHaRhxHxH+Ov?3qhC8y7=V)%~je!
zx%?7fUnSoGj>8u7vCWrg%=WuajzeES*)-sMjt<mqKKG795~=yF;he2{iPVbrjUwsU
zT1}52#aU}Sn%Y)LLu1j31Y^x3;@@61@z6uXtYQ%(6DSnr@;OPh;Wky%sEDKU%X^@?
z{3~a)lkPP21_s65_#i%v*#qhLrKV2jq?vCUwlklZ%2T9RHj?QWDB6iA=xv%D;>EO4
zn8KntP8T~ZyC$*6Op4*2m62`N?2PG^>2umhZOM8C$-9rOd;zqf93vhxHX;Ce`!c=*
zX}<*}zi-WQS&>ixdiy@H&Me&!qyc>Z5qUc)2-zi^O7H`E`yQ)X6F-esBBa(&dqg?-
zfiRp1hn9U<1><+A80hVr`l;T&DJ*cR*HDuV`9w#*OLPsiI(Gn}I+-B46UZ$yKP9t^
zx8I7nEKLt-$QtLve4)qi>Y)cwyUQoBe7$Uj^dqTR=p<TSl7^ov*Hym)i~z)U<WN?1
z=*ooA%25Do%zp}5qqO+V7~A3Lg|CmW-wIAHoQfs_`V0D6QZXbzJMV$^aB@Dxc-Q{W
zhzZc@Yx>PK0BMP&&ENHD1z?!kZ<@z|gRT0^48ZyTo0G5q=Axz1mrb@^Z?!$pi<HBy
zb7VNqw}87&0@~3&zybPmDp1ye&HfhNIJ_!9jXeHtpUbgaHSA>=WF0s)23q9^i;EI4
zqqpz53%a&nl2R+t(zG99Oi!bzvJGyvqz#*Fg|9So9r<v(6hCk9CMWbJHT>e>F)yB`
zq?^g8b}TiP*s1l2Q>!<jgVJPE+YxC+q;qw{RGIpmK=Um_{gzF}NnSi=be)ahZPQ3<
zA_u7xR>~@FSQvF5uot<HOPG^+R~=n9vP`u}m+lVYHB9<TakyIel4v{)IAB6Q?oJb3
zr!X!1=)(TOpzZzb<BmfRPEc)GDYR3TrU{4P0%!HT6J<;cA91w~Sirx(Dw^dwd_qIk
z4$~XynK36pO%#sI$+9C6CQ3Ou_&LBl%f=*X=ga<iyen`XPonFqM~lK9<6FIeDV9X+
z5ExC-55z%M>i}ao1{_)J$kA)>AFkh1-l>`UxCETXb3mR#><j=Pjhc9e91NVtlYPAd
zan6+r;5<G9duO*C_$L=_WIh|%107K6>lW^iEiy!lbS@F#d5**Z4N!-kL80e4uvH@(
zd!XO(A<Qb-^x!eNg;&l#KIKRuX~S@0ndkxQPrOdN=C;!^*5eao1diGRJx=@nHNU=>
zB>gc1CGSxLSv%+Zd|UHM+zaW~R2zxgUV<Fojozd6Rq?o$boQbu9sfLUZdE~lMafD5
zKw9xq<5lds0oZq=3dKd^e)xqAm%o2w!S+Aby2smV_U1*;f`UH)Zsxt`8~^nnHJ2Z+
z8RwtEMt%oF1;6dhgZ93A{MygjN!5lyW~EoQzOi1l`>XMM|52E!EjA<2;{eDUFb}~p
zMtuLVeEm9PV7vfg9u|l_nG5t11^@7|d~Yiku!Fz{mLfvG#R~%(2id>3obNx;U$JE3
z|NbL1JI?DUD3n7u>lw#@E_1rmCb2Q4EpB@t@xQZ?6!qpM^zJ-;?FV*{=VqW^>E&;4
zY&Tr{+Zu_NYKbdrf$1Zz(^O*@+&*0*9;U^+{!=C|kagH|{#Qc9@8Aah2SF3MZfi9s
zWxS57&CK(`-|mfn>RYeqc%i?o4Y?=ubRs{IoQ-I;Q)+#10;|^`h8CMe{@Af*{}IxW
z|Amdk+o@R1SZuUU>P`$^lNo<c=uoiH9}WbSAE#)4muTeX)zbwO!Dl0y?eJ<J9L4I@
ziKE5mhyTt-Vt>6iFJ-nm_yZ)Z#p8{?OHhRA-#9e?s^gBg*Y3?r>*c#4XMA~3@%TI*
z{&syfN6b$IBCS6`dRFrvW{9xtc^xGee>r1F$LPgRm%Kh?!GB!d#r`!?*<V{LT8KN#
zRFoxi4ndToz!<y6`Aw6BXN0T0B@f8X#$s&|Exo62XzQR$_dtRIuit#vT70zI4}bj&
z`K~AN#2U^L@#X-)d67_$>VnK;`Q|TCxf#tbBj(5VK&IC~Ice)gm=zdS<q`$`X~Yyg
z(0Byd&;yPCtK&+jguH+%_M^9Vz_9Z~Q1=lhZ<}WXjoE{@$j@<iT;2nDs`lEY4!@Hb
zH4G=vN}NdOTfOMh6zfhd;ncOViY?g{$jckX%v`Y$c?__9TvJf9TKX`?bj+l#`He6Q
zP+Q!ao*%%J)&&!9R7N(MOtZH;w|Ynp52a4{dUu?&Wl|Q2wPPV^XlmGKJ&9i^d$M>u
zbizEU{)Tt%xY@42aC8MWil~6P)|JeOi7EH3Z0sXENd<KUr13V_G`jHNkLT$Q;)6Jy
ztwIi#RMgi7)YHmCO9f#LCsS(xNRTM|De^%Si7lZ(&;t@10d@v{Zg8l-W1ECqnj32U
zjm>M#uX9Y_Prnj?{(%Gkfdg+Gh67J#S$0|J&}r$jPY#BYK_W`Dj3*XC=d`qX`ZaWo
zi{GZ;1vao4hb1jhj!aj2QM?vH-vqR}fC=6B$=i<IlWb~oIVGjQWFQ}3owp>UWVpu8
z$UI@x-9)T$EnjSd8X)cu9w`X;f=3-(H>WL6AfS3&%YANeEKa##z2NM6p<Y`yO)jI5
z)m%%WDusDe%+Ac*N0;ll2cXj*BYMCLJo(15>+_i#&p}cA+(TqX(qk4ttp5CYxq3Yx
zDM_`WuB1mz6Uv+>zM;TWLMPifaS$^;01ML5pRp+Xun^4}bCQdrT7x+Tm#!}6+O_sO
zLJ38m#v!BU`($PWA;9O)hZPR|N=jQZS1c3d3n*G1xcV)f*&psAEPWo?l2H4^$!fDL
z%#p&(I*uW%=6)-^7JzHEmuxa%a)~F5oB-OED*$cF-TkTABSt&laFu=9;#`@(@fj6t
z$7gr9JfXAQ_ic>0+rv^trw1!poZ@u%JKu7*kz@qb)Fl)?DN$CQr`=9@M4H6WnM1)G
zqc@PZy(zYQn84B-@$cWy)c)NA{4$x;|J7BVIj@Z(+D_b_<tNl0s>hncuU}J|pL6~j
z@D{%9D~T<6!<B<NvN2IW&bLZ0A{*2G?C9siOuGR0jG}8hh7f>@M}ThFuy3sD|7zR*
zli$lzpKr~kP#!tA32@IaP%rXD5;R8jee%=#%3OW_J1>y^C!`>j{l#aNs{okltidbD
z$}b#t1Tjv)B=)KaB@KpDhU%|RP&^!yZ!KVbPQ5V)B_aIbPHdijfG831x8D7)x9PuP
z5|v=XUXxxvlL(!ve+fYkmVxXp5`7aM{(|hK?g<-(rKQJQy+;fOp^TGQlc@#)&oz)A
z*<aralnXDKT5NQlGTf5Xzjo3$W95?WXNm39w!bn#v3b5VkF_6Ir;3HATEot0QrgCZ
zDfP0#_}?JVcL^`vRn8*LL0vevN<$rY@$u99ecgBe!khhz89FS-8rkAiyWlvt*%0E$
za1$H{I#qw~|0!FJ{H0X-4|g%jUbC;{=~5)1W$|p_y#uelld*=Hk!HeQKH{J6+l1*L
z<<ensg8GWQtT#Fv^zW5Q3wS$O>uNp}wqTBndd=}Zp_o*;q2+t>yWf?nZtiS%-jh$@
zf-_>=de>9bE((J*yel5?Y`9x6*}bV-eDmdgHESgyt%vMNE1za#i-U6xIlJf-qIn07
z^^8#w$#R~ohf^?$-KAAp!T~sRssbwbb@NotO~=HU4I(QM`SmM18!nF&OO!7!ojrH6
zS<>OScZ?zH<{BhwUAvI`z0Klt=!t}@6;4`jt)f}GK8buj?w#T*<pk3<>f1Ro99DYe
z)rfxGy^bbXs_1YEQ}z>4wbYFTzOlQmW>g0{$qqnFUk4zMrk9t2ZK<zBU%EzCCO^=9
z97l<6r=#Q9LJN3yG_D~S<r$2(aXjiWbfQ2EcRXg!VrWo8H|NK7KTg}u?XW38TxOqj
zcJ{)nSD{c=r3;`XOOY3O8)q!JDgkjBl0|2%e;?2#K)44Q)%{8j8TuXd;r+vxzad8a
zV_pTsW$pts*|(HfqX3$066oDhfF@h~;$h0%7g;+@$mw02{C!rCJ<$7z+)?0cYi#?Y
zuT|h`ekC9-^Lh!GGX&IShBuJbmU#;s;CcZv%S6-rc*NXJHTUMEtlzgxQ<WuM%&vIZ
ztX)!CfC3tII4@^#7T#B3$%We^On}3(L!7=k75^sf1;&H^Pnl?evF}3<_RY!+XFZ!2
zFgUNmi1vp)Fa?WGTzwXvl1BE`GJX?rD3BBX0<GvD^D3Y&a{?&dKyW#rc)NmQvtPWK
zie2<N4Was$@$(lK@RyhOk9qaQpchf0koVKJC?DE9#`AtHXa??hDJg(}<Nt{Y^^eH{
z{L4=TF8b&iTY@;1Zgga0gk7W^z-a{U)jwnVh6?wu$Pqj%K~zLnV8)yvx579me=DK?
z-BE{$FMs_l{}qGiDW<)vV!WZa7BVeg7Ma6S6e&O6F!oJ^0MupZ0EGJ#fN=Y_W54x|
zf3Ax#&u8;I*0;$Of#R*<Hcu*0yak?!xJ*3$*Ea=ljBKxJge^rUUr6qU^!)x*hO-eT
zvI=%y9%fzF{1fIuznq-`>M}%yrLfWB5CES!cDx_JXX+fp)^LAh$^c;Zrwir3oM6aR
z3d;7Hz@0F1EBEa$G?qFx2Km!UseVJW|JU2{Uoi-;())lWqhTXm6rjmSde*EI&}8(a
z`6fC1<+S<4FDBK0%&UO9%!ymkvjmppEyvz6G4{7Jvf#R`QyeD3{|iQcT8NpeNxI&@
zc<UdH-95=|hEHuWeFAp8<e{RL&V3a?T_&BAt2*<4pe|#}b<Owk<)vYRVxPwI<DcmO
zs@&o%mDZ;^`Bdr^?1Qfz46hY{7zFr3Bd&ijgfiix$)1%cYk6$Zv<bw7ro_2Hq^pej
z(tA&{^gg$@KYu$~@oZ@l%^Bp|JfK@<*fhu*_sig*{FK-T&I9g&<a-Rhi_d_Ol6j4O
z*6k;oh14%)3CZyoh?qR0-nkLVPoAIzK{zFfd4u*q;8=?v57Yd>!vuOp6ug33)Z-02
zw-{3DcDK$U4L8Ysgi1o6(7M?aW|6>gz2JIcN4#5-X#iehJ;P>N?){9W?jN7Y)1c~%
z&=f8a+ed*D<>!r;=zI^9@;J`&`=e2TyFLKh%yR-@GKzM(W*JSkV+M>_G3|lmnvEnA
z_du1SkXp=#`I?<GKtF-t{rnf=-{T60d8-andjA5Qc?kBByV3e8G4?(W=ukgQxyfPn
z*zbQ40jN9;pct;fRsnzG<HN)M>Q`hBeqrwIrzgCCwuEn~(|_nx_%m`#b#~ils$84B
z3?9AfAZSl1rMAx?Zqu^TJbfyMZJU71?@I8}`>|xUX1>6ci!FXf#2%N+d81Ny=-M$_
z`f9KA&xrNgpE-RRLP>K53#o%U>gHIUX=^U*q4!eW*_CO>lvc$tYa_#6N1u*TV2pNr
z@9%reQ*wCM9!)Q2&BbWJR#F1aaX;=ThQ}IyIrzA28-eMHRJPq~@K&CnW;6%y=_{N~
z*#xZXxJNGgJ4O=EDrnbs@Xfm&vW&0z)q$@@f~z^Aa0X6O;hxvu6DQV`bVP6<z+(0`
ze?G6q=nLH!{Bi^~a(4$Eqm|f>UW`G8!-$|<KS4w1jG$l=*h-WOyB2`C&Ux~JdP}q`
zo4-^m>p~vzpCjTh@9UkfM5;A^DBjoqoP74&TJkxdZ@;mn6zJPGT|@wV`w}3u(2rPb
z<<u4=5$MG`d!YN&5G-%R_w2S`7iPidtTjvAqa97$+>=tRDIYGhM8A||rk6Z_{sa@0
z!F5e|^se(welbslba*Db-gE)gH2wU+%dBfTIvuVm?#S((JV(^wHTOn*CEJaV`E#wh
zT&0>E+&i5SOoENTo5JFBvd|9yc*U~+2gJbtxq<wz*@>3`)U+fJOK1xK$nYOHl7ApY
z#@6hQnf20d@$ZkB&3w<1{MA_QZxbzJy>%fYbwCG9NZR+7^Sw#`iXqFq`L90$PyLIb
zQ*@IT2WS!>N9;hV!_TR1e?jex{jF`JT@DTge>N*X=f0yr`0s(5b=zfRXeEElmkm%@
z|JQej_zz$tvl2YrUbQjtHj3cKjRO!I84nkn6^kF)dvNMuxA_O9DF0u0B5+SJI9oWR
zkMxs&A1MhO3-bFicXHw<Nznfm7Bp-DQ?b5{TPnj-enRv!G1~h4`1EwX)lYa;#;pIG
zg#LfA!~Z!Qzg)QxI4x~}Ezu;(kpm#P+~RMlNBK!YlK#Gqrhf*eZ0$!_DkEHWl>9S2
z+M05DdOXYP?`<ZPf^u->ELQLtY{Z2z?9pCU+LXU>c>dLITdoo;2M}6RI`RO77U!w+
zM@}r$J*$%YaWIO~{Nv|m|9SLh9L{<%B-Kg6157X}JaC49W=X@Be?r+q>o1Va{@QA}
z?xsV%<kFprxm7;2KFw587>a;L3)WxjJ)^0kgU&<<>Z+(17)>SYwEWjqr)@bqd&e|c
zCR-e9=vhwsFQY)N^=P^wvNx;Qxy51yx=k*cDmhp=YBbZMrCT0~%#lypjt?f?10cfV
z8MwXkUoPq&H(xG8JVYd{0&LTBtFw-^&M%Sm48&y7>`WIguyyN%l`MAxywhtiIGi^(
zBmL!TW77nS6pJ1jwAC3IjuYI@Z&oYHGGI-pAh!zir=0A1GIzW?LB=`{>5Zc=vB-)w
zvaP4(T%Ji?uQ&v&{QyLLKaEDq(h47(LDD#po@S{j9ZqK_ytFwM9u{b7jFKfZyjr^b
zL9_lXcPK?Zi(<pkixIN&ZPlHVdOvOxBC;Rn+X<CW*u6IjU~Ci5h@}sXs(p*U5v`7H
z?gaY*JXZN60PWIbeloz?H2fJcwrlJ<ip9r3;;Z{QXzqQ58_9)@wzea%l|Yk1htfCf
zO^4MV$z~2<JiKy%`i*2h1|7Hul7$|ocG3q(@gr1}v6X??u<&m#bs#3h0f@qg9}rKv
zeE8b0FhV(PW2J;pm<<_>$hE^<QBFo^9OOQ!6$(SBb%+}O$mGux>c@Vg(Q<GDe~BmS
z7uE|H=3tOC!k__cRyO#Dm;1dmCiJjf-7?ddX)G4D_3@Y`QaOmQP_dnFvp<OKOWtb{
zRxPs$N0SSV9~9a#9cVJSw|#N))@>RBR~<K`fs#GH6V5MIWy{b;cw8^rT6hHuhZw?3
z&t&91w|8VPj%uJR4NW?Vd<&%Af&*p|izKAf9{w%_>TDhjQR-EnK_Zf=hFMX{zD?dk
zr{~VpNWG^x`LvVylELMel1ptkGHv0xAuo)2f69ChV8!f5pCQV;4TvwtgP8H}Y0tci
z#icjKC&LMIb?H-mP#48)MZy#zroI*J740cCvq}VIIB4nrh8m~+F0ez+SiJ1yzEk{E
z)~$_vGup`kzy70!UDHNcVqtk5ptPe0`RG=I-P-4-z8P4Wy0iT++G*xHi&;R^A8Mb2
zzVXC{S=R0e8=2*h2tdj3hrdIIgsd+9iz7S|a_~M{-j=}Z7l3F)1N4%zf9M+lkfB76
zYX~dVP)L*`B|)|{c#4LwK3EFqZkrzX7o|SK2B18c3b1Rj0B;(c+7C@#qupmx<^?1q
z4=ljJS5`0?dC61?jI$XBFHsjKv|BghIlMU)?bBYV71b!iZc;s->BHTo>X9TFJy~4p
z)~CB@onM*u@x4-0toB$>9N4<5*ZxaE{h>9zxwL&?Q591E(5w3E1_QJOcjfBM;`c6S
z?$VJ2!~pifGW~daJ#9b@>x<ZzJBTK>l|gU(OW{B<dp90<dD#Kt?XhuS3QYar%l}}G
z^uJ|qWdFps0>ACbRmt_27z$p5F_6aWpekdcwh=X{*Zwne{WCAgZ`yOYvbA}m1@Bnw
zKmo(xRd<`zqmwZa>z>P)pD`pYYzy%HKU)mk{o=SUSa{F_+&^HT3(aa6qE8SMIsJ}R
z#1Gbba5w(EcjD=v?S0X^bIJ}-hVWNZ0+b=@8+TEo^|?Re^ngQ^zw&Yr|N7f84##Ba
z<rSFLfD4>>na<y2Yxb$R_w>t8227xG6zIa<FQwnC1rDyMe%kHH6!FN+NCG*ak3JxB
zdeE#6S>#{(P9a{U$yUhPd0M+#{IbYc(^RfiIwGdpe~j>FJPzZ~RI-(=4NmWZj%_*U
zN$S+@LQ=#KmgXN{_Y0n!r!h-!Uz&h#W9adbG0h6oEg5bfNKU(b?@zmR0Ac>iX2icC
zce86(Ejb4pv3BEu4<5O!wu0S|Rs)J5A}NbQe(8ru$$2Q1{S%c3zrGm1vGO^$)+*6s
zmlS4K3xEex6!`nv1fCHvy`OXHzpc>t%V%!C?FE6OvU%-ZKHYgYc9jnga+uLZPd_`-
zvHxR?2P(#cWjN5(DbbS`nQRB>WQ<a9>`N1PL?HgL#b2)KujG$}qZEr3^G4yv$4sgi
zMu?%((q@{eHI>%*;#_4VfHXmou2Q2xW~D7g<ad=OAh9$&dH+V|NQHMJNrpk~WkQ16
zCR>5>5mzh2hs9f}$5GK`^~{iK+~;|CxMNfj#>PC-6BL_jNiP^bxF4X9zk*p?yYR_!
zHCu^}_s&zzhoTe}C>05SKO9@>7#hHFRREroS`pKmB1rHIJqo)s*&mR57Js<|8_~YH
zvuydXp68Qb=9aN`v|O72s7-Ky?dX?$(KJY(334uOeOI>(>08oR@~CcjM8%mhl9H8(
z?8v*%r_a2fj%esGcdLLz8t9E+Y2iUht=#JJ_mraLB8i_y3W!WN5i{dl8@c(mC>Yt>
zt5v3BnKsziCfi8&w4ScgS!LON{`QO#?m2uD!aijOJxc>oIYxi~W7Ee}{Wf1_Sr^9{
zZ80v@m)>`t98wI=<GI!IP611Y5E-i4YO}Im%4{0yhZrbPUtYDBE6M0o^huA5;MHbg
zpdVF(G`&KW^vC#~5i~shX!6;UJ5fy+))+=QPAcW|HxHF(`=$>lxNhk3Q&V2IW`-4%
zNqN1>(~;hl?9QpY*UzJ9+)+31u)Iu>HJHUWtb$V+=h6F=`gOW>@ZxZFQTLfE3Cd{h
z=rJ}Z|LTGg&Cw*2$t&o!&GZtTOKXEc#ifBq=Ef*!%GIguxG&kJe@-`HSYOMcR?3(3
zJI}*6aSPsYPTZ74zjmUY`Cg`*4ZB7fu5FvsJIADHWQG)P-31H5rJL4?E|xUumx}y8
zkC22CJ-9F*@jkH&vj|xsU*CKPjbd3$Kr3zcx!HcYiwwE9sYUA48jdr&p4p4)%bKV`
ztj}Xk*%Hh$Lq$BQ9|k5S*BD1dPLM>F?Ksy7$Z^d=<}toUF<6B9Vp?5qfeP)~$)^|l
z-IvjRPH%k>suJtZXSe)xj^&Rj&;_~+M;lxTI{S$ohsH_u1k2?VU;Hd<Aw=Hjpzd0;
zTlh?A+EEG<LV^<m$?tCOB&{#bae{%fgg*6*-1w9I^&4Eb?pV^@nlLrv1mW7gqgn0C
zpS@|_$kLrSGcaRV(X|lGp*Jjkmz_fJ3TG6FerVxHDUo2(y;W~5n5%1nl8ZNBy#V@p
z4xsjzP)>9$?<f|VU}jJbw5?RUL2+-nmX?~R;e`!1>9I;j2is&#8)LcQbm$2l81AXS
zH**{VhK75f$vqIRs2;?qr(QW7{I1<<kxQQ}wxb#cbh+l3V~QJ{bDe^o^3ANcF)2Ra
zuO3xv3Ep_$i#NFv`g}3#bc^nzQ;~jV6cm$cxG94jE(;jRAlqr{2w&-@VI|YpEa=e1
zNRh};<-COyyzvteSwfw?!?wQFU8;SwyixW?rHYDk9W-<laB*=?5}vK|{N&kANo!@F
zu`5Hez5<!dnlkA+{X?54h0(d2;94*K+mxE}VNFpr$1K70;rzF{?c>zc5Lfb#k<DkZ
zxa4!WPpWF8k@cy*rAAA#z}(d=mdu+Sy}P{AgjqN202b^#px2Rjdd^XIhC}$RhyY5z
zz2HM>WP`C{wKzeOJbf$82;`NNH@ikmD&J5J7BSkwf78UE8rjNY6Rk3|0aIp5vz4A{
z`CQ|jDcRq4r`z4)+_OvCqEW#<SuGUdvTcKrQche@k*U5oqxVC6y>qCraEq==D9mjR
z+lMwV>dPj7LMn_%yzxebRqJuB!$nC8Gwy}?lC+r%#xczNGZNEBV);B3ax8g_ZbEuo
z2K7VY;*FG1IvoRu1i#Q*uk9DLt*sNoc!XKP$8>G`u9R3zDBg-KV*My94UQB^xzB7K
zbYn^xx>;y%xP*FTgD|7BHt4TlbI*%2@ERf&Np-pFai_A2c47L$oMiFI8w_034Yksm
zQ-lf(({_q<<tMmpTl0Al58+0+JqYSERPKenOG8G$SqWDTW}R^*KpwVgbq+thDT*TR
zM4&BUMsl)SvF~w04bw+bC-g@&GM3rbZCP^W@-cadAyH1NT(jPD7)ke4wT}2GD|m8X
z@OYQq`OeL1uk+4yaW(>1Mk0OQDD>rC)p(-Ww`|t*M6=L-88T%C%v!4eGjq}yBP@X}
zWNS!TZdXK&ado@DZwm~@c6@HjKM{p13VqY=&K#*HN2*L!`*_^!($TP%mMcdjo$%{Z
z!-6wM^%{rO1FA}=EX%ou+d8aOeCIQB^X2eng?)L3(0ibG!{H|E3j4Ftvd#fbi^WNA
z^N)+#!r^ysJWwi*R*0u$vZzyIqruoEOu?=$48tu3wqN&6I6LKmD;AJFYi`SPyQe8&
zHM`G`?S>}kTXLkBj1I`fQc{v1xp=40ECTn<NYXe>J*WDVdv9-Fg*76^SJ}nYaV}9!
zr^9H?SAsMz*?~72KY#7Rw&{CX@xDtXcf>6^<@i=2xJj5z!UkjdD0#KecJMsA{DnPG
za&qx{0VhdeEQ&YT$S74=G9K>Pz0M=e^_ZpO`U<Mnl&3Aij-Y6%M$l8YzIi}uHGEMs
zY&<V~cdaCU%Zfu*Az^dV2ZfHi4j#pR48Yc@@CrR?=l{I;qA4^q<R0CHeu4S`T?bXi
zaPwI9Rmpj4>!A`<V^s!RqHJ9yD`zGjBb`iWYp#jtbQLXD&rqZ5j^>b$u&U_jmPqn6
zKS3xU;JIWmQDnK42(Aj)a4fXK<Sp-Dq>fwpF;yw2A31g5U&;-gxe>ZgHp9b#z2udf
z-Yi4~3cp;=XA9JN^Cq4NX8kl;N@Q5775~gx?pJt(QE>O|-BZ`o%$}bKgGPMub>X(K
zb$&JQev_Qa>~&lmYj3O0<&sDx_8Y3J#WwnILjU@h`m;_Cp>dUoC_oTM%63NMfxCNQ
z$+|COwB+230eDuUg{?~uJB;MYUQ~T(MPeM8H&kdl`Dm>Hd4eq_m<2Z_k<AtZ7<!t~
zX9BH~qRREs{IsH-#I>vB&O^vSOGn?L%ckA8&v@>EK7D*V-ds3>op#(t49(Ap3sWie
zY`8C?ULn@EJ_S;|^1<vbKTkUw+lWYL8r!@cEZ@!Cwa_#p^1A5S(?-Y>8;x7X<;PcD
z#){Nc39fBgR$};8dxsyw9YcC%a^2ngcHm(F^*7+*lGdJUMX$(UQ00GFrc(S(#TZNG
z2!4F(OOzLroc&sz?O(Xr8wlC%_FAu;$$XJ2ykYO&(Z9Z8-$99KT`LtS-GuvKTqj?z
zD4m;iq1L@+dfn8PVDNO4Y`b5;a|P>l9aN9sLkSK8$stK8qjl60$FN5@+SUf|{&jS2
zqd2f#J0+2h+f@>7Sq+HH#tB1+H|s~?yFKeUzI-<V-pNAXeLfO5-&hRGv4<19N{#IY
z2S{i<vB^USu+ixbxvZMy(5kp9*_YtgC1+R`401Bozd#NO-Mw5(9;@&Fs^8eT{z^hw
zCp@?+x8Hi#(|3HLaBd-Q-A{S_IJPrL__+|Avprp;&);HWGDF(9KGNs)vBo9muJExt
zL>_h5FvYN?R5X=@`m|#Oa=TJz*rN3R%(aCGhwZ*<wRLvP$V@L7R6Yftam%F%Iw??U
zio|1Ub~@hm(KPf6CrzW%@P^9#(7?J%+(KHbb%m(u(t787KKMmmv7JkWc>1GpmGXq9
z3BBPD$g{8TCO8#84XCa+i8Y?=$`TT*moIfM&Cf!kWaSgA!NZM98<5G2-RliI3O+90
zaVtVCA&&!h0&)wQ8V8!|?N<}T$=5!Wlcx?hrGAbv0#22xfTN^<L?f)I0wXq&2>ASp
z(ksit?a$O_&Wa#?Gh!~=Q{5_V_SBc3>tlPQ*(5)D<Wcn}3G;_|!@L^akQzU}Rlx@|
zuTvHW+-HG=!A41{w<1$_0A+ckPczIkV9J+Z2n=P{dXaVq#zkBio)q5GXlIJy0$vbE
zcG~?)N9nYB*4>6?uzMi2x9x>hm2W%Oxt2xc<=@Cbn6{|~-}=KdohNONPOZ1Y9FjDG
z<C0;%iMs?F%E|AS^L0K}+=cbI!EN8cJAw^`rVJ8z%0*@gPWVjmmzT!88^5>eTq`Co
zTDfSA<pKL)An&@>Gt-NQXYDZ1i_fBRcaRSQECtf#x$cb)T;VV`H^`-zy-yZ@K0>xn
z?8wgttPtVY-B&6HcUAklT{W^`Nael!-L9U~hw1c-=Ji27YeJ@s3iW#{irn-nUEuS*
zGw+MO(5?bZ@W<m<1_Jmqz(A`e#q_4n`m$OSL?x!-Ep|f-V2DWA17UsF5Ibam=lKHP
z-!&&bnaTuYZwYN~N}@>Wo!b$<cD#2zg$CTv{-+Bca$ceyiQ203X}eF;V&b-R75KBU
z>W9d7P;jJ=5!!?+-%6HQ>{79R4lb8lCA@R<7P;e4v2(GL*LX4uUTxE6CrWZ2Rf1}+
zdRUhbt>TK%=`euCsg{u|;r3ySeGBgpCCxw6dy`ab$ry)LfZ|2-*DWc{hsJXHzzlRm
zbv7VvZAh<AWK3pURR$7O2x+K0<8Sa)PP++Hs2_qTQ9`g!Uw<V^!Mv{#arHRQ=RJ^x
zEX0I0j_R8E(=E3SGkJNrcM%je@g~*WVr*jdf=y36lh;d1mXOO6D2-%VeXq=}o;96a
zdesLxS^6i_1FU!XrTZ@gs=9vU(N)zGic;Q5YsOWg7<Xr0uTzed2Iu7@=4{JMo7+m+
zTzg=X;oh93(>LAOHZ7Ck5xiTHBQ}h>PFW@=>5_9>p+)lX3WrnvYyn)`&3(IH4NGdh
z2SRTGX8c(b_^EDY!l=u5-xUf!?@fac3|bN2xs7>1e&mzrhAsTrt;_Jzk7dRP^H@(K
zv~{FMtr}mY-2<`hjjEJ<%$!nYX~rwd0{wyJ7nD;~!Hu^2LAyBdO-miO$>d@Ry583}
z;jP`8`*KDuq(*(EuG4FFs(!eoBay?#el44acPjd*RNH-f@>oU_@tX=_H5|Ck?F<@O
zYANa=opbX>H#cWzr?h5Yau{xg6!q+Z46tJp+B&RQYp#$X0S@XLF=|Cz&ya<!oF*(-
z^#UbRO6rK#uHc7cBkB2WUxTKoD!*6d*}Nsp9w<)zjqV(|dcCc^G}QGnbfwV-L;I>O
zTaYuG)RsAF>O7OhQMQ<H`~E!JHPzPn%rFGJ)$g)Px8_t;>k>v%x=IDZz;7S8efi`z
zrBGR?=EeG&(hyDo0bX2>8q;Pv{5#d+b>b;nX)VjSx%qB=5LV2SnYr;Ek(B%wh#8Cv
ze@U|H9!RNsxI;enqPK=(29?ySWrZ7aj+03=7aAI;%hRG;v*5ONn3Mf=jX84_h}D@j
z${dey%)VX;)t!@$4AjLr#z3$5bx$EDzS)BPuL`=BY$ch@rIoVs{yjJcuXpSe+!oGp
z!i8Cc%>0y)9S$x%AB}7F6PupLPi#8XAfodal254N;aQ2WJy2qrd@p~_Zt8cwDi82g
zAUnWUIXVTXYpj+o7eSso1~^UjSufR=RlbFFtnDhacbJkFHd1rWeDoW?+p@&UtJc25
z5H6A8?{Mv!=#Y{7Q2xhj__fUj7lFJinyc=MSyh02@dY;B;K5|lQ@NFA-=sCQf4OaL
z=e?K~<-NTPn;)3o^}PQ;BcuvzlV;}a>zOg9^TL3Vs`~8Phy`n>l_PWU?XktgiG9*T
zHCjtC272I41p4ekpX9^gxH$|pPu37+3WdE(y|;LaMEK&7Zajjz6@IlR==&rM;senq
z@~*Db4I)?Ox4;>gA@G+sX{sA~LjyV6Mw6}(!%WtqZ2j4GYnB{Km^LgD|9RA!vM!1`
zII@Yv|9os9?nYX4rz0eBE>Fgs{45Kkat)1<i9a>{czIu_N~k?yFeaJv6EOa9>jZtp
zc$U@I;M7=r>Q;%b6-v3A;mv8Ir4kfTtPPcD)HSAnS6P#8+9TmlGN`%oTY~DLq6QcH
zdE;NHjnSXsd4iv2>0r=yRhcyg_r(S916(<%m>BK|jgy>4W;wX35m8PY#hiy4uDfN3
zGPLxHor&8IGxk3UGd+^&ecI67Wvtw`aNDSR2BzxakiNcU=}NJVo_=Bf5KqQR)kCWg
z!Utz!&r`rB_02(FK2Bvpd2*y^7uIL(SIc6Bn}%V9-j%8CUCXQ<ro|QI<d{cLsN2{l
z-%Qk{(ircS#6E(iEDM}MRpO)J4jdX4lf8?@C5tE{)$O2ay}4!OrR`5Hy`mdA!X>SB
z(1hrEM{be%=g49wX6~l`7$D5>G*GL_Mhkv;>CDpX-7lK&Zr>wfR2U(gw=fh_(WjhO
zX%`IdDDPaDilz<|nX=}Jvi3e>q5YC6GMmZ7R<e(MoS9EG>Ek#pRsS%uDs|fT7?owN
zy*FD${~ib$w=T&1h4ypDVroN|fE^3{3PEZ8NqT!e+C5Mk`&TbVndOnGxXyKpZ=tx~
zrq@)kE<xp`c?6RKJ;OxkCYhLJ)>JL0m!wQ>9AExq@fYeDxB2veE0zpJt4l%+ri2~-
zVNCQqsZ(Y=;f@uQVy!nh{W>yy8ww|;-Io#T1!mGn%y4cdbuuNj%B@f5I&4>Eo=}Wl
z3cZ(H*-($T*2W>>*VUCXw_r2NB7Aars#$wOXERFfSV<ienW$jTH6R^mRq)zb-~ppb
zdi2GHMrwHhPqAqo6=(1dX)#l*(-7)zZ+;pKqBG-PTSdQ!$G2eGIj(elm7WO6{j#B<
zjAZ;&47UeMAnr89l(<HOU{z^p<$%FX;v6_FCqfJ9RTT`>1hXGwUFPq(M5;hC*#=lo
z&$hvj<={8VM{_`)c-{_TqOqGuDQk4fYIf~$c7>SlEG%Z_2HgmIl81Jg!|Y&g4Q9CT
z=B{PmJu{mNv9~?jy^(df1g}6*$F5&wrN+zD_GnCFEop$7N(`Xq3hBt-I(o1GkvB+v
zyu2^wCDaZbBnjjE1Zmc-m#LV}_tFo+*yx9}FPo`tDFGa1(<q=zty%^6i8>9)F#`7s
z=G<Isb!7i+k>$oYyv^RRDf1W=nEg|nlk6JlI92%cq2?_!{4I5&b`$cVcFXpF%ZtNx
zCqWCUd8e1ecVUNwdNF4!o)}%IJ9{(AopwM$Qh_Z`yz@q=e!efyYE|X7!A`}DzGXIZ
zA9&sOY`^8NuaU-~HM)0M1o$Yra^_cO&7DQnBvLZ6Y8`Rm94X+f*AL4(7OS;=Ki#$G
zqCk6_S2+&7a(wIBfvSR*0F-NT?Ch(C*kzz^QeZa3M+SM?=u=}xU3C1EG;Q%M^{6NZ
zraEd?-OtKI_-*_xD;ad=zP7gBr4?w=ZkU1&U3M|>xIh<o*K^L<z9X{?Ko)jgt@Qf&
zu4I>!+Gn6)%o=+j_LXW<d9k5JDaYtZHR$}SsX1&rx_>|oO!}Of!(e5$XC1v(RNg*2
z%!sfqC&^u_4)JWKy7^|}YJIU^ce&2mry(v$$=wB@eGp$5khR>Ng=}#EPd@JQH5?`M
zd38H(-L1b7@V;gdCLsKF329r^!+*~Brduo;I>w-iv)kv>{c^kYblS4eFpxmZ+$sXk
zR~U$l7+BQuQ91Lro*PVbd3dzSnPPB2X~gjzx_n##uWqw47gC#Jk5b#BilYLgL6@M*
z*(Oc+RH)XMx~wsrSB=Z)Xp04)Zm!6VH41*EXo@vjXMaw^l?d%ly{cDxpjWeH<>Y(<
zRdH4OUyaZ%MUgHF`MS4HluFM^nfAk*fxJMgj)ur0uDdGF0(@W$wo=Cx+ex3d#PKYf
z4p$B#wEF#;F7D^Ss>=qv@O3!zucBo{x&w)Z29T332yl*{2&>StS?&-VQG(UcS)Y@e
z#0|5b>gGP96wAo3PfUcQRF;%jw7?Kjq&E_J5tYBoP##vFLn`a^7_A$Kk~2<D>2z-H
z!!uK<X%}2+O|-g%C3EmcI<J>@7OUzgl~i*&09Cuy;|%t!{Nd(U_AFD>@E)keEq6?E
zW<8|)wB>_+sk6@9AV716f1(TUgQhG0zfy;Dwx@d9p2WPx*ti!BsJPnO2ytmd(3ru@
zHYULnKBuylLZcGOtL3pufL8~UPi3}P>JnnWbBh(jeY+a#9D|0#;2Dfx+Vo7}$$rzY
zSQiT!$D+ILXUN&6v8NSi)h>y#uuw1MS1k3-u;7kO=B3#0w2D4bR<4MGytK45x8n&!
z+OD0n6n)!R>G1lMn?E)4$Y-%>oL4$N@S##@WySm~%E3V!UeUQ-wL$wI*01tiOQG$A
zqHvSSq$yejw|iE&$4opavYLFVA5YLMHXiL`m<%f~ne6)vQ(lL|#*Iz><J5vEYfN$i
zeu<%r7;}*gNG$+KP>=63b3kL^5O_!fcu8@f6t4NHT>j2}Ax8f*H8RlO`ZEPu;0|-&
z9%ywr10dwj1bzzZ03ec@D9_ymnNd~*&?xK62W+YcV#jVD?xF#FG|;~EV?Xd_zmhnk
zQI&Y3)0QQkr{lpY`)P1J!MF|NeeiM5`OqE~<LH9e>vz6uz5ma<!lQNz()2B%(1grK
zaf?<NH(GHujx|o(jn_E{uH};RX&5wZBD-TKwBI$G;i}{HQnc0HkN}a5Q`B;lt>K}v
zqa<50l^?K7R-2@_(?j%@-;dLfGjGgzpdT4fHK&|3%vm>tq)roxkPhf8u`IW`mjyPS
zxHF@G-r-6mdF)CHtv4h-K}DjH3t^H!`QTCK)J1xM+pmakmp5Xstmo;Jgs)fD*S~C~
zi4@aqkD?6apd?IBy1zcCK<2)B1njG`P=xN?5a|%B*2uj6d^*y7I%$QNuG{4eA^C+N
z1v1h2(97xE1#;yLjbaRMC?-~WBQ8`hi*9UuuE!-|>8z{mSz_dDsHeRjsc<xx+`a79
ziH(*iZY4qurGoL*RJRdo@#ToIi(|3Hu=Qs~VE<nI`a)%8&*Nt#VPUn$$&xNi5Z54I
zVFtB3Ypz3e1ciHAKYVFtiZcx#6bMlDV5!cack7)I%^Pcd#SCK|-<IyareAJ9Oq1%M
zAO3b6qoK!x)4#;FQQXSa%&mT{Q=TcZ%zpTG0L4hS2hFy#R`EbLUBzSg>j33P(RgO$
zr0CZX6*!L^<nh)o(sTloH3m3y<Wy-xT%H>rFFuTisy!7jU3yntC!lu2>tk^V{P=cs
zRXO)VnBKq>r#i*YpCU`~*`^vMWk-UAKH;Hs%c4E*a!_1Qnqu^K5W8{0(4RdGv%QSH
z)A>I6y1a?v*sb%Y@lwQPYn;p0#J2|ni0$78_Dya<j2=U(u~nl5R$+EV4W$7>k=QTC
z$7mhHvba?x*XP$LM76PqjE@q7mfh(hMrJF<b?tmD)k8411=o5bE4pXJtW<+@_f0Rn
zu28@Jj^FrnK&7h80~fO8+*(_1x!v@mO&WFmq*|Z8<n3lfIyalr-1|6|iPX)RcTzUc
z5YreI=P?<uYh{El4I0sy^MpV5cFSDf&fWDhR!(D#xWQjjV*6~>O~7-@c1yh7hYp{z
zme~5(dO5Yh(yC0y2=%zusPqzl!x|e+s`Hg&8IPyp+8nTY3J*^{pr9c%pL2`>YROp>
zC+z5=ixhz=D3bLUIPHkmY2U}pH;#Msp!`ndR$M-N`P~&8gY-y+)}$4$l&O%)_ek9<
zIc*(fE<uwHBiChzpNH!bIku_UO@%{^dIEjz1g15j=PpDLD#wvt)1qnmR0mGYzwRpJ
zS_apRFM)b03x{QEoTk9~rsos*Z8)8v^(!_2V$X$AD21yhQj{p_Vjd0tW65s*W}G(h
z#>K(TQYh_u`Q?MM-PK#g^Yp>R8q;{li`S+*l$-E(f?mE%3@M$~CQZMi$7|Q&B_sbD
zm77>F4U9B|U?I1GvwQ&l3(9Ecn}h_S<JPT=RxvUz0Hkr$m?X>4f+MT>)qtLgwM_Dv
zhM2Q4Ex1a_FY6f;h{R~Y`DXa4g43|cF3equmm2p2($U46300eJ!$UkDX9f&9AG8Ze
zK%Yi<iYKZMv2*}Q)A;Swnek?d=qqgtq*52GFi!m|^8>kX^eyn0@>a^mTm3^|SU-Bb
z5RrA6Z;=*f9E_j8K(7?UNCFO8y81jS877o!R^14_?w|H@HZ<WTOG}2WriyI`7!f^R
zR-VeosRl;b<pJ|ahL3NR<ebb%n3~q<L}^$oF+LjAn>7hzy?eI>Vh$h^VkaEt1c=0A
zIplb?mQ7QJfP&^~ykEzvQEb0tMrOh-*I83V<kAyX&*$C6AG{k|km0kukCTe+sd0gf
zkWTkQG@bkHsM!D`Rj{;yw=2wui_1@xRWQ1!2oAF^TAeq8s6H@4N*t{#J!AAqI)?Nr
zBkasz&=n?0J4@;2`!U?{I11`rltQnR<F6qz69!(uAS`{3&eDcjDX^*`K*s!PB}x3*
zlG3!XvUN%yg^c1p@)vg%$KjMLtM{HF;$Mc1lf4GCfhN^quH;*g__*CLAaY!h2H;!2
zCB#;%wE4gakn?(`t{`f5+UnoKT^}MIx<U&PrElT<DrYCY;9tIC_l5TLl~*w(A7hHo
z1bDDftUC5EPOF9~G$m?8B)#Y?jT;t#ZuBBEyw`3x6;Ilw7(zZ1lww*RCaJ60=FD1W
zzjpf~)ts0amiF+;Gm_n;(`s=PY>sWjM=yHirA<{9+bvq=g~?PcVikl@JPF=HrE|-u
z9<K`$;r@|(AoJ>%y7d_i(LtkvgT;Am4gI9PaVPJSc^p#%O^c854tnZ&=?%EpVsl-1
zdSVB2b8IW&Iqs{zUeS3K>51tnwpXr03R+mZqD<lHIJ%v}#Mp43cesuzA}hq#N5oY%
zDoXPcVMz2^MQIg`MOltcr#Z_mb=uu2CB{8%R?jFJUK<+M?0I%fpVAKZ&Uo8LlWh@2
zbhzK06ba2~zs0l?tR;Z62EfMHIFuS2WxTs~wqueNE-6BaM!LC8IwzE_evV(#(=j)-
z36gGpSRH)vNS42I(ic&?i@8!fws4U>kT(3>T+@<vPwDW`%?fl?<#pS;r)0FdhZlWh
zGi2$y!`TCdL_WyjrAc3Ed>@)b<V_%+K45D#;486H+qMuL(%}iwtCY9$k_$07FU{O_
z1{nIdPusrVcoc}x6Hm2o-l=Wb1I1$Lr*3^T{#cxtJyq4akf&|o*YaXmGWpBv&}^=-
zj+YHV8FkKWV!{Gb-i&OmEH8Etxy^dC!?79Q_i<EJ@vbb%jqoBf>z5y#VnNIF<^T{7
z2+AH=ZPm|2c1ZT;B?6k^!x<b>Ne0RJFtyZ_7ej`#?o?WD!c0`a+aVUY=5QVt^%tRe
zLj}93k0j5qInvM_w|J81#Y2ryS+ws#Y#rl_u0*=`4!FAe`XJYg4e3uAgt5qH*@a})
zG5QP+p5a`&JHC5nQu66)JH>dV#>*Zfi!`*05?`|+_NoEg2MP%^!0e*3pCN}IxN`8x
ztp}M_dT-zK4vA(=em7KcF3rpAhQCNu#9QZESuE5nh1Qwv)9~K*cH5KAw)5oX){9-!
zJ$ACkOYT_HxQk%wpu(4+UzD7tX46a`#y-KrwgHDOmsH1y0E0D>oZ52v-d-;|J&Bo8
zy9@vBvG4j73W4Xr-aIn8U9T5!M=QBCZE6ZCZZ!__^!cB?M6uZ2&Bnu9sy#ck2hvDZ
zl7x{1P&+UoYV<bCGhH_k4DwOR<Q;Ir;UD~N03{U|K=EmZO=3+~W%hk-M8}KHH5Cmh
z4HbKpx7Na*&+jq8n_FI9H!x8T+jfo?%iwC%Lq!QpMVBBL7lXH)>cI2j!ikbYoZb(i
z?EQJ?pV;seIr}CD4&5aLEmu?IgekF*+iJoGrZK+No&&Z$DZ^mv3FTA6q0`{F8@=4-
zWj&4DpOu|S>*Gj$yKFw}ymOyLch_k=ATuse-RyEH-f4FB>q?A8_Le64?uLZr&0aQ7
zpjE!E@HVOS<x=!aP_z7(H)?B+lc7rIr`_=Bp0cb>7ESiSz^*HWuyIFuCjbxEUfl5W
zwaK6T7_zN!$)oqZ_4bKQW5oyBW8P8hrslS-Z2b;SW-$!xa}p-3u}aPyV685&i}vya
ze2Le*xhbZ1b07yX6Zhhrt=0S4yXECQYq0JF$;Z#jwBfqrO7ZbU^!<&@p5Zuy5~26Q
z&912{$4d6I!4x1JtVYE?eUomgvzD1q1Fu_WU2`hAw2BhMTzy55)&o(l-dw_6LCge~
zJ|WDh$$6)7VTNyS3^($eU4$8}lm>)OK(mJ%UHW}6vydwSQjGQ6bd!)#<}N%@653&d
zwiC^4^mERrwY2z+9Yl+MJKAUzYo@kfO^l&jLu_xl0-(RelrMsBmB@T2A2_NId|v+c
zBkDs?j_zFVje*C*J&Wex$m<M31ZN+pguXjH5q*W!5&48#-7y-~)%V#{woRl<t7~>1
zRW`%t!Y;fhfOeInFPK$-1s=f9bC0H|x`l1N553DqAXeX-lRT`F+XHul`Jo~PHEnC<
z*eWsZ>uZLO0~$Q6+ECgsiHwTOX8~e+piVoSpetOVKs5BJcMA6yzv!NAr=L{XyScO2
z*KUZFb4FOUHvz5473<pwjoPq{`zD#XdYM^wSCDV(6fBqAnu-{d$oTr55bPrpBdhJ#
zvXz>ZCH00bqNekk-iKz;B@9U?=0Dv7{c4<s{z9BPU1Y82+o|I0oHJ{mE#yve#Ua<F
zpe{yG&`i2biK!*>XqhYJ37WdMrAiAi17Mjge3?}~q_p))1)FPW!Y$uk`Liie#ys}#
zzOX(W$bHAG;QU>OHH1jYa#b1?hmQHTtJK2Q0hQYGQ~>=#xEt-<4gXh+yf6g;R)Ba_
zSlFYON4~d?+Q6=<|MmHE9~x=f8g;D7U3dG%1sY}a9mqlMPgl8yNa#$5da<d!y+XkB
zb0C1gzwGAmc~~f-dEeC$es%TYJFVTA$=h2OA5l=$dzC9SR?;R{xD*;a>{_4EH)GGp
ze6<T7-t}AVj&&Vk9%5d#qB7YHzax?q!qWMepf2hqWX*XB#1#4k7U^XUdNRpXVTG>n
z%}-UXu%36&=un7VnH|VN4-E$Yf9zd(Jk;y=AE~rbN)j4bQiPD~MvFaEin2|GkWkjL
zeN?v;*|*46NV3c&yO@fy?`!rL`@WkoGk%Xs8Fj1s{oU_fzSkf3b?F(O&oj?+p7WgZ
zKIgp8#!Ihjg@%kLUH4tO!PV~7SyClku%2_zhkMx1F*q0K;%j!p58qd0CO^qIK%7m*
zlKVu2^4SVh#bow()rFHk?O97TWw+z@eH+btdqTE|7s(F{xO#%4nZ_&Qcxr^wJY@G^
zo^MoST&i?yU)|y0vs#4>DUFTKQX#>*Xpa62xeU3k>H~SR46luaw<$z!qH$$84oa`P
zi(xW!9i~hZrR1cuH#?PdqSG$Js+VYOEll8)OWaWdP`TF%Ph?vSjwI`(7cu6zoqxlb
z4K~cRRIxb<7&XeXJ93D3?0JZUW@t2!<l4I=we2?CX?LZDM&j(Tae8kv&!&M554Rn-
zoEK#5)i#oK7&8?;osKf?$0h8X78F49^e33gW>h4(?vV_9<I)m|QS&V8YPy?~7aW#1
zb=h;A0iPpg5hvW5IW*|d&{Bt|L2quJEr@!Ssyse)a7>v>srKN=C9C6xQ_sbQsY1;v
zWrw64pv1Nf95=>7oV)|~mLB)fGkSN{xN;czaE!y;r#e2_JF^i_a2S_L&RWxVQro4U
zy1-_7>e)0ctCZ-COe-$wiNZ%PV;1<eu1CUq-f?+r9fZVdQygp!1P`_(SXpH^OW3Po
zHW{gIOUN@fjWyIcwbpd2KetKXLD{osCEW+wZrUZS9Aau4;eRupZR#XFR2$e+H9ORb
z0Va!t?vzoKnsr?XN}A$CIW>G>MqvH+iFE1{V!LhqeBZsJXI4&pf8~NZxa=9a#S|Oe
zIh7SOOkB}5@wY`1GkuedQ&Ufy5KU1k^k;7=*CY#OA~zWxmq})EW=d5|*nD_TnFrsM
zaCY*L*KURf8`V=<mC(HAnXHc|w2`%_6M-q77eE0LoZ9g2e0I5vxi8k8VpIFa!^Ug=
z;m-53$WP*A6g-}kUON!!fBf_>iW{sE=~7he!uPM}$&$U)ajnl&_b+a+V%98q;@1*d
zTL+Jj39C2EaE+@?(7!TWvF6qruH5r5z4Nb<FOP+%bs*H!<<iU|YYCzV1YgJQsN(in
zi~ey&zH2t7RA&vLhN+txXG2sUmZde!PTe7MD9T&ITaYIXj1@1dMx_wb@e3!t?)#=r
z0E>APJ<>LM+Ak&W_OBX8?_Xct*XCzXb6ROQ@yh;i76zQNa5JW12GtlhTvw)CO>e^M
z&RJCzRU892O4rl`XU9-XMP^3g)_}5GxwO@68{+wi_r@6u#f8ckdsG6Q<t_yeM=3Na
zVEbG1<E{G4)6hBb^SU7WC;SXl6jvyBRz@Sr*B4FiOyXzU+5Mc-_i0wFRmRXmBO`s-
zo$alICnW^8u|3du%KDtQjk4Mtn6ap$$EjDxlWAyZXDo?c*i9{l+jiH!1pDNY(&Tu|
z8X-8Wi*e{4>8>Q%s^4Rtl=@ORO_*+Z61g+e(9+OY*sJBB(cK6ph9~1wR*bwJ6y-J2
zCM5zHMp0TTn*!C4Kr^2K8bCnb^w}#yv`MeSS2vcs5`Uh})~?51>QT16l~a{Oo9VFw
z-6m0@E_jjQx*>v0-*ljKl<7Xh7{hY8>lUeM!(Cc}fo+?|sGe`U)op$&^J%x+=}GxA
zU!<Z)BdV}yG|dO|c%pXxk|*%=Xrx!;`7Om<xH@Ch<x^(g3tH2=yw2QoXO{hN<c;l^
zpqm_75$Wl1aYHH1!*#~lgOjxUot<)nCJzl}hokUh-bSjl3IZjisL1SyiYBRzuahhD
zb{s1%c|e@MwXRUUuhwNS=Q!!C39Jh73{m1eK0<gGnlsT_LGeIhe`S75oK~-TWKcy;
zOm9k+c9ToYyR-2@a!e;zznK-PPq!9g%b0AxGbLw$GR^>|e$rNb)?}qAg(pSbbqY8-
zFT-t!`l%uS7VdrkOY<2T8@l3fW@Zki64DySFmGL6_;jt&MH!0hv+<4fCo)&*JwNMF
zwnN5(t|PyRV#tYAKkZSWYK6mLpGbW@EEI65U7Y@S-JJx9RD)=<BJ-=4rCy5^zC7T(
z{cszs({Z**2c`Z@#iGHg5qDI8TS?)%MdR?mCAQ%^dG{<CwI<hJ@UJL*vf1*=I=Uki
zqS*!cISd=FHU-{o*?%R~q<P;Q473)OE9L8KtitC>#TlkJ`){*J3E26VdQD)vOoj#T
z>%#mM>#yFlOM4~yt5pzL2$zytrbcE~veonc$JVAr;cO{2u~UY|k<CQ=<4(U*t7R<K
zY9~+ES$JD`mpnCepA!D1!EB$uJQL>*=|`Z_sO$mEjsI=Sq23^hy@hI4HRD#ZNC|(d
zx>`)ML3kn7Vz3H7G;}f}t8(Z-^!Xic15>BBRj8L)sP}|R(P?Gs%px{e?N(|R65CL*
z_uz!Opu@w4));7H^)ryY*Q#M$(>ytD1Q?ucr0yo8$#VmBOj2Q<jAcc$^;kqYUT8A1
zc-8^g#r@HI<cpdTa3XBLAqlf!`#TPp&s#E1{6sZyFGk0_d35Pu%KnCaE7g+oYf^U0
z4q3@(o-RTS6%_c%;W`}C$Y10IX!qP5-#wH%K}<<_Vu}|sHXf9IWQ5`JObnurajj$z
z=vomq5XwpM3bX&^ThW?ACB>eB!Ny20Ja;JSaK~OLE&;W{+UfpjhlrT?-rc?I506*D
z2h7(dVa{^<NU9!iJp#g=q5H`g^j%-vsuEfmwO6ZAt)y|#4yV<K)VS&AEQaz|YDSa}
zb&BsEmZ?lavst>(@%W8#=BDvAts%X4qe=;lGDi3+5cXPSnyYlu+uO@wItn|@{xevC
z$$tqeFb}OtdbrD)1p+3D@WFA+njzcVrg18hr1<$=5)>A+3Iz@T;u{VC?WbKb-*y|}
zG%%4inYm|(YkP6kgCV>05b3Mz_~-1qSW@#~X3ffSnoV`7lLXD%#SzWGMoE99V;@%E
z>$fdB`rV5Z6t8E4ZE#|2KDE^PDNR(Nkg$;0cxC)av&z!iRAoVI|5(CRpYSS;s4B~G
zI3YYt%;>xT;Z`p%>tW8T;`u&&{3%`D18Kl`_1J33$m><}-}AJn4`xQL4Kc9f;@vrB
zelR>k;W0ZG{o7ZFiabAFR;S>4R-1GFReoju+Reswg@vuI1@|$r14D6*19;p!WYr_u
zDL2Q_xL@j~s3LMb*Tm&cGat_{Z46XSY{L}omON2y@m7(;tLtP+^pljHxYh#8Ce1Q`
zU-r1+R5hrA=jge<gMJwyQI-(mUXmwQaUADu=YCj1LEeykAIr5joQRj{r;MAW08}EX
zTDec-OqNc&BJX@{w84-WnzyZ;LFI$j#vTB2*YSwr=TD>_o$1ZE9a);Hw=d&nEVF@5
zI-zjSb>U*2TO5*<<P0WnMXIweRwYn(86QXihb!Hra$G*8(u<<@z&oCEDS@>u7=iMm
zZigEaL-mUUOJ_u#TIeWf%)Nalnn4T%#DUmAVq{v$o4B2B1i|D?EPLOP-*2Yy%Xh1c
zlvI!HOZAI0<Y*lJrMbz3XWhVI`hnneek*mn%+^E=vXzt=n_8v}J7gM9n?C)3EY|j>
zxMzdJN4kT_=PjOVHud2&`V<*+k2i5@?YkOLT>Q>`0OKW=2ODCPK9t87xhJwP7`R;F
z=pOG+4wpqzl>&FxzI*gP#B~*Vi}Z_7CMo@$dZuqNZ%JT*+}iV%$+XFCby`)%#+TbR
z<<JwJ$-HgPeZJmurQby{vIaLpVPOHRh3b%m)#Jw?O`8&3iDYI}mQ65<V9yP_0ENHa
zuagd#fECI%P4~<24`QJQ=^v2J!qEC!_ym71G(FTm2fJVKL8CH!@YT;RK}bK`lIK6U
zhVZ}1pIx}5THauI&@%@zwRv*=p4TdmJ)$}t-KT}|yd@{C_li#NMc@BKR`ka;KU}XK
ziob0FniymP|4rI)cV!%x2^*ibW*!6Y*zq(<!U%;X<T+TOKqV5`c*KR~ew5UK1~ldb
z+Dpi!)Bl&7a%+#Z85iW!^j_G1>A0c^ddr)a7ae9@W;C!U#5fTp;m@EZ;u>oP8<k_e
z$)B^rUg}z-Hh~8VSFnwFJX+OZ%agMHoVH}CN1n)2DV3X63Ea(8$8;F&x0Gi!BAK5=
zF`Q`Hb%*Vq_EvjmIhgOt4%X0Gx489>q1u6{1*Wl8u*DQxpMSIPKiF>`Zg4eUR??rc
z(Z(Z;m%P<k<RGJ`i~UO8>(q_Io0WHtB<{cP!k2|<!<hIgdQl36Z#8KUF1vrJtX+}u
z)Ha=n!!U9$yMnz3y)rp?%`Wk(U4Ad|3Z(i=07jnH=O+e11u5TbDS7~}kH`5FSAYs4
z=QDb@Q;O){=IU>VU^p#(!YjjCxUV8wYOLDDWn7j1l<udgYcAz4qqsgYOO!&;L3Myf
zu)?ga6yS@)*N~$Q2_gVpT1h|@xAph9NiNV>tq(-u?EyE2b~)4&5w^}^QDX>N9&|^Q
zB4BL;PD;4$k}+n`4}!6dV3z|JT#A;kv;pfp?+FN9YlA`QJTOSEt?;DEf*?9j-q6+F
z9O+SH#1N7Cka^OQbpywU5MC}`V3n$Qm#K{2#k!+7fhNrH)A|w@C#))xD<oX4cM+R_
zfQ1}{&v2&#_HIt)m?Pl#mytw0f;wX>aTU)v)nE}C+X3PjH~S$Xv-`sM`AGw7Xl5(A
z7?H{ivX@+)i0k73L%6d<9OW<wfdI3p=20_HtRNX@Kj}O6;F3hq_;tuGxT=%&9PEYy
zK4;c!n0T!oYRhPygWV19D<1+GyL+Jr_MfJ})hkj{9r(s;d#t3<-B*q0VSssg7vI;<
zDGY7&hsNK6g;`Y#vUa4tyx{XAArlbADWj*t(`ta6?X3%Mp!)o^2<c!>VwVvkTBdWb
z+!G7m^2^tn>TJc20?6u>B}f(6Ec4}Ce*Rj2he>GEB*#1jIWe2hc%)L3WxQSivT5aY
zE57<VzJJ2@{T(Ez#Yj!T=-g3Ngg`p`!A*ctm#G5-l3BlO>*sw0HvT_ihvENkG1E&I
zh<Oy=i15|%zPbl+IIiJ+o!59#bPg8uwb=h#<m6qynxc`zF;xUt8q2m#AId&3AX)R@
zEhkz0>KV3Ct2sgU4BqzFWR<(cy}rH&qW?!U=O1vcvNY5)+|OhkqPaI;$`H%MKD;yH
ziQ?C{QNUJf#b`sEd&BwUlB|p(I_FxUyQT*P`kdEpzt}c9bK`6phl&%YO{QoHPOv6B
zSLow!ml}IoRcGmF>Md_Cm{^)MP{$AgH+2g%i((^f%9PH7cN~QJyG#kIIll0y?hGGM
zM%_jC=R@paR9B$vR&`i$tpxEQplTh3)L~VL;0Jr~AAFYi_$n<p$Ske%MUP#Ay_~rX
z?(;d=tkE1Sv7CZ#-q<6HeA*{i5Us_mt;rEv3ZwoNraN1{+G3C`_s&^XegD~kT<@7W
zRr)O)d}Bfh4}{uTx(Nn&=M`&FCim-{_R?`O*t-Fp6M~lSeRJWV)xGXcti`$X2=x1T
z=1Ogw+OH@}LD~&}lirP|cV)b(WA8rl62`bwGpDw|R_B@5iQArR1q1+~a$Lox*#@6)
zx%Pd?`wgp#4XK&9AIUX@>BB<1Kejb8i(6Q{Z<?uF$;y#U;_*(8jyY1Vd>LbLPW~oU
z!b)e@+YEq|9@dfd5?JGz7qka2CoU%zGI8BWVNtF7XIs}CqJuE!D-i=!R758>*!4-+
zrPnF`HVoS;FCCmp%rWQm4PX^kJ@b<3v7m)O0lSc@*$Lpjrb^EyH+6akaSHEA3DnRC
zw{IAdAtih~J-dh$Map*3FF(gM^HYR_f8e8}ve=c9wJsFgNxgv4ls^1S*$?YP&fESU
z^HO=%&v2|6d?DEW;Bn@i!QIar#$Ge*Bi9Y(A3n`$rd+sv<XUg&dVcQ^c1ldTF;{SM
zt*Cncu))NBFSE$gX~}xE4a3O~@t3c*l5lO>W`Aaa_3U1}z<=OE{OOO<sGjIo%{XkC
zxpkiQ{9FV`do8%$E<so(xgt3=i?~>~4Ik)NF*-+?dLh#|e<fJ34-uGQ5P|uR#q}rY
zwf-=ku0QcXo+jcVb@M41DFd<~BcqZ1C04hCplwU^`K|S(Stf*pVL)qM%cmgRppjr)
z+eS?d`A;W|LDn-@`~P#EO#%JXv7{NM47cuqsW*%rs_WB=Sk9TiCeAlFuTu+(h_mi|
z$L%_`?(@(71Nv%*%6|*z_)Ka)f4`fboo`P$2P4=OfJ}`YU#y7v!M~2DeXWIm>R9p`
z_6)bi!ELWLV^lfQ6zI<luAES5Nbir<rgqK>^}m>r+|(T(c}<>U%0Vlz-{d{%Mfw}u
zxqtTk_y=AKpwpdFG5};X5|k&dNv~4|ScZQH%kVM><Y!X&MXam+Gc2i<T-2OtCO6U^
z4Br(Z?{s$$IMqEeto~X_eu&GaapH0<wV9eBO2ff5z*q0~M~m?fww-(H%A&PI&NlHS
zl^)v6yHa=dI9ZgG9;o#_by1FGHl>7K&yA1&E$?6}cItSJeAJ5+u_lv)Ter9)`*j3W
z(q&CB>7F-Z$M}s)Wm&tj_9^Y4zNy%kqVMG2wdM5AK=HcCx^aA5?>etOzZ>Oz2V#6J
z+wM=Ve!+zTK@XOG9t+KsG48mC^E3}zO|0tsddF_BG+z75K(nDZO$B85M78FMiO!X~
zx7%Fr9$m+GYRk)Z*NF1$LSjau>kq8w%90J{y*qXkW_s$Rd&FPOG}!2#xApQv%INJ4
zzg#6VKES)?=%^`gH0$0CYWKaazO|VSzgRc<t4aK~nvHf#@J5?b8}#vdM;sOwg7>oy
z%Vl!<Zci7Wsl!|ez2HAP0<|56TxF8oLz@7&+mCbA9t9ZYyaTb(ui?yQt?J8WhckZF
zW7T0{xqshHJTFeDl&^xJ#xUa~##^mbIuz4-f#do9kD%>}E>JMOtB4ARb)SPB-U|9l
zh?Y6Ej_sW(BEFCzy7*;V3(mo8UxQADllSVJX4rd)`V`2cW}j{T@3~^YquLp0O0b0!
z=-FVRhh2A%t`6S%6h+^h8ym~FotAE;HO(EiwDN}<?}*ad{c6@GXN6)AL~2HJ;w|vf
z8EO!6R_J>GVW+Y&JkQFBQqxSJ#>q(&fW#o{jkpp$Q!#lAfuBX1QT?T(%uO~^i59j2
zlqfqb6K1mPN-QikfYIKmQ>F&Ej^l&&`4TZlu(VCip(7ssp%ZifM}6rJ2&5&Voj~!G
z(a@nub$EU@2L2Bm)p%Z)?3aw@ifN_xYl{}-84Eqg>sj`QWi<TLzQIpF!n+KCX5+G!
zWw;Fh?rsB#kFM}j0JAPjo@T<uQDKl~jIpxgubyZY53-J{Z}U?V)@L@{O0CS=Mpkx?
z;?fz5$KBg|yesUyX;wb&rg^NaoKELkY4J%p(H=0xQl5e8iXq%4(xAdBm}V`65r%+Q
z&j*2s5_<*a8dLSqc`LVT#^h2Q418M-T#{w+xFXw;z>;Ntf!g~Qy=SJU$1Zop`vfsh
zD)vwFqDeOd`ZVcX1XZUoo^%R4t4KFtH9*ZrKrr>K`!f(S=x&(xu>VU(w+#yn>KR{N
z*Ejt#D}A3-5k9ZPLwCFfhTnsG0w>y|(kW^TAm&+571Cq2L{e}414muVj2iMQ1|xQ4
zjaa4mY@1cUI3~1NP9uVs2pg!lJQ5F;Uz~%1uniJ#K(a0#|ENJvlQQjE^;&!i%1&P6
zW<(RZ(60WijKnYQOQn$p@qSkwqK6+Gh8sM@1NJIZAX-@0bgM53;c1_5mB3QAI>4Mi
z$-Bfr{j6qZ(7lM`a$??&6ylDI(dR2&f^;4$ON|{nMeP!#dQV&eb5TvMa>0<UM0X4+
z<EBCZ5GPIw#C_czUBaE^)#@no^-1PA20Qj1fA0|xe)13lU^`{J$JqaHlTQ!}mx>L_
zTVKE;;yvv%Q)D{0nMl-q)u+9p`Z(|JeyP7=Fg3crq1ak>i(2+^<M%?e+`_zj*-_CY
z|GNU{(LdW5Gss-2Q4|o*O1ou`p)R*%Bb-h=T_ASv>%b&xh5XvB?-kY8vSI#EZe0ew
zd24J{oHFrnDl`eAt`jVhG;~Mq?`XMw{e*8t$-SH-n<>wlR!ni^>F;{sW&2wF=!Ivl
z`0e5ZaO<e|zNzyGPD#)4Z|i+!ZZLqeCZ>!Xh#itBu-*7dk*IQ~F-V`HHjV1+<MU5n
z$d5GMV$9UPv!)Vu?Ht@h+CJJeoS5MrSHU=0sHKErP~5Tal5^~=+g2U#8~CSv^%k;m
zKgb6#?c<j-4eL3X+o*Lkmq9;+XhG(3)tsy8rrZN+*m0V}juhus!@|})UDS+h-N&A3
z!sz?xvHiX9DGFdV9Px4#!#N&k3srh3d#7~F_Nnfjb~!TVX59^pI*iR%q;s%!2f|ea
zG~2ut*<w=a#_UTCrJp_PUKwb}rImvA9umwi2YD7OKp{Bp1p#)$@e2rFs13MJo^S!E
z6FIX5G)_7~`Wb_+T!;ghF5s*)Gt_`=(2t*|VmSf~GH_Ihu&6m0WB9|(_!yvBA^cr)
zHR5TYa1g|!Vy;xgoAV~!icq|j+hv(C6n-t}611xXiB%(lN=EFau>%O)npR*Qtb;}y
zgcIO}=n-~c+d6%ojDpQEk^Qy%?psfTY#9T010z;ga8C5N5`yDfpOz1i28(ov9z?~-
zl!>A;2U!+g)P?#qy?gaDdyQ3c9QJDLeG<6s`J0@QO|IcuUS`g8w}SL<s)coji0vyW
zXum?NI6H1=Y#M8Ar8*nXbDp7@<3%sK@xEdE=?aZep<&W*kFx&!_3w3$|B>JSPuvXZ
zmMF^mCf@dh5rn?e4P7Vqy`ZBGmp!83n|m9__{9A{%vQAwm;3>m)0a2-v4@eDU@FZ~
zG}hZ2@$(Y3CD<aqgC4pEcARZ^Mrsb$+lmDF1c*7<<#;F_3rs<BP`q<YBE6dm4=$!;
zD$>t{_h(-LVh4gS$8p_3h!F&_8u6ZX4i;q(ffN(~(<Hioe#nvjkcH2Jy8}rKo&*vA
zWO=GkB6H#C@z+1S@d$A<gtM*#1{|1W;~w1b$A|xpc_drE&zh=Lz!-(Hu5!@gWOV>#
z_Qh@ERaF#OaIJACBE=l6!KqFRcxE@i9K!b{=~kk`s`XOq>@ww4t(qf^x2vRKutAg}
z)u(br$}OsHW8y}q=U}O_(RVG+^+v5vHg!SgclK9{e{$AfVe37ie9C@eHZV5J^?<=k
zX4WcQW72kZFsZ2E@#R4;Av&M7j++#5I{T!yOPp0?@4K&eH=LqqZv^2451yr=n?QyF
z-<J+#yhrt4gRnE%6UwzuA(7c~=(RYoigwIfMP#ACT5N$69eA=R{+ojpCS`|eRcrAF
zJ?+*vI)*hOitXx4DRw%BzIKlTOV-^!TW){JX&jXW%$J?OeAx*0+p&ze)!r0H34*re
zR{tqPuzgR0Sqeh`c~W%<v9Pan%T`Z&SB+S=HhgJRxLb`6qmrRga74tgEtc)w6#uR0
zlD$<)KEcyt3eN}BNOVr!mxCMiS?T<VhwiV?fCBLWQAqg<&qGhAd2qKU7(T;LX|A;~
z;}ouqQPjS)_j(aHjLeqLs?-9Vwavg0RX~oo%)zR%(I7brBECCoZ(RzXfzQD%=}ndi
zL2VG>DfF6W4yFX+kwl>1XZ5~u2p)kN6*NJ#A6y1N!4LX;eg<4~;lSWQI@rW8kkhu%
zIatbx$<~k0wm1LuHaN*FWa{jIu-pLW>(S58A~H@n$H64Vn%y&=aXeG+KwMGLPN!mw
zZN*nFJDR~T<tJFtXozd7Y<iur$NL!X)7-mQR#7WurAeMGbfw%Uo#k5F(bAdRm={O&
zh0^8svO~^<9RryG$Pss=(23PxwO;Da!PfPEI#Y~D^+)*r;X_~{ra=Cvq!Cb2tD%wb
zPv;T-mySwhmQb8E(tu@1jqWu$LUwr$ws%}tNX%vvv_m2lT&bZh!-poH!Ar9zPIw=#
z-E}Y0UoK0wLV;f((=p7Fwd0231p~QvipZLc7YqdT1fWwV;!0jSellRV6~5g-bRK+P
z+EjIQjAEo#eSMy}`SlGc<w?g_QqFGelCis~t6kRHkiOOEu-R3)C&QUq)C02&&jcO`
z3q@2}H^<+zvcHR8Y-^ytoNCQK-DLSW?ZMUS(et`@3fa14>U!EiS)nmd@m^Pjcm5B}
zn!DF`zzHi&fszL_O@j>e?hD3TAbP>-!Wcjko9Cqx1W7xR%s*Rxl$YjU3wD<$IH%>(
z^S1#^We(<6QF3>|mJ^PdgDseWJAY_p{i)N@sCiW3mSCfc&Q_Z}`tatvU9cf>sz841
ziEq!I@7-mGvg?LVvIv7U80J0gvpZ07n+vd7?nlLA_GScC1S?2MwM>s0B@XEess!>!
z8$8V%#?<LG0nJ2ma^oC6oeb&Fzx3>WU!#CX$)Q@^jKhIa<7B35@>9(7?;T<kmnOaG
zD^!S^2hE9&z$lv8Fb8`tQ#%3>%u)LuiR2^Lx|Uo2z)>gUJFPRl+dQ>36is)f1>7v6
zJ1kv~eKq(h?)*s_nzkx!>0hJQIgc2kSomUp{fLQ^S39ho9Hk{(r@~B-4N*-u_Dj+Q
z=CxKq{Y0LA$sJb6{$X@~#~e&o9u^ZmiNuYi5)~!4!#AP3z-4F*O{v2=KIF$ANT#O?
zJ(+QCYQ`|=ev>qnN`2~9SfKqIzlRpuV+Z{JvyJMe7->q8AqvzOQLA24FnK!Oopb+N
z5l(+!plT=UaM{|?Rvs;M?tGfUI@BA2yomz6?S?8A)q3S4i33`Y0mg3*W<EAKNYExa
zD^F#4zEbJln|HOuj#!tfkA<I`ly(c`8d_PUsV&9U<e7h_l=Y(fx+{koq_0s3=jUw=
z@vOqt;fSeOozXI9JUcU-<rsq-T9}LTScAi};D%1`kcbkWJ>Sao`I19Kq0G!0sOpq;
zWYDei^{@H+U`#q_rmNBgzcxp9-8Yt9z3usRLk90d>93hi1Q(ONY||yHbd3OgP1c_#
zK-y9}zR?ccykk2>ZlKV<t&Ge&l@HAp>=k!*R*YzN+cHoAl?}kBi$Ef?0K?daBDA_M
z96_+aiuX4~9eY{%_?a`h>3vp6uc2@(YDCxGtv+cYDgbPf9ERkryCh^w6cl<LQiuF6
ztSfi?Z^S?pfa=V{qbIv90pkH{yq)sPES))6BunU)5gw4t-YZ)ge=F=${luOXozr+5
zL-gbTG;!I7jK>L$(W?YS5Myw{1~myDLQ7OQ-px*Jgnd4IC6Yn;X~N&|1H$8>JUnu;
z8(0&MS>o*kUlR1dOZh`Ljv&rLy~h^4GabxkXP`g9mWn{n2r#>ijLJl@1MawSQv#gJ
zyke%$2H0f@!aaCPacHVOpr+j7Q?O?V)=m~qfp<|uPh!Dk6Q-y+HV3=r9)T7L8Y7C?
zvte@=z42=(P-(#?0H(ozyaZkVAfT)xW&<d<`~oTXPrDE5s5%L%4bFpV1Dz#f2T(J!
z-o&gZP|=`FT5PZmmL3ZpY6k4rY+dkFSD3;RDDMtX_}XAy!`tI+S{V^YLW+#Isaq(D
z*hso1-zk0o5dsfJ6u}O}t-{`XdFLOcXt*vxV>Fp_u=wE*2@B6y_&l5=1KeQbtf7}G
zedSdA4_NcY$<v(c5O*FuV;?wnF=!}Q%Lmhak&;*IOnSAk)>&}~b;OBG-bdxCwP7P}
zl9=H?5aCB(uX_{0clyeS{Yo7nt0@F>-4)$@N@&KZp3@tyZ8%5&mQTdY+I(_YUObf{
zGoit|boyFa@+{{wj=L#|gRpZ~azlJFQY7w1A3OA2P>en!JjnrG-n=~q6?odG?Urws
zBkz+CjeEzQ7mnpOYt<(p?uD)C`8hz$csLe%u9KMcJk7r_pi}0~d!5-=WGlCt4pEh+
zcgja4ng8OIp>WzB)s-1E7Nyc4{8~<n?OAr+xK>WtJRrsh0av16zUyRXF2n~+B;*{7
zl_424V1e3r=KEVCj({Bm2b)L%yq6u}{+sbY9g#tJ53@QVIB<?=qO2H!ig;uG0%Zur
zUvkrw8Rx;Tn(wJzgv5TR#=$a;Patp<;K^-fphc|kRd_Lagm%7VI~SnkpIHx(w_86o
z8LnP_gS<0|o9mIBgva8MsN;^-l;MSR_?~aio#Q$VP9O)eJR%I*pfjYoFTBhT{b`Dz
z2l|s_XyZ&|?4!W#s%3&}4?LFkw32(h|MZ%xA+jdnZo(ta1=#N8%*t4oL?)#qG;6gE
z%RKr;<<ztBq~@f=<kVI*=w-)?#os@x<?{l_g#O_x@F#7Di%n3&{tU$_+FU}&PN_ah
zvB*4pBH(FY{?_j9@)O)~Eluy&D$|X*9!1mvrpF)OarH?c)VHmW6Nc${I~PW9n6*UI
zcq_lR-vJd*Pw+?Y2uh7+)2(_pVK{AzqnU&K3hIHnlbwhgjDZwo)b;Nln8$1&&#2n-
z?|{?*xnv^dfa4xg3!mXl1!YV<xMEQRel-#UKkEcsO~)eqty#)pqU{-|?QJUv-G?tc
z!o&U|V<?fFXN+n<6pbAO+VK`WMC5jl&3O)lU5|KZ@_`jJvjLrtAf1E6bILLx=l)oV
zpPzz@bs$%jdOaDJdy&bZ5<EFkJ^vaO+u!i@%Ps~#X{qm1O})EsXh(~#&k-8@zA+l6
zK^QM<&^g%Jsn}<=Q741KhT;R!`;zb*`f3(_<E$Ft#4Z+UqoTVDr?Bd?R3GZ@fhH)?
z;QL{KzjMm09r5WfQ>p_p-A_j^6kOWnBJ=(Zx%Ygx`Y#U>2AY3C6YO+w0uFmWaM&r8
zi2^d*EDI;P6SbfRet5`!W;)wC9!eAQ(wSe+>Nhaw&tB3EPSgqI>~91b{+rXM`Jv8X
zelzJ>8hIu4M4qV;-5pjpPM024$(S9_ytYSUU^W|WdA`w8iZGP%O=)g<#@&#DLmH>@
zN1Z$nUf5_dY>;`Wu@_P-1kHr3q49>CIoN7IE{E4LS`e11&*BB02ho@^BP#rMFxOFw
z&(ii;z6kRraN@Cu5gpSx*qe%lCw!5wDaKa()UN;@b_F{|EAvI%K8rZ%B)&-e!X!Xc
zR4PM5V?-|j8~1WM+7VFspD9Bi7)8H+8_kc!ocT?$pNGb~hCWqVDz+DF)Ec(cV;B{d
zltXJ?j^$oaW??)O8y2-!{uCy*rIfq>Xl8s}ed#(gpGYP7l1ZhHzoSS5@HFbP*sv)5
zeI;-rAW0|o?3w`{Ut-11%DShLqE06|HxMoUL-}J$%T6Gccoi0ww(}g+^=&b_?LFiK
zgKdVgAr2OBFRKK3CmKl^4|dJ2G_0O-HuthpG>FT3(W*mY^ahsUoxnBR-=$1gQ@-+G
zinq4B&TTc0%z)q;8ku8$7hrTuW;Pxof%mHK({vJ{o6P3m{{%Z1h*W0u7R0V-L1sMu
z4ubo`NdRzeTW{QDm|tFJDpMDc3`Avg#q98tgWotN{7O~v0|J~H&9&6_A-ewhQ@&}<
zNw@9~cSP!`CUL0v2-FCS6ru7<<IbFl+GF(0=)FI78zK?7q*!pV6%wN6U|Y`4+Mt2K
z>eEfU=+F`c;k}?P_&X5@kApfLssK-Gcw-IG6d#2cr!rMR6Xn4F2Z9kqd&C&p5R{Tu
z4EBL!a-vEo)+sd_Ttckihbg5{ka!S^MJ9nu%V25}dI<2vGJH;B^)N85ltE!EyE1Tm
zmGP(sX!L;X!t2iu_5rO?z)n0*@CFkriz2EGB4z@`vyh-1xzHR`=uAzD0<#HdZocY3
zts9kL$VB|(>$31hzwqFMIoP(Qox!3G%<iUYSoByBQ2OFoO5lsKf}di3{KWgN;W?aA
z-2P7^?!GyzK1p^`<fd52MhiA=`M#^EGf42wOnj~4qv&lAL|M}%_lU|OQ%vITd)NoM
zDzb~9l-Dz4CW7QPPAnzvQA26nJCpvy!_M59btl+-MRA6Gt^u~OyKicA8{J#UYp+<C
z?z{1=88BLVI)=6olYQJ9|B%;LJ;ACAxkrw8%zN?X3rMt}#-UDOV0laYJ!DTW4x#X?
zhCip#EzB_lM)*?G_Iiu;xT(HtTfdf5J>(Bgg747aL?+iA<AWnH-9~SsbC{_`T#M-F
z=os|H+)t<<wv0;hFXeKel=kpFJIFTff(&vdl9(RqW1p><1AnmXL9s-H|BI%ORF=B&
zb|c!y3hTR|B)PP>KA2KR`E?n1@VA^3DIF;4h`#m4VAo1H^G)|(q{_dSv~;*B(2XHS
z*=QHWM$swB7qm*5xLVu48F-d1Rl4w7abd5>;RU>b`x1I|8cwi11Yi;`$Gzo?68|;*
ziIP_6$#FsAEt)T>OjIXk*z0nUlNf||IYk<x)ie%v(FhJH0&HOh9S1Xf2cfdT&+Y`z
zJuBkfYoPwZR;&Q*oZaC$*aL_FJjZti<o7?oOqJEf=Z)oiT?rZ9{9ci|`Iyny<>dR4
zw;#CI{L5bRUGLd9SfLnw939Zz5_hQYa~Rnx!}(C^UP(Gp%1A^%akXlc&EtvdM@rLu
zQ$<kZA!bIExwdS|ei{xkPX5Z&edcjMwf0wy;gga;)W+x?24+{2Pa)plogr!kc}}Un
z)69yrPf|a|EAlQ;Zv57)uc(r>TlW(_8KM44pKL~32r259Ee_NulG!hsm_db`asjsP
zR>Zw~cAVYVMBg7IKv%=Dt9yiIXSv1D(<28vTCI48l{G|72@W?a`v?`DvDX_1vun_U
z+LGg`1pLrtlQQAPR8+E(zZEfwQ-c${wf2c6@8_)I1$>dL)J~_tPA+_im1pXxFq7_$
zE;+fD1{!)Tb7O)H!|oykb{Ijx!ttl2`mB%;o*d;(0Ybw7ZE@rw9<!LbDJm4-;ql^R
z{-|qF@~u55uN8DqisC9=r7>-_&&r0;SO#A<g$ALM#_!mlDcDY#Ca5Q~enRHb!=Ze$
z9AY*A%gS#9aiAQ3KaF>G0G<G9GmxE5R4}+2TSn0BgbSAMiED9Cz^l3nh8qh&2dw~d
zX4ajU6$U^iIZ5gs2xMsU5Uw{vJ@+_21A*PS`1Q32+)4hJu%1sbGJrslWKAo)AI8#I
zpmBKMcpRZA16yJpJ&y&3(%{$P5cwn^8wNQ>o&o0f0GgmPSP={}=nn;N1u%94KsN67
zFF=9aId)XFNSw-|w{m|?vLT}aD&#k^5MKa8UZzNM!a*biJ$qTn2EnKd0I=Pb7L1>c
z&Z-i6;t@ai<T#x8%O3F7g{_<C{-6~I#ZrPBvaUE3w>fOBMcK?q4KUwIpb5LvWf~n(
zz>`YJ?~WU-ngj_Lx{C^Qeovjv0Xu-nuy98M8S;jDE~bx!4owhfG3M`~8&pzQx<&Zq
zQc#dA9Q;w<9Zvx783TB)Gyc=JV;6_JMFDtk%NOHwMVId!3~mP10m}uqw`YEJ%K!jS
z<4<tVgnBmBi9s!Fz3@^QG02@qmT0zUZNJ78Npk+vpMfbfL6d_NL}&RWrAA0B8Q8kI
z;#S;-Qy?+_lZc4Rw1px!Lv<cRWyzgwb1?htB(i{5dx9Vl-A+s*i_#-z&l0l{Q%A$r
zWgt!idEkUF)nqqD*As!eKUFse(+i{In}67fT3}4=(m>+?+yJ&`4f9Qf0M+dtndvW2
zw8T^U=muHy$84A1sC*&gOr&dbuI`-xUA>FAoh>zWE~gLpqyUL`w6O2Vv20D9M2F_5
z>va=S;ECXUEn+V^hV|WEI9TSo%VE70^dZ(nP5TET;dWz3oiC{5Sz`Bct)8ThwvQQv
zdP9%_30FG@8dDi`^tb0la>v?lKDoGyzyeUd2>ufkfUvX&lp|V849ICbJ>FMGzZ7C&
z*eL}&xUfW@57Qp<hciV$Iw-*&pSuQ42=5^;d%9vW`{}RsaX}6Can**LvUgONIL_=r
zT&;8Wu#9DwDzqE*R;sOR=4qDb+VZ#wTODYX;@9iY3vP`lVAo1=Qq2x^fRJeA6hAOk
z-REFH+hC*y^nu=i6BXuj)~14^2(VbhaHc!RJWB}0;~^{$kd>Wpp>c2?F=+f<$glw_
zUzbCiO`DIv+R_1=HV+uU^C@xvjR%2Vg3>j~E^Is4oVQ%vz5ykn*2QqYz*+o0fSJHo
zDS)t>7JnJ}&<3l}i50*J&mICX<VEvdJX3b9w#<6&1E!m07-LnTp3DeY1s~6Y*~f0b
zduWh9`-@=l)ezqHu2Ydas_Cuk;(MFbYvr^+C5fUyDpF>x(uqfB(*>h6w5M#(vO!uK
z;4?l@HTfKjy}ttFXikHL2<UK_odrhvF+}@`IoNS3d}uiMXW&N^o}V2a2Cd-t0}n)o
zAc+`ra0mYi0Y}1V7>x$*N)C|?J_&%F0vrMY55qr!XA=Qnt3tK#j-F4ic<_Ta@YOyd
z_)ht>5}ZPr;pnM>jv`HqLAT)1`{RM6Pi9h0IU3Yk(NlJH>bmr<S#84Y?ZP|HulIQ9
zE)k^LcJh)j%AnII4$Ea5V;n!V<)pE#l985afl;esa!a0|wr9_$S_Y5>0Za*ZML}Yg
zJJHq4aaUr7EqxCU^E%yBU)|%)YgIf$O*l9Xow{}FUCic_>mnF-mYhi?70O!e&q8+3
z|BL9se`Z5>kv^(ou_XR=k77%kq(=_e`Vn&zV46n{4u?{7dWTL14B~!cey504Kt+eq
zI1#3=0?lf$Sg?=Omk}Khcz=+S$cbzbAXEfDcH{Bfd{S)i^yziLzkKZWBq>r+#ht^t
zb=3Mm6!?j27~MXk7PoQ5N6oU{4>8&s4b_38QISx-N(5G+sE$Z%d?n$pZ$4$Q$DKu<
z-tgjO#&`-<@tELpyV4U6)g}h~Pt7t6-Rd&C-++!XvQ+-{Pd@yAm^%8eXpz6C(EaJN
z0`yh%r)zmm_4sj1<vKnW!<s%AySjVNZwN1)v019$wBOX!wr!hVunf}DKM~y|`^%NH
z8NVKS?OpanQBTNBp3f&JctU$<%0VF#7f{OJbw84w-JUixE7YskArcxWQpQo%L#?!M
z{H5fnDsYjRe!R$B#lh+61~wnK6qty`^G9jWi7*O|(%YwtKX<E9nP`umKT2Lyiy!uF
z(ttnxNn9<4wNGkaGMc=xYV=quM>K6I$S1VEFN<7M4ZFBvU&>(OT9ohkl$wF45b5;{
z^3PWcTwAwZ8pb+WK;Gh=D->^dC?eIy;l5I)^NX-bEek-&!k3}s@iBb>MB~BLO%jSG
zi@6&2%G#W(7{_+2h~M9;S*U1>*A>>uc-ixvYo5Lh2WAo#FbT|ZsP;%n3o!c6Kv-(T
zpr|sA><rXu4aZxd7OAQ)KT<9Jh`SB~I|FV?B=P9toBZeW-yioRu6KP0pVZ=Aslig;
zl)Mg>I`0*syCX01H|z#B<sW|u>h-hZcIQ?!&|e#DAFC8fJ-4yAHCL|m%ydko!n;=0
zM(f&UuO9yky;W1zH7U)Lsk#|#^)c#!pYBoGB?10_oxS&Cm4DAvwc1$iOqR;yGwHO{
zD~iWEvvmq`AA~OwwJ*{Y{kJp>{(K!>JxPB$L()QlcAi@X^J1P`=32W_^KWTsj(_S!
z|6}p_Ne9La9U|(=T+yC%r+WRpJNYgi4rj2~05@o7O)PVJET%OMebn_lEzQNo$<!Xt
z0M#=9F#re<Ss!90ZpMZ9!fDI~Hcc@*I2pE~L}$1c4L}0g$*_&0Jd@L{lfaWoWP_cq
zz@Z4&HRfQu!!}UeMUDfdnu4C?f?f5QhaYyRJD&-b@5y@e(o}eC<vK}W@#*rFs<?2&
zlX6o>Wz;%Ky~JcGs#qx@k%=o;!vzgZu^|!{M>o5^H*!!e!-3eiH)N;(;>;kzR#@0e
zT8s(>+>2*f8x+;AI&rG++^uzFQ@3qDK?~;qg~Ypt4%&)&C<mr9>15df0Wm2XlgQKH
z^t;S#k!+is_Deo_9)seQyJ{>Oa(NluVU`Fbl^J`8nOIkhdIrVcB4#}XK+`I!_6x{$
zSmNc#1sv%sMC>D_s!88N^m};uRFL5C74!QHC>taDl~dJGaYII<EQ$<o+K*+(C+gmY
zVQyZ}7ZBIVOw3>r`G!*shz16CvQ|v5P@83DaJ(Wr&Kfanyv{W~J%yO1w8cIYKN1Q&
zCo1CZ$2Fp;Oyb(QvRlAXFaweFI*@`Och!ixcSJi^OZBX-i_WIpt!vDKC^K<7spu_h
zi-KQG$PuQ2=j|3LZ}#lH5*Mp?O{*2&|3c(trr%7ugM)}xKK~o|)Ea;6j!}N3I~DPO
z&34min^2xY8Ak1Q-CrCf5(2pYN5<V}RAn9ySqh+ifwEQ=!Dl9_(f##MPyIajvuNZ`
zfWQ26TF3Z$p-C(i@eXGwEpb?-f+!=wIkRN~@(k9Zko<UVKt*H$grP73I2b?F!+RXK
zCttvmuRNDULxE~|`vQQ00W+{CiZoYlX%RgsU{10Lq^Q<^pM}o|0F)katpsZb#DdAW
z_H|{aong`{ZZ<EcQW-qGwQ*WDde2*)hZml!%jjG;H+hj*_%dNT*G;2T8u>_H=^=MP
zGHn;LC>nk1@j2KXaMqii>oGjn&S9XwZuZ>K-Roe`h?#KwR-5~NvfN#MMkwL<ZOmdt
zu_<J2l(MCex`KlEomrFJ5#Et4CfwQ81J19tiW_gxG5ksq$sI@am_z0JS+lE&;T!yS
zP~P6EkY0ZbRw|0>l-!=Wt+GLuB|wsO^{O-bnWk6j?tnS}B%sxq5@&6AnJawT@FuhO
z7gO9cd12J+qu(BOFH8ttqoi3nJ!b51?5)KvVRO;ef@CZol7!<pYJn{{0P(X7#eV|D
zZIO*qvgPgs-8-C{cC_!es+Xi{VO(?UTYSqu!C-48u`b<cc*9JJ;p5b^yQ%_?=a_h2
zDcB`kzuKXvzbaKldz(I7|6YzX*Sn$^(~Fs{={@I}qAQ<z+e{lz4_zO$-&?wH;>pYb
zr0NcEyZ#0$!d4%$jgd{Yf-BmaePn6;yQHohSrM`6<;^v$<|j@DU6S$B*eoO+aa}LL
zl!Wm)HhY0II^Z)QGs%v8%{KZspe;_l9;d|>cVqJzd@UxZUJ~kzz2)xh7X0)x5A=fY
z{A*~b{W@yn-Q3NHr`@D-j}XO}@;KRz9}E)Bzd@3H>i^3L{wJKcAJLNkXbP$Naasa#
zSl%=El(ze4BoUplI-%YnSv~(^0htKC)Tel%V1p`?K<>H0Umf8uL^cI{&BCsy{P#cp
ze}V?$>T9T}wt3UHCRSGC9<2F18RFU`8=t|~^266J=&ey?BK1s$?>59yxu*zrM291+
zg9-jR=*q}_pX7|8MtpsT(@ihGRINtn;hx&A_X`H~(mn)J)6@-`Z_LRr`_WRmI$n8+
zVSw8Nyiwxjd*>|_{pPI(-!LgX`k9430lc55_5UU&KmR9CNe7rHUg|NJD(gIhX_7~D
zyxwpnVd|Y5!215R(pY3=Q&Xkh>P-Xklh?fY1>`5c6~+qpw|ss1{%fed15A(A#)tI2
za?XXqi?99aXmQV7_v`i)9RHT45<jFy4=`1&jSsKoIWBeKaFgun{xxf7^P=~9|6A|>
z=zcXh3rDF7P{n((dP$qysEmJYV+BUYnyt&zkTqtV=T*M;Jtucf>kNc#7_UwKWh;#@
z(HLD^yXm;98a8D<knqQsozf=k@GZBsz1d=pxmUfy%=U8Fx`ODYv$WgxRe-#->q@mI
zp)D^F@3r05oqC7~tQ!}zEA+Ld6)~$C8z&|c_~PSRa6Ly14&I%E)ym5#UmD77XIZ85
zw&+&@hEz}=)!pBSOL^-|HI?O2pwqpl%)I29XiIY_#@@DKOT+73&bXGY6Q*K!H(&4)
zY*OkpxuF~dscYncjN2}aN;O@ZTvM9r6Z!YuQbt#+Zf6=~l!6<&44^{03Kv|gj}X^a
zzfmY0sCX4&V9aSO?bgLtU)ubV8`@BjVIcBE=Mwc%siggdyEjSwnjhwvn_$96mM7HP
z;Mxe)zDi73jPZO*Bl%WZ$f67rZiCh_1`y<(?<zg9Yrf~uiy3<muL-@6?$3pK(v7;!
zq3IVqGw3tH*PxpYp<P!jCuEpD!!RXkL<x{rfigf$oLmCK__vPsJ^?vB2_S-;xMXYz
zwacPq_<lL#&oUsAf;S|o2BbsZOoW^dfiEo`{S+4eFcb;pHHA(NB8bj5Bxy_lmMYOF
z9`T=pO^&k@f0<8A`?9sGzOz(IV``t)<Bx-fw<RO6>zcu4Sbr$><PMHfjMlt<;!3!`
zna~NMM9m2o??nFS6Dn$Ya_5~Z$F4EMnS7lOny2aC3YqM=@M~4)>1`QC1P6WJ8+j@|
z!Q$KEYe8Gl7ihYHL1LZl#&r7-qub5*JJ>5<bktvdPVn2wzfWzQilK$f$wU#JmUF9R
zwu_kz7#gSH-fco^u*LRy7FM!I$Hx{Vy}xsv4pHhBM{%^UdXW%(0}7@%1er{$@4UTk
z)7mZ6B^8n!auP3B7?AJitBKPh^JJ6TDk8V@O3o;3a;2H*2@E6mp#>}W%d^Nz@#j3>
zj~;Z{r&PdfxIZy5h=y5i|DgfH0}-)PTjXNI-2_R=AkQqY2j2h4YWk1linLM6iY<se
zE3+GA*HgFoj%vEunkFGJQaV}YsP8g-GP>VHaVJx4oO#kd)nybAw{N<!fZyLhL)U4K
zGKX2XXOB4N>*Du2&IE}W#_Ux%m6V+@k1Fkb9n6t%Q%)c*V;F4?%x@BY!@A5*e70gB
z&hR(pyKRX=8SyqVDQaU6rT)^UnTE-Y2+59GN-GI+E7ww{0~WT2APH+Nw1g5CBw+vJ
zMnV0J?O6?t6&YD0JSGa8K_G;8T$fG^2Scn|!sUBv$<C5W7Slg)beZJ%|4DL8^<4_&
zzvn1uL^$<)cY+>6VAgoJrZk;;XFki7w(%uw!T*E2*5Bvi2H9GJ7sW432~FB!q|!V%
z;))zscf9OcLIM4K(%rv;pY}h0XX<s75vS2PHMQ(jg9R73kzEp(Z?T6;QoR4)7X=M(
z?OJJfW2TznTgka$sSQZhRqo`dbbJp9arFNpp|%yEwXaR>Otx)5*+jFulc>`5mQQ$s
z)!|=o(P!~%1FozqxGcbx#rrB9aAn;Ux0fd63jZJ6^6%U7E;cDyv3arDc{@>dcT=fX
zold_3Yr4ijYTNw4YW{n&=6`=y+a|?r&${)lxHMh3@df*e&b-}htdo<%zpKT;-}8R|
z{;U`wTTA;0b%pEZ0=YNa^)~LiolmdR!JfK1`L>XX>P@-pJ6;FvjXA{n;(lS`>yMcV
z|5>%9c_{VJ`J_9qjqa_ydb91h1>K(25cMANkLk7PTzGT&v4ZoLO9#%n>0(0Ad6JvN
zn_q966;0ZldPc{p{@SZHZ|ysjFPN|w{i88mLc%pJKJ??rPNEhhR`BGZO8Qtw^M=g~
z{FD*XpeiI3c}VL)tlDlD^MazpA!F^<x(=*^t;i8p^~guo>s&ZGgVV^x3s75ZL3JH2
z=IplGuI-z3JiIM8D6d$lYfvw7otr*oyuaLRuW71=!>R$IHD_NMEpM2GnTK>l_#L`+
zWSFbcW0#S7kk(o0ud_E6VNB~_z1$|-8l}rI9@MwFH>XUJIi8e3AFwbG<==+8rZ)y!
zJYKjdek0;|WcofOG9e5uP|%vvkE8rk+1;Z5nWML}Tz{eX_zg9GKJFODvv9V1UdLdf
zfr?LKvVLihAJ%aN{)Vk4NaYp)bui?J8CAGO4k$T#GaXJ9cbsTB9kyir#N-PE9*?y7
zJC8nY@eb?f?ca7%E&|ESm8%yJ7X%ZeR9;FB44hCBu0~RpRX}(YBN~SQB;cu8DS-_}
znc{w<#kY4{e8=>fyolk~HXC>Kk>|p1<JqY=O#1k0;*4Xh2W+;>dqm5}hE>TKnLHe>
z??5l+CZ-u8d?F`yM|@-_{w{U#Uon^(-6K-$FRLP(eU9rr-L}%}oA<CpJ$<tv5@EO+
zs&1qW=#ufL^FjZYIH)U3#t(rm885j#L6;1Vml}vdyLXgSJAZ+{{arNEf5l$?=kHA2
zc`Md+XBU42lEUcjUF35K+4Z}Xm9JteNRIa7C{j-FB@06F4;<Y#Fu|y2Y?@<8`!XwS
z-Nxsj0nYult37L%P@4Y_5}mr(DBS|)*;%>Wp1?fY>~}E*m}kObq{{q%aL2zdrQ0Tr
zDb9MI$?_6s##Y3$aui+0Y)%^!BE1Jde=3>eG8{P)u&eRU!EP^6hE)H+QLYvPks|f_
zRh2yV6wJ1-*T*V9-1VxX{1)h$w)k@YAC!k}m~u<+@J8taF75qS_i|3@=daRv)jI%j
zEFmzT$7mN@MWhGiA88Xchun&di<>cKo}o=8JCp)Fz$OGyijyIh3iSWd?QVLk-15Ot
zP`0OCSYt7tagf=Ca}>%d&j0h9{e1y_+!7bo&u2uNC=-Dc5y-uL);Q><;7*y}XC8wX
z&3BCFzvt*O&G~<q=9~fjM)bN#+<~4p3%Qk<IYO)(QS=s!HW2P9xs&3~cbkvPS&lhM
zXhd&vSQkMy0i!x3xt`z)*j2=N5F-aoi5!kp12Lx9-s>Qb<T*5Y3I^$EKxGPL_>CY+
z=p05q%h5=*(T3VCx8hI3$YqmHI8N)JX}!TGwcF*mZY>@r{~b?)zf)};uLPAI<bW)?
z{V9xC2r_R%{6lBx1?ONik`z;?Q}Gj^qVMH9^vp9DA~6o#9obD>4Jdxz94Gsa=l|Ed
zRl!t`=DELXH|u?ev35BMOs+GmN1)yhTHjNVekGQzKxU7_*!0THk_>f!`)|#jn&ehz
z?N@bhK)*NugHPS!{@QW>JO@*LP6SlH6HcI%hmH3wE^`VL#5?Un^mic$Yv!|Sh9%%o
zepCk!ZWNB=R>wBA5{B0kd1~3U;ccN&*dRvx&G`$-C`$`F7H18SQk0X7{C8`{)>$k{
z09l&4Ofm*oXuicTJ`Xs3&F-`ahT=9vj!v@^Y?T42RR}2|+7CLRFDZl}T@~~gc{0d=
z3P4Y6fBGfk$Hx7YL^kN|gW81;aTI?=oV7O~=RY%xGbKQ82nOUzMFjh4f*mw)Pm;#M
zAqJGBsRAN`r{v`Aw0Hnm4Wfuek_>u_(uWp-U<=#0XuUopxvcI&fyAw@dlL__aj^5>
zK+m?4mEiRNBZ!kMAYK+j;23<!x~msGWhv`@i=71cwDMczWIJL)GkH86J=;QyN5(3>
zK)gaIj|++5SUeVr>sEAuF98SS33W+u+}=H376Qz6RnhRw1ZXKy5326oEg8y3V<;>^
z9UtJh>ESE?`9eNY;a`}=2N^Izj4^=nRa5{1G)vstvseO<P~H=ueYF7vk#xb5F+ga<
zB#Ojg!T2F(Qt?>YvS-m|X#SqIpd^Cxy#@P?>c&a<O?x{jF#jW1ahUBb!W6E2^~Hqg
ziB?d->jHib_Q%Z*;{a2_J8DOc9)cS2Mcf?B`}k^#Tpp|w;2lE}{2YY}7b%fNS#67#
z@C&IFENo*5k|<TOrB`rV9hS4e0<rk-mJ<v~xs3jm35H*?>=x;hZ~h~FAR6xy$X;pM
zl|<(Bb&?+JIECP-KT(>Ih4^v==mfLl6rl^*rt`;6vjU9~J`WTIFBm)wjw!|Uky)Y?
zf)1(!IlL8Sb)|qPcla7|)FD9x{QXJ-qPVTU$4zoUa@T*Sbp;Q)qe>Ad4jsUdr%PHL
zObb-G2V)(<4k+iaq9vN+3}9b+5($na7?jRiGPpFqc9A;z5nmq2!~N1!{wIo#!FrRK
z<q^dNcyLp2+(8kN<Ww?qc~H%nj_7ZI2wNw<aO{0J>+4DArb)H%cNXk7ZsI?x*T-Tt
zv{>ViEa3;ewU{T5@>{VeO4AM={CyD_!j1PJ0~QlTC9xn7^AG+*88CnT#sQj~Nfd>f
zSIvj~e*VT;dIA>RMnAA)%w~z=2U>Ac2;9M+BVYtOu%go)Z*vJbIe?hBY(XM6UlT<x
zwoFI~F-fgE62?%{_4<ulnBpmZOMg2LZVX<~L*4`*POWw17jQ?<=a=J=wT=e{&~>d)
zT^=#thfGbT4?WpwiVt+$FR=?MMi2q9<UkVH+r?UB@kGC=rB=aAE&;+JZi7%cbYS^v
z#&20-46SdG*zFeXv*h61KPr&iX}?IFk(o;ajnU~uumiTnqjlgYGIr+-W4F#5VA?F8
zhj<ZE6j&Nr@1Zxi-2NQ=mI+WFaSQcW__LsYkH7aj8SO4aNo(ZfI2G|0*B5Hv4??a(
z+6?LQi@uisMMJ-*p%ICBgZZ{y{J|XjZH5U>q6BDNfdcH46Dp+EATaK~W7z$5T*tp<
zH!WVnj|!`)22f&ZR!De50%02#Ggf^5=r{Uaf6;*}x?BN=X%Q6;>pllNycHZp(K4sj
zvAr`z#1}F|7r$(4;NaW72A1IDy*j5E_Fkes1@frbXYtnj4u{2eZW>y=;}E*P3r<+A
zPI{kw=cZXI`k&`8zx&@Qk@$|E@EaB}SpEMwzK<VSP+wM)EFCyq`RR}CcN2{yw_AMc
z56vMu2y?y?F+fE{bYg>DpIoHLmhu@}hf<+2Dx>~{YwiSEF1sO9;`D7mMAW|pMKz*a
zg)gPdzKi)RkKbeS{q1Xp2TRrr)fFRf8<I%xlJDFyOU3^46y`VoJBG{m3Xp!I;%0sY
zzh8m$I~uByT5NwE`SI80(&82TtbD*flAEgmhu{1tP7z=0xWDIHf7nG_#-zUC9{h$S
zJimg=nA9>R^*z&N8IxMZq?Xe4FS^N>fU=85zRy_p9T(wWQuO-8P9j;fZ}@)8nA9RG
zU};}iQZwc|p29bY@W3W4V^ZYHn3PB79E@NGxECMoSmH+hwzKf<rXavhUB;xAF)09r
zfL<<GZ-9jySmH`9?lZoONqslmw~R^6;~~qK)G94F04VBw(PNijFJ}Pi1LE<sMu2#_
zoPur<s{JEFYW}ug#-x@psUJ4v`WCeGZ6tjellopH?Rz2XWlZYZA;0B3sShV@8IxMh
zlUlz_#I`sP`&DzJPxj6qN5r;_NkuN_Ni77{mh+@O!;akFi-Jfk=ShLi%FB6D%Xw0t
zQvFtyEayp$?eB$8QGnFRBVLYTxJ5ai%Xv}&xA`~pMfwRaYQcV6#-x@psbx$GB-TEF
zCg_&%QvU({-bk~%mocg3+P&{4<u2FmE!XZXHwXCJGzVD5q?R$M&)~u`Cbf)7Nq#Tl
zxLmupT)X$}?$Cb;CWR`B@#MT+Imu4%wq1h(?qIOOcW_oq(=h+CDbt$)8H-V4m4Xh5
zB(f|$V)iUC8!@%)1}?jSKBNhQzfr16O5wtim(5*m2d75L06H>*`k;N!<4SYZ?|kTg
zzHRhQnKq?RK6x9u+ES5UE~|P1J&+!WzUNn8(j|ftY94cqn1flT(seVzEK-pD!|48w
zIhd|I?9r2^#>TDV-ELg9sxvL`&2wzZSJS#ZaULE(T=Zps*Y$tweFa#Q+xqVyB`6>v
zArcBmgVIP0pyWuGFobjtNJ|ci7<8jZcZ1Xr0wNuPw8Q|?-OVuEPxs#Lz0WzG``^zw
z_uT*gUU{D3oAog~v);Ad_`T~}>-XAie-_|HP&I=beeTxp0DEUQA|-Icxl!Bjli5!g
zvyd~8XcGE}59Ce)P#iO#f$9`NwEy3H5TiU#X6*}-jO`Y^Vmx7d0m%61|JpEM_-)xk
z2Dn|2H=vLUZ=PPctDwuG^Il|jhTby(^acEdZm52d2!00g?Lm`);2*#R@K3a7pfeCg
z5{d=5Jca85tP*0sFjlKz0915nfKf=o6DTHx1K&)>L=&IdpnVU{K!+F4Kwl&P7SQA2
zQ;dx>&?eOx=z}l7*|}GAj5+x$qbCU3=ges=X51mqmp33?8C1$&<BRj9ecBhGLj2P=
zW0r3UBzRkW3BBCtWZ{4nOAa-Xziwtl*e*jx+&=5PcX?_)Dp#5GS=9AWwV_U!N!6f~
zyp&a4(!7J=X6TCHE-pZ_^WNeNgntmC^6wX7V3*SvlFpi8P)xoV6zC@UB8s?w!1(Wk
z`1cPV-JgX6A>31J2y7luDAY1>wmsmSoD;WwjvFdPG$R3&J^2sMY^c-_PN)-AU(ucN
zrn}rDlZ@Dr%vqJF#d83=@jumxzZL3V?fC^)5Axy)#Fw(XPX^=II7qa~e9J?jT`V*i
zhWcMr*MG5V*tN`3LkvS4>5&SxccCvjd7+gcyQ_&T|M4ODTQdddcrV=lM#syx_l=I%
z?i(F%GJewEDA>O`7k~T3f9oFreq7zgul%@0@?ZIJ-M;hVe!>RGfdAw={>8cY-?}b<
zu(sUqD`9P3-#5Zqx8DeBL0{SH|0S>Y*SdZVndqO-3Gm0V?SAEtMf}bm3;Ify`|owg
z|GaA$0Ih5J3xL)&+T$Co>-ev<uK(#F`8!XFT?>^OVjSW&4OOUhwt0zPGFF9UCmQmf
zx2y{uz+}lg`}5@uotq#Iw_6A&d2-t$Nag=!_1!J<^MLKe$894=6>ZH*F+_K7Jv$kh
zd%Mhn**8DPFZg;?m@oNhLP{1@t1Sv?d5)V0eZ2mW>qdWttYd^R>$4fZ{+u3N(4y_{
z#5gT09vSnmnf!D*-j<HYP`(-r0bg>EpsL@Dv4QU#f;YP0C`Az6pIY1~CXWTwY3Ruo
z-5H2fsY_c5{z0bzK$}x%pn8CTYm4vMFCRe@o$P%5hy)%`?9d!>1_Jw`Q2_Hz(<i_u
z{JilW9}k>_eMI)iqOR%C0S03gFJD%tdgVH9<xI|!1Xa?Xrufej{@<x(<R!b+`U1t9
zYvrmdp30dV2?@|rzAo5F{!mFrelgWo*ixM3(e^a;Yjr&d&Qua{7=1hTpwQ9nxz-g`
z*6hlx!Ld#I-RtVAR^o+|e(+?$W+GaqAnoUR%3L}I{B)*%!YV*ohN~R!Q^uMlNSMQX
zFo}AsC|v0x4+hRo&yu24w&vam^wm{9)oU`nrz;tTS>m+q(m~hv;-h>!SIFcOc(#nS
zVn*-Srt%)wn3w$4JKc{+|A~?aYRkequ=3~BGr?^Pv$)hzS6W<(6!@<Vwywi`f?8bc
z75Evxz4vGNVgI2D)?BQ6t|;nLf((B0$mO*|!DCD>m96Rf&5vA~w&$W}RQKh0qw$qD
zosU{qq~e?&E=RzDqq%Kl{coOYM4oJ*CBcBW&_)>h$_wc(nY+#DR3H&%Ftc9DDNE2m
ze8l3~A@lK5^Yl@DntH4=klI>oQxbJnz=OUG{-`j{?XfQMp{s&D<;AX;A?3je!;QC#
z`;pZrg(hkRo9p@Dn3eS^h5qU1q1-nt(}piy4v;5Yk-PO&YT@wq7e$zGHhbNY_j*fz
z+>@I1*ojqVpG$|q)B4JCTcnfL;;@eyfy%aLAoG`Z%`{6MsV2Ja;byqI2Yc*Vf7*8}
zGh+vf0Lc&5TAI8<{OmEj;S9tOZy!J_3ZX0_tW4A^d1o!&j^mgjk0wkKI<*;4SF)o~
zQ{JdQx~gFHu`yTv6iAckYYtOpI)!6c`J0$hLnJHuE*gCjLK9XR-Mx`nSW|u=g7xc_
z!rq7R5Q$P3kG058H!6yoxHMG!#m74@vN+y3-)g9WN;9!;Z4&8p#Rc|U(*lKnyL6}k
zvoO~r$dg&=3%$$eH7Xf#A=hEsr89J>B5FWS{o71YD_C<ff73o}pd+nsV>WGG&NZ{i
zG_HnOa8QO$Ep5~FJ?G0z8O%hlz+VYx@DmmY9zana;DDHjXQSG~mjYh}y$qD&0l6yq
zC{^7#R;9`jX8NXR=ReAqRg3uGq0^6xn!#6~`soi>Ff_&_X1yawclhMG+?8e{Ri%_v
zVFz$O%K#q7K>HdLwZtUxJD=)|?nIZ*@5by!+)o%qlw<Ngh9ZwyTX$2m0<*3p(9}~y
zcd&K2;;o%sQ82XWr5}n}sIKauoQIg2T-3`<?eo^J#{p8+A5M_v_xU;ZyOJhp9%juz
zY|27t9<aT!yc${}<zxPmDwK6R!kR_CgR^d96Gklas|?iBf}z72RsG#H6mMV)_F&WJ
zR@;NG-=5S<3!$UfdYxy~(-1Q@J`eJw`-7E2CWGs{o)7`USov&TWBAeq%cr4l<ai`q
zl*E;)9F7M&ezU3zQ3JZa2tlxQr}d@D<uAjeoiW<MvLp1}8m!=k{sp=M{NMHIyAY(4
zUg}%v0&%wCD<Ho8=2@US^Y#MLJ>$D7VEh0z$Eg0rGtgbYo><#E)RogtNlztx7#^LL
zLtvsdZ`~2%N{#z+uA#RyW5G4MMoBAo3N9;Y-eyNr)Xx%@X$osB79AR*UT}VO3)|)a
zVh$Mh)5F%w{3!2o!Tk!!2D`2CH>wRi-#YzjSQmi4hnjFs0euh92!0Oq{r)YwhW=S_
z2BDSWojk?UA>bUJlY%vkuKhaVD6(@)xg{`VbUgS0o@v!<s=9U}wmf1?YB8Pq+b`Oy
z`$F%=PEQ^YQwi#-E=HiB0F^Kv8tBLbwUwcs6qhm24Nm$tj1ghLzY;BJe^*E@UNzV^
zXP5&<ao7V8zz%K-w`*QF%~~C+YbX3TR<R&%;E-e;3ZpYws|MCN{M+_>_r-@ZiVpSu
z+Ds`^017Yg+{Mww*DPe7OzVVEl$|3z$aYT?mCJM^Pe$wt+|O|SZu+2QYnt+=4XTYA
za8M*I?2U!Yu^dHLLQ5p$v(?~!S>0gWa=!U5gN*1Cfb7Wn_gVh0dJR%UlRaHwj#E~G
zE4RQ{_k>S7VgFnnugQT=fWs-<Wy@-ZoZhjm@_L@%-Xe~k8j_(bM`;GzhcIG9Ua3M?
z!#49vB5suqYHz2iS(lmgW*zdQjad10Z!PwtuAG5*Z~({A^fq8~<>g!_drKPNIM~RN
zrj~X>hYEfVQM$jI6xY|O>NX2dbilJr3xfeV<4g$lE2LD#%gHNT^X_i=_1d(yMculR
ztGBeEANa9i2a!~Ar|ihO>+|VkYCK%Q|2GF<-}U`1+Q<aZn@C-2HTnE=pP;xwrxA3~
z?>-NMoqAuOEc2MDB)N)q?RW7b9HK~;0sd3qJob;hUcpD!Y!|Eg>dAR%oVv<#Kis9h
zi}|awegw<#b~s=4qU}|gA3d(=!t6>EID<GYGUAu`q!Kjn29k!DN?KN`2YlIRMnxh&
z;LDPjjR0RJ`zp6H33+_8XD{0&HK!v>8vfw`_2Rd;Kq$YW4QP%Y@|}|nMif+O$~%I1
zheN63kx~<;w7XC<KhlSjzJQrBv!<vyT@n`vn|gPg*lb>4mr2QfOsAr+&Z1-1t30)y
z<EJ1yuJIv2`*-~2-hVtx{@*n$>^r!4@>l$O#u)cYaY1FWhKvatR(j|h;uUAUkbRQP
zgH>D_n=8>p&s>bMYFrNVS~8$tkoV!7!$`;IETHcO_j+Xu>DkF5XokW}&inUlx_pQm
zbyCsx?mIySXYpgZA-QWmQvjAh+X8I>EQ2hEnh3CrVq>shRvTR5YG2%Qtv4qH=m+yr
z1Gmd2k@h=(O~}9sFo~C)=$)+l$Q4#bH<Gc~3pRxK^5t(6d>07r4;Gxf?557(uGRN>
z#?OYHc6zo1+0Zsj`LBbCzvlD&{u*X-0~~6M6Nj433yAbpKUg|tW3mH^=HZ7Iii-Mf
zHtVkEf4y=|pyan|4l}*EdUWI(4f)?)D=_+iI?-k}5?Z?7Utr6VC+0m?Up>#aJVuz?
zb7Y5JiAw&e<uB~a6dgbnFXWEO{1_=TW@cAn=?Y-qv8urLsPxyQe0l~V6Lq&IC@U(w
zMsbUZlswE7v>J~}aD2X-%B$x1i{SvqWCg@_(o^|8!vG(?iW<2uL+5fT9=Dw^GS6Fv
zzuHb{zmfVhK6PyU42085W;#bc>2@gaxDE(kE|3J#mACE{6RtZz_)6l`c|%vTs~)-}
zRY0M{e_O;y05OkWrY|%AfXXpc8~qO@1pvczlD2(A{iiBL>GW^5<U2_w`Y7g>0gZ!b
zmD!^K?}&?YQjsVcafjninepSd(~~CQ+t}Z2DO~ITu;e>dneDX2n98;WclPCc%y&%n
zPJ5&=H?uRCSrx@Yca`_9$H!;z9fNzp0~7#y7}KceZvoJQ)9fk`t}S;i>o}d%%TS@q
zZue@;si)R|@kC3kNUKLH1pvVbfY48V1Z?%@lM7EW4r(ZV1-S3)fxhqaOb|GTR%Xb3
z{@QmxVxaGLj#a)J5AJU;@Ad!Su8Y<K(W{!Kplt{g19=_(W;JX(_w6MhdcE1n4ti6-
zvMcYy34~JONS6%Sm)y<u+w@6*gW>#A5xv)ReV*aEao<H>n#iQcLKSqh!*`#qHp73T
z?^_-MaCm%Z**XL5FYL?iuNuHdeLmK#hOHuN?40HnsP?nJ0*0}#fZ-d6@c0HI{*snG
z-2z}+nx&gI^L?EA>qgS{C3ilQbjY>zXc~6>9)C?06t#jp_yF~<?|NLv@@R|0_}%Sk
zcgK#c>=Q<+4u9su+g>w!dEdbx`F9PyP!B-P($~h3dQ!S9v(zy978=aZsg-ABQr6Zh
zHHJ>bzh2*pc*$%XFUQ_?A2!~By<1yY4O<h}EfoN}EoRa6{Q-$z`wf)CU)@Imh;t||
zD#8X-M&2+3sPLS<06%~@jloyg+FMj0d8gE;=hEZNu&V2O>pwmA0Xn{F_U_8GyMsb_
zG0^c&90s7{&v)~_Bf*&O>iJ`Ych@|9HdfZY0X)F)eVU2@+*6SQ%L)c?&qDiW0QdCH
zvz06y_yqBuTGLqOI_C5mZq@?8@wfN&N7$i&!75ti$loZwAZbg>3mB}{jtO9}G7MX(
z`M-6VP5xIo&i^<vA--FZ-S6P`hk6zzW343r&D}|T2dKAEGFvCsF{6)w!MF2Dcn%Cc
zt#KX~+-<QDJd`pNkj0j8`3!Vna{Jo%_W*^n6#(6=oLsj)=HsZsL>}+U$#%UJVf`X<
ziQw|=4B4>DVcC<ic;mSBdh&2AI=~G(5On1f(v$b%(Fuf^K3R_UN^k^}=6h~P!J1w6
zix2!UJpG=;OMKU`zcf*?wsk;SE2@qY>z<$f*gj_BK}GSGI`0C%;;SzC)>?H`gU=Gz
zhJmy;+WxtV5ei}G(xH_Eblsg-nzqt6Uro+US2E~21-M}t-^S{rr2YY9!&M-D;PRw%
z-N6GxK-E2|>~VlxTrJ)9YcAgK0?5U$ow9t_FTd~D?k~ysU$!FGgK6d9$!6XqSC0;v
zD@O%i2y6%`7DlT?@LCmG5^Rj3byn7qr^C0hv_89N!8_d!^fG=3>ujtPrJKJk5T%s;
zp3nXzA^#eH)vrB_oN?Q_cdVaS68@~t=FuJ9M*pMzLZuH5eQ&dLKbvWJoB#_AT~>n?
zG@41<fE=un04#~UG~N+6jRCt9zGH<W$CcCCKFkHy9sQn+11REGz51~U{R43Km-H%f
z83+VcFxT+Ld~9_XX869Ga#t_ItvQhhMQJ=?X#^5Ykjm2jO9GGi&ph4t<ift|_Z%tg
z$6^9-;nz8Gj0=?iwl0S8LkFGmdxG?P3V+>m0{}hG`V}L@9^fQ?eg_yo>f?M{)k$Ii
z?iW=BCABzjy2YerX<=ant6g|1Je&KU_&Uqqa*G4h<3G5HaO@ue@Ty;pi0fA%{$nSd
z@;yQNJ%#6S_hCKkbryk!=VNfh@-hgHT$|H0rZ=(36I@XJL%PmzV?{xX#4r#(`{Ttw
zwi$kA#%~Kq87;ppUIIHu{DXlVDQi8ep=+Ok(qLt$Z`?+%jnue~FLZYEuBoo2t$HIM
zhMQHiqvEZjyTJ0?g}*jgcyqvlkMyTCJDFmv61}dVNz>=inlBy)C+1Hk+%-j7$)-ZK
z>`^cNfF*!6$sa-5yzlFmu~az%zr?6@FO2CV;$=7V^+4SLl6h}7<j@T{6P0{H)8Jel
z9>450Y2n;3btwPCEPge78}mYa;2B>ju*8uBEHC;1y!g}BokWKa{<mi!?%$qU;HK)p
zi-3jTqR`WD7R)DG+WLa%cacXbI9iFf92vJ!Jsfs;LG!t{9DDKFWgXeu7u+Lm*=>Dz
zQ`}WKig!|9pk0z<GZMLW%~NlUd{PN2?S5U&t5`z+s9U@em6y?-=Nh_boN__`RfME%
z-1JLQkZhIe<AweeJ<7PqImHmyr`~<`#GJ7sCYD+9&`yG|R}IWrGfQKaTQaN)wVn9Z
zm^!-cYC_)sv5Lh%;Lj1@q<`YgcK)IqbDYKYY%7zm9;^6sMsEj=I;K+>H=sPwfIi*c
zDO@>)e?8Wc%R;{-Kjg-1Dmmh08T(kuGmu5043AL$OhqjTN@3;H6<v2%eR02+p)y7)
zo9c*R{nCWa(s&VART1e`F9L+hw4%MfQ2+=A-}^z)ATpZ#^DUA+415@MW*3aT#yquZ
z<Otq3mzh{HNj*6?g-S;VFN?9nyn!1I1<p6v)EoAKhqsw7NyQ2*R}?3ecjeuF_4xBe
zOF6^!?XE|y%GQhpE@<vXRQ;C*G45gI;T;~^wbhUL2#V_#V>~i>r2gG^oFa%a_=-Ae
zfSoG1X|9VpHGONawL&aKj#4S80~duQQJGh|*ZYuVB_b6z?o=PYii%syPydE9{ow_M
z)ata7mlQU>4=GKr(y4|KO|kMN6n#=;uB5u!^p?Wp%3CwNO}rK*F(uqtmXg|I|LBc@
zE3z)qZsx>=16Z>x?LxGEj7d$OBD4`I@|@49Uv_N>$KBz0gJ`c@hrNH+z7eM6?F5Ms
zP^}2u{j3*W5?p4OJQ&`quR3x!u>8HyMIJ(;>^9s@vQeesMS;liiy_AM1K$SUFDZP4
zHN#Asg}F_pV{j_faj*;x`LMs-Y=>ywe}s@pgmo}0zsk+K$-H3!a<TVl9?Zhq-t$;|
zvpv+=S!BYjMx4Z~JtAIh-M78+*#()HWxSNFN1;QjIAQsUH$Ta9zJUoEzvFkL=C8od
ztt8@F5i_O~>|x2~!m6P}JXT&-G3sUzN0t^oZQNUPjZGt3NQeQ;`#hr$e~tXQZJ%dC
zPvfro7kf%!)pu5h1R)ZvT(XN`wj&g>zQ9QPp#FYBrR!<@aO_e1t?(`gvFoioa?Ku4
zyy?uql#f*16F?Y;uR_VwY7sD$hNVcFMEc&u{RG1OA|-E&$5gygro5k2?%wBqg6a`;
zn5+>-CRX(bXSCBAK&^lwoSUmic$Oa$upH9Cs9%Wj-YD#$w~PeXH?z;RUa~B4?rCYg
z4H5sfH>)LG+2?U>BaDhc=<DKk3A`IR)lo;Qqw|n;U2o(?q=MHzOrN{T>cE);l4hOg
zthLQn!C)M`|J1TqlIhv$m^N1Y6Iteo(va)H@v*ZTa~Xwapu!f1CbiOtjgbj-Ox@)3
z6t#W!S6qqIA19n2-Kj!60D&6TZv~kInOxN>o5PchqXw^_ua3S6N{tsVA!wR+i~o2n
zMsaL*+)ZHWj_E-gvv^hRY;Y7T^;Ce4(KZc6vB+=gtgYdydVTF7A?8Itzhxc_6t+NQ
z`7uHI0Vcz%nCq)1S{!+a7((r>Iqy;a<l6NrfJ#1%5Y4|LYjI8LNh>qx0Z}rD=)=>9
z&m<6|mT4!EZu79t8*AHkB5xjEEAgBqP15W8^(!fU`AP_O`O3)nxa8G(bLk5rg;G<+
z@0sgGl*CF$Q`IxGDBD*)y;e%P9q6&kLBpVS3)<vW!gOu=slLp8dlfe_WHhz}W0(*o
ze-a|YU3{!YJb@eL!mGKY--&>VhYZ!052qeo-Y8gKV+^@adZ0qNMep)i9?XjMghyHF
zXd|vK{tMq6QIew9N7fEfy-$FP=9Keqns$y?q>mA+VH|hk`gCq;|2-z{tGwCZcv#x0
z8+(L+Lq+Hw_0>>P^579Xwe*~Z21<rSNrtV=KF{Fv0}O^2arCPU<`+8?@u(VFv)fT0
ze;uXAf3J#x?33AmB1)#aX=u9|U0IV*k_w7^yvQSuQp98Obis=FTy8<Ix7R=3c#~9S
z!bX|%L(3hD4SQqZo;n-0h%6;G9$hhxXyA!fP_9CPP<DA*U%TNZ#8^FTxfnWL^)l`5
zcqH?ylvnH*QLj5$=p8XIX$R@<r?E;KoR)1Yl)zt#PXwh$Z;Z{?ta*B&w?zuTD#c~$
zx1*kDQE5g)FXBkJ;Lh3J`cmvJzNeC>kba6Bj-5k#T*fb3h9Hsd&fDu{ODQUC^Gq*l
zC<g=C7JQX>9F`w~uR#Hk6m5%qQW!(oal%L1RFdDezo*1p1YvHbFjfFUIaJ1X@4Qau
zX|M5m6EZ)3-Zt?FYhjqLiouN9vR=`@otkA#`j~)3=w&M52Z0A&Ra4>dS3QD~y7~cK
z3jvH5NA?zn^yij*9c|Hb4w0eikB{&<fUh(2+`IAQLW07SOc1R^l826zza}M>IXwu3
zS(Ka|m7*Z%>CT0Is-Th%*bz2bx*@}Q&B=lNHe+6>fR){h1ll<Apc6C}>n7z06jStI
zV|8B4KX!*gL?}<J*;qNN8Q+ZTLm(oTDiX4x_xXpA#d68wBN`Fuvvw*@Wzkq9Hy`ci
zY33++C1}Ua?$_021{4S@N9Jy$5{HLV?#WHxcp-9r#E)m;iOfTXWga;1Z=;mlCz8uv
zb2HdAnfaQG{QF%hM)ZxR5w+D%<ZXrYl$hn4`tVG~N)%fi*FaoEwxC-}0ea!3!DaBm
z>0dNbrj?<oIn7l{BZuwbLz(l(m*h}ZWr;IZVh#VmlnIz^c5x!dF*_E+a9mZIa!tc1
zr$}(WnX>#2e!GE8P~44NU6y#6<&^0A`ZB#O6fxL-FeRuEqvOP0*IPapo6fYFx<H8j
z>Vvmr<u==HZrkM(lh4EQGHkd#`5N@}CAsFEZa=pY-&cu@wL1fGDbpX2-QFc5SsaFO
zR@BBS+A`}t2?&*`v4WMaR@;~e2-Du+q%I-JkXQG6a#Qxx6WJMkPUfn<K2P89CdEsx
z#HBc9Jy=0gGXth*^Ixq+;_o$18i<L22BiIC)6Hn778;X1Ugwe<X&D6%*gA^d(-kyj
zQi#aH#d;8$f)(2GMt&U#eOMvaE&YmvU-5E1Vzj`eO=I5S`!)1g!cCTg3EmlL_Q`9S
z1lti;yz@0-qn>5P%%%~`OIjgQw=eOg?d%>MYg;&OCL6Ee>M`3MnvgsV&e7L9@=Sg`
zNM?UxdN0{~P=BnLi1I@G)I*`P6|xlS<vQiTC7a{o{axTaV(}DOUbNhy%sJpzz!#wq
z3%O?QYJ62lxLwRCZbXKV90Kvmw@ag-)hI)8-1-NTt1dr!iu2D#?w|M>#_+iZeImm6
zWaPp^m>_Qu$cKzE=6wW|P>}TXgcIL09YOm@hw-t;1d)^U@yGMA-(2@n@h>JTWy20x
z$zE4X6_LFvoyGQ<8uw#Q5wcsXHkVB){;K=Q(#qS*%t~BrP()Ua(JoBW1mT~`#OO$j
zl`AC-N_bBfHg(R;<ndaI4YADHq&-)nK3W@fZ|Hj9yU4N5?TtAbG?UGWXN^3=lhxA!
z98ozMR}$q8N&2A3{yNY3vMeD*;X4cchP=9tPlx%yot<je9E1{>N#&SA?b-!tI?F(n
zV#PoWJ+>nT3u?<VkbcMi#%|vKy{-PLU;nD9%9pJ`R>t1qB!=|!6dzIBcgPSbKagw{
zuiQ}8bzW+X%WTq25RwHhftiy4Ku*t9k$iY3-Oy5^?@}`c@%p%u8%ApZq(C_lsSOpo
zy<y|J49QbY$f{nkFdO!SB2*DWf}<YN1Vf@FI%#-pZLg;U@FRHN_xRv=s%NMr$jlL(
za-a|>T&0ZLu~BZjr6`wWO)Hwg%g9$UH7cZGX^c}I7bD=Ly6Mqt1A~keH2W{n{rg3?
zmBH}W^Xno979yp?!V7?x?(Bq#T!;{TSJ7YmPk`i)t?8e*Z^V-o_3Ha3;-(owJaS~%
z((7FkAhzS(%Op{(vac8GKOVW+zEmJfb3<flp=v7h6uGtIrrpzAVhrDSy>tyS<w!5a
z66}^^rZ?T`(QO`1qfFm52!+7<m2a$?uBggOFV@n=E%ht9*1jN2%7!kjxs_$S%f<AM
zcDu~X;D{NPo=qPff?IA_p1~IX&5KuA|G|f={JmcM+kE(s(c~1P1L<9wr?w5Rof;T~
zg!ZVwuTy~#2w(~|Iko9yPfxi{h1I(%DRwweU*7jr%sc!%4w>;2fo`WBq|8?woIE&3
zT=<ZRMUwJgcqOm2&ll|8mC2PV>Z!-XwZs%&x_^7XonNU3;#g~6IJ9@m#foJl%Y4mF
zyGT2uR^~=@jx-iR6&sJz#XQ8BDR%v>3Zc%!hjMKz8yYKpFzG#n?DkUHnzw>Wj)DSF
zQRJRMX#29N4$b93nkcy%Jxt$5`l;g}UpNM|49I9k{uzYylUvU&T>Zd5>KpG*#f<sM
zH1aTxL}7pVzlg?v6a#;3%Nav`dz=;ft7!)X)j}6Ls~{jZvTl`2810}3goDp3GBzL;
z>pfXXhSCEKS{eDVN)_-VeNPu!XML^d)ep->*IS;7jNkZ>jxBMuqQ4)6IYyJ?Z5(9c
zQc+8DQp*X~2jBYI?5Y?}I|3a18K{9(6rnm%)+f)V&*A@i<i*3O1;)K@8#78F9Zt^e
zx79&<?rQy;#m#@8m|a!`Vm7t>`Z-x*KFQBAT~x86B)op1s*y)=zk(i|aHOjK$Wxng
z3}Jj8CEVn8<}E%(z=i<;K53b>2DaWw-#Iu+w414Sb*d3_N(8dOZ^rwJB@_IE`BF?@
z^YOD1>*TcXB#gh|$bg7?e!icDJIJ?zH$vDb-lVA3G*?PfSZk%zo_%fX4!;^nLd;6%
zW*xGOLowAl@V2gkk;Mz1RUqbF14Z2N`YajYF%!9>(@HAcnqbh=zOs~A&-DyQWE>W>
zOA)dKCsu_C)npsSRZo__yhAR?J{5W63IbiEouO<IYPr=6Q&Nd?Pbo#$fBBeG*}>Xq
zesf&O!o91H$`o0r*y?15C7|r}#Azk2P;NwKl)K+(Tc$&x*T+vwR@z0@jD%|#G<CC0
zNIZ?6?!CH|h+*=m@<Sfsm&RNz{Kg$sQ=~!h9`QtNssMPr0HATckmZ2&E(ZN<yf)Q{
zhhjML;Fl?kMRv(RB%~mH+2_Fb<o^CD5D9A}F8GasE_xUPk#Gh^w^CX4OLS4|PB3zJ
zogHZ>5$<|qlRa%depBM&`*^<)F$bN&yz|`N;wOTLRC7)1{wD{+i67B&5&CqR73B{$
z5ixx%mJyof$cS78%)}~CxL+cXXp;k(87FP++Kva>2K6+rHwrOazfiPrxGL>!;+>VQ
zf;8!5in|$+|1uHtK@NlH#e*q}a(%X_WkDAEC5yTGDb3qa%Lb~GeLY*lIhy|<$*=|%
z4b(LvKD&)FpD2&MTM|7*TfC{<K*q24=q<$e&4zMwmaTHhZEue$HU682lQv<~L-y69
zR2}_9*%FSfR;HIk5z0<d3T&MZ<eALg=G`-rpso7-TqWU!W_{<$<89?R2}=6#Pt$QQ
zTk^`N8$%!7J}^YO-{2PO*c29~_rTQ6?NeyF?O^Ml9zN;W)-^d{&WLsis$HYf%N@dF
zj8MB6!8?;V(^ncS)Qr3)6K}l!Y{=jL4`u8ASnu>V4ycV=`?(viT*ov~%w_O3S?FWd
z+8WD52A5kQGUJP;LMF?yP7>}xyj}18c*8o#p0q~O;C_0bg5~^UwsMk!!H#k73_B)L
zc#p(_Tb4l?Xs)*A4l6V#w&x54eR+NdJh8Xz(c9NBG%F>0UPT0(ktBI5{1dtigMCuJ
z6Ym)$C-J%)nH8RzQ~b<|#3dCR+~&^L1){2H%J%HiY>QhkXCVe|mZ|-mcn1DXCg*!8
zRE0Y1X|(!|eKwGc+c6CsrqwNsI%Z)Xa^1W#XdrgotCt)l7m`?wvZ!RoM~-y3%ukRa
zP@BSFTD_Y)L8(Ws8#avT6LClp*J@AxvG5|jy(ho0q7fAEtdDYXPFL3;9yzdkhp!>z
z-zDQ~?kBwSXP&2XODSag^d>w0`bH+AZ+K|=cyIAQDEEV1u0vp5+$4l~&~P|Qztu*t
zLLE9GR@?S^??Qvi+|vg}AaF;MFYoJHEwY_MF|-MC9c&8Yxf3<;Sg0MPhf`oE4}!zp
z+jY2KsbajA?nSCiYzX8NEP~ze8dGp0zw@niCOA&}vaHge-v@DM_KLef4^(8#uyWy%
zOln+6-QMb6X>IvQNLu4TxWS=Yvm?_Cl4t3GTr+Y|X{7sdJPv!$mTAaq{+Su8>PPWB
z4v6}>36YU<xN;S3ojxu;KWT8?IuhmrR}>AOE8k21rad_8!R{6&Mpyfgt@F)rf}WB6
zx_u2~7$LGscqVSDNj*WnEG;ciyT9Pw#^F`U0H++m%QRPY>tco%;P0dDoEQ1#`?OU<
zBzY7R+d?F-kUx4YiS6bbSS(t+@)6>%qdev~I@GtkA<wmcqJNATEU&RYUaJ*nX!Wg4
zoSA2jQ_DZVn-D(o>Uylz(TLIKf*^|s-ku_%TxTXWd``Rd;ryb*Yt<ytlpi1kqkGYl
z<CdQfpODKL%xK<HFb>j#oHU4Bwj%2Ia$gYJ@#<xUt50}@bHA9mrd`OZ;pEJP<`s!)
zWzXmM$K>9uD;$GDovUpM;s&q!nK-n_^-vWV(N=zA!?s!qw&g?0->7|tcjKiRpNr%D
z=UV8?42N<HwT?pz`(X}&u0Hy4%cySacjzGknI%Jnc}-l{vevD!RHPwvylv}<xRWMF
z77_GeD`CNsGq|$_>OV6XqhT#9NLhxMSgJGK9fpkTkIy;h3LD(A;)Ho3cbGG)UDm3!
z*jGgKZDg;{LI|FuwhPIOPKpS>XJ91wyfj)KeLFHk8zOAbIUAd$G+&Y-teRb5!M?N&
z>CZUevO?4EaHb0CzJew*$;7C$xJdPek=(_~`SP}bMv{_0SdTOP=vrnRyM5u(M&F5e
zS4-iQKCiHTSs16MSbzDkp~Xl%I)lS$*-c%Vz4K~BDSI2p9BWUg73^Ci6{Kg?%x_`x
zDLo#z=E@c5#sO?77;w2~A0W@XrIv-Xn8w4~3bnM*ODuSpRWph477U5+a#=>>YESu2
zM$vUMK#R%R`d|$vegfBBd0QZmVZ(fEdK~1WcLyelO(J-@Bd7OFFB?Pl%_Q#JQeTyc
zjLmqE?VG@*jDoab@7sn>aeSGz&D88!{dXh!y-z2_4%q*>t(7(Q=TJ$@L!+U?_S-A4
zk2iN0C}_>>?r$Hj6=f#Csyx8NcZa#J#wL$3YSl?Ws70+8O~w__1d#(F33<3ry%4HH
z+qH=mZWZ+o-J8ME{50MxBP$l3HV0Hb*WLx}uTzn1t-m&I3erbvtef~-U<B--bQm%f
zU5r?(9jsbLk6c&G2T#RkUA{c{LLLt*iZ!b(ELzHn;IYYH{#u!5=nX;qL2m4Up}Frl
zo&CsoI1y+@jMp!uQjDFgFW$(*dgFMPr+~FZFcVneDN?SUpQ}ABnQR-rlHsSz+hmF$
zFS;&jc^>~wKfQlj4~<jYmju>rCQ5ObOSRHQUg_xZ-k3>%b5>gv{ZanvdQ4GX>9D@X
z@#Xc6e1nepN9(w6oUE{m%SXr3SW7wNsU1%0Zpt53yA)hgN{u%jdWrDnuSI*qG4HF#
z0nKnnw+&C!F&Zn(=0!}*koj73asOP%{fdZ8HN8SvDR({EL7qlIR^?a&)Bf$gGf)oE
z#iRHmmw6?$F1&$ZOCXIfnV!{q|MlfrCX*e}?18nG2KtM&!g|FtZ~O9a6*M*;jabzd
z9!!jaq0AF=50(_-tXevihF5CKe5%DyyRK}Ae09+GKa>T~RIt+Xnh4QWrf<NoZ}h=v
zC~Nxr?|I+aqokP&PJ_NkaSq@|QWJERku<ePK1ko@Q4-pTXR8j#4eaawm{&U#w^ld|
z@njnAnax=f%MC2?6euc-`FgWrACljw*>A8ubjR~8U;B_WC$;%3hiXyh<AxjD)2$c9
zmT9i!^61rHvB`{ut(<`#JJ&UN;^&fta<>%pE1I5x2<>7&clEot^_NveOf0RfGgiOc
zp_TlMX%W6IOS6(&j;)pIs4Wk!zmr*ut(7rHod>R4>>G6PWDn+AKX3m&IHBvNM9GcS
zF6e?<4H4zF$67S!#i}e-a;}6&hE(g<fJ<RC8+A)a7cJq%-gxU4CGmo>BUoDP0g`W6
z(<G)yWB0??7>4OHG2PugL}@Z;#KYO;*vR3!dXdpIChRixrd;b}tI+$Yqn)6I8{;(j
z{o1<6Hd$X5<{DVK9bpY4nQ_%a`(ygx3rld;tm=NQg1QgGL!a92sdc&$)X0*Syv8|~
zdya4fS474&Dd*LPe5N%rsBZcj#TC1P1O4MjO0A%(BPfz_)ZRdU%)5X2fc-`V!@Hpk
z&UsHOd!7eu=Z#)#R);*dvypxFj#WxwS>*Jik9jDOivo$Z>f}0N-U?Evt7zq%rS_RA
zDE59+AV&$MrqV`KS`KUk`GinTbf>GhKPYY87L^~%>%E>(P(CaZVrjHMCB3~iF(fZv
z%U|uf=Dcr%U@)j!`9{-(Gap)b$HsOjR=~A||Cz1uj-Uw7C5+McR~M*SF)LT}go608
zxE{4ntW>lg6}@gWBw$rk;_)mTW1g=w8ya*TsH}-Hz`c^t*!Es#a<O4L+{ZRVj%NoU
z%H3D2!B*KKT7M3bSz)yV997?|m{$mf$|4U*^!ZBz`+>vief#5Cm-iA1%hSRgnVvPM
zn#ME0>=WXrh$C=To!6iW3G#h;8SzDCfY;7)(ou`g9?&X{-aTSk>N|-Ac2!++kLjf@
zxX1a4Bn`qEbvwnf4e$Cnjm^(fA<02kS_;X(`fSC^h+*f0CGRA26Kw)3aXG8BikRBO
zrO{LF($#LbT8!|XNKR_>T!_3*^el+?oJYJ#(tGU`87{u*xYD<aYD&O$kWs5Z@hgYV
z=;nGk`5UK;+T@@3aK4yEnC}Q?)2$b`(L9u#uYCK+O^dU+W+cz*I4zLkdGvh*`GyTt
zd60td)S#n}g}Ewj)a7ybR9VR9mk+K#n8iCk=TJlClz?QvQpToO+05^WoRnQ3vpaEy
zQfZ~!4p9xTKB%b+MV9o}A<0`@Rx84EM|8#}I6nor-*8u@(!d!79r=opG6y+LRI}!E
zsKuw*q!(mC_V;enX9+7g1{X$DByf)nZwhEPz2sjTh_F8I;L&4kM<Vr-N*<S5+;q9#
z4eKgxOC>sU=6nWSX+eP}(ye!IQt3JM2cc#rx{@LrySR<Cm8!>udeTn0?HqzU<2f3%
zwgpwM{6X8A@=F80${rhyGAfx-(THA;<NB_R#^#ndHLXeM6=2V{fJ&j?!>^TUt$2<o
zrX}4jr(r#0jN!f|tahH=uK_FMd4Y+6=qCi{{>aG2Hmb{}HI96)ZcGFW==$bBSyH)f
z8{(D}-^t^LW7o_mIOkfZjUW4W$~+R0p++c7Iomk4>!Lcw*7C~thGqLsu8OxH7*hw2
zq7h>{_LIO3vO@d(#1GRhRRsJW)5#~zKaYiFcD)rE3q@`{QU2IpV2|%YHlW)pu(9GT
zvWFu0f_HK^u2)#(pmuj-c$3Lb?`~N|sh}O_vm_43=CWv>h&jjGq#aZH*)ddA3SQMa
zH<DsvPvst2bS-oi@wjdGqw(lomQ%W5U_ds?+KR5R)4iUP$+8o`1DP##%=xZ`MBl%y
zmgU*fskr7=x8Hj_B7VRQ`0{=w0bSR6{k62c-h=fA!|vl>t40NjD>JJiqVz79Tn+eO
z{N}0YRlg9_kc;M0xeXFF-km$2IauWLY^1iFf_>In>rw~oUCqn7##hYZ=Bu{bhwm?R
z_eOw88&?-PHzdowDFpH$_HctN3dSSvMMS}HZEoM#WMQqr%sM{}D{{Rc^HMtb0r{5h
zDy*9(eN>iOqA3BdZEP|?B~6D*o*mhY$c!mRZDiS{;A=*wFnLy1oRZWhZmkUj$_|sa
zOe!Kypv@#mxnaxXFKO3s2ywfF?1b~0j1uIP^boFEd&6kZ%9r@@Ihz`GkCg+0!EzR^
zG9h{jwCT$^>?fSl{P<5vIvVkhM5Ao!ly+6pShO7H`t|jT>SYiHxhqu~tO}PixS*Ua
zu60G#NRPb2(~J1Kgj3%(C#=}<C-B(3ws0?KuXZDTRp5oFkY{kdE=6YF;IdSSN?&-p
z&G0;rGCO^@e0}|mq8NYQzBfWNTP2S&J@NonQ#)$4R2W;jR?Sx1oxmUDAwLko?{5UQ
zxz+wQpe(}|!wueP$AgO$A1v{||8Zz`;@HYt!)tBdbB|9YkLvcj*xKFxBF^fu)s-SO
ztf3~iION>B9vJ8RJ#5K~yle>7Pir5cjRs^XOfEF&`FdX2=hYc_?AaR!&>g;vVa^+6
z)#^F6BrTA9W9b;3i)>kL9jXHrtLqK8jI{3a_pMq~4+MO)Ag}8Vl!iuE(q#GmSt5p+
zQa!+TQozDdG8VaSX&k~-e$Fz%^_lS(cQwqbcHl=BFPge<W~g1Zi$vB;$|UTTAB<%w
z_K1_^N@c#>xUtup<r-a-Hs}m>VXhjmwY!!$F{4r*v%F@iT^lf%CL!3ed=(2TLba-)
z<xBfoJgY{aT9B@4Met;r(rDdE?&lY3IXD@VWob4y*7vQ(4EcvsH2I;A_?HS(;#Whu
z8pvvtyE_S+ZB0bO6TRJ=trrzE$aIm&A|1m`nZl<TGB+buTx-qT4z37@)B8tIR1V%#
zdzD5(g5FeW*1fY`sYTGB_Z(ZUl|CrMx>83!`@`~jC&W5td8J1)S2)+mEH{WduVQEj
z$rZO^UM@@jdE6m_x5ehOY~i<JtXHlmc9C=QuYiy5v7&=siMBmLCi+>nA5T}Ue9$C$
zGP1EWw(z#cfF#EfDqEjrpET#B+kd~fEJjRj+&zL%>n*jW%tW2KjLu62`|Dg^0Ux1F
zL<WAOQgnV1GklAAXQeQKP&bNABQVQ;-fiQfr~7Gv^P+`8rxkWVbVBVk@_bf=MKp1=
z1T2~86=pBbqgJt%)VTNz)((Mcg;D2vd-YU&r>F&%(Is}PzSCMbrkR&qqydmaB|oRg
z-_QKQ$PykE=1@AXly~q@vSHOGblL7^fa=NZ(c#)*Z#w<mMTd6`L29ZeZ2J{Ug~#GG
zudd8BY2VI|XmsN2h?bo17<>Ifg@{QbF+mRNpb*7phHoVg^`<DwLv^D>^{g_OV7mr&
zMLTBri-KRFP=6f(JZDSr^buS(nZN_MG0gG%5$}dad4+F)0vqzEY|L}MoML5GmyY5)
zf$-iTR;o64dBD}o_Ua6-cvQc#k(eMKymUtYmZ=xxYE!~m5X&yjwsoA<$V-vX(q7bw
z5&Kc|o3OVsP|Sg0zU8vj@TI;F(#^s(UaQXH=Ykr!GN^=Gqo0U`#+7A>yEw%XOZO(R
zeja)*^p8s}VMq}7@VS1H7wsltiK=<K2%@?XX~q6FeRefyjPsHH?W0lHS`Z1ysIrDQ
z7ZP#K7c)l9?II4ocWJX`Vvo<{P#mdC^;rGhsSkFQ3rqm-DXBI2+m3FA^E)iYt(1DC
z!}A8<QQESY8aS}0EAk}CsFvT9q3Jf&vuUIJ&+c0J<X_NzZ;TkHaD<PP9?eG&MlQBm
zc)BntLKS1~Uk_C+cjwX&WhrIl$-#P(CK`0kQ3O-d!V_2^{^-5<CQlDipf=I3T3Q@#
z&NIQwVP<UXhkt*rnh^Ki!Wh*xPN+Wca9^_aa%UNay5bo~mHX5SAZAJ_2SNY1H!CN5
znRuz<gGGSO>sO2qKhz}3iji4hZZU#*<U!`K8qa&{nkcReXlYeM8tCdv9H^zU69<Vf
z@M2Ss;AvjnLx$c<WGirIDXdSe5<JjLQeHVm$#3kz1R*M;At^TI`8)(oos?p@j$*P4
z>Mo~ngL`?mz~#G*J~5c8<tL^uYdKMKJ5&zw{@rq|9(f|=WAN*C`ALp**OEj~hH+3h
zsbyaSd-L_hEu-Fm@SXN?6<ngzQlK8-C#4<q83=Ls3FH4*6Q}4oe=y`k^L0^HWM^4b
zxRy3oO$;8DyjGhKJ*}+uY>VNDj);D<<=VRlk2D21#pDqMm#E_O$^DcFtF@?};PBJL
z&mE3DctKoK=a<5}Webe(AGuegv04qsxt?s;H`%GFs=1bK8xFo}EAoO)f4n_AW&pWL
z%9h7xZvryII>#gM@S*HwjclXk)<lv>!<nR`5Vd&c2oy0cirMEnutTs7yopbQzFvNu
zAifRkB3n2EwUe&V#g4z6-{LCDJ~UppTHkLcSgTHJxFYqQ=bl^#Mwn}np+X1WAjdru
z^3@s6*@<qkvGtZoK5Fk_Wp$zx3r&FRDPiIC3}nAmB+&-0a4|f_n}AR5pMkD`ezty&
z#7`~wX%9br!T)@(xC<P>-sTQq3=gciAJNsy7!SVT%byKOCekYb3bc3wFS?WKD!ACw
zh1K?_?#$cC7cUDv@LqzL^H+^3=Z%OmN$*NJbimr|z%6wiDuw-8a3?X!O6L9zSuoRD
zu66%7Y=<J0BYQ@u$dhx<pL@cx2Tb7xc2n~o>_jT{3xN_pR9p8Xyp|Hpj-Zj5ru@Lz
zPfv5v@p8{lC9{n7JDt@}t?DYOIn-lq%V@e9x#K{D`kW7)M@1H)w8?W~{h_2=BU(Sk
zbC+EpSc80d1>!O+u{X=4ecP#@@ybtTo}7+GQ#sbWLJ0WWs4szdzH&~taShOj5P3<I
zxYd@=BjB@oDXV6Np-?#Qq{H>dBNMS*5A%AV(ED&{a=U+L7>(&%i>a!736i&rXIjJ(
zOWd?}<KxPC5J_Iro?>LH>Y-w6sROk9xE-ChP7P}ppPV!HkM-ZHk%X}^`I3{!3{`MD
zZ?}MCwa%G`dW24xV%FQ;yh%jSbyS7$D2gpItg%_ip0jW{6?EQTLde!8DLSM0zl;Ub
zE_=R-d7PfegxkruYQj;%^F-oE_7!NaRZ?E}=A41yQ?*mNjCQxEs-&dpGf>`vS%LAe
zdgZ`wRsMsr2x|GDlGlY-1m>u2CNo$(>tS?m_421-b}KJ9%sM7CB#4=B25I!e2LM=T
z2vAfm!X(Ils{r@44DPLWkknx7z7d~~R<1BRtm)BBpLzS}SR1c`5X!KJ?wA3FRw8i2
z;Uo8`#9+5G5U`R4q1s4U9-5#o>t9VNwlb-K`jL){I!L7&-jsRDK!S@ebk*r`UoLvr
zVBavK-mr0AF;Z;_zJey|8m#JPtQvw9A&r`}oTMa$uf?a#guGH;8Vh*YvK6PVW|(x8
zl6g9GEb^+F`<aU(w@N9)YVp|J@Y9sEN-NR*`*%$a>|RNoKUwUM!=&FI>DY#?6#?Tx
zBsM$&F<6EiYu5s&N0eh~UCenGl!NMjSfUA2y|I3q<PG|rJ$N@xVYUTM01u!$)>CBN
z*oKGaOw*_<4${X-$Mw!tR?7OyTFuGZz7mO%!57?9ZLqINyiF@ggFj#zsnpH~tQaiz
z)g^e^S+O=ZAG5nv@2u^t6^|4-pTH@s+_si)jzb&w9T-}#CNan47KC-+ouqFivZX2H
z7e}*J@=fv=*%g$Rdbh=LS6P(TpPPR(?Z8xKzN4j4qs~KRvE!F+Yy4iG0W0OpmaoJt
zvEO~|_oK=YwCizPP<<!6gh*hW(jq0_dU?!SzxE1BTn2{f)mzdX-&ADmZO?_4w6kEu
zlU2@65yCNcFApm4`0J`hl&Zu84q**gW%k$QZCij1!n?m^z~9z(|Iu^pAEi_OE&c)6
zA7FE;{IY(f@mLIG^9ke6y8*yDHKpYdO%wOMi}|BGW#1HYFFHx!MA9bZzm~3eeD3Hz
z=e)tqm85;|Y)lB6_z=E`3vh`({s?e}sbD*0>I6%2fQ#4e^^hvudh{9pJdLX-Its(&
zZ$9{ya1aIF#ViGo`8;rphvl4&HxEQ{(!BqgpO2usM`WQxzmP5{sl6UR^7g3Q_HT@2
zv%t>19t2twc)l@h3EH+l11*f5f!IpmTL71?;2CIV?F<yH13ruci2}*=5dh3P@{<5=
zG+H3PL!H3qw3N{|e2H!Ve68N~+j+q8DN+gCNcb5@eDX*WILrJp8T0@6_g!*FfJZNw
z>^m*+$rv8tbx5d4tRM=Nmn6u2J_3<N6c<eZ^lzF4bi#jt+N3+=0|a@IjJY~Ix$_=A
z)n|{s?n_i^2=4&~&`-YpFaY%68OqnEbHD;E1q3Hx97ag?DHF93AFjukoaV8<r`x;&
zGEd+buI4Xjes}S1raXT6Op6A24<lwxk@~6SIr~42#=pIH;{R2lhzmBNA(Xh7;BB&R
z5@T(1x1y@gK)=ix`=p{v)(FH+?Ctin{zrsHROIfF<vIWL=SF#ff|Z+tg?SvVs(u`O
zY|(1a3vHqn%v;I$0L!lb5`6cX)fwpE^s7z;doiVnM#$7*_XpmUa9GId3=c=~fi@2B
zlqDS#J5Qp{f76bCp~+OS*&H1GVO;kSPsfC5_sI1cO|!O!223%6yGtL^-OUSYZe{jY
z^ND{1qJabhFo6mS$04L>Z&~0Ojp3|edD70!6aL9lP$-&!<qv<L9fEf?t`5U@&w&s5
z(w2pkkM`(L*zhn<oih+GyZ+LJ9I5NIn@xfa>~?qp$uxH^22F*$i_7J^x#=~K8VgCU
zvr)dKpza|b1#l1(_Q4mW`{A3{fB{*e2mh%J`q})$==>awKkCZQ(fBzU-yO$KW%z$w
z8Dut}g}l&55oEGux8In*2@nj|Sz^RYT<*2MMhZQoP<9q0icg!YKKX<-`8P2(R-w4B
z>~rC|?XCz!C~?S$4cbB7jgO1<ILJ}Pec2L|{lpL`3Z3E(-(>lQR&w$evzKQYvlZf}
z#v{O-Wf+qq|M2iOHV`8B04(SVp0@<O-4e)h-xAnp+|dzB2+r_RNPK`@2=&0AX8?0u
z06y0v%7Rk`9A|E!JHZ8jV@d#M=#NYK|KPYaPb(^f_zd(}><qMP{0V3H2;hAM7K{r)
z@T46y{CEoYZ*Ned8EX<7Ka3`<<sCVVeTHx-`?{92$O(zk%6fphH_-_9X>Kg8Ebpw^
zpnhl-i_fax)!R;C1p^jI*^QSjpvi&WnYW^==uXe8V11fU<SOONlQ9Vqf?V8l3gg|K
zJp(2BUJ5<B3V?)SPayMbP9`V<Is)up)dMC2yns3b?Y$@Z3wJO|;Cc2bzeqKNA*)>(
z(br)$&ERpfMMidl4C79d()J^@W%=utuT!wnTnwZ^`h91hfud7)Nz{a|@S1YdXgnS9
zt|4Ko>E`|M{1SP`DPo5TW)byItPNt+LW{x=2!b(B%?p4;8HV}@vIbI$KPEa@4^JD>
zC-6m?s+;ge_;eCFmO<eSDt1<0-`wa=AN=#b{r7EQ>no!rwc&dJ3&Ad_1hFM}8!K=b
zyh|)WDNYC|XMy$cev+r@JdFU_GNo4K9M5xl8Ch4=5LsIbTx?$^U{B7h9{hN>1`Uz8
zY6fguT#5NZagk{+X<(N--$F%Mq8Yg*UI@?otDY+7EJ>_&>8m(X4ZF=pLHFfac$dYR
zK~@QlTUk0i{`NuH8RU29x^m?hF+-l_E-Z?%oFiIOyt~4lokV0U1TlZg9OKUPxZ7dX
z^4u_rQ8)DT0`S^|e=R{FS59|KUQTxc5JUR|B+}v5;9X8@@BtthKH%rC55O072jCli
z-|AmTX9JIx%7I^#xWClD2vh~k%Le#WM|AkL{skU+8bBjB1pM-%`&$2kgi{vnUm%M<
z1b?l5@!#=_nSxJ0w!Xk#8;bO{x-wd3$VYg@Gyo*0;?H~q074C5R1&q54sn6xk`xVp
zg>jmNi`oX(O5O|CA13Xf-V?0}ffu3|&=_CSUm#VT2f?j?p%X0vZxY(0nE^Y!c~K<T
zaebPVb8fR7_31X{2xH*2VM_WS;wK_1n0EX~8BZ%CfYicX)$kVnf{{>LYX(Ts!ofTB
zk(<)fB|$e{K3l5mdPiP<J05iQMHwJLGzrZe*NsCNeuB>}u2*Na_sKvpf(L@M^b`nP
z#l3VJ>t3%<BD1mTDEi|opfPm6AKKjjK6P~xrF?{bfV&@0x6`q61_FIey5T)LAn?CK
zM9dQ<qN6!?VDp(1d<(pA<jVt7yN2Zt+t7a!&o<H-Bec{pvjaYTc=6d5dGcE1mtYCh
zm@kVm{j6&;eq2Rfj<v2?^Q+DM<Y#8@O{j!USul-8ca#w!LepIzVI(xY3d<P~i*;7q
zq5_b*XRw-f@GPR-^(CT3w`DfU_Hx^ikPxFc-d^&#_6^(Q8g|;Hb@Lq_n4JK35v_qD
z2)s7hgWB-}L|*@zE{h}cfi(mnV0wUElR#qqpZcrxyP-=D7-{6sK%pXq3*hnmC3TIN
zjvB;41@P#(9XW1@umSIM6QMAHoOhaC5_haT>1Y8Lje&%3k%7ExbO1ek2%n-EJ_8X;
z{QTq3LHMZ&Kh5E%Pxv407%wI+XjdX4aOI@h{PD=$gc~T)b0BfNqWt`873C5Nr#qY(
z4Xe16$|e#Q17<WH<KMBjxe{Y>jl)iYqp6gZG>0*ASw0!7>}{eLxu;8rtaEv#`bq4)
zSWHWdD3V8FxI4*&vM1DE_pF#LoF3W(aO_VlBIQ3m%sj^xZJm4BYcuyIs4@}+pVR==
zkHky>tMgO$l2Do;x}S}oWAIZCe%iuMfAG^M{)`Jh!{NUH5<u)>mrF{TDD7ZfI-MjB
zan-zm9ad)}DiBO8e9$jH*FfB_66;EuL7=a%%fL-Hdq-1ln3<`S5w{i0$ij?=>!F>+
zOBE$q0{kmq|BFCg?yedLgv|#6ojX2%4!HCDrV=S|!EjQOl>!xZUtIzkcn{@toIoJL
zrmruIX!ifb-h0PW{r>;sCCUh;R7l9mE_)M2$jIK5y~nY)N+OcISIFM0V^*>`$DRkt
zIJP)A9rOD<G>+cy@8|dZ{{H&?@%!i8yj~sWT+i!z%=`WRxDZjCIn%5m^WeU!oBrwn
zp_A@h@;XW#g;PR<8<IzYl|Ex=#tQZmN03~^H7a{#&38Z3nUx<7>Fw#i=Z)jtthbl~
z?zV}^d|2EM(l0w-%v;kc%0-a7*99KQ7%a49cm-L#@wVok3{?WQHpg2+nrV9R=({8>
zRg<#LN1kG8I<=M>gOioZCSq#4Ygsss7LCGCxVvhfBD6e}^486p7fWgU&S2qEW4wrq
zW9jMXJ;j4>Hu~XzGPx{%<}CK5zb~4-Sdx;Gozwf@h$l6BZ>Zin^{?V*{Hd`ask;{H
z{8P1i+Uw2KU7pp?6fr*l{ZaUMvv}~M?Z!imF#YjrJFy?W^=l4hE|RCeN?iQP+2QcT
zwY9b4)kBf|3eqWq<k6a7e0aX2)7n;v1(xhRjD;O9@dwRa6(>+iN!eb0`J662U(#Mq
z^jcuu?rc+|bF$YyTw_9Z_w&()-O;zB*R`w7!~Z-Txzslj5JI1_va!-#tmJ3P;^5fa
zEl09kq(Gq_=PFW?_#n`iG+M45K6Nl1dStP%);ULY^dr@Gxw-hjK=CWHn&z@_i`wA?
z2j@{;#`^ZrcxXTojmb~N*zC?3b)x*+JLCdHmV4@WeDGSmh+^8~T~`wF@4X(fZ7|2!
zpe^(vQ&E)(MWb0e^j-G&RHCn#Yh9_Die(c!yLxVzIbKmwQRn)8hS+XKlEo^--<Ad1
z=^wD;nlgGA?5v8jE?_`3z0tVlF~2UUA$-?3bMF1+YF0Q*?81I63;rC4w&mzqb*tDV
zHzN2_ECuh$u`H>IGeqT1J(1nbRA7-SJ=u~HpGl_R%_gvSX8j}nSgX-~HwAT9Ja}1O
z;-I5z9cXvGcsHq>#=v7SD+y=1WyjTfYrMrAHFC%(X+V7N?V#ug)sQbyEQ+%0R-`Kx
z+eq~>O@Ui^8ebAJChm$iY!tp>yJmE;?)s$TvqllsZ0UhPnc3N}{d&Rfn4?AH`p@aq
zxvNd0-#AizIkrMJIw+6!FCcG;+TPrXUKce}rSpt*$pZb_zA&sgj`<Ga&mPvU9U*92
zrpAJVBP3Kt2?A{3hh^Dyn!-t)S)hoz!JF*U`-vPoIePG2gIN2+u%o@zq43S%T^Jc8
z^emz$@(AIbPPKZpXLai!l<M$v@fwQwh`HgYCe>TS^9vYucra5-B<!&lx1F`cl0Jv`
zL%(GlgnWW`RFtY!zVIf@KmX0)PH~y(3`ZcsmvmeCydeLHXF0Pd^}Telg4YzX<47Ny
zIJKO3Xwn`o+;YhiV))UDA1aWk#1GZGq(JhK^h;q>3L?1tX$Ifa6%S-`&jsHj%LTso
z>G|x$2e)r|z%vY7yyPeF*|mmsBUYyyrh7Pi=~;@J8zwi9Vuu${9V1~=FvNIupy<!R
zz4U1@mA$qeq=SH$d*p1fx)X4V_<=&HsM;2t`<wg3504jPXoBA+0=K+am#L`!fwWQz
z{XflB&*BYQs*rY}%+j^<(;b)dcc5=>zHd<V8U@2}rUxo^5T2n_BYa0;N7S@%=J<S#
zft_mCRgf?ocC&Vk;DB}OCCuj5(K6!^YQ9$VXTv=o+YkvIxvy+tj~WpfVmEg8eLpUn
z&EN2BryX?9uFPi4N?h*K(isXtcF8&~J={AKC@!Ja&G0#T!T}hmp$S1$qbWYYm%^1>
z4^{yK_4gpnX?t$JqnUE0_YEtx#<C|QD`>U~LAB56G=uCKZ=SP7m`z=rpt&+pT*g6Q
z2J<>ZB84rAmf2H}eoV-(`?l8!O=u1uge#Rk94;5SukKd$w%awr3o+i`{LVf_i^Ud2
z>U`a!*JO4U8L{aL&K*{e2Om4ek^w+jZBM-#INrK6ZvS9b+*q!)RTF1qnYvZ=nm}w#
zM;o{mXWH1z^9L_gPF3CW8raiAGmaZB1}Jsug;;}95vT5|w>1Zg<~oOrq~8c^wZfFk
z*{I>dL2NBTZ!UKfsK&C#*|6%Zc0ISxa(3T2K93%qPuc|8nGeTS8+%HqlP1|7FCK?J
zeEh5KQ3;3}9KdH#sVyBYX%^>Kb_%<YLgpgJkB0B>1*HB=Bx|j35j=Z3%Adh<rhbRG
zQ@INmVdW0s!%6v-!)L`FJ~)1^zc18RcSng2Ggz92U5-*J6?t|v7&SBme3!C;904Zy
z0>1WS^-~*I`p$pE?zGq9w*0Yray8*yq9#=4|7L(oKWN@DChhSP1Y+i%<5c8l=U-qi
z%EdLa_u%I1f0EM~tV3)mDXExsfmnO?qaD}VZzcY&_2egiWgNQ5{JSX>kSU+NRb^=N
zA+D;D;Y>@YBky9Yx3@Q`u#Hh_hXg@jQ>dPbW#Hd0@Oy>z;>C*wl@M$ymZajo65Fd5
z^aK^v)y1<*d-+1<hcJG8z%%YhQ}d81Q(2$nOx4-zjz`n`k&Mtt;)JvRq{6e<9an#l
zLFi+SkUGAKqHS;!-ZOzGQR{EM{l=J-Z(Ov}2H&FjcaJ)*-VsO|k3>-&y=jaJOGQ5P
zt=sJgV5w@8j?bF5|M%VMY2p^s!i@26hKYUGT?boJtHgdNQu#=U8u)v^X7(g`z2>GG
z^K2zVOQ=<FZ}-;3<!z_CLYUcK`~^YOB?y86Boy|*sU6N4e0W_&dN$+pT+S`5Ed0mv
z3Q|?sGj2|;J8c81xn_pGm<uph@+bKM#@%oJ=^I6inqBwVVME<9-W(NKCXae!Nu%^u
z{F&G70dlVMokp&e^b8CG;DNZB(p>+oIp1u9_KEbQ+xD!O25QcvB*EXf7399XvE6N^
zrl)=|>8Y(AEJ2f&E55%V7gSaItfd6C@WyD-ydj(Ip0z1r`T-qzu(ONVp~zj!i-ppz
z#_MSogLkfBerOae@Sz&9s7T*Ky}j)f)PBm*&&KvxACC!xC>83r57W|dT3Q%&1nGPl
zow$pZ$if&U*eK__7mNalLLX*?=RUTD)=Ek)D+ypcxq89LuCws8!$~^dFd`0DY?>Wa
z9I#vTqc(oDJMdWBS{nt1JV9&}w)hGe^*#a>J=r0`z5Uk!{KRSTPxjs9HZrx-&prGe
zVscVn4tnTL8==gI9gP1qY;9erBB?t=NHA2Wo8G^Zb>|VcsOV^ctsK-^K&Yfn=z!p_
z>G?}ty+e}}tK}WtE;Db!>FAb$_jM+{$`%oWSQ`pzlAbXNe03%M`dG-5Ef^&^q2Dg1
z1Nz~<yl~+{ZB31-sVU%RlYj?(zY}mC>N~T!&QH9*p6Gf%L|g`og3s34&d$!#G9pL2
z&p#AgE{x~B{Lwo~#Eyrp!k!-%M>K%;l^$hko_Kckj}JRHpWH!jn!h&QqYpdD&**;U
z+-1+Ls|ZJkHMD4MvYAC*rw<eovwZm3`I7mavq7_qg|yt(iAR%4(V3i>)#*DnC2js#
zBhaS%<VPY-EZm>hl$IOgDSBbmw=ZDqsgfBMeIUqFlrer-%SuHT<Gj#OlMSbAO<=g}
z<yA`)yqsE|SFc{Ft5<gBvzgDK9JnzI#su%@UV!czS8TpEG70_)Bw(Qx@ZAu*<I=l;
zORCi|MA|JD>O<*ig}}UT)!#)X;Qc%d(0#7>*}mwx5CztKyf!~DKKwpu+O1*&ZILQ+
zljDGXT&<el@aOcUfr>fm-$_E~=D%9xOVD^Jor^N3PNvEl8Xq5@np)3LCKY>-8u4gw
ziz!5uzBl+`{+Avp_bEa{|Ex@^E4xlNyk);o=%uq~DpD+}kQ92nQ4c7s4&T00scZ-7
zjvrbxyZ<p_^3o{TZv!zGKI;wvd;NHaXtC0=d<lfVC|YXw@tG=<&X^>E%aG1+xaL3m
zg)MvS=SG-s!9)I|a>6Ml@XAdL>pcnCJ6Mhs?i)5!4c-u0<H8~RL{CVylh|Qfd2804
zM&BilN{uW(9@1|qASxKd6Ot+?0-q7S`5gVbN)ey@uNfgJ_Vf?BArx|g?~;%6EV<;j
z$shH3w|6`th2w2+hMy3HQ+jxK%r^S7;WD=CvKM~=Tc>QgJGEwbN(3UQLN#g$tz6P2
zNb%~<3|?~)5}Ss}-+>NmU4jsh=jY9;J<n2t_ex8pM!b#|4d~6Jb8JG@BgL}6fVcPJ
zV_h?uS2OV5<WUAp>kWH~Wmos;)FH1GPU_>c;c6)GBi*4WHrJKOs)O<2sohYWHJI~3
zRqY(Di^{k&--L6s+A!&7wRTv8d;HX`&`MsuYUj>~jjGjN$|p$msDkCP)Ro@h*z&Nw
zCu<rz6@fDn%Kb{W%NX^#A#n&+Q+KXJ#&fX|A2oP~w1Sr1u$VqZmS1c(2y&h$7c_Ws
z9pN~?*#YVOYhA!keizP)P4Dllx~|vleekTBvY+C!n{prSMoxeNy_{Ai9gfz78ux^d
z27|lXb;Fg62o*kEo7I^FXhwL?f*)Dcd8zCVeW~iEHzyu7MMkC%#fdDohOHHMjf(s*
zTffbd?6%Q!=)^-DIwx{pD$UODeWj3rAID<ZLl&z;rnIJ{%IhQZtS^>XN+EB1N|rdn
ziB#H9!F3BBDW8^f3klXm95-`qv=})KJ0@wFDk(=3MLSTzyU$~}H1gGxt^-zxtNv}U
zA?YcI!!BBX$D!e~hp!G%%**`ay!i9#k1G%rxn}mA#YHX%2ax+-FkUmh07VH`RnlLE
z3T1e^-w+U~N<5HoYE9wgnbkL67;c-t!ts_dp}Y^w6K75JAx0&xc)cX7oxIq|CBbMk
zxpZrC@nez-FT6B@5cCF~W08_!U9sWzWmhAmbB-gfP~k&xp0z)Lj3eJ6_4jfswfVbd
zWH9~9E$t2nVv3E@pxj5?<c<x`d<LHgQ7-9_@w94Hi8ymc1AqH?r)HVOGwdd=$jzA5
zD(^(%xDD9JMlcV)?nehBL0n;^#$qjD;TJde{H?Mu1mV!kco`9E)N!HkiQPU3?0#>9
z6rz$(knC{}%q=7oi%>J;)DUv(fOmdoh8L7V*4pGOcgjL&*6)$br&PTZcs9nD^!fq4
z7o|d2#q}GweB~%jFKaJOq2zpmb`)23IhG|z$5>a-u*{@ZptM0Cg5UbET+;SUwZ3aq
z_XrnUQ+i`_Xu$p9oBKPdvT?qZZJyvd9Ih+5W3R5*xQ;SFu7lsj`eedIH<52l7xAjP
zQNbzn#ZI|zMXIzUb`q=lYwF9Yz2OMj3!&%UQXYOzOw_3kNGgsq*tVaS9e#yCR}*8v
zsP(UhJ+K*VI`A2*d2$yBC}~e|3N+W~Q}^)Ta_#m+DaM;%)NDM6zzoU;fpCm9GM5jT
zp!l}aTe?;gXAz5h`bt!a4b_vQ3^?WPs`Gx;PB}@VY_zlCIrk!1i*HB{8wKKb;Z++@
zCwH5ydoAih#CUd}LAo55qup+cqzKb5>YsmlMP-Q55zlON_947<w6fbk1^#4c6*+$8
z+)^<SiX*nzumuudJ7iK*b1yGx6c#*OGR3airS@&)YrDKbxAxi3sNiLp5%gJUT43o<
zGS{!nII;YwddzQNp%=1y+t2S1OTZt-le&iwkGEKDNzwr6)S7PNz>5XEO{Z5@zDrJl
z5j5jFW8U&HW3PrMJ1*<;{H)mSxT2Bb{FD5$RwxmCw4k;)6u4o>YvtWW`%iVAZdhTV
z^>UYtf^$f+G;O!7*`1wjSQ$7a-&}rGI-jf4u)+KB$jlsFylb_BCf|?RYk8Bd_U}DR
zi;#ILKA1rpSKV-|l$0YZ)jmZRKi6S1z8^#d?P^g>KA?7(pCDF*ve7}i*oh9hOU=so
z_7Ht5C>UFO=iTB2x0GW1mi^fR_fbv;m_-+N>}&RR9<Dn{T>0*($5Onhs*&HiEtbHG
zb)Sq~6$_VHb<&#(zLVx!Od6SZ?Akw)zk=)Dn6y$L^;3SV>~QxR-tBkEnRD7z3BHN<
zmdpz@zIoBVdp@}Ou)5OL2k~Htjs(u)tRsN`7DxoDa-TYNvSPiH=72rc-DZTS%LOBj
zQ+g)O{!2vjK*URXGCDp{W9Ge;XS=EJYtSS;&A85lp`xOhF8Eq|ojEU`#K+Pbt_*se
zd8QFx`iONSd#9UPUatS_jh!|9QAhBF?CA)vm5Ab1Dj_;oll%)G+bd9gf*~2!I9OF`
zb#vCX7j5&MS77Il`mcv4c-AIeo(XG+%I!~iWNPX&okynftv|qlP2NI$66q52d7IQi
z096|>Y8&(vad;liBIV>dpb)t05u3Z2azQ#}YSgx<ufYboCeO(D7H|<&Fm4hWDeKV}
zPXVRqNu310A=rUUynT_kZ@M2kuD=m?v$t1Di3EBdE>6z(x8l}dbnp>_x}6p~m{<RB
zgj{a3F>}1GEQ1Qc8@Y0X4C>wG?N}+_ik+2lcc_sFkNn!*YL_;?M3DpFPuOk`IT(HM
z_@uMl`Q(5F`|-lY=AWXiW6|*zu|a&Tmbv2#8Je?NDhG2Z@=N(pv73|5J(?yHtEBFx
zVcOsHC}|QAq_K#$*{C7k-eSqxt(~16q}3CW-k$8cyHO8Vt`*`-Qp|au>wQVjLl$B0
zV>FfDIBMaWkPurf%dy8lWvDk9!vPO1`UItr7YtEfXVWH?N<IHPt7qN1B7B*cwtaxP
z`63}<?&Es9DN&BbbI7f&He2-@NG`|2mV95cxA7D+v;EodEB)nE$%2ej8!B*7lv5Ft
z?hhHOoehxM(Cv2JYc$m<L33Hp9wNhkB$ktU)QxRg#Ds;nnra|9ut^InMpcv2F5`so
z400uQjNZ~0_{ie@T2O))&cY7QT|>&#R$X<LIOD&2{l}*Iq6rn8q9D6^ZudgwdEhw2
zy$SYb8O1i@x{|lIxE$Hd1U3$JIpdQIWZU7nJ$YGKSv`3_#?+>Dih`1p+x52D;CY-r
zMN*JA42WG@64`Y{zi<$hEdWKql?D1)y_2FPk2`i<Y@&)ALOJ1}lH>nWPy19q?WtC{
zN(<MivffZ|=wz#IgABESq4sMB9`4Af><<UugA}xQi@Ff@ZZGgILA<$hVl7rh6?DQS
zx8kw06lJj0Q@<<#rJrU6^F^B|Wc{Nn*W!oRb&i(ny@|~ohC>)w^V;u(K=d@KdEW!2
zjqGlvN-B{NM-WK6HdeO%h9^+ra1~B;MhCAq#kr$iCH>RJrYYd~?9WiaqlDw^*TSIM
zijb-4n*qmV-`^K;H5}uFn&lW|!<ONP$~VoD#3HJF6+3JbVPcyr4gx*kJY6*t0e3c>
zxS=&kq4kO#9Tj@fLuhM&05&?lj$%#mtqUAIouYkg<?4d%J)bN)dR*`NXZ6&^irQo<
z%2X@?=JTPg!bN7jNQ}mWp>8FzT-($8B}iso*@+Y~fdG4`eq3RMI&|o>2_m9%d;Jeu
zUi6G|U!hLgGrzPZfPCJf__0p5<VR<GtR0mVmA7CB1N{?ZQlq0UqH0EkUPj%*OkPuU
z_0Glhn#nzZ`hdyr_0{IvJIbN<`WO1g)Hti{))3?L-kll!s+>?^68T#x*TiZXi|q_m
z-=qRpMSUS{02TBfw7aq-y<Wm>@&P+qxCL2l-ya~<&>2}n>8>)j>!v8%iq0gJZhEZb
zagL@J7dIsdw7vSfoxo4r1%5-*u#_$;;Zo{QB}>sTo6ry<V?`Ugkq_2g!DNw?amzh%
zHgbi>R`lz|P)mHue(mvm-aytYVq_?0zRDH^t1B+%l4`<S5&C=5GW<SF>zWpJZrUwL
zhQ^QcwZr@E>e570yzIQ6XlPx9z|Kq2Qho(d)>51N1F_(8CUy1?;M?rLvuxZ}3zJ;5
zf9JLBf#8#hY01LC<)7aYPm962{|e*|aZi`W#h*hcN1#T28h7qcn%)j;(N4VLa4S{4
z6VhCX`8)uiQ-_C52GNsS=z?d_fo8Uh0?#vOcPBz>br?0tAZogelpz2_pSwNZcNP|t
zX0WGp8#4{&gaCV}n`aJ%XEA_O+Po?R6qWNI+u?m<Ro~Ns780jj(j@`R@3<E`e#t5G
zTLUnZR2D+yUe_S`>f3O2MEWQvk&cO~LfEO}0pbG_*7WDkpNoo$;^X7P!!M)g)=MKm
zast`e-X=`^;AvQY(Y!*LPi;Z>8dJQd7?Y<ZLy5yo3lKv;eE4ALB+$L2UHV<qeA@ow
zGseQ6AP-N^y}dmar?N7I3`Lnt^4+UIw_@J>UhS!QM%@%Dp(K+bw67j8R%Dmr$nlcZ
z6F#v_GpU*U4x{#~vNVBhq9P(9n+7Z)!}9#G5}hjju+}a;vXT2nXTN~MT4<6y@AuDY
zxT~pp;{)GP@vJxRZpD*HJA1(L`PY5xtJlvS;>V9GB~)BquX*V6V5whfH|izwNP_Ye
zuj9c})!buWV0$B1bPy9e^=0(Jsoewm`(2Wp#BjtfxBpzpaaqG)C~8Oz2!$HA;Y9Az
z5IgzOvggEdME8NSmA&-SN6y3SLuG7QOA$Kap2I8Xu~?1h%DSw^?+S&Ntt4u1**Jb&
z?}@A5<}!#UryTK(GD}%ThTi8#_A)%S0({!30PyLnOTxQtKnN`D%f6cs_0nSX;iM0b
z1+ALI@lzU3A53)?D-MY|=?zb1sP|O3L<2P@D-paemCiexs-ARNRZ*F%O4`V1Q@7H;
zcguT^ep|+cNQrs~weZ&5Zy4SOtnKgNi$~))HNpYURGhG{%$iPt#!efbNtRC508^>0
zZq4_v3vzN@$af)AI3^?h{314UnlpbjBjSQ0D{j=3*R7m;*EuAqeVoKVlpQ~2lulV8
z@mcRCFjqWFZy&;4E-+yL(zUR=5E*saRy7^45?+DgE7?06bBTFOZKvo`6&p96@4gF6
zy>3%cD!C}I+7whJ@)bck{5kh72CM<}+{Az@A_DR4e!1|?cC{CZI>o{cb6R$g4eaGl
z?vc;XxH|^4>Q7DpIASVOU64e&m%cV<n6pdE<396(N`cGp4GW$%16`uiw(#kN_`7LX
zr5gnO?pT7aS1voZy1VK;<KIh%7sZ-gAjCfL+-{o*Z|}v%a&sl-;6Iynyx*$o;>-5z
zYL#$AL?rk!#H4h6ONzVLdN{Xx)S7Tanqa6UDgV^Ltl~Hk!v#>fXTeiuwnP<z(%RjD
zrk(uOtI6Qor=B199vqw{>LmCq2x)9fSS2W>&1Ep^8<hw}eZYKZ;E8&q(nP<2qoEU#
zVBWH;=wx;34PjW$S0GuUvATO~VU^GL{`ptzrAO4l{QM(>gFMG2-R;y_wi;N2-Uf3!
z7i3Pxa#FE2Yi~n3MTC8CJoYuhhu?E3;8RN|1%Uh{CM4wb&MirNlBPi;YSxrKR>9Gv
zUYd@$Xw2mKC!|hDvhN7;(bTyE`kU&NdY<U;QF$jwHT=u0jhUw5#^HH!J0|0-u#kGX
zh+MT`GIDa|>9NYfxl5zj+1b*ERskCT^bfdN4|7s29Hg})&$i1ekW~K3b)H2f;~dOo
zZR{!5h`;^XIT1U4q(h43&G+zHGagIrK7psxv0UqvQmK>g)nI)<>1R<f%Yx*y6B3=P
zm(Q=x2Fi`+S6}f7uInXFO4w~o>Qs1m=1TDwzpz+kjvucU8~;HW%Mi82W>j2-GyN>t
z+dFIxmyB4Q;>O^2Gjo|_`+)VE%U({>P5~53-kAGoZhm&62`mzyS~G2#&jv$)3rFeV
z?TF<`+Luci6BQem@0t;nVdB|mun1ZH_}nAfpKb7nwJOH*JB@MXBfQoN!DNb!Mn#g6
zeD|VH@y?ff_>zPo!ImWvn_xPGNO}{@K*8XIw)^7`JzC=Z_U!+)V{It0;~pA=!G_qX
zQ<3c?4x41v?8`7RCW=!QSfY&c{33jlyHX<Yz}<pE-;*WVS)EYSrhfBlv>8El@;yeu
z`t||yA#;P=`XrCj$vXk<iE{$*0Ny7oQBV;^Q1=|uty7;4*tdm0braZ%mLDO|4kIo6
z>~dG#N~4-?YumYnyueZQbllDfv}ZS|fFsHxz+LucdLIQ>cB+J~tUwEkn-Y|aG0#9e
zt;BDL7M?e<<5i^3<dF&n2WsO$?)6!Ua_h7_UA%lMPy!*-obD1JGFVw!N{EjqBO?n}
z+`K05XxsdTRqk^;A$(GiWx2X@Y$YWEjEpSHHvJ1L=Sju(7Zj1QybZWU6v*Pzho78N
zU0vPS*eJosvdj(i9(n1CPq&zY)LFGjU-5SAB^Tq#S@zwT0PN5gy8n_8?WTrVcy%Nx
z%4#u>3zfTidfwp=7hQFHz0%*`PkU7P#ckpxh^a!Dr`x*=7VS^TeGOeE-uwc-&Mof~
zt?_UWv%@(!Km77x0OD}ibMMx-;&))eEE$T8BAr?3%;U<`?TBOGsX6U1l~go7-1%<d
zMA^sLF*|n@Tax$bV1a!phr_>yOkk?-;3qBg)^%Mt+rl=zg2fx$yN+uap1=!EXyebC
z!{%WEBQ<1ts5$LA<>Sc7XQ4?)Ht_yQ0%*$d{u0ubNMu*aamF&JPQye}JIm}Wd#l^0
zlW-c2bEM&-3uPk{E?iVQz<v!!KGI-L?a4L<b}V?*{iO!(HNLSW5~x4<Hm{nvKI>hf
zC?}BU`2;=WWY!-7d6G(s#az2qc78|xS|DgqF`~glrc}}>U8z7t^ofpHmlyl+r<`kI
zl5)FmHA{tbx?8y*8rD>4-!aa=0Qc;~x&V;y(CA}jkf*3dZA;awS8Ci;H$ttaF6&(m
z9lIMH;_Q+$AK9`@ox=CHR{1ifsoCS2Q=+Tp&sPYPe?}lsBQ!=oVI%Lu=N||wT+gBP
z2g=)ahjP?+qbn-mcYL*TGg>loFuoqZmHir~VewsR&9V?U4Y*(Tyg~I_ILuE!;)>7(
zmP=ub3A8T}h7|rMz~iqxBEF5yO$g_-(u?s`p|b3PHOPpj`pn>L(-4cf_TO9QUZ<EE
zL*D5hn<)4xc-rH{&-{~8<J4YsLRsCvca%?#0IU+3=eAVVw0^I-G4p46Jo2iG4>9Xw
z|6tsc>?$(>l*SPe?oQHXrWLxjh76Nxnb3h1x=mzMOyRv5$6ieqmh%H$$pn~zPJ9&|
zOo}R<=Yd}ZCmE>wSuLvrG;qz7@Hi}5x0teph%itvq-EJw?xLCcPJjOEsPm^1S<Xvz
zoy>evCpVd`yxWcgebJ*Ad>jgWT1i!?VdTz^!IPfB;vwx3K_^d>8J|@5asmA{H_j@s
zt*5IFNInRB<MhUy!D`0&0`RH;Ukv0xT3XsonaO&3xH{~~wHJ{(kor{hA-mYhQ4xC3
zI-`kVH#V;=Y-DnBa$rC;ls#KZk460^0ozR?9maZ|=F~K1hOQvMr~K=%rtD-I>sEh8
z5k07{uRl0A5R7`zA^voe7n`-j?T9F=`f6F9bc;U8-`aR==>Ng_lj^2nt?qdauT$1j
z26HTA;4cFVAa$-cya7tZLGDV-KxS{mK{0YiB<&&H(94I;cKA&cly**&I16m_T8oI^
zjbh<Y4dDIJ1CA@F>p!5p)x#a=ZbQYawNkn7e2g25eVtG641ZA%D2~_Fhf+e&wK+Vj
zK%4N+XXPBk0xeLWFVsMOyTAVA>yhKIo%R`9A1~X^N?WUcj6PmYGS7Z31!^+NSGh1g
zYj3b~dn&&8aVXIbO&-cdp5IX*^kt+5-nB1ajLvs@KYA|_42O+|a|4y~-QSgRqr%it
z<!a4IB-5h@?J>M*0&g+OOqFHE(SbnTE|nrRwB)}VUuOVKKu3U9!Tv(Hrn_%*gpvcM
z6PrK4$k}*6&K~0-m${hl#+PJ1UQRpq+GgaVGeFwD?3$;z5$bdaN>QDjONMDbe_}wN
zHa#3sDj6TNx`BOe-g#)sNJodEwKYVdwUyz+?I(v7%4UR*98R=LCl%VK@?QZU3kU#9
zCFTV@-1n{_FE+i^2KdmRdE9B;eBSiCr$Wa4v+_;gF74R4sewRpu4=moX!exbeebp=
zsEGYnL7?X(qM}dqEO~Yv^BK{sCORWiG1rZz##T*NRy(Sy6S9ky&jztTYvSAAKO4Wo
z3SDc-+#b&8ZBu>TSZF>Wb@f!W+;Iu$sl`;>BFRZR;92w_qe_<ucQQ)4Hpy0ikFIJ7
zA!(Dx>ki_d7H%8*Hg;;s^2d3;J_%J<VO%7kmjf>JL|IrCT{pSljeX+wRmW~GR;HgS
zp-ggW;b~rH3!A{I&=jbg{Ji5wlp$iyM$9!icFM%k;}y_A4ACYG4<x@i6>36%)!2(4
zr_74ZcTy_0@G^iq?^uIE!@)N(PYxYXo3a1(d~d+>b^m|QKkfNIBkSKn101V33d>S^
zk9hCa(^*(hF0>~mO8ywy)5Nbow}5WNALIi?_fz2S%j;83>qdYpUgE<6Kq<ys<xPV;
z5z>TXGa1z}uyBT;I`+s)W)3ROk9^uu;lg3Q|FSb5o1iht9ko-s)0^F7u1vyHcyJs5
zlI+(ubYvon@@4bUB^4g)?vds|RVcGF)SdFNl?K3Twtu&0w|jnE0?ZlTLf7Wp2ga5D
zPuPZRHh%I#Y;V7_6=gkD>G(^b69mBC<x&(?C43f1HFM#iN*xN<dQ$mhy!7evLj;d?
zzw$jyV=Te@<Lb)RR48E|Cts=;bI#+777aBvg2xQOpI(n1cYy+u+^(zEDJ{zJ`Gp>)
z*P~1H9Pod~wq~c{Jkm4IG5rTHQe0JK>NfjBrRna&x(dSL`e>Dz-`()2tcN{2<|@&V
z#ghG!F~9GJ9}GkRn*!~1^T{s>Fq8+!AE*I*;F>m<nJ6;6D|D`5t`vUU<tE=7wkms}
zkHwv;zk1&SbH~yIv9tq0xn&}$dynQF&l`c)yQ=oTA(qS?hk^-uyhs`6USh5~E&Ufv
zbsvDKx*Z(h;$T#dHJQ|K3C$gn(@yxQgA|vTh{(m+xx1??BqXGb(Vd<S@WDs_H`!NX
zC6iR}2_X3J_4N%<P#>}YN^e!kQ>e3$0XjoIsnJ-oJ4`|09d|pQWUsi<3uooA#%pKA
zrKi)77U?m~{_Q_&@Ziek?v@FAabT8nLguW1wf6GA1aX)r>@yb!=bb9>35)RF;KPs8
z?<WHq5QS_c?{~fGwy;OuV~<iJejFO)H1$XoiG?teLY57+YfT*5E2lO$b@=!G7|pN!
zQ!>DE=5lQK)pmc}q5r<rnb22*4;0_BvoM<VHdG;#y84f&?Z^Iz2h<t&Tn+(TIwVPG
z@P=9Ec%-3!^PbK{pk$5~<=lx-wVbU3@BuoI#jRrunsx8PHSffmYn}S3A}1iU^vq<V
z&`7xy)=Lmo4;r%i0Nwi-g$dbbB8oSMMBw9Zv)2W-HeFQfy%*TFxAVQWJ)XC6E%&3H
za{Xx6_lm{%uLk3HTCQ7vv>QX;90EAyV=?J&w-zu;w+Qe{pI07UaAUs9ubo9}XoIm&
z8AQ)VtQtTW!zt$6G^j555e@<kYnn-$k352(%Lpf;O(`FY_d{*f5`pHaPj{?6C1?}%
z<n;aV^*Ogh5So!UanyYUHR<xQe#T5<0{o>0!Loa!{$L{KVe6|mFzZX%t0ygWo;L7n
zGnY?FZ<5*zwWs@9+yROI-c@h@Kxp$j5dQ0kc?@Vr%=uyJtAEU|<{svK&MwqQ`M6oY
zm}Jh)8ExqAqNu)tmbhS8fs&5@r!Oe3hkW<%L_y_b=8a||*>t*1zP&FUntzaFuRlK7
zJc#L<fX55tVPAvPM?vDz?{6VJNvnfnwc{jBqflX_@|hhx-A|f@dMVmdu%U_JR>wVm
zz6&^*IFUayI=p2;cD(d0Ir-XKh_X^a3f#rY*Ynmax~2R3Uk*N;U%_1ErH{WnoCEFQ
z{_5~Y`DhOhBuq-i!gv^Tw4p9x{kO>;djuhbJ^oc!BR+M?p54c{hJ7prO6A;KnLu`U
zHA$r?1TBHAY^WOFK7pPmcJi8sx0!+lFl{pmNhtCEDaXFgi6oIYDTL9X{$!KW*07)B
z!8xVt*D~*%Mmm8FQX|1N)ZY75bq};Qka$MtwOTC8`2i%_Sf@bY0eAo;3TdLj#b)_o
zIhD`1GjYS3?4uwSJ>E@onf1fF$fQ{8RtRu7;u*LwiUtj}Eam<&D@q7ZdoQZ-BNLz|
zq1ymTv=`QrKM~ARtCSv$t?y?^P@9};zs3*+UxtBzw%#*qlX<b}w(k?=Y1l3eJWDIT
zIt<-%Kd1m&a|Zh96vXYR7YP;N%;|tUDVq*JwCi^(!U4TkUbVT}4NnR+=A^x(A)ijl
z+G?Alo$YF$nHV8gy~b5r)a!`>mkD`SJ%>T(hm*Nzno57ZTH2_vd#Ts_M1*9}Nw-|H
zVkWA?W=4g~fEBM;5Vr<oen@{{tXPwfd=IYGwQ0($c%Ge}Nm*;WlG%Y?k?w8svt%$i
zL}TE7DFmiGX0YL?n(n<Hos@CPGyF-g0cL)@da53=mbf<R)8su>=MC56n{tIxZuXXX
zwjR{3@2{=HeASkR5&Banh<Rn0m1vlhM8-MO2NXAw6J&k0;~ku62^AGyX&dciQURR>
zrx`~&*+7wUf6#T}RYeU%kLKhRW9(gk_^CzU{ET4N$t>%A^h}d}X8OVSEe_v{Op6`*
zE^pCY1n0#NDZ#E9L4$j+5HT$$W7F#i5u?R!0?g~8`uJL6dn+g6q~^-TGUOv!8|fW&
zlhj>@_nBw$_+ND=d-ut4sAUzaP7$>|@BEe0KL!6%B;|;Tc(<TTPU7FnH-XgFsw%qg
z?q(Gn#H$}#AD0`vUK{EE@CrsixKBRn^}TuH0Z3i2`h4VS(|{vBVw-X&Tz4iKq5xv8
z<%*dUqKpM~%nPe;8rg2#<mm=Qh4S7~)I90{f%kDbDTy?qyFJr^UPp}h>y^a|KL>y6
zJP>DS?G}6T72WIfnge<()L_P5s2FU-rc56=n?r9)-RUJg+i?{}mE3beHrLzSP1eKD
zr3cR4Uz{G_u@QY21dkre_SpX3MM(QCse5fDQr{!jug8w!gND*C)I~kPuh>3CTko~S
zze=QZrr$~=V~v(~CdR{$Y8Oi<0lh7A_^Q1}X8kAekMZ5D-+@3nx~Qx72$Cw0OuC;i
zetX7})g7%vih<pfxygGpN>kmeQ!LbBx)Kj6$Z&JFTB>{BOueT_-_@u+TdzC$JYHak
zoT#yr*P)>;pijZy$EwZXc0!iC4Io0`z}a$)iuJD3mCN=<i;<oo6RU2UH2xMNaZm~E
zzu@Dm&O5uaiQKS91Svd@dPsZLEOo0-j-}tOoH5S)rNoop!J-tcC&@DAzwnR~1@qeM
z0tb}CubIKGuNp*aEtl;l?kX7pxYs6Xi-IF3jB!e5y@EZiJA?B=U6$lrmn|7h*n`k;
zWB2A8HTt)<*!n!w=erUibNeb89P?eQ)%v9^5!rMTojo?sI(8ovEwComEeP}0TBEF%
zgga^ud_13t9}LnQ&25r)jR=5-YaXBDYkAM5^ZC2Xrd=|>?!n+s^1Z!+x0bHf-)BD*
z&uguJ(#L`BU4j5NRByB8l{dOC`a^cz1UfoJcV(Y&{tAFOc4rNyg#i*j4#&#YYPg``
z+dfY=lr<??&uc-*Rn&M~zqYuYWbDV7j(~Rl`P3?XG%Q6VM|(TJcUm~n8CiL`#{_?8
zgk~mkqtG$|tW!HO6d#$ibVDdiDq#Ymw6Y8~0f}hzCX9uto5g(g+Iv*i+9|^sn`txg
zX<hpcS>$^8;rVY3uB1r&O}F!%%+awKbt@{(d5)I912j5RYX)KYd~tVV%4}&jQ-FF4
zb5V#n@4WaL?<yuNQvFVttCoL@uQjE8as|p&4F^>lvp3aQes^zpb#MMw^(fq=GtNHy
zUcc_`8oJ@GG<%5d!W<Xbs26AbxA=RMB7Di$<5t#k!}vSZ7w+b}Whqd`E-p6F@W@lo
z9ypejlTY(m=pF7FhLm1N?8+iU>yb?E$yyZ%fAeaVdvUy*`bBC-d)8JH`}0BjZ!T*`
zBkB|fseCn$CI>$0eHxvr+Y*Pn*Wa8op+b_BU-(^6e3Sm9$ZznNO$ImizT=GKhcYPp
zha-2}jjqvv0C0iDYMv6rYb~YgjVXxsC54<@wp|+UkXPhNjL$c1(_1cpj@^;VZ4zfX
z<gRsMWeQ_(7-hu6j=^&<<1sIbs<&lxA~5y;L6-EoliAMH!@%dI9<`!MB=5UM@i?}3
zH+W;SK@HCyxT3fu$k_^G5W%q-n`<iC<-;43|H_i)a^IwS<HDU`OqM!M0589TlYG!E
zN9=TMtn-QfPA=O8jbFToKPl6tm<u0VF?xv0bd;k8jsGu%N%5-n&ak+WTR+qf9956-
z&tCDU+yBFOIo2e#HfhY;UQ2)(DnAk*D)s@JMeZ&khyz_Ixz#HmJ`ZmxXT^Xt4EU-x
zk>ENsBVZ8dvN-sG?)?cL@Dic1r{C?9kWj7&Os0`8RQDZihXeW4Ne`~0W!dLec(gs)
zaKSC3b1r>({$TV10qdkM)BFD!Ec}=nj^NWj5CV0<ZZM{biloM+94rh7pkAj6BHOb;
z^3%^1wadd&^S@t{nJ>cyZ_}|2*ML{4r}@l4RCxNLQn#w~CK@lPmVB@!@$!C%e`C4G
zj5oTDviK$}dXgr&i{&FUm@d0-j(~qJGge=_v_a1YhyUDf3j<K>)Nl~^QxFm!(LTGc
zEGw!Qpw7m|7N8z5T!cU6D8I7ucrDLP04HJt#N(*X9UrSo;9`5}tP~&<$^^~A?n#cc
zY6)Ni2vtrvLfasEnMUc=C;ynO&%}w63nw!yEb_-n>yBu00VElu(<%0UR2(I_s)px9
zgGe+tmw<YvxgX7wU|%z9G|~19ca^AN2LMrDr9Pbnwn@)7Rmc6<!DB0qAdYoN2%x)Z
zBRf?<oW&-WqW&LLMSdw*pC4OYe-n7iBPY{hRi}o^cXo8fF%6_9AY#H4O?(!R4!kfm
zC_ETa4GKF#I6Nh~pY|RLxh3+xee6(~&3j&RNrgl+=^z=c2spP;<L-d&-V_x)<Y-vD
zjh(a#Cp?Su22vur3RS>isT9OuUcT1IFI)x=26^?$^l)KZ?At$N-DCragLDM1N_M0H
ziV5Zrbyl$zPr-L~*Vu!9cNXTrUu@_fTW#0P1;Y`!y=U>@ODMB=>Gv|X!>A^vhWYLa
zX5oo8+mn{0n8ANazFAIRIWFA)ybOc^)&4I<0nTy?bg+nIZI)?no`3f+V`JCix@?{{
z$AlZYLHjO{jP<AN1)?gs2EdWqD$Wz9Xueaw!vj<$Qc@QN&IsyE;C-#Cdi*vq^g2~y
zT=0VoXN`0CrvYiVE5Ew3Crl{aK0T05b<Noc_X{<AG&_Cwsc$KAOXI=?xp7QR^)Z&X
z=Hw8Qp_+p3BGG|3uy>@F=s^p?(o8#Y=(=;fDrjs@0mLAsk#WvJ0W<ak80qGJ5S}Ud
z=VPyLdjqK`<!aoG)gYPS<o9TRl;@n2&8?183bQ%<-;`&rIv_ug<)orWwH|F~N+P5L
z6!(nZzt%bmJ!T8zOR%#F8OqNR7(PX=7-FO85gwO$Ky(Cl*e#VjccpM%9zIccrQjl*
zxoDIKn23?2G+nNwf2B37SU!}_kJ*YT-AALO1O3M+DMKX69F&r&{+V5+UnP`MmIE%$
zU7Ra)9g{%@q!NJO3$zLDb7+L>7WM+{hzl^M(DHu?lEyrbr1(FjNB#$Z<|&w`c}l)>
zY4)bBr~i6&W-+S1eas+<<+#Ab=0MvwsRU=G>^)$rn_0K^ngUU{_wk^G`i<g5d-i~s
z><{3u_*%}A7cFQABUF+ffH2hXPYBZdMlGN{8N0L2_mP2>i7OJ>I600y;~UUrb4Pyc
z5vklS^zvXc4Rbo>N!AxMwpiWZ&JNzu(f1)RqdILYXR(*$(rl%EkC5ODH$2ew)>6^d
z0OFcnP?FFrrGVM15@H!5JoRrW4bt3TJc;qfjtk@BCHx0u0vvseWLuqY&H=%Fs(q0y
zpkOOp3rq`W9SY~e6QO!FmEe`c(m8`m3JpJUJaz;qutJ%s`93ZDpmC53eD;V8O)CfV
z2G0e0EAlNNX}ABFQXTHV=L#23P7O6jC>+p8M0^fywQCmahkobebhmz-lFxfLp}}tI
z4e=f}iy=?y3^Cj1m^Y{B#WPr>7{KTfz}v*eGX(adypDR^9&Ye!MdLh6rlcv~IF{Za
zn5{q_nEQeYT<8DG*Do<NqJ+htvajk;AHy)FVlO3*m3L8|m%UURseh*rbc8WyPqX89
zWdJ?Y@({IKyeka>_v#`VGz;7|+};aF@E6Zq%Un2R@2bC07#o*UYJ32Nc~5#%C@Q62
z6ku<f1ns?q{y`$l@0<o?{B?Lf*5#}Ng7@tfa>Wv&Bt9leWUv&i3ZDAKo>GfDS1^~U
zDuG5}A5Y8YsozPBjp;H<UUdF?0?N2)1SzQGgF-?TtE@xGG%~g=O(4#giG=#bF$)r!
zjAlXDufJxzz4R8bR`s=H!<?r|kmQCC_f5{ku%Y}6_0t^jH%YX@XuI^6!YHgGvU^a9
z8`&%NT=c6pr{54k4bw-_Q;Sp)N7|=ELP-PmyypQ-m!uUSK;Evo4crK@Df(~+{&%$N
z7clX_|Hrp)h8h~fU0q#mZLhr|koN6h`jjDWSFMW*wgRqG9OZ!pQ|wmdp0e|kiXABl
zcz<x3Tyi~y@*4F5{%hL&H;YDOSe)Jiyy)TKA%1c}K|i2&%YAi>6?}2D3T{k{8EhB$
zxPzue4G^A@m5uxw$CJFc`ro4MJ=z`q@}S!M0uO-cn#J%V?Wc9NU%*$jQxfJyP1ia;
z5s?$1)x|{9FoFbiCe;&o_s}%`M1lAXP#|_ao;>ofn>x2o%2}O`rVUw7XhWv0&KG}0
zx-FBxg%G>*;QvD<#2$&mwu!uj9?lH`S9-@aV5Imu_u+n$h74Z})sDz&77rjbl*f)6
zDw2^@x(GN0^&Njw!9u+V@aud``V&I3ddz;Vh;?v5Uo15T6w9HNf@n~=CQHe-*|jPG
z2C_YPiRk;FHX)r#1W>Hlx~X3PI^;v;brkPFW~eIOu~x!AOKE*DI}{xY>Vb(nv@8)2
zFY#&Jgv<?d%1n(CE532w*#@XkV_{7jLvHUWA2r{~nOV}S$C#`3XM_VYrt6A}b780<
z7Qt{3MFkyVHC^U5*wh=U;?R{n<!pTI>$Vf_sfk=*NSpDGf(f@M%!_@|*7KR+(VL(e
zD++v8EQk1&Pr4UsurN)OyXF_|sS_0irH^07qntn7lv-~YAjYg4E&(m$|BmY<s22YZ
z1=FyrYt|NKl<*TbLg!=*(sM-vAU$p20O@(nmdr@O$s;nix1e`2&O1&UlR*TYiQ^Z6
zQWUTWh8yc6Z6t9py%{KL)L)~;gV7`LP(bZ%PFVG#RJ*o@9?(g14H-sf2%cVuHrk2*
zQ@^xJ6gv16=MFF=iV>#1-9K?)wb0;6GuyRvb$?JuMFMemjDsfe-Ie2k#6MLTfcO>u
z2Z6aP3n_GSH@?RYo`daKm>jrhB~GYtB7Ky11|Fk_%vV=e<>loE7aS7?E1xx&_I#Nx
zaqiPm*~iO!_9ZFD6s!1Uwxvtq_v=f*8`sx|)6s(z+<?@bfnG+Q+&lBQKUrV{PqCxH
zz?ffPphw{FUt1g*fAlw9A6~<h{C;i&=fx#Vt(~|tZo!$ecmjf6uzuugqULv;=otb0
z42n+tpF^3!zc)qvzCW6Wc>ySTudxOFE@*%4nD`9dK=&UNzZGu%hW2yvpfmkr-cIK}
zRPKq-Tmp<d%?n*tKsQ7{KESvu@VNl(Q?k~U58M%PqA<+D6!Y?%`LB0re2}`JS)<pw
zP6>#T)!Qi`-bvZyfeWD8C{g;$W~Zdd)eQ2QAK`Uv#6&<r5v}yApxD+w=6%cubt;&<
z@ay5azk~|dc*jD8jfFzZY_IoKkho()L@(xMo)o!d8>mH)YT~j@Tf!5jQ_lJ6YR^H+
zZ%!m1aL!6AYb$fjhY0`PHWw2831?5lqAO*5{0nCduYZeZk{&@c(Fu$xW=b3@6k2|O
zOCQp92f4wn;0rC%8a{S0EP5fjdS3?9!~wo<_Z0{j^}_;w=bgaMv1(^w`1!3RKS%Xb
zD+63Xmb8aEsk6XY>rmc1=N_QPKzZ$kULR<UTrYIZFL%#&pZYl@YOCv#@qPLCnf^M(
z`)ExO;GhSGxs4UvymdS3Z@d05Bz)DS8}0MB`N8f@{~`6&Mte3O^~Jjn)Y{6NVQULr
ztXS8_$2g<Er69Cj*o#ip=D5_~<d6AI2{Ta+rua^j3C_nV+e&RuY4bR<#M%g}>KCPk
ze!`UvqvkD;IG5%O@l)#*e~4W{1Xq^y6y@NFrw}&^END$Ki?JzwO~IHO?x%fM+@``X
zv<G|<(~SpoPrNuf3`oQC;`yM=LEUP-mZw&bE}VbK;5)2J7toUaT1Jpn$>6=d1Qb4E
z9F>CE)c}F9=g}XTuT<4loKv9^c&p;E%$FA}^Hp?y#`y0UEy<?YenAU+aCV@)UfD%%
zOs6h0Kzq{~*7jwH8mC60rzl^nHy3YfMm48_J2&D7$d!8MpT&r$jbS-o0>p1HE74SP
zN~N&LvgaNKsdSE@`yc&#b1m~74`uPb3igLRnIVHm-<}i3+)!Y!<4-U7a6#0k$R$*Y
zq=|Wwka2A1u3?c86|3$CfbnayZt(AYbYY{efjq1alfMSW8vgKn1NpOFqAqt3!j*2%
z&mxpPUY*}-L3fp5WH>=#@{b?Be?r*t;4-FRpYgZ+Ma~a4&UzIQy=l>wykfLrdBYL1
zL~tUzsoU+*JvCzV{PMAdZv#5$|7a>b-@S2msaCitD$9#BY-Y!NP+(Iluc37_s&0D+
zD!vvn*1#~z&FJ6Uy%ryrmZ~+7Eya3agt9Zm(!d1{*uFZw9|P`?W7<*_{jY4~%na~>
za`P>gt8|F6nn(5*!cOYV;n+lygewkT-0f{XM`K!7S`B)jWjH|q;cn5c8&IPJ(sA8&
z*A<?E4eI9q(%hYhZvf3*-pi~1XzpI4HFuULnmYlQR|#sfWnd@RDS<r2ctxpZ`}I7J
zDqI=!ft5gjn95y2R6}$P8K3{zu{E+ZRA!A6e_R)2%Kq;~DdJE6tvp>7+rgxKQO)0G
zV?gWK(c9xDHZF?ousxYk6)yi!3cjl9YCawwwTw;+_2FRBRx~>}Bx5JP!I%?_2SSh4
zP!|KL^F9t=I}#eS1NcYZK{t<TI)Af~V;fBwa6whr{xJRwS{L85Ilzs)2huq2(xd5T
zzn0;!`3gh@&uyVO(d-TKgL2^m*>uW|r$WA+i@c_?DZ|M)%H)D0n(S9@21Yvoq$x(C
z@ezg+X2s^$NJ^ny3Xs9na~s3U#V}77?RvWe7_vJ*Kz7$Z6-yFB_o>ZYM%NOUKKYZ!
z&~VZTMCD&|O*8#iURR!rSzrE<*Ol1UzMaAa`r*PaXnjo=A)v33ONV5H0e3W9W&s{Y
zMka1Ze|A*^SUUZ{2}m}1RKLG#yec{Dd>~?pBmYNRb0E`K&B|1j1hhQ!w2*Gbe8P|q
z>*E}`ie(@_ti^eP5^P=aQvoR_&u<O+TVBHoL%5r2AT}&0<4M)>gWKC#IK&ZZlTlol
zPVMLO*Qpm!ZSWRzffsYT+rnY=?@_`MrKgzwfy$EtlC&bsOMjT{!8E4Lqai2#|1C@Z
z%8ritA4BXJIjxIL;??{;EoQTF?Y#@8fdgkn_`5b-I~LbW3(=FFzL7{h0bLmmj>SX0
z=|DzcJNFfL!0ikS%(}J{R?=e6bZ2XLDv@Ib=$yBJqY0bs!=yQ5x#FO_igumEqQ!3+
z%nCoA>DF*iRyeg{a{LqQhQz563cJbZN)(j{REJNU2q~W5K3&G*7U=p5;sWy~Fp+qj
z7lm*AH@h@;s6vZj4B7MlJXH<efHZ&gCfJj6m@sY=qZ|dE7)YNCN_>F&))A1bIOY@m
zJ8B@Fc8I;ikYu;$qw92J-Q>CijU)L-H$knWiq2lL^Ohhee7?-CW!}wEd!8k1?R6i=
zso)G)QP0CPqA$P{g=aS!!XSZ!qGvD`TXV={CUh^^8al~d_wJZxcsJ-}D}->y+(z*<
zeYEs%$Jl4-RX&?3a^tjeq*dIbo_8#miLbl*SwccEhVhm3;Q$GK$N2DD5ROGY3Bujl
zs&n?^#njF?)W}y=x(?%=nx%Da13jMW0Vns70XBvXFPzw0gm8#)QJ&T_QRv98+!0bl
zvXIVgkhZUT6VoN~*wQTcW3`sUggtftt&)nXF2nyB-w;pB`(>5ryGaTy?a-Oy`Jc?8
zD2|qCUq)822rV*-BNm4lqHr<4jrq<cO+W#*z`(=$S3+VEy`yx8+{#AbD;}J5MQ~7z
zv|Gd5sOb2Ff4_L(qJ<=?Q~yOs(h@m1vLxd-pPxPSz5vsFlwP6p#FEMJ3}d9piqftC
z`~iZqM#eC(&&$6T^c3@ua<YEOM#ur#NaA=6eWcJW>su2WIrm;q>dHO+w{ZJ4a$b_3
zZw1`<V{H6Dw7s@=7WRqecV9zXS8(-H@!ydorv*nJmM6<Itmu&>y}hBUzR&ZVCGACy
zuSfu}hvA=|kt~Hv1L%7`#6Mj^tG}q}RPwKKadT&WsbqO~^Y-2rFy!r9cvl}Vd3-iS
zc@PzstBK)-P#i$2OwRag)Tr<H>KlVlu2>HRZ`s@Q!>6V(Oow06o0x8%jAMkd|AR!l
zdHLUw2%7j<@<$_7nCA%eF6_UABkWFh=>E+cJeM$qBi(>-gfOpze(O4*FAL*!RIu`8
z-%bic*rNxs{D3==E_8W9>{dLt`Go9P7sXvpuF<>b@7aXR3s$w%_5?s=IuKJmK3pFG
zE+}nabaDewzsm6v)9cKt;hzZJsgxwd1_DVk3<L$h4_jEre`J)|6oluxuR;K58Og=5
z{zz?1`pPvmA^fJ=BBYOkU3#?}FkxR`Z|?MebzM!qbjE0vwCjTjohM~koj&vBgN{26
zVjTw^DLeMMk1WY$AMCJd^sBJ-4>MPeXzj&%*oW^n3(7H75=4!PTIPS#5~(Em2ejb1
z`U3<-t&Xoxx+CS0){WB*c9RW=qQv!DI1y@Lq<-db!qo@qy4IMtz3kp;r#PQ&`*Ccn
zl_yo2Hof;OS1MtMf_#=(VHbZ*_$x+6$-;Y{eE^SQ5MLe(3jtIi8xQWNv^Mw$4cWGq
zj}atS4m<-i;03`HL|2SVppWlnI7!d9bJA;wLC>OPA|}AiU}%}hyWFp86l*ufQv~B>
z?HE(XhU^D3B+w`K4y$+vOq94T@+Hyko&48IAl>=bTt2ynCJ*^v@1aSghz(Th&&V6)
zc;LEXp(42!a9*$b@$Pp3P-RKh$!M#3sxxzSepyJc{~TD#oL7Hwg}c>7$Y`;?|EXZM
zHN<G{8|=G)C3zSltE{w1XugioO8ocufGzdRcWlWNzNB}{+z<UN_ewl&C7I}<_WU+3
zMB%#}mP!V;3@m;Rl1-_8{;(iWrMbal^Qk*-jWu!V3fb+HVadcNQh@fA*dKn#>|Omd
z{d<BhrASG+sHG&+aR$e__5*#@%xYGQ0jkiKogvoL4DPrz`*_~+f+9+nWO>$2FwG>H
zZ)lX#T_#I~>}kvsHCjO0q7`^0-29Hu)``-?&7;ok<i45Cw&VL|%+UAEgw7~bS@X3z
zB+>>o&5H@mR=F)ZOOJ+#fZjS^g=(p|n=n5;)cfN8#j9Bb2dPWfe%Sq;&J4PL(DZ0{
zNPJsw6CNm`(}1sD$*5s&-I!-GfSkSHx+<6>%jfc4-usPAJ31Y-T;bmtng3z4=eBH9
z7n3E0J~|$>{wQnKkzB(h;;|@SsxZiQdIj7(ihAgIA0Vk%k3RfMQVCgU-c6J?si@A9
zq;EgbayXctXgTcJo|(?o*Zsaq#sH^4qrd^i1p2CVXyJWZ!zo5y-|1GOUe#QJHs6Sy
z;>Das7JkLJYPY4AlW*uq?MBJDAStN5KprRd$bQ=1=hfTrLc{;X-j~Nix&D8jl#``W
z2}NkJ6rt=pDV1H;tW()Cc4Hg6N=_spCJYm@Z`qAKvNL1fmuxe3F}4|u=N{EbeV5<w
zdH#G}=RY-L+%xmJuj_NYKkr4T)PewCE%pU`*LpywJ_c&HPj(M&ES%W=^7V!k)vc=s
zcjs!3FWhjT9nFZ!b1W*f(gE2Mqq{8VQ7B&NwO9$(JRqUF&(z4a*2-@BOAe#wp!+lc
zwTVa9H@*iih@#C$Vr-5$MG+-k8n;Y6GosCxdRvQ}F>Eiz>rsiJ=EVYMw%u(94AEv8
zY2rFB=6gzt1|3yr02)pp+pOg2;5vO;%<vHfOqgHR&~^|5a*C>y>dfH9-T{l<h?-3W
zQS~DmEKuksO)1Oem@X#L_c2HLAj8u>2D<)6OaNE`+f#pIcW?``vZ2bi$7hlLQ&9tT
z@l|3Q${XfBaY!jd89U@<kUs;L0LHY~tgZa@(u|;aw9;*uVa&OWLhMkcuwWkE)IIlx
ziMYG1IN$Yw5ltEU$gK89IxBFYh^rWY*Kz8?l;oqdI&Sq3xhuB~?#47@Fo-`oTWZdU
zZ}3|*M|qEUkV`@7Mw`wyaYn&jrk)t{U|SF1v>j3w%=wFhlcYVTqCAW1ZwnSN6sO!@
zzte!r$3F%u$dw!mV`9a=ikh4Ci8GLdxIB8qYo%Yt^+o_d5O4_t!xZDd9CoeT1=1#>
zC&as3UD$el=68pgUS<h4>gV4bW(=9lT0Ba!-0*u;q0+(KZO|HxAl>)(G*;BjRm<LT
zYEDX>8X{QLkE~eEw$9_x=C>^;=?_0^^O}2lR0C&ss3oLh(h|(ly1MxI)#RI2uL%c|
zz)Jl#;3M;zhIHwFkVTySo#Kt=#&;75g(BXQn3&2)Lq#4AO$EI}w!BwCv9W5+0k2Z4
z1PO_S7Go$6miaVG#{gvEt;&IgS-$4yn5@bA=j*=3bFjor?zhhN)dga(u@VOpKwqq>
zsi_L<%6J<cQ7@Oz*Y<=j(G&;s5Z22nN9MR3p82lB@&#Pz{;NTZ-d9WThU*tB>j{fU
zY-aOz0w5T+J#z<}ongS#0T2h+D>&!69xbqk{?u(ogxxYl#Kp1TbLi@KU%`E@3)%cI
zhe?r%+51my49ukoJOkJ@E8XO1Q;t~7_LYUz8_e<Jb434YH5ID|<((B`a-4^(Ub74E
zID_e%0rZz!u9|qlu*bCWl3*ICA84N;^S*<soBF&BX)<;6zRHeBk;{mTjFii$vC{Ro
zLvpydaJcpqpTBiZM)xvSJ{+1@t6Akq_6oi|!?5rCqMW~W=o^*XlI*DdtKFVPsO8-}
zk^PdpwNEGQNz}NEm9B+Gy#o%*VbG`-$DyL*!*}ybRjoE1P>Re6*z!ch9@HlEVUtn{
zP0$&&ZxASaS0}l!rFVZEGh7wka;tAT7`3gY;7(mp=V8~e5daKXkqFC0_Lb?8C{yFg
zix$6uOn~>`!y0Jq*ZaSyE=f;nW}x7J8?+R`K!;F2I@kR=BQ3cV)JN>mKQwIBVhnaU
zt}4x-<Ucmy+kcqe6lHyKJ|Vt3!z*XBzad?pBy;4t@}B;A_~IiR2D0xr?H9qJzDZpJ
z(8q)|#{qyVWXa7*ZRRULbO0B-wDD@CUh-qOkn&K7j|TV@SS@af@;$jG9ImUtN)P?)
zONH&F5#wvqukczU(RvcH)E)_5rKGN*!?XOy+0D!<9C8ym{d#1m$Y+~iQ034PTb4cM
zQtbKJ7F@QJT$WujS9)o=n>60x!wn?@G;mDO(gOBvnM|@F@8y9`Gns+J96l0tmTQeV
z{=0pj1u{7f9L1}WR)-p_>VAf!d>0AkP8yE8k>TZyCVzDIU{fypeuB(<Nvz6idqiTn
zayD*JWO%b}$aT~i=e0R}k(Ig3b+~F9wM<0q>K1xS*<Jl^6|-G}!98IU6n|(AAa!YJ
zYbg=u?uvANoia@oqA#Qq0|YW=C}T$x1r^SE+IVH=Z4y6L!h}Ul6P!C~LaS4EJm6(6
zzXiwb%P${Av<1-Gc)0W{Na@6H+4e~L$Wx`QD{Qcc;k$*il<tk|6v*a2!r7?BDkq7!
zuLLf!e|L5FdqMxL54!j7*{_hvRdulY2jOl1nb%S_;Kqt}+3Y9<(0MgMizJBEnE_!f
zD|n5k*If&D`+kbHdhXa*jGKeSwF*~pW$fEFXy$pif#hA4$(85wv#FU5&Q`CaG$r%j
zj0T>`OM*=1klhhR%ZS>r>Y$&6cQ8vhJyE6;iF=n`Bcf7>2Kir_hbRgRp6^dn^SeSx
zHbBOkKVSlq!rS)`vq%pJd}fWBk^Ww^?Qh5`YoOr55jk(8JR<U)VRUS4OQ<6Ur0`ja
zC+|yVM@eQv9*Svx;dS|k&a_w8Tkd6rx^$To*S@?bct*xuRI1bX;RQ)4V@YA@s902w
z+0;%{SJPh=?pa74{_Oe}fIu(Cq_!>e^CKLB+0meUy)@Ap`Y(--5<{m|DBHG3P&ix)
zw@Gu(OAYIFZl^M%@ts#Cm423HsKeH!F&p5kx$K?D>R}DpD)O=ue%zDV6?js##H#P6
zc1JGl+9X}al-4&F5CDAxoP+t;VO=Hs;lK7i5+D9m!YGL!b+@2C(~7x&Zqa5|{eGUo
zoyN=eP2hUQH(q4Jj_OsImjBxM<c|M8bUvdUrvq<Oqm<DGpPZc8iUHg<yLHY>$H5xH
z$^|7xY%#0Fo=Uay14=Q#+kWeTQJ-JU-%tjg+|wV|THqqoqOMx*(l$qp0zn9^H3I{6
z0hJK!t)j)k?dVKy(n%ezk@<J~)BMC#UC0G)k-yX?OjfF##v)<r$zQ3hBH(J}FeDBM
zyUlEZ?YNgebS}_Ks@OUko7bbo%w4+<HZ-si<6OI6E-4@8#PYKKaTX=S_UY|MO+CGf
zyLCbEs;9Xq>3Ke?Y;BjZPmE3IR<G2r_z|UQ7K#fe-}pMmAQw4s6?ctCcb{c9G-3`F
zQo_DN7k+b8Ta?(Qq&JM52r;S6mDbENU;i7&)gkw*oLN15VIiuogE_Wd8S-)_%u1lP
za>Fx*-O?1-v_@uL@3c1TWc)C%ET#8n7xYm4yaw$dITUTAemR*uBa>Qzb3vN5Es^p<
z#Wi@m2_GaGKHG<jYded{HswbPWo+Q3gm{2snr!fqR~|Q7Z6M;WmZic7wY0@%MD0Wk
z`*S}QtoJVey%9<e{LhV0`P{#2gf@-7H$wWK?-!K^3<bjWa!$^6-5Y^(UMqAX4`idG
zF~bxnLHUJ7X>+q?&4-y0k*M-GthKo<$a~7D4w0<0h=cXkECiG2GjFhP1_{KCSE~Fu
zsiK@eXji-cGN(PQC|y|Py%;=Jf=|w2hiL>gX=&*A#wa!GT42LhFJ7MG3no5U94N-c
zHMoPLhacH4zOfVbAO!KhgwB`TcqH{Di!gm<p302*{B;}Dw8#%p`rEGQHLfJ{q}=ue
zB>~La`w49=QZd~@fOQ@!GfR6tdfy^%Q+Jo2g%@B8e)zcW;&e~cr*OGPPE9l4_2D5;
z2&m_1`wv#Cgv!6LQag@NUt{sMP*|4x@BS?gGMBNe$|^A?n0itC+AMw+jgb*|R+XMe
zL3ypt8fun&3GZE)#HN?Xhar)Fxe@&bjWXu?Z?0OQFw%Ktp2~Nhl$82h{Hoc-NT1_m
z_qtuVI{M<ZLzU)Dh60BJH5<q_PFTmx7&izd{LK#X)vQK0BH`2jLpKD^Xx>6Hit$Ca
zT4qLE0jL}yI<BwbWvwSS9F7A7O#&I9nIrU#Q&DIsf00!pX#H0zYG`YVpmk#{C007R
zr=`G!H6^R@qB}|={a#PA809tS`r4z=iCIm+1eq)-e~dq?qEX_a5ezV&k-0L<vqryM
zAwRTl%i#?``=4R17GeL+;O-F#1Ug=OSN`B}jD$j70Rik(Tb?1~gOuDKEzvsf$!aO=
zcTOr9*08){ROqTt6d9oRIsArv-1EJ|zEw}<AJjDwe@_)sx5>xZqLdD&+AjfchpS}i
zcNi!p`#TJzZu6)ZM~fPHzUmoEK3@Jlw_n+q{Q;FTi<c=tMtK0dRTagWr}qEF;EK%n
z<Wi)Bi`@`$ao%pXp2u~m)O%=T^;B8fVrk4$=|uW`U_k-k?9tY;vjO-wA(2@U+hWs8
zAy;87_j;WN)HY`3TLv0-Q^Uj|<FU*PVbL#6hf3)Qt!s5q(KSk{#LYE`TNwu`2WO@r
zUF-9>X0K`Z`e(AqVE^XHkxqvZSHEE6{<qQ~jlpk9;SGm2V6lGURDCS-aH6K>tQxn#
zYugXwO-<@n*TCG4J2S*)c*PV8yHM;|wdefKg7fFq@AI39?74S@Lc^?@gt&0NBrW5E
zLeCGEY0<IrR7G#hdUH7ffR#>TD6~SaZ^&b6N^{82I8EUYav!$)J}Kum26+5xJai@i
zI(ZHY`{p{5_YCXFGBg<W7dE!T)|?-rM6+OCb#f#(Mddh>fpJqP5C1s`@Da##vOUhs
zhd@dC;|Z@(YwW?PPs%$7Ea?;Wbv_sObv`FhJjU_SjEN0JjDRAu$kPbmA8oGEwK1$3
zc2tHyrD>AFs<h7f&{ATyNlKrFS61I#4c0l&ScH=Cm|hoTH*($=^wj2!6xreYlqJVD
zx|_7-s#S+x!gJm_(S3s(zqj~)jz@sKv>Uw5J`MApM+7w`4GbXRWMbjS!B3jfpc7BT
zEP|a~?iy<-Kp!SJhn$MrEMRYS=&dA4dM+;Q13feOze{=|RAT^1&m37e&rq-%6ZkdT
ziI}~GH$xLyeavP88?9o!_a!ruH^g@C{KX<6c%2bk#%(@@?|peFUwE!Govr@es-q?B
zXcQ!8CPQo5DVvIg36Oy%sSJ2hEZB_UcN%X_R+|L)e8pgNgtWXDa@$V#juphB@qr;h
z`?t}Ln~q|O7U)P}VJ()1M%us0cjQC=y?iJ0)+cM02(d3b%v^BS778dE7rxD{?yLxl
zgj3^rd!EvyeB?qbUu+9L<qoJ-ENER(GL%I*F3gGV8S>@Td0J$0zV&JXu79DEr>|Q&
z%V^i=6UA!i>q?TD{;b(b_q1tvO~9p&en-pTo0!O~`Y%uvomWKj@z9*Ui0a&z;W3rb
zUYp)V5r~=tLlHoR3-qMs`eipXd(R7l{*!O;D9JZC^rvsIDAOsi`%fY^GClIygF~44
z!wBD8gWCYt;3lb_*SWYS9|jhW)m6qB2=_tgveG_7@GZCS22Dv^dtr3q&#2Y>vuiAW
zS?2tFNg&#k#=YQhG(2wG&0I&3cypq)j5RLK;9gvm(Ro6Q3EC8Jii;Y;Ar1Ip7oP~N
zNFsu7pa<T~Rg}xSIxz~gu_v?$uC*R-CdbM#4R~s(JQd*#z$W9!!bhZp!t<^DPvi&c
zen_s*1)CL&-;PlpLrcSsm9$(T^yj_MyWyPTvVlp|iUS)|#C|y5eg2Qc%YqCKpzqyb
zcGUuQBt;J8rULu%2axmwN?zZk|K6sM*<%1}H)FvVri;TRW#Zx4)1%hk;hMF5L*Um7
zzZwGTJ&>waNp6GnzWy$}$+V7J9~Mn}ca4IxV;Ii(@Jti@%)`aYpc~1Re4vgJP3gT4
z#4shZ50CYn&?Q#YZ|o7l>d|4_qZ;FH20m{niUpmqzBrO`>MP6dvKw+k7T#aHB%w!Y
zR;$+z_(<}FeYm_m-#5Ay9Aih&cf4BoPMIAb)gV83I=e_;W#vyGcFu(K#(7CBLo-v7
zo0u`ZC-CO^DOFd}oaWsS0YeQL!v#HjcP(|_T<2;*lkdDKOn+zhL;ia;rA2%Caj>HX
zbz7yNiOclVeK7dZ27tya^Y^`=ZW>(R+qBjwOVf?bdCS-RZ4N_(Hp@JdW)Cf$A#Jn?
zbU?&FeDJFGwpC47w4h?&J_b?VF)jR-!{$R^(l-|gfA7Nf|HN4%aQ(EY+&(9^_YgdI
zUO=S2DMbyf<|Dk<kp@{kCF8slu7-`SAx9LLv&!h!@r1RS@fLp<*F2OAzUINb=2kc@
zz{8qYpOsOf{V`el8hc8%f#0*TZo<hZQ(n3oz2<0Zt{e$a+7LnGU|PzY8mW!xUi}~1
znDcZ$K%L$D8WXDkGj;RP%z3fjr#||fWir+$;`8^1!<mBn^Byi;@YJw{C|{RRT=X4&
zzOIiKX@IPoCpt6$a}Hj}A21_uSqX?D$lRy*>F0i<(8q*wTmz&stBG<yIRlY$6}kxF
z&J;HNb--*nv87;R)yD>aH2<BDrW!4UJ+2Am`kln+dxGrKbBNV|3p2%)zjZ}F*3(Z^
z2jCp7A*7qfM|wjk`$K?VZpV>p6xhSTB-dg<yz$^<w&$&VIH<c&c7%_V!N4f%56Quo
zn}@7t5BO89f2yWFxh8THKy9djgdzq(9qp!nnP360(m0d@l!;>Mw=yZ;^T4k+4F+V-
z6Fjt%bgY1&wcY=_=B2E=;cbf<pm3H%8UBaX#o33&!(TC6EVx38BV-V4e8Qvfacm2$
zm_=snTuVCA3qcibFaF~Iv_E|Y2v`6d{J)%FQQQ1J!Mbo_e}XmQBWQex@w>M9{!r7z
z-A!H00y~2`So}sDh1uMbUa|KHsd;w~AT$w**>LpX)+!n&Qx<lneQTsk#{p3@|B9pq
zD?%5%Q@fAa6gdfPvM8i2HjZWn4sBX`o&p~rG5AUY7Y_xeJ;2NSTg`I#)@q5ZyP?oe
z)Fw42w2jmFJ8U!4FKlc59kyW`pm?zl+kA1{hi$H7zQZ=<azaA&fi^;b8H_c)6qBU=
zrsxjx?|!CFBbZrSFqu&x?j2QfS2IHKl}z&oKHl5mljfoOhHa??$juGuPj5AG8MYpC
zrd-YsEt20J%u+C&fTE<M`~I3Zz`1CD_zlM~y6Ih4`~%0i@g2vhJm27mV%*1Y!d9zq
zXB?Ynv=otQmmsAj<b6v^@Dlz#EurQSX?k^>4bT;c91Z(Ez1n!*%~?PHI`5nZ=KTDX
zIBm`C^WK+lhcGjqJzh9MC$Q={|JhfM$=OKzw8;@DvY_QwGg5a=o6A2mxibydF!|El
z(o9&5tbt|d+RdG|wMvg6S7{l?_N|88S{XM8B!f{EJ=)M^IY4=E63xZ_1I*zH_$?TL
zn)Bb<OpfYhJROkaf>axb1J1pXr&jN2bw;lQ-moo~oG|#@L<NU-A>lsYwYs$u2h6oz
z?q1o|w;wzph69A#8_qvRS%WEs8CBe8Z%TJ|^o@u+h)TtRj8uiMdR{pl%meE^t6@6;
z2BO3bJ9N`pt?s3RDjk#DS+E^)-!l>_JwQQ@_bCqCI^R^}QN6aUK|%p-ec5_mpYT?y
z2t84WvaNR4CC|p93KvkgSt-dS%TxD<;UzQS&TZ8*rDc-yqt;PAQZ9}6<|Yk#1R=$d
z1z7@|#ex#Q&aku#l24<j`Dmu?*kR4e7_T8(aEWn78@X~up2pb&7Xwfb<VlS^uYb2F
zzI7HEVqrc_^<Sn^xP<()V7<0_t>tN+(!{QJmb|BolH)CG@A8V`1{yKpvpuL+P2=Cg
z515<visS<H>L5`f*}XSgin(GAv@{@~rAg6pWG&NaGSruEqq@#9D8ld{s9KXLH~e&e
zZz;Zw7V1v@1EAbrjMWW*R2R=1g=`lM|7;2lfz&InugRc!;>5~6UWW-~8EH2}kxXb?
zVo~+6{ro)=6-^Zbd0<0=pH-AX|4JfX6Y~I+;kiJ~N1I;vxGT~w_wqiv`^G58@MUSA
z|2BZq8<3nwI%wQDR~GriR`vMxKvPw!j~k&mXA=iobOo2*qOVOxdg;j|fsHFQuB&MZ
z^dbdp4X#Jafq9Qy%<ow2X&p!@DAIrF!FnF*ZBd3)+%A$T6N!b37M(toN*V$F*i+mo
zpHKVE9`yU`RG`oMe*t5{6pLSPPOC*1nr3>7DV9BbeqYa9wJHiTUEFj)Gi->ru>B(N
z;K@ePImjG0cW%{izCy*;PVKnS(P^N$S#iOEF!QgyxE_8>R08?YMtD&Hv=Z%(UuY#u
z0IfvG@@@7HiSTa{HuLy{61GrNaKvLEI7+L;Wp`2gr(}+lUGS;9K+N3sRk#`=4nedg
zCTe1*E_=@nb0Sbe{?yWzZ2%`X(10zfWdzj=$v2$G6g^uJ%v;E6pX`Z57kIv6EY6<L
z`4p{_`xaj6P5vsPUmzx`j%G=5Paw8+n!Q1K-M~ok^-rR{>@MZjIWd@ioSP4ClroAB
zaDLZ%($m?g@kHBgG5sq~-)9tRDw`0Qcxe&~054DYb7T}14J-cuhEB&~FJsY3aX1H-
zeLL=xR}=s|#(guO?V{6<QH{Obma+D<A`n)23~N>j*ooi6m@x`ZjRVZbwmCC^2-BrA
zb<)e+6d3yX{o<MG#n<`VACTR8Ydq<^lm2`k2dmad-Q0H+|7K(66xC}?v;)|-tH;HD
zYfNNoDYlT<@<&{1|ANW1-~5KjWFJ2Ci!_zAoL+d~_s7x?dsP@%g29fa_i4saUI3?&
zj`rQhWd3>q&^;>LO~!&5>0e#CsD4kDhr)F~xI&>XDTY+T-1pxvF+2}9<y}m!LH2nX
z=Qp_bEy($oW`~f8(uCfvc0OChr+i|QnQA~fXRh`O*IL^>(al3mPwkD{A851Zgh^jp
z{p%1NDvQ<8IJ(e%d*8?Lw!yy0Nbls*=r%%g+%O<JgEELv|KWOc)jYW8&Kf=7yDHPZ
zK6{-JxSIdL<i-Ag#|t1qOOhsysXp~gJ7Dtef<P$grMnT`K2*yKC2zU~-~P4Gl%BSY
z>kp>BhT!TQICYNsx~jvIRwC5D*>JwApGAG_oB*F~MHtaFOX>6auLnae(s%H`&6%1m
zIG8i_Mlr_g8-zoO=8~=b7Buys+a+(SV>d#~qlx@&wCXEPxhU~y=M9}R8Ljul?q15a
zUO>PM>7`EmWpn&^MwK>T=2Eo$m!jaZdQM}N#9!D^1Iy*mx5!veaLnejep)|$D!{cx
zph8D{DYU{|Ayc<=FWYRTgr3a*h_N$j>kAKTX}=ZzqVx^iDeF#2L5o&de-leHOJYe0
z3Ce@5VmV?9Hny|l(+dm<5*r0+7dPb+(LmIVn}cKrJOY(<e`dNXD&;z8tRt%O!>MDz
zy5bUTa!&P~9w~=SR0rS<&7Y8U+=iN*sm{cuBJ;}u8DeC)s*?yF=0BSfLwJ37LFs6l
zh4%P0>zyYb^h*6ss=SWL`DWzeo~0XXaLZdo@}#?{wRH@ugwA9TMC?d8#Z=Vk@?xnG
ztJ>nlp`CzLi=>LXox2Z+UcPQi{t;g3d|!t_BQYMQMjbQIC^}MqeuwTGx99vSQ`I`U
zZmM%(!SF<+KvV{^<TP$tG!mjinGg!=OiZWE@_6;NJcTE|{uf@)FK|yIMd|F%0T=J}
z0f2bW%>8Y?<smmmD^wYyStQvY9%#|iEgc}UH%7M_(RO95|Du)SC*tllOeUvM@Y(xH
z^6s&VMXy^Vy*y4$tlZ8o;EyS*9^Gi$wjFYA_qw|wE6WL-)%YufH+o1JIg2C|=&ym0
zkZ-Y4QAZLqt?oyf9U66<J-)xSRPMXBkhne!k-yHnJS<KBJ=Z7W{`D9pNKxCx#{;H+
zJ#dWvAT&v5GUE=dE~HQvk7*gjcYbS;;PTy>x)U#*3=sh6Q(LkR`Xqb@ee(7}AE{;F
zO-8o4GPLi?M&<F@NLChpIYKeQebNcf)@eNl)oTNzTTh%QRW@WjWJd;Y%&v|Ud9lHF
z`;ts(IYqp*v*bR#@HHnZp9c3{I74!AP38XX*aGLYI<o$s*gk&yk_PzS#MGm)r*6^u
zFY%&FMGRhOH=H=9V59*T15%^Tk?@}h%<Ei8)SX3_7mq<`o|t)LU~l*OO#RrWEo#MH
zS+R%gf&?5`wd{t(yMb!<l3c4V`%Nmd>xbB$(`0s3RO(OvutTyx%;hHS<-SJ0cTP_K
zrE`Lud#Xc=#tB8;$UrXgdK>{HL*FPp_~EFbP->K?a2`_9`dY<t3G<?Tr<Tp{PA&4_
zImup8AA&G6kuk1W3nq~i(|x5(`Pv(qu6r2;)<R4pscwFS6mxm$g;xdh{j4TiwYjd@
z+fU&a{8nwUV#Xxz)2IbxUO$qOApn%heCDSsyuj6;EcZp&SH9B^JIVWOs=h(YB-#C!
z#He&2PGmnZN_SKDZYOTAjeN*7cy;Y?UnyYm%2ce^5K_q|@(gQq5=Bv4q&E*u*y@iT
zzm4(4Bv`RqlklNlo$`HrsH9vZmJep5#wPHo^7%whTXu`eAZJhkljcqm2I!fB$q(h(
z$>qf8_msXrZSBICVcAq<gSMR<9npvR&R_h(bpb7g9<UhFyvvWKe*>)vs|$`Bw#^<?
zz3Z}W8W2s{2tnoN)EU^eEg(+<Z>!xGIM^YF$*x2J-k;4=IeVUp{G`dx=e32qDJUME
zX;AA(9J+!H@=1r=i7x{yIi)=EDpQzlcwvv9Mw4$E=^(KQwal9&CYoSwb!YPFVVU9t
zS=7vBZhHY+z$UE+u$q}O*ddcQ6zQ&PJJx*w2b`GZd%19CSYVuMBRFsPL$9NKuhMNu
zPU}(Ek&R~~fQbU%GVu_1E!51UN}sxXa5G&hfi;H0tXJ`k{9rKl*!kgg`x)PXP!Cn<
z*3$unwyfE@>R;*?vRe#zz4k>`)y2R!^>@dl!~rx+#{5Xn&uX#TQ(eep`%j!%12`m?
zL*;gBR_PhTW7%ti_55nG`KKZA4H-NL5B%A4g;}<zuNiB~`18g7b(!&j>_|yVcsFCo
zOQu}#QP(tMZUc^&27IU5m~!iwQdG;Qg;fnSXn|hgef_`0w@kBlV9LEGc9Ew1VpVU$
z`ZVLUflTw?LY^MzRT=e~yDDLXT)sH!Q~WL0ZW@>_xPplE|Ja@xz$k7(VWnqJ<u4oQ
z`wBkR?|S(PMRzEWbD8*&-;o#->og(`76~NThX5lmAm(z;@MlEBI^$2D>JlIX$~|{N
zqEWLe@O2an>V6_emz3iY2?2C8oN1lkbu`-IP=%BpKu2T!i;ia53DD7m-<c(VmKQyI
zMM{TXbOQS3+#k70cBlTuVGd}>I+s5~T{-?e!UQy4qt`vPeh<MQS$j}o9fzQJdF-*D
z$AE7?3BHg8xIut=VJFlggc<=^*{HvsL|;i>f*n4}OkINqG^ED?a7nfHPW-((;D^^N
zq)j{1-mereXb3|J#*_Hc-(x;;l9}b5vGJry*;hGoit>jjGToGWKr8AMy+0~TS2Sk#
zdN6hBFc;iFW~yA!YJKBd=4TNAw0&U9yD&`3{M51Pi=PMABvjtG`U<mLs$X~t$a>P~
zq;Ucgq3%%Ej5szd*$h>A?PiUYOrwnY?8*$JABz+kxpo=p8af-DG9-n^!0?M553C@7
zXUh6>M;z_uJ_IFOy1?lnikkM;QrJ!Tih510paN*$9409$sOYKc!~w)Uf#Z@#BvYN{
zv#l2bEbGQ2+*`bi2V-l2?vw81{|$HA?04je{2TH_;!dBsLE=v5re-y+ByMv<Ho{`=
zf}@)-jC8F#5;p_z*ZZd1uFSbzdTaTb#HC(BJ!lfzaPlRo(wnZTZRcs8@~gP3DqVQ|
z;t?mhD}vUQoA<cn`+5p{uD~eDqkZa++9B6YkPh2^VWge8TO+r{)1B}N*YZv2G4Kfj
zL{`}-d~WNQ8g5e#-f&p^*<YDtWA3g07@j@(K0G^du0}}Y^2`0)PXC!#Nt>#i-S9lI
zkcelHpkhPKEGiD4%<IH!VM)tR-nvQNcO1hx`8L|99b53pRZQ8`dhut!<#+!(ruQ6L
z@i)n-R45}&i2?E0G3$1H&8$C9x-I1_Irly-9{%%*UOMTtdgKy7xlPd-YGFJP@HZtI
zJn@|p{rJn&)&rK92NteV>~wF<`dz+F%3icFy!e$8O;1X)auL^M2*+8dTF7@{iW*Bw
zt4Y~b_4XbRHi!Nli-2KzyNabNF}W6~+}9I4eOmcX#a3d2FXbq+uM#Pf;I>AwOhYYK
z?^m#R0K+f9voF+su5ecejAS3*8Gkt>yw~(Hf=aexUfZ{L*xg2~8Q?-t9O3yJG^+Xy
zG~#Ce_n?u|G3902h?hNokHWymMw_|o+t>T!Z{b%xH)gXW%5ljMvAyn@ATf(v<CmPB
zmzy*(l1})P^_Pa9^@O1vP-_>5^8<$Iq08t$h4>!i-pTDHyMCuQL<i78>o8r9F3E}+
zLOt`Y`a+=SR+bIJtEXDwOd7y0^1OeKZ;o((V>-9ILo)uy*_Y075kRr5YV#8$qQ7&R
zNA!Z#$XDwlP6|MZ^((ddVsrCY23R4x3^IQ3h6_uuAgqg@<W<+_s3OGuE`O@%&i$UZ
zQRJ%)r~0@e%b(qdm##>g{*w!_r^HKp6ks!|94T5HsIb&Tw_F`CUb-PYS%ix&f&5@Z
zQmFsd10}8f_a4aDxKgt3UVT%{&{7`XP5P0JZwZ<Tyr;Dv<vx5Oc<Jkh=p%NjnrIa)
zIY*fXn9E{-irJhF*m0xjuBi#T3I;x(8GjR<|HemPsT%EB*p7`eB>{yxfBD|v6Rl`s
zK4-U77JOrku5(q3b{{|Vqq{~bg5*fH=PehID-Ni4X>mj>vQEH)fgY2WT*z%;A?>P$
zk<Uk-syTY+@+@=_^VH@WYy{XJ1q6%rlAxouFTX%XPeen-Kn5=pXMk2`@lnx)nHeOO
zii2FFvmjdAmiHNKkA`EGQDE7Vyb7l7Op3$C!eI`A0hdm`^OeL(ezf|gN%DI^`#UX}
z`^v4BjlP_U6(*6fwZbV4@Qo<NW-5D#0)B46Q$yPH_HI%KYnIfu%P8)Fno77tYZmir
zo4K0L{kZtTzg^?D%AcZZn<p~ogbuQDnz<YjS*_y-VCJo91;drxn$LPjtejEjk9V$o
zV<rogKImuxJP@uX?~h$hqd<!uLVI1^PfNB9S<iVX%Jn%{Hhkc?b^3>jr$ENRx7ct$
zQF~lMd>IXX@bK!at30qx{1U08abUj&tm0^rliO}UOyHh`wVgE!+`mn(90rmrdzJVK
z)XS{2nI-Nev<?h6xWPhZDUOq3E0cN(UXVi+%jkP(oM(?WpGu<aPp0VOje_ai-njGr
zjjt03Q_v+frwA+srC?sFAYdtY!lqu+h<xSSggB}-pjIveQ|7?JG*+Qp1z=0ds|8%;
zF4|j>R*x+#9Cf`~(z0J6GD2|)O@@~*2WkkAyyDa)?xLbDE<6jpMaH0h8SBUJjO@Y}
zqc5^SxjBF<oc9@z{n54HD_<d-bbzj$<PUKuScQru?^Bk=e1qMu0b`#QcOY(jvVczP
zHX3@DE=^yI(~mtTPWsv$C$p=mXbffKdD_2oDed=EZGAdYioY@&%^Z!~S8UDj5H{&;
zhEg@g%L^<QBM2Bkv4x9~YB(@8C#~6n(Y_x%p|2O#)(Qx0%N$XL$KM$s1f$8;DOF{i
zxe66H-)3KleG{iseseW}yzd2hj$!CaVY)P!H2ZzK4|Hi-cus&g{C(zTCj$ig+(Atz
zF6&h$;tIk@gQ?^n^UD_ppsEEM)1<T9hDxbdE2YeAxx5S>k**OuWtdT^LCi^k-(&@}
z!`n}&lM6j=YAzcYZ)ARw-iN$W5rqYz`P-i~uE6Kp4M>vU<ABvZq^}V0)v4V)wY(=!
zRDQ2;xZ{rT;FWil02ao$Gl63N1&0A{|7h8!O?Zyrg*no9{Ws1E{3KgQu1JAxHP3M|
zvHv9ic%Oo!E+-0#lI{LgJPbSn27G=pcv|tl342f4pSl3=`WL{B0+4RA*dMlsk~aH;
zLkkM*+QEPBKS~DVC><UC!;qA9`^&Ry#Jqm_W#CaiUqJMCNaPRL@9IondsWt7ei?YJ
z3l9&~K&y{ePyc?=z$G93^-lp2<NsXp-yi=!pYnm~_@^*_-k1Lr#y^Ge$Dx1L<)1sv
zKU>Mq)#0DQIIueWQyBjg#y`#H_Zs-8#s7Jb`R7#f_c`Oxk$(!~pTaou4=C`n2>!t_
z4y+FU6vjV=@ehpntp@&qUJe|t{=wva9<Kg>FO2v6WY1WN%5^Wnb(0T?QvW*4sHS4*
zFSbM20Sp&A@e{w=ex8(lsQ12MC=&L{URCX&(wOwwy?;z5V9PXK{<s(Zi%%m^WPVgY
zva9h;W!2v-t9^Z0fj`44^qS-ab)Xn!-#;aLj-z_)_^W+C@FY3dnf}1`lc-sdUnVfX
zKt@aBp}YGaw6vU0zw8u>d*BZ^^{=n_$Dvb=FDL54kqc^~DMJV6H>7cnI`k0tn=%ea
z4Rm^4?DDT4$sc5jvX7B4Q&rKF+TVZgWI15O{><*MB55ji;K7eIz*vR5{II&$FK_G*
zzd*``p<=ssn--57HPE_2U)lrO8q#ZIn))GjrZ_7z)I>K`8vG8vCh1{QO3x8o<l(!b
zDV)Fk;sy0=Ss>zqC&^l@kGjRwO{{J|?cyHNY~1ZgGyM}FI2a6OWMt&pR_2~L@;>W*
zeRBHGS0_+zZTlOBm1xQPJK!Zn;4KR48CTi$uab3M)h>=aQK~U#=puEKJLV1Tfj9XD
zRK3YJb=s5a1%z&R;3S2j?4PaLqBQA$th*Vt&*k=9`6);Mxa4o~)KFq5u6WG5?2&Kk
zJt<Nc>V1D)Wo4!NHJ=M+MSx4c{RQ&WprFxcus6G;HiLYY*~Ld!KLjfqpL^g4kf`dZ
zbB4#t7e(#(kVY>?YeYgfSuFt4cF^YNC6Bp*M^icuV^{Ay4WUEnoy`7#swSm{{_>@0
z8T;BBLp4zcR9gFw1GbURabYF>=lt%_zp<2)PRA}^L8>p(Wa=$~K|*7?YmX+%7CjmA
zHjWZZZYIBpsiI$VeY>o41uN^rTn{4OW!6?*^aP!v_aTFZtoBh6Undl6ZDeJhSMxDy
z1eI+|q{9dCl?gKRWAa%JVwBj*A=u&H=Vz&}Wb106{GK=6yqqjYu&`cv81G+f=#Xo1
zW94q6X4F`tq7s)|jy;5=w>E|8J!xM{O=lAPyZv!WSiTQBJle^^@Zasy{lw2);emsE
zcGoo;?y)&yV_m)REg<7UaL-&%MqiczFrC)*TIpTqDeJSrXE}p*v#2Xex1Z)LiTmKP
zaUzS7dvkVKyUkKfjVevr^KaF*c9N(o;X9o?u)?K&xAj<EW2eP*NQY*S6=q&N<kH_3
zGT%RS^+SFiuWj>&i0Eb%Gx)q6q|-HYXyGZ|Sxb@Gok<MIuGknvB9VyQ1&h@+jwWn=
zett$a^vU|%)BAh*vr$4--Yex(Kstv|)eVo@<03{bO$^DHiTtL4!uUeFN*RaMb&wIE
ziJ_MRog*77Dz8nRAi=U|OC5Y-L55Kz`|{o}tvH-`7s=2&cORUKs(k%dddiAr(0ydn
zc;zjVdd>*<B*PnGad*?S{|MD2l}QqH>fN*^dSM^AkKZ(;fQW%5smQV`Io|@^?2Ej;
zLL<E;d#N;V8sSYy^K_K&ik2B($ID!oA+oxH2p+DPun?d1!l@CE+a88G1mrrf)~Uf&
zAYQl;SZ7t~GD1jB_6gjZ3Ku|J`#`T6C+)2_h3imJ(PSWspEEYh<<VE8LqsbVEDfB>
zO1T8n*P$F6x)nb6sW2Dyy8WZ1??=0bf}I`bGQMypMF#F3te*Gx$;(x(s;0t}M!hyi
zHb*_|+}#NiL43sZ93LqmA@*n3RkV;*?_a81g@Sn0K$Y~yip;AvWfgYQE5?r74Xi%p
z-n#^9zH<Ae;V4|+HGh-=m@pyFVB9Sjxi!#=RI{sk+@Qi_s{|)}*}5xJHi6Ui=QDy+
zqlLMgOnSIiCX`+lmA!gbTHbWB^wdK$0bIro?!G`3Q%+3ISwxze)7XdiL$rstLCWvQ
zgKcc0&Wi-J0KQn7p-&1P=a`;9mVrWDlMYw02@E}caJ6RCueK6}$yT~74tAxeDKX0I
z?cf;b>Ddr1f?G5)JL<*^2~(Y&NenMUK;ENtOuZj8(w+zslK8w7Z0{lv2v}k??*l?7
z121KkvA$~uAp|4+po&m)w8+VeSkuB+H50hEG8or_DqC3FNehaJrmvwso;4yH!30DU
zX(Tp+NpAP-3$-nzY^F<T{ESvA$}ftdp;D(6-)W8)js~`Mp|!wBGN6dW!bGj_tc*9&
zI|MKFhhr9)RL{Qp7U=i=HUONr<7)8on2i<?aaFg95b+98wMX1Ojf6!;PSF8T;fHwG
z!(oPJiOthiRV9zs>r@zgM4bu#Fg4<wmx<HJ0}<Pv&emCoQd*J#4xxgMi$31Q%Z8ms
zT-5s{1y^jU_TUG+w3M5=U5ms|o%L`K%##vGWeUENd<RtU0%pMW3h>WoOl$=_Sa<4f
z-!^{Zb=qOE7P)gH9&j8PYHS*@skaER4e5R_pUhEzCitU2TW|wyeB=p8{iptm&aiJ$
zB0m;U!93p-`^u7%t)|(5kQ{5+MF-@fVR9zmLHgo26K};@CvDqaE%HO|v9blo&Im+i
zt04;3pjNd#KTFHX8yj79UD{^=ph!2hyHBj8e_lg&Ki*3G9Dq$h?e(P5s#OuHb_iXr
zqkC(*YI~F6teylEp@+fej9RAZIf1Exxmy>!*S_AiLJhlkG_iy3B;;n@xx^at#>w`x
z)2@j*U`w**<cO^E1S`@%_fTlF6%n4aJ2~)dFT$O@xCtQXC!HMwk8r57dL@99hF!+j
z(=Z0XAxc%{Dn`>!d1iHaiJpW!qH&sjl$gUqG6rig=s32!2j-!QTUSd%@DT|wajDhm
z4gTC*59fItM=Ka&mIad5BPTaATvIMB%hU1jb7oY?(v2y&*`;|wbnt;tcO^m~LZ;Kx
ziqJqS^D=#yYTeUaZG30VP;|xL&S<@^F{yZum}Iku=JUjbV6*3=Dw4|z=c}>26nn*W
zb_fGWAInF%mR?UxoSeJ-$2V0GGR01x(zUJ7{?RBa+lt+dp+3X2Muy5+`VSx*iFKPY
zo)(kxx`<-&wLVVbL=Fd05>90^+U~v+J4u})$MC!}#2~|>lTK>`u!oYfv1!vVF>!Gv
za5$OF43ytBxCDK&z46x#HfzsPXDcaXFuKc~Khmc4$eXs*=o?$0G~HEaI%KWUosL@)
z;=u}(r#q(kj?^D{9#N5nUu}mXhK1J^-R2?!!eKP$kn^~^yi`)w<@`l<>$<NEFGv%O
z^#(p3f3S^~a-Pjf3*s#V(;Il97C3XB%SiO$#PBVztGp*9(+@4`7)LmI1hL@`$AivR
zn9ZexoNMWFpXFiQEen#{>z%H6GTk9;7@V=8TH~QqyH1Df5ZJ`NPETaR@x8$G4C)(?
z8k8?|`MPUeJ+pcS)t8KFt0Yuat#|CKwQQqzwr2fx_hgtxcYs(Q$r9UzFFB)9t~PUx
zr9u!yoU{~fU?IJIxG6+~Kax0$OqvzoudF<)zXe?7-s8D&fpL4T@&fQ~1C#U?zG1m?
zuSJEyPK6z2D!hYH%87E@-s0nsCOA5VOX>vQN#j0*TsM?bFkg6+`xY5}EbgohZ7zGg
za6q?{4ZeUq>>heJJA*OWIedFQKV2tHH<G<mV0N2e`p6CH7UPzdw(dou1@_#U?{9q_
zDd_Xj$bJ@uS3ALoh?SO<DTA(KJuAa*MpQu%<!&tsLOVU>W;rXR_@FX;pwKZTrYC&%
zgj_Xa<l^vZbHY&>DccR&s1c!U4yn7_8yPl-Anq;LAzdH)p%hjg*r#1DjML0hHRGtN
zwT!y4AU8ysO_|OlxW}E-VdI9olF(pI5w>-aXLjsLrQ2gdicgqe&;)UGVzHRfUCG9v
zNJp1@Tnt+mB$)3sknYUTqB7Z`GQ?M9J(6NUKT4>Rz)C|Q>k}6I=e(WWR>Fi3*?MM9
z&Hg=*mGxzRUgPquFmw~Y<I3mmiL|dUm~a-2(Sr<q2(t=#fjbJi_^R1MXQ$Z>g;f4S
zvo^ko#&&xO)-Z*@dY#s(x|4y%@~z_(F$@lrSiY7o<?sP`A6KG9F?-e4>KrHrwzU%)
zbgOKkDz7iw%AQ?@tTXynyod-w%tl6Z_wg!~%<kubvKcXN{W}dNksd+o942RQ;Q=YH
zS)F_k(AljW&P{~tEXa#MJ}HLv9}Sw}5icr7^J{O#KHsEAEUL2xn2j3CsTq}CbsBsZ
zqB%~i^$0R%zUT}1q8)vrzrKRSj8?jh!&1C=ak<47s>F#{YIE-$|F3)r*g6>>?*x3g
znifCI#%6Vg4^9kLk|}v?>1Z^wRoaqLnFmdaFwNH8OAw@(VS5ubEVTP6CWCL!#N@Qc
zbg>9lCeoC5=o4N|E~C#s3@eBAsw;oFb~y|>jkNNtr!Hz_1(oJax+ew7qSM}*e#J)V
zLI%xN>q-yz9-pG)p|40vfD6g!35_YhBjSs@%l)|BZ)Ox{BCR*)sNH#Qa7<K`FFjS6
zf6UpZP2@0kSCVJq>ko%U_Zn-Q>O+@1j&JXo)wa^`QFt*%*7f6TGHzM*@)>m(IL)%B
zwMa9Rz8hFzr_6^WQZ`<4;*Y540Ba)&)@5IVV$c<K%BsdY)0kNr;hdICN(<x1Lvzk{
z9O%Iu%yPu=DvCwKTLt#$__nxOM8+A5^jP0l7TGb{U6-1dIZ>nX=rkkE-Z{kvjOpN5
z>Dpj;-Ka$`Y%p<#rx0ArWth^u%vN5k72oz$BB`RdF^3mCOFK#9lW!NtG~~Gi*XH-B
z%567zYBk7ch+G{n|I;6Hn&QI8r$=71qiytE{h_RGPloU?Tg5mD;q4|I)+l}H*cfD#
zoHIP-7SXsVrDU^QX_~J+p1SyKkcZN3kr(s%-YTG*YwI9wlO974{>?r$_}vc3T$P=u
zV_tcN=Y5{pWxN*#5<j41C$$_-3*1&SJnLfy>FcCrYj^Xw#c^PfgV1=N7J6K!o2^P$
zhAnrLpHSx3eds?5F*bgd^OpxI*rTQ|s%xM}3cLE!`B`e~?Lu2=-OVJ}T43`|FFval
zW+xjvB9awpYu*Ktja&&@&(xWIJM?1A&WM;&{#bZAx|KlAzD{f5lZc%eV>VQL*JbdU
z)etug^ct0E&o`rJg6Zz_iF)tJSDAQfe7M_TFjrRGU#6I}zkw}Rj$iYt91X+MT|!@C
z*kJ?1PpGGPpeV7yU7U>XfgnHD;F3!<NE%q!iKepW4-_mOVk54~qV=K{<I<cJrLx)1
zP%%;0AZ^y@MJ`rWUX!(p7ja{FU+h+#j){w)!YW(km~%VW{(3MzbVQQkiIgL+8gxUQ
z+{N;|UwnN0#>Pf+a&mo|#=6zxcz*%v>%f2L?ByK{F7l(}X&)QQnFFPTLTd1VOKPRs
zae#flJbZk(K|z!xl6gQ$)_dD2mV4eZtQ<x6p46RHH`AtSiRN?^Y~WB5%jp@}rAwvr
z!q)w7x*k};B6;-;U$wT$W6WddF@4vPSOY3o2j4P&Ki|kYld}=#cJ7ZfLtOUXKm|#6
zp`D@QMZ_V-3M{)YZ86i~g;sr~z4u+pW|L#|4GhAYG8eq=^Ft?Vc2F(R-rL+&4tuc5
zufc^%eXYt_2Jc*@F8&_kd7=F}8G8T(nFBb(>4o8wV8*51yY31jt7r%oMaO{Lng}w(
zB8Y1#c`2TbpUCTPg}yTN#<aG9%3LN@L<~3l(elxJgPtS3F_&OPo;#zZ{>T>>lP~>#
zB0j@#MGW3@WSu*^<S`I8J3Kt<y=`;up6;Wvb`GewgTqQbS~`4B@m<w=uK|01i}gnT
z@UU|q2(p5eDgH`m$>*$(ly;NY30gT03N-Xs@dw4Z+c}3FrPf7mO7DFXRB`v%!l#24
z02fsqJR_adE{=wep`SO&xX@UkwgS0aWTuR_9_aFpo1UCImY9$SKX&jC04IF{FDFh*
zjY6@pz$r|zUmAh|FpXAu2BWvEuIu;=KH_R=5O2lQX=IM)3aP>F(FO5*B6g|CRM=b2
zwy4baiGU=xyAapPx95{UK7yO59IW?lN6qK{Bwg>>z$7F!y}9MbIm)w-9Cc^zaaAx=
z25a%f#(Z+_`!uJW+-ZT*{Zer*18~=F>JxCeD_7PEuSmmAzcQO^9BnV^ZPQ2{#@$Om
zpXanlb4n9qCE-7^mSlH{!Ua5#&!J6kt}&!Y$CZw{^|+hmAkjrK`lFxv+cq@YyyM#A
z)@(WVQVVK6?we2p$4`G;5buNXoz4L@X|Y0LIo>J<Ub++*^0;HO(rq+`;Tq9>lHgkG
zz4X~X13jqH=S3`S$+k7vt{XC)+vf9L3GyLgeIh??G&L!_T(g3OsW4>GG>j>EWzW{^
zjc@k(Y<kRBZXaC@E&tM~^%z{su9CsP@FXssvK;@cHa<m_r9TQ;jXHePMe%JxJ){SV
z@!32#IbSdPb@j`~K^y!S=DNcMY9oy4)B2QVFQ2S-hE|>Gg3gz4+J=oH?y}fLj}R4j
z0SMpb<>P3-%o;Nwft5suyc%eO(eTf(c#8_GW&b$>Ddg4>Q{9)JyipzOrMbzzYHyxZ
z(%{YLK4*6;-WW1lGTMGfpq6#JYdT_zg}B`wW5*Pb`nl4RMFF!ri?b$b!oMASuc*AA
zviI(5Lku|#!Ir9Ahq6bi3UQ-jqxi+wLpjKWNF^T^oVLJ_KA&OLoHBusfs)u;jj7rh
z8!1@{REmzS+8UPOd9}9#WA$3Qe<}3MMOPDq78jI|pQI*jEaj>yT`V0*PajU*^dZ-L
zTf<WOk=-&`SX)S4<(%2VM!yN|B0rn;?al0l$KbWCd&(0tI2t?Ez3nMYlQOOM1uh%L
zVWk7OFT9XJcMO`rgtHT}CQ@vhFD=4_+o|%ow${yx*{QMUUB!n!1MF!GzX(4yG0D0`
z<+^;cqqQfSiGzxyNbL6a4K3I+OKoh^0ab7bI`(HakGBIy@5dYZMy!Nd3Aw$|)=@F+
zz;<wZrO&x$0nG(MdsEf%ra#IUqh#_LL|vd)MumMr4DM(4jf&qZk}6CcJe%NbRcZf8
z#wgNrrQDx3109?bw~L>KA&RG?(LR<+PV3R@7uPQcDquS1v$vW7MRb4+!L-tHHyYUk
zYrSS<o>OZmDjBh5|ER4LpZjvw2e(I@YHFCC><$k>@6Ex|l=xKO(fSV-D=9o9)O9{v
z_I$SLvoYX!VoLyDV>{GyZya-d`(<t`On7lLfAPH-_vqljdVzCME$VR8>|Sm|=|%+-
zSKTBP&~?KDXR;v!>3`9N)4m}f;*DQE7gYhsgtCY_mu{`Z=hDVoFN#kll#uQ@BdYV)
z!}EohD|+C=yhATt<$3|kqhfDUeFlwV|Eiw4`&u3C)1>&N<)p5^>P}VXtrdywTOK(y
z$9Lz~&TiLMHJ{jXet9>3zqq(AsDnjeD@&$aVpaBp?#_vYw3n>A69}TE<<eTstlD(l
zTjkpz{ugDsceA%A>gRb4T|YIXFig|0yG}q-Xzf5HYoQh87JZpekE?4<Iim)JY3$`-
z=PT!p6`MN7am9wX4nDPVCSxI=(Ne1J5X~vNHy^wfzS1ZfAXLb^H%^(%6wkFZ%nsV}
ztJ>1HmDU+1&kqq>@4NL&mdVwR3FOreF|W%T^zq6f0mS_#xeH+0i`eO_m%^42&7Qb&
zm~R@=MQ>Rq4;DSbm7ktPuI%v_=$$U{3UQSS=ut4eB7FvY+<z+a3LfIS0I|0trjEVu
z)Y=Ei-@li&9G8sHSLES+5k?(^@X9N2bFt(-w<m}c^f4dL7q|%Za=6(UV+d|D>oas3
z$G?oi7iQEh*P)4?6YDcOJ_fYS?&5<J={=mhl?xUE0xO^jrq@PQb3s+PbJV(7l}iLr
zmFsE>BG!Em7Zd&puA4iyv{RcR7h+Sych%NA4(T=cZX*t|F0v_QJ#5Jve}6SqtN_sA
z`Q$9Uj*Jy3eyE$<cqop54-l}*!w#Q#*eXq9Ru3qN9p-Iup8gRxR-XAJ=w$La8u%%D
z!|t!C7r9va+@VwtR3h!%&U_apr|LJl+Rf?u%r>?q;&YV?1CPa6^z5X>vzmq!OBi({
z4iC1C6Ee?&HlJ(lk0Yv6NS{c-TScB`3j6{&EE81da?x>~d1z_$jIod_5sMN4fwV4T
z1q2XY?z~9iPS3Jhtk*Jw39PLuwAcyT8z*xL-<biya(EN#j|N#tD+8i1#9mhhb^fv;
zv~35^K*&590Hu8s4>GZJ#;kzK<Q64F){mJP&qn_>ojq1Gn-PX-8xdh073j`aNOnot
z6kd`78SC?+r-KXIOgD<5wa!MbkXU**h?RbGI+&mUmUH0GUn)J<oxdy$Xxdk;-6l({
z`R8q&^iW2qcNvRW_XqaB>uN-G+?v;y`1xC9i<49F?V5W^SJpJH>>jl$euN9HqS=kt
z|1jbZH|@Acx%l?Jliu;sVa-bf>Eg4?IjZOPXE^Gv-*wx((DQ>pq_$*1xf^-HeDYr1
zhEg=|o}8ojDdSCK`=B$we%#Jl_l`vu)~NIm0V}hYfyj!|$1z!;w^v&UOfWesO+?&|
z=PIJ_MSguNg!dv^K*r~hi;Bjb5g5I0PQ<`M?>i_61RC+v_~Lj3@cJ1X8j6XIZWdG6
zW#+zE$t^gwISZzb-WmTuoKt-X+`@j0RE=i~kLk2<1m#xj<a9B3SBezOo6b!6P^Klx
zyz)_W67DmCEw;(f=*?%hoL(ve=@TvDaE;;WL}9kBFJ|Ep<EA~EYaG-yW+=X##%@<V
zK~<KXWF4A9Pz~3k<Ju)>_V;-t8A&X@a_ZsqNxFLW2$-m2oWA&OkgoJr18Y4eaVTJK
zaanq6yNb9z1M=Dm*{ccx?FDS^E%Bx7;qQX=w+VQVv9y$D-P+z}bCPZlCuBej>E1R#
z%}ZFTQBngr4IrHv1Y#<Nvp=nTZ`#zgs)>ezmjeyL`wd!wGvN5zv#tfT+a3!sdQFBK
z%~EPt*tXAxj~iGw8AeRSw%bGv4fZ%L^%^ZnIt7oC_LK|nk0?@OR|byz5OD^Gb7dP*
zlOo6BN*rdgV)k}oC5RKXWqY`WGGa?>@gDl?Xh@&;IX%FASw(i~##aez<3ay^ri1Mn
zphxC`&+Ah+|2@<zp-$xt?jzj76oBa52Wp*1xJg#+z}*+j{l*y$ozw}B?g{oSHMq5u
zm>qN4N?pBO@X3+DpEH_|j^sPLa=e>S)KS3GZAD?>*@pMQECs&Tp1&_GbZUR!q9M|E
zG|M(R@aa!EnqY$OGN`dfu^(THq*}73IKuu>PS=VrgsEV4NA!T`$}jIo^NzoykEpBH
zTYWuw%)=wYM}%#MXSOdMvBlc<=RE8EsG?N&a|k(i^F18YxEP{=TZ7}qc1Ol|nx@8f
zVtjC0lOZr5A-u25SCD`p&LYy!&CXS&s3CaMG#p<T*dpcqaR3UR(`|C}&p$JjhJx;c
z5U^+U!36g8a|iu_-+La4^0p0z!|-rO&iGC<ch1@a+p7a=-mA2f*io7lH*=RR9a$lF
zRmn)-#R{5Kf&N-(S_5Xs@_i*gQhU&`{d4<v?A3%U8`p$~in5i=@Anz>snmX6+Z$fH
z^Prrd1dV$~PTJFbB}%*_1nY0mT!4ERCMqqxD6T;^+%oj*zX>_iGlL3+Q1-KI`-<OE
z73G`le{oK1GgwDM6x&D9R+W-zzqC>&yEms^LwBit?Gw8IOfBb4vxdr%x7A<1yx)P@
ztNO^ke{@9h1qAP`@3pLZ9gc(JUd+mW(q*&wSXxgG_7z(M7uK(e#9ygQD?Yt%XZ53m
z8o9r0P&;eK@yLs&i0CL^;AGKVC?8eTO2PF%lgHg@G5%im`{kkT?3Y)ARF=<nKZKOs
zrEohZ#+Q#iBUbKr7u9lGQ)(tmi8l?AeOuwcV5D9Cy?NEWZ5k}sbuI9vY~HVL6Oa9$
z_P&BGj%AB>@ZcICxVr`k?i$?P-CctR24`@$;7%a8y9S5g9-LqyXn;4#x#uJ&_r727
zUeCAZ>+Y(xc6ZILs$I2fx*<SsyE^jC&za^I$oD#?z)#V-v_$#sb%(j`P<lUJ0;3d&
zZ7&7EOukpPC2$8iB1PtNKEaPRU5j0}R7Lpv28432AUSXauQA8<Oq9PAHszr}5=oBx
zipeqSRn>rZa^pU_-4x~i_ACZua>Xw`C)AmZ;CH-6F+VTO4Y-bTX)fW5TfXN<*Kg77
z1!bm06luGAd$$D1eJuTDi)flPkaLf7OA1^m17{e?>b4n`3lVRKxl>G;UQ_aSE!K~6
zd>?8?Wxu$McrY*6`c-mC&r952rcB=<?S1|_(%@>6Ikr92d>X68m-FgssM&%2!pp?R
zMG5hrk$4~g#ya-nC;~V;fHyQd<?5w#6{Neg$?nw%_V6CK81$*|ZgnS4abEvZ=}8oE
zAnT=fcYt4=mDTmwp+Xp>yM8D!twK=QKN}$8X2z^6a5uI1M+dn4O4@}y9UT?TKaNyC
z){<N;J9K6>LU-P`cD~t3((sOgbI$$|0tX2`HeHKa8`xE8YJn})A3XbLJ+TL_X;*7-
zvoGwMcd%bjCMUFkD_bEEFAK{g;I@sR?%g|5j(gwr4qyGH>m!4wmXy^6Y8r@J_p`Nh
z;pg#3Kidr&2*0vvOf*Yeh+D#s+to9NZw#(d)_c_=4vvoK=Y&y|jCB1HuJOkGFY}4L
zI-?NwC<(V7J|CAB2eALFj(W<(L-2crL>XU;19`G`^ik5=Xl)m3cLoI?YX*sWs?mww
zL9UFwv4Pc;V*2rj01_dtVpJ0FgEQCs;SfnO2e;2*w~Kh@(1yYX{Vyf`RS(rF;=Z1o
zoG63rqT;?fPriO`;{SVb(HP_wk?-x`q_B}}h<ByKZJCIKrF?z$Ss<6g^n>!Rx2Okt
zqtoo+TzsEdGQ)FhSIu_*)k^*q37-T&dYy1P1ZXS4{LcTQ<v5fv^b&5mqkC2OTYdQH
z2Ia;<N6js%l&X@eg}3|>Q6~ZW0Z;xSdy_RX9V7+-@Fp!TFIFXD7^Elz1&sxO1v~?v
zBLy^ETBJ_H0szA#0Kjwb84m|%Q)X97Gdr`tzFC<(?QD;=WFqk;(K_HRh=I+c-edbl
z6&%x27dG>pYxQqI?aDSqmDyHPt4sAPK*Jnoc<9VnQKaS_G!Z1$zR&T*Chw&)iQ^85
zq}dq1^?~%>!nX#g33ik{wv@HBu)Az-J*4tTrXu#l$LR2DI1y$>Eu>Ny4YvETFx2Zx
zN+m|2o57znAu_B)^5*!vLu93($J9VwgmZy->?wAReVQ30Sfcv4IeXzh%He|If|*c9
zO=4n%9b`xAUl%IHpiApg3$vEAv!=zOyQ3t&_OK}Q5!y5$3&F!x>Zn#V5fw2RoGH7*
zKtxwOAs}6HGRk7*73jJyJW`~fkab;&cyt-%#Tl_7r9(7zaTa}OOw>V$D&}Au?Cq`3
z-MiJ#Z~asap=!SkJ0@&0sd+R5V8`p0S79m|H)lLcULc^c({{bic<`R<+Pj=@JkvAq
zMQVdBlyg87B!EprcFC<faM*m%Z=HYj%|>y_)^;-^$$s4i&l5R<M!HC6KVrQEu6(tM
z6jOp(XVY#SpVLOh6G*q0N1vo%s#MXxPqZxxu-qe)#*kz(_Y9H<Kt!Vq&E#sOh$1;N
zr!j&A($|l?R}OtT+&)OYqTvB4$@386g;$dH52BOHo7ZmZ!&KU%TpadCrhu|LeJ<}u
z2SEk`cW%vB4J&4e!CuX;1bx3w%rIwl`rn_AB{+QXj2rBH+$o>;|9)$5SSWndYEhjd
z_~zTgy#K@ZKFCvN*VItrSX{(C9szgz8eC3GEdcSwbplry+OUjkf?_T-?F&S25^^YC
z-$0t$4ey1zZ9<fT9@sYaP7Aa((2Xtz;+ZxUei#3MCCKS0yQ;MQLOqrGov)L?#I`-D
ztEmp}!r6tPz}qHj&LrVr<n&jxO#~ra-P1}gwh=Yr$4sO^z3ZVcwr;BFW|>SPGW7(4
zSW)uym+wX?U^^LXp}W_Cc2_4{{1SGD_cudgJ&QuKMHni*Q7MzUc?%=B{p_F>@t3u6
zD+XtAMvkQ2Go(cyUqscQai-z>7)`drS`D0B@wbG@QKXn!i=L1TTnq_hz@kT3sE<>G
zUo6lYBalX9X2@zwvwRIM5x9Y%3_0l#eZP6qnDb>h7I+MiXTH1QPQk)9u^l9j)<AoD
zu^?5WCtoo&l@Tgod1@>>#foQgywYLZ`ytKfYt-f3v)U0e+Ye)ErUPY%)S5z-1rhj>
zCgCh1?!=)L0TXM+<mU{E807i|w|BEhX%M<@H5~c}mevKzJ#+8s6PiekP5eW&9VCXH
z(a+&rdFna#`ky)v2<@)H4Q*T}2lhRVH*gEhYLXzPLP4tm8CQeCHXmih2&ox48%N|m
zie@ywYg4-HqwunUsZ+YYA+Ej(MA)7~RbX70DfKw+N>JqyA2$8aLEB@e&H=G)a&dse
z;mfXIZ`>rwF!>>Ux1#8FeV$!>@3tbIyWDh~?nUd6WQl3*mbf6KHvj&V_Et}^0H(-6
zhpu89z3U?R#xW?ArG!`8@*&m=TiB&JGZ2w`+Afss7A9`WFWCIOtXef%MB$+#7aLWs
z<8-h3s&&x#Hb1AlWcl2jiyWHJOZ?Ct8x9n+bXiIKv15oe?e>$9JK>{<fOubGjOKn>
z3^&B^UF$POipz2N5b@=nyuw+W+DQYM>aLy7B;TY~u9YRw?9rx8c8XQ~c2-rcUE^5C
z?B*GkZRo3tx2@iaF|EjAG_yo)Tr3a>m>D#(rQlsA(l%JJ>k#+O(}ml9hD}f9-@_f(
zU4`QyXFqDfycvcb^Mt(%9#IVgN)Hka7rqmu`0%kQ)|Vm=tEhPTVNlHYL=i)@VsBL_
zAxfwIlj@uAV#D?HIoUgx8f(LzFT2ULSmND`nAD83_(?V076_zHCAUrXV#jY8p@A0}
zYGz%m=+1Uyteedn;}uPDRmqZ5p6l_1N@5o1+G{korrAAiZ{(;OQO8_eG|c^*(|Q%T
z5BeHUmP2O6n5?jMNe(~N?SViZ!+V-$Uh9TQMJHpr^ZGk2c{*F4aYSOY8&ZYkSQ`{a
zCFHD&HPJpN>x7z55jrh3cAL+ZAsVY`9Ikzev~%}FCF?|;JIs9juC%8bJ0@t3ewZC|
ze@e~0nK_G_n($h<|I}M}4E1$VvLKC@TeY(F?W%X_(fzl>u4?tW_9ao4h^VcyoGSic
z;(ON`ABXC}#<kVKa^?G#uzs_mqm5eZPp2p4^;;g-C=jE3mb1Vw-mUXA^+&5l!$;TJ
zh3=F4Nq5n?i^Nw@opVG2u36;BS1sSVY>>1IB{-hF*3Zw|Z(J%Y(kORpH*e%PYe7wE
z0A^KpXzb3lxj(`H08dX)fPZ&_y`;g^^3VW)BoY9C{&y$nV&>{<WpCl~qXVqdShip0
zLi05+eCnS3O4p*9jQLI;ec3I6%s!H*UqA)J4t-OcgqByn=BY#UbzP=RWP!N8vG@4L
zO-C-i?Y1}mgZqY&g=9erZTl3Lu&FqC6h3U{9qSC=RUC5Jw<z<1w!<3-N8b29UK^ac
zr}b#R&A<b|XuP1O(l2z&f(fLf`xwiE&an%q3Ne-H)o6ytjc=6uf)g|+m5`~JcP&f+
zES;YU3!j(DcEb`N55am}Q4c@d=Pie@;@kA>Hr{tRwwVSG*xbMii=i?k?5Gx}&CPk$
zY70=0F}*JrwSD2{*Gl8l?c^bn92%BVU;$}Oi%@~3i<ZwM+;bnDKrI&9u3&h25|)h6
zlRsG%!$#a5L*geAi+ywAMo>&B35XlyEASyy@ZKQxHOjxdj^`#*EMzLzsOhV!3Je#=
zQ<EZW8DiO*r{v(7{Gw$*E^aW*!RRXI9Bz6~)tYQ1?q0tsl9wsMGsy$h^bj~U{yl^&
z9ksR3<CFd2M%G)26~u1X){24JfKW)tgkXKc0A(L+Z+TU@sYm*yjZ#Y?6N>J#kaIi^
z)%9GTLUCjy9cT;OK|NO`(r|?6oiYiSx*J(XMi@80WFJ@z@=X|5bals%WlEmsn|NQu
z^Fgs{^yXb%=x<d7&`JtvgzZRF<5LVm;omQc<!@sVIZz1sP|}`9m!7;nrPc2;QvXb3
zaCV`R&ki9$ui_uuU5(MTa{L|UZqwXg8%Clfu(o~5{K_0VaaM+`Fjk{2xWV(o*pPQL
zrL9oxfQ%(IS^xN_Ta0`R^ELc0h=f<nT)i#?YeE@o@*$ss^iGZttRF01S=|eA*;}Np
z6go#bi0r?$X$l)OdTGnXVF`+oPnhw#P9`G7Ik#o?Ly^um<%-D>9pCL;EU~!=9hzX%
zl-)KJIFg^q3N$kZ`X$+$5%mPrqqFrnOHUeF71<i{IeYO^u55C{()JDxl?@Z_-!tao
z=D9*>Yyos2laq2qUD_IKTEv`ZzPb}#XwjF<7DJ_=b1?|Mo|<KBNhq<$UuhPTruSzc
z*EPW0JuVy8V2XHqLnNFVVbC!Bsg!wwX=ai|ou(?zj_)Y4`N_#z8-~1)8A}-RwBy1e
zr&Yhu+3XI9SxK+)OS(f|xa4rrbR7K588Z9LC%@rWPNDtSkvbp!ghRL)JUt@Uuz8o`
z)+ZN%?Tk(h1&z^}cC*XM7BbP5kb&`uXF8tT_EyI>!letF7qx>qsY_%f2y>omC^-9E
zQ+O>_`LN4K>)nYxlv8IP8mTBn&oC(wwr7Jq)@H|J;5uO0#=nWevQ6?<J|lu&uJTWe
zeBlifh@$sJYi*)7fz#<V*1jyVi2~xmdw&LON*1|DdbZ$%PuT-XJOp}m3NP^%ok}aQ
zy4(GGk7$*fj#+SctVR6`KDcr|uz<cFY>|CSIRE}pP-eP@cw%r<>m|xIm)7_BcAabD
zuG=SY)AHYq&wNugtu-<Lp#ByBK>erjarLq_``PpyXW6+dH=z%%dlan)=4*V3>`VR}
zO5xIhzZ^c1%@fY-o<5HTuf>%R{94(sNx=XBO{pxSsO!kwZo~V^rAF!tD#>FjB13;D
zv7fj6)<&E~bL_#e<#%s?tTXn+IH^+w34>E6{q`3yUft7S{V#W@^Kr64es|~9{<oV4
zdirgBe5hC?W9yWg!<I@|qaJVGcr|Unj~a|pD$I>Hf5cEP0WCi0_tb{YU8vC{P}29w
z_T_mlW*naqM>9|ziN<|xes=rjMHNOi_JAMrb`9AGyFr+l{#5qeWVR_bBioA$J`K36
zK1yaIGCh+4I6z_;OG0xdPW4>(0?~`am&B(To$;PfTzwE!07t9~3xe%vvt!y(9!W8-
z@u_t3JiNhbHVz@8=Tng4X{y^T#dccV*bD}<;RN%gy&NZ$QznVQr&T8^X*F6EQm?-W
z!Q<e*f9&M(djQ=g2xfe_Lz56J(+o4c$(~rGV{(3AZ5=+AydVDVLOMf+n!Q%ThqWc|
zrdS{UY;I%6Dm^l8saH!sb2n(t!|(m_<zvXBak*J4PW_k#8Ad+;s^w$G((TYz!j!49
z9rt{erER2^w}IXEL3z%^VHWp`hXzl#M`?$2+LPfhvub;V`ps<qhKuzjbmm6EG++t?
zRuLg5b5q3S`BVpRK!iGZcysx%HzkS4zk#m6t`9KcKfl1wvQGz5;_9elPFbHaQEhh9
zmA@?Vh3iFH!|Xt{#;dg0v=Kh){Kg`=`txM!EoCuu1seld9I~x-Y`%x|ua+MkQV<jP
zkAaF+%HOUpZ-%%;G9`(#MLTAjrM}3eefpfh8TPGF!+55N^+^K;Ux#w(7$@@}m>{YV
zz385%PfX`?eZHMVRYT0G58~Y9<r@yyvvxe5@A}AuzSY2(P7P6q4h>P*uWvXhrlofL
zzWOd8<(_PD<)M!`LF1=yhf%T*qSIN%kYh{|gy!dSqX<g45ZFg-V7+wpG8$VfMsYaZ
zJ;^5j-qczQEqHSBq6^f@yLs>AvF8Ckay=Pb&1!#WYE9tC)?um-qJM5~#mY6ZtBhUB
z;Hh}Fx5=JNmt3lB<>@`W{bE=c!*JxIIrUa|U2NJ!D}Nt!>64HCzA<y`S3{-~jTaMe
z9+|};zGNAq_cY3UB8CHzYP-1T#5v<PnHGG=+=V7H!fuqf1?8p^8yPb03IkhKVthW&
z<0xn=2({-X5D6)Qx=Jyz&7D2xDac1r=gEi#)bTJfE4NZHYXqj6QjL(Sk&(<jtqfUu
z>7m}f(8rx+&&MN^A;+Ty3kz5prv*y~#-_vmBS6q{7L9Sj)=_zk)02zGUd?PLJ<`cw
zWs^dhHUKHd{+N4a188<EU@|J6t03EGax@raw<jyX+)db@*+8XKc@!O)S)Px5V0{z7
zX{p*>zl$C+pDu}IXtQ>Pc3+Y_>>cPXtpZ-tW-e|siKkqh+irGVraOrO;#5bvelG{m
z4P&52eCy5-2<JaNs>MhxzPo(s>^aC;v1wGFl_b?_5eMBKWp*Q!0Aw~u=2J0S>@}PY
zi88tEBj?$&iyNMvKO_}ojlV>=MICnTb~dsugw1<WHPDMg^D!OmhI7Sai<{oR+EnWi
zBbcltVLBDihx2eQ9hK#0Veewo!g@(+vcwkXe?MU1Uwp7pN*6SPlb6p=$-{4IxEEv?
zPb>YBjI7kGND5|{z}oX^gPCo(HVo*VaDG9S8-$7OpjFF&LkL|{c(Ii*A_!eh+Ivqu
z8Z5vp_FUD2;{B3UuMfw4QfRNrD)o!l%olfUbRTaeKg@D`a&6ii4TiP9!RRh2ErR>f
z2@SX0g)F!L8*^wlVUoPpbBkH_3Uug5PxS2^h53;|Vc=y(wQ&nXMSv-}Cd4pMaiE-H
zj25(T_6&95tOS%YX@&&aNX*=P$P5pYMS{v#?&&HqWnrO1Mv77m*womcHSA@cDeVRt
zl&kcFB?CBclXBwZpTs&ZW(`&S%zqP3U*fY{UB;k;qEx*2kv(gvmT)RY&)UQnqxxa7
z#KwLT&*Wp1>oR1=zpawKWMtl=5;}C5W!*Gx?NbW>9z8MCQlypmK*mKrvZeVt&&4JK
zeo&LMT5s9R{Nyw4N=Qa(h!5#@m_>|<^KzN@Z9^NQN$Y(Pe!Kh|{m6T9^cAL07WYS~
z`43siYTaur%d3XVsl;m6AL`P4KgVfOs!48+VM)$B4h-bu55ToXzoHKZ?ieY^EbLC%
zkB-qb8+onFU^Lto6&Hrj0L?V`+AJ1D%gG5mZYNp>T)5VqQgAAxbuzv<7u1_&AQE|a
zDUquN&9KaNVhg$5^+zpt7@ReIVO9EEWY{w-cR;9NFQyUTy9pARI8H6FTGBD#hq&m_
z61yJB?5r^LTI)D}tMZi5QZ?H{JLWYxl6Lj9Sr%uuvc15&+JxTzH3ol*7or)x{bk$?
zK~nrm;#%`?^nO;s$}`u7AT~%Q9pN{+4MA4|iwMd-wQ~V#0r*pIxHlwnp}-UAfjowV
z;9bb)sc&HD3jR8UfW9vjg2<wqEAr-t<Oqde{Sen&5ojJoQb@uQv_KTqFd?|Iy`?p)
zljkL|K|c@a#B!nLDsbSS>FNey=<2TFRi)0sA`JH<;fJc9yw85n&?yEsYvhU`{6jZ;
zp)UF)6mr!B7J)zn+8+YGh@YAN$pqF3L&tKB@H?lq9|Hke$s`d@$>bWfB56NZVE(zl
zUy4hFV0QI?af+q*FXhnar7VKZE%>uEj<25%M%)i&9@I~Gte1$@zKx6>`ZM>WC87!+
zMTe@WI9$FB(_$##7XNai)jrOVB9`lS^WA67H&h`@;Ow2umHxA@8MFb!m-WzlswgeG
z9ro}MZEu+rs&#_Y_JlRADs_Ua&T{Dw(wi!nUMtO@vz)wU<Jhk0ZKKzj|G0_fv9q5}
zO0Ze=QI{<kY9$F|0}Kt6A7B0y%0GBu>FY~N;@WHy?RZS(+DtiH_Ii+o<t}2E>QZe&
zZl69sBVc+ayc_XI;@xxi{O<)oBVf{!pyDcY#cb>bB}dnd46@RivS?z?EDNh~ArO4}
zad=VgEFRDBdm)g<p_Ft)!I~?{=x0i7K$7=H@NwTSjH6R%5+25TWl>0VYpH*O6e;un
z2H|0}6~N;9gSm99!w%z@GR3W=qLrJ>V|0S&keo1`$IwzTZGc2`%416(w9C->$|+0K
z3c0Ja%Od}8cQK$QW2bx%SC$cwgr~vCT?jtTQr<2rlrMFfi`TK%t)HdhsM5AhSQ6xJ
zh1dT(MY(vk$$FUaX9^frFCAT;yi`EMMas+i_;;S)(SPU3{5$b~6M&Wf(EBs7R0XX3
zQYr0OkfhKm6$3qF%U-`;t&h_CO;qad>E?VX=j0&BCDC>X!ksmh?Qa_CcKQ>=9R?+=
zGYUf0t5sM@3IX*(U;E1~AJXaUD&Al$-~=s>74=^2E!B#fH076atURjo!QPCGR>~r>
z6~!EWAVQw>EF+FusMX^Yt8cg7_35s4J{?diKV2|fAsC%$Wx!FYf8+mj=IZQcGz0YY
zT7=pgRVefQAm^`mcediQ)HZKhltWu2Vd!jl(q3E5{Xv+1G?Y_MaNr17;oJ~DKHpbk
zz^jj0?7?GzIsbm8jY{4@K&O+6X;xVOn~k%R=h>&==G$6n05!RJ&$?&SVnwyIQtpSy
z`1f8@D|I{KE;|iN_gg+b7F(Bu%Vg1~vfelHY|s2HJP!Bd)QE1FzZQk-0oe6*EE|w7
zi%MrQj{6DO*VrFiyj7l8`?EXX8OVY_vdD|Q88Po(Esi?it(i7_xhhhG9~QZnl^Ddb
z&Z+F^;9lU<@?D-FKsEHZ3CqHHbrj&9;&k0QiP#(?kP)K4w_;EQlO=E4?x}&Q=5OwF
zAbAKXSG~VX@I^LXoY_xHqN#t%96Xm)_tRloHHf~|4b5BPU3&%ET3iyO&!Ic2n~=TT
zgg)lrRq;E%O1R)>yK3RuQ<kZ&(E%>&7I3>3yt#918kw6+eZKAfgg099MSm%)%zgQD
zL#62=9$Xcc75_>a(dzB#lMGc|!Or0T<w3BN@*BRV-gp$PMiNTK6kI0hVzKxowW%Df
z>8FjYcfPbOero*<o=1dswMH6w&ns*wc^af~>rYhK^ln4Lj0lZAf;db?<9byd)*Hy~
z#4YjpPlElruS(m$dws}d)M3jw5Gi9-XHo-7sH*5S;_`|fqOv4M$3@=W6-71FMB2Qv
z+mNU>i&C_3I`)MBDx8^8uViwGa`nyMSEBmkH^<bn&I{rv@Zjsehh?V-wDR&00DvD9
z0D$%Pu*}2ESmn2Aq0AWrn;sT4z}a<ohv;x?(Fhi;x)IW#{(#{!T-d=Jkg_>GvixM`
zbg9(k#`rq^>3W-q^y|*};%7|A7njYr$SQFG^P0Gw!~OTm)-0It;yZ|DQ=&{);J>ss
zwWqz(f;!R&i&IeUi75VfpsULIDJ`*p-Z28G`F3v%<E=_uu@QL|0`anU?$jJzdVLMm
z$qtuX@m5!`4Hkn;{wBn?Pk|u4HY7tWF@>bkgA$H;OJrakN=abYk<-<n%g7BTTT5#|
z<`e|{DA#9WloxJTp`dB|&&SLx2XIl$0i{4aK@)ct3dwBVqWwKtg&5lL%qL10SVi>6
zvXqwsYvr~kYN|<jhq}Rj(sJ@EYxN$VU9alSac7rm`>M0gdMOE48g%`a-9#7Mj_lx#
zyNMx>EHiI|o&)a#kcDHv)Yf8Wr0hv%4+(afpa0MDU)mq_l!pWWCc$wkjK9m@+`-;e
z)yUY^?8l_s=LBK<WfnB?YZ!01z<bR^Q~D}&Ua8DyG>WE51wxJN;xc05$|P2!0wSn0
zh^{l+pYdKO*V50T;JHo7*$U-7I%abjuxZTmD#7R!A!|6t<e^JIB&hD_V!K@Ku(qb=
zb!n2hDANw_T23If=(qWM%zgayS{qZSgPl!yucWRaJLKIvO3c0})iDa_`PYcXn@TwE
zNbZ)4I&xpn_FX)$Zdwgx9iTmAx#Pg9*LN8?!CHMrRXKsmQ65lIK<j0PQn$-dCvnjB
zDdMF`uDMb@pKueOao#z+lw(<vk_~T=aSNswR)OR~wb(a&mKU&L3IJNOR--7Z!Dpl&
zCN^(b<h?(iwGG}j_Fe+08oju~Fo=DS^_Xf3D2k3T7vGD@#Wb}$62bG_Yf2RkmqB9q
zd;<vRZgig@d~3}xsLh(!gSVWD7Mc7=fgamT@!Y`b1d1bW<oz>a9py3f+1O2Z_xe81
zC`=FWcgs>TdB`rY?&S769O!LJ#HurnCQCwNjEtyv$(ASbt3V`XlJ~6ie3I8DQQP+n
zqLmok2P`z(qs#K)tF7NpMT;pUVR%ElWBjAEQNCqv6M0%J@HlSu&45l71pU3prOb$h
zcr$NehPUXcYw36U3ZE5s$#1MZOti4eO*D&fsw2NV7??v}zmk1jG<xiuw))*lIq|Wd
z;ypep`#~I{c@W$u=$s^g<TyUYTT=MC@r6c(mnC*}dnnfU7DLj@y7JUdg?23v2m<GM
zb<8nw_}Pu@68yaSxJCAZ_ync)M%WYob5dqkePPNET>J0A7Kjdb24U)8qUh}4=)!E`
z;QZ70Nb;Z|XmSDIEdOtBQSnMLy)0;h>oA?7!wCYeRv&1UTToPiVL^uC=ZsX1j8$?X
z@s-Psuk7Z>w}^aNM;}i7Q~cw{-ObQ#yEWf^#_G?121AmzCBjs^&zKYXispUHYk5RU
zqlhcRDF$9anKb#-muJR_u-niJUG&l!Ed1j1d#*t>X7n_rgkB<dTOw)kJUQu<pAst%
zFj&I#Y;=S2GkGFxx)rY@_DP1dm`h(3SweI5Dhk0*YY~8qeM|{cr?YJ>={kp$o7#a1
zmKw(gS<m@3)#gg@UX`p14iHZ$RFA2NlG;?`<}&OsIQ2Y_Exf_K8?x`{2yDJ;Qt<hR
zq*yF_B~{t!e+KC8w--;QXtABF(#7ut`W7NJ>YK)TMZGnXu-wb}K*-8<<|<tI=IXQ+
zt;^w>y7-~+Py$Lv=_x*nT`Z>vZgd$r5N@rkfTN+j4Rm_)m3u@=ObU09l+?>7DM}hc
zJm*{d+sAxEFU<|&h;14WHGCS<bKbyD#hV^ue*fEOWvr!E!4|m=?y}H+H(Db{#~%&m
z|F_w{>T0G+H@M?KYDZlV#BF(1`yme*QoKLbc;83|s?TmBU6#7XR62GccX1xnfUmLW
zU8Vp6UCv-ihi9aWW5b0Fdo~)+RhYtXeZk*$r@vD4Z`FKH_RcxFcC9;tkhBK>_BLTb
zjF==ZP&GDKhQ2`(NoG7@O|*DAYC7g+B`8Y-VLRr&fdkLJ-S4D}G$&=}c_<F_ypRhz
zl`tnA6Y7o9)WFf2fp-Nc@Uo!f9ilqvP|ib+`zOz1=p0R@H>wSsghQj;=PDGO)^O4o
zuOQDqn<SXJ`7+)->-VnCo0eisRMYZB=Ogc%N2{1ZC~dt6otmOvH+B-#Wb%gS{)!<y
zzYydX0}HCf;zRBC*dFQ@IYW=FFL752c_{Cs#n7o_s3?V~RpSiYa0qUKnnU%-mpwfV
z7=^ODtdZJ9jrJIiFrX7&Z+OmIVwot)nH=36U?+uZW;Ypsg@ww(JX+J7IHxGI(dPIJ
z7BzTc6`8u=KKTTAnp)}n;ce)YwK=tiJI^-pT>WW~^Q?gYsrA|EC7QMWGV`Df>cp_^
zu>$P%axVK=K^b<W4@7O^0tO<ooGadlSQu}MNZkX(W%aVKOWCd9RQ2rkjlP*12mJxQ
z`+naPl`N|CugD$*BqP{k`+I?lw!dP#J-AW;!I3}A|7xpsM8O_~nZ2uls+Xggi{6h~
zqA2rk0-`v)##1oxhcklsFM?ulJu`4Nvvpx+`tkiIuwbpd_5$p^cu@TnRs4k=1TVPx
zxzGmOt+?5N)&DQOvYv;+nBWWN0S6`teqq6T``|FaPxxQTe<%6vLJ<CgAqAAxlUP~D
zK>>aU_P?0;!JgGmCOb1zD<ftrJ0lA-RwiplGmF1&<`)NZYIa9$KLGGUeykJvwJqmE
z{TIheCP(}KQ2hEO{#<JGi=xX4`Y(z<7asjtq<;o#e^K;1{)giCu<f7lKSM3Q;395+
z!~Y4q{0aVZK=2E^81x_TAH#z`8UA!>e=%qU|A*mU4(^}$e>)|=&;Y<Z5E}4bF3Nww
k|J6PG4vz}|4gR0bLQw_=Y^4AIGWa6}HuRh*upI*a50-uSH2?qr

diff --git a/T/TEST.cr.mti b/T/TEST.cr.mti
index a663c96..1576ca9 100644
--- a/T/TEST.cr.mti
+++ b/T/TEST.cr.mti
@@ -82,18 +82,18 @@ Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb
 Top level modules:
 	rounding
 
-} {} {}} {H:/INDIVIDUAL PROJECT/Posit/T/Alignment.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/T/Alignment.sv}
+} {} {}} {H:/INDIVIDUAL PROJECT/Posit/T/normalization.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/T/normalization.sv}
 Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
--- Compiling module alignment
+-- Compiling module normalization
 
 Top level modules:
-	alignment
+	normalization
 
-} {} {}} {H:/INDIVIDUAL PROJECT/Posit/T/normalization.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/T/normalization.sv}
+} {} {}} {H:/INDIVIDUAL PROJECT/Posit/T/Alignment.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/T/Alignment.sv}
 Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
--- Compiling module normalization
+-- Compiling module alignment
 
 Top level modules:
-	normalization
+	alignment
 
 } {} {}}
diff --git a/posit_adder_verilog/Test.mpf b/posit_adder_verilog/Test.mpf
index ca3382f..837c6bc 100644
--- a/posit_adder_verilog/Test.mpf
+++ b/posit_adder_verilog/Test.mpf
@@ -412,9 +412,9 @@ Project_DefaultLib = work
 Project_SortMethod = unused
 Project_Files_Count = 2
 Project_File_0 = H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add_8bit_tb.v
-Project_File_P_0 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} last_compile 1669675628 cover_fsm 0 cover_branch 0 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0
+Project_File_P_0 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1669675628 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0
 Project_File_1 = H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add.v
-Project_File_P_1 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 folder {Top Level} last_compile 1669675628 cover_fsm 0 cover_branch 0 vlog_noload 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
+Project_File_P_1 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1669675628 cover_fsm 0 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
 Project_Sim_Count = 0
 Project_Folder_Count = 0
 Echo_Compile_Output = 0
diff --git a/~$ogress_Test_Draft.docx b/~$ogress_Test_Draft.docx
deleted file mode 100644
index 1d2df83fbd1b3fa59efaf78490c068a21c36828a..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 162
zcmd;#$V|*n%v11iWgr1?GDI+BG9)tO192XM0)qzwM7!isW)xGHpgfrPxsHa2YUZ1a
oJ|4?{6)a(3I2!ux=wX(dj2_ea(@cPB<*tOZ{H;eAp$=pM0Lx|=sQ>@~

diff --git a/~WRL4094.tmp b/~WRL4094.tmp
deleted file mode 100644
index fedf91ff25600abaecb4fe09ce029e894c72ce36..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 247065
zcmeFa1z1$=zBjxGr9ry8TT+k`P*NBrrKNM|Mi>D_=>}=(7U^a{q@|JW4(YC$Z+xD;
z&v~A`_o??g@qOoYt_!$WbI)4;{Qc`*Yn~~ipb`Oh0dxQW=m9F}0v-z_0MNJt0E7TK
zvYxDiy{oystC5D6qq&O#k0;oUE(;Zz?IVDUSpVzwf3XCr;`;0Z@85fJ9)E?`J%gF*
z`dm{}fy9?<MnOfYwH$4EoOfzqXJ715KoJ{ip`x(DeV2U+6i>O>aIGH9S5f1uW*=}A
zkc0H-Rgz-Mru429V(um3Kh;4Iosyx8J>2cLs&TtVppg<4`y^~Hc%+r|qfU1TN?`mK
z?|z2)tC)wKkQW#ye)l~;&L*R?<dq-Mtqfxk*%g``HMDi%^wb8%Fb%4h#>W#Dat1a$
zinI|gaQtXIz}}<t^`QZ|)1!pF0)CBg31fPLy7r)mH$@}VpGSQccTUtE@taGw2!tEB
zf}1~nIm(qYcb{HI&*#SM$^5QuKcI(8zvwZ-Vau7USh=ykitbcQr=Om6xEuM7?71V(
z>ogbMFBIz;+SwPT^H^#x_rm&#^=Y!J_ujtuw8^Z;9b7K}t3EN=7}Fzl#JYflHA_{*
zKkV?QzF-5v-M|dG84|P_Gml=2#Hj3~iNFvJ2#2Ep&;I0|G|?2b`v{v;MR*?;!aa?g
z&Fx%xd4B%><(B^!`{Ey1FNtZhX}^#CXg}~aaJc#TbQ@W=DzE9#4A~SKx}M@w!kI~%
z3D~Xp<Rr4ema%-_$Y|`4w@a#=+hUx-0Y!o)PDnGx_@!aH-gT2F5dXF*m(O$DaTz;h
z{mkOFmr;?uH!xI(IAoCGZuG_b5B;r#YaEZy*7C8e8Q2HMOqnXP)15RY&Oi7Gd`#l|
zK5nC+=Yv)x@uVe)x?zCa57R=bJW+r<D)P&Gg~3;i?btg0a2xhhE(*K*yv(W5CMB2@
z2TjA<-r3Onj^MXk7?~Ksyw|TR^a*rf8zJlDQo{T6I#otX4wR_|(#MD(_4od9565pv
z>Mj6ykpKWbLMR>%&St!34yJBkL=5{Gyk=iKci0vt^2?}#zdWo`%vPj(^xj@<7@1nl
zfp>d%E?vds{lwP@JCxl##cRrIpKE5ZE=9UoSL)o6y(+Z#zIG7Uz@cattJnFhX+jK+
zda|<9{L#QHi7`VAA8GONc>cAum=!*_;Jr1+9We(9zPT&d@=n=2xc!CPP8fzPAhYS1
zBEM1k^@F%86*V(g_7&Gkbc`-fzYddtJWryy$$(bT<W^m}tzLZ${wT#+W0r}mTk)Gv
znn>AD;<+y-s3&P`nZ2L8B!bRuqS6Ii16ZDIpwbO}UJ=S{C=1#a>n=iC%|Jyhp-^ZZ
zjY@z12|}TkA1o+>Q#kV&Q&rZcPJP_fF-ZU^P`Qxb=3}vzs@(VXhYl!?syIdmaVe~=
zuGhraYs*Wha-VJ9MY*kLGh(Mc?d00+Bn}aGD2+$&JKdf0&Y>aM>65RPq0WYso9VNl
z71w9Kz^sXqVHIC^;_1#ofz3kPz0S-mtfSjMvpyfabmXyRt^dIp&lCH01g4{VA3{Ft
z5-EcJX0lWy=*v<%aV&O|BlJ))uaJB~jNe}aNW1$;%0!;!29uGEFV%`R^L=|Hb~t9f
z2=Uh?pLTEg_42`<F~HSsc~z4wt@jh|r`#YGjeRO={HYy<Q+G#@PQTpcHrU^>boJpv
z_F-*i|Cu#7^iC(|=ib*vX!U-SNs$=+^fTtqMf5NVHyQ58-J?MjPn{%eg*Xzunq={*
zau&A@5Y2N#iXWEg1YLYH{)XpLw27TsF@w|4+~YY?p;o7jt#m!-<Z++Oiw-9fGjxAI
z?~_>bkBgP!G^=k3u4xovBjj~{6MI=d7G@>iq;j$+4s?iFvU0lM2_8p<#$m~seCn5I
zt+Ly3o@kh=cDzpb&XmKTlp_<-yj3TFGixl`YGPk_9^}6hDVNyIf+tPOb+_Dp2j`(g
z8J@^6VZkoWLrK?ElX2%B1_Ac<Ja>Vy;wOvt7y<NxB<9&4@Sd#e<A+&)j`~QR+LbDA
zQA&2$%Raojt~)HgG_28vs*xU4oV+S0hu6^X5Y#>W<P*^mN2W=K+(R^+sL1NGzH6x4
z1jQH`0kTf9Mcu7{hT#kBp^wDtV!A`#0?CuDULOZ0k<{bz*Cc&(zo(kqd4k-rBpBXE
z%FbMikzM}D&hNcRBN`V8`(VDfiW8b0A#sIW+iPM^0XeoOmd(R?cEnn@)r4XC9+UNU
zMyIpIHT$?MyTe1YRcL!=i;aGZ2433GB^z}AYPqtkEs>4y__RL3<4XLsuBABD(XShH
zWWJB8xneR$6>AMsf9NU)XMYGLu;Y=QP}>{3TVi}x)0#f8<ViAf{tP|6c5_<6HmobR
z_W%R6CtIQ+KStF!JyB+Qigz$xc;cxAD&<Stmu5>_cTd>zwA7z*F&$N;<Saj0BqHde
zB~Mhjm@3y5AG>~XpCou7ugw6~9%ltVwop40V=SXYU1`smrM_zb31Rk;U?(j+U(2!E
z+Ee?StYj=$^6}yFqUpJ~Y4U6ZcYQ25@%#9&H-3IhBPveZZP}7*Jn)f-nW2`Q_M{>7
z8&>V70@ePH57fV)@?kLQuiDDivDA;v9^hOLgjg;|Wah_aOibVVDhvJeegZsuezW&c
zUEjgzS!Oejo;?8uD9);dz^tvGy#C!hwI0$@q@aPon24>9S*SbPsy0R_P4@$l!`m)p
zhD0$&B9>vU7zQ~(s_Sn|qVsEnZxi@HqW4iq2PG*y$*Z;JNrk66o>H}YFf7ASXB-Y)
z#bw&2XRj>8Y-xzz&sCz7rh`ZW4;L#Qq+Y$-%38S-ySIkElO2>7Z}rJ=fL^HmX3(X(
zLxY21GQ=c+?aPl!L8N?t-^zFh4EB!Yf}MHoOr7zol7O(SlOioyFk2iYOXicI@pdBL
zM*+`t6xhj%f-4yd>CU7B79@hB-?=8>w5*=BOVq=SWh7_&KUvmhQ}Q>aK^h?Qfpla2
zH}!O$<3c4UC6X}Yzyt4nul8k<fCq|Yw61{+IY-}pY_e6>>CSz*rer&`F%neGJ?O)u
zr&=;9%)vxh9CxpAXMsj#c9qgbUCJ5mqJ#S}><zL>EKU)`vS7c`0|U0NVjcsT+pmi&
zORzYa!Ihr$U&QKfqFfG15wYa&qYZb4xh@7G4%MIl02P3a^joy~Jtg~N%=v42hJ>h^
z5Uc<1ewD=bskZ;D8We5<g?yHC9=&~*W`9qu_MT*`3QI7(UpEcSrO#7ND~_T9EZz-W
zm%T$1tsP#8&v+-&I*cE?onKnn%&?7Ocox!>?Y+JelNTK*#P;$luyb@|a{4APr;f;b
z4LOvE5cTBoUi$H~^8)kk5?Mkc-JR+jk*f$X9cT~kCBtCiEQtIW4c}BA{^Emvq0ct1
zp13nLrA3Er<NFjiX$6ZJb-r?07xnkbM?4geS&T`7TS1{*Y9VhRB+#BznP?6FPNaO~
zMz2qPNfqhKJT%iDE0SF6RQBo*tHz%(*S3FM;Z2UMQ)JI{ZF(2@x>K&l_k~#*ZX+#*
zpDTFn!5>Ts^DnkQ4-{3?S=&UT06%{axy$c~3)tMu+L+fGY;0-H$7ADYZV8`+F9P?J
z<P_xqB!nd){WK>y3?P*^w>Nh-b~QJnGx4HRx3_jQc5yX_&j4=#Of)ofw7Zz-=;&CO
zm{_=E_i%A=aH)w&@W~iynVA@98R%I!AMmoU3$oKQ+?VDP6cv+{lw{_4tSBq4@IXRR
z3<(np3l|5M>fSvnF*XJ^vH$Q3-U{HOBd^>ML_xv_knxdF@R8tOk+KlP-$DBA^;dU5
zLPkNoa~BOA0~6~WfQ*EKf{coC=ME|=Vx=$QJpdK|4go!%^j*T|#%K&qMEw5Indpo%
z<!!`j!v{<PCe8sEm?WfR<P^*-tZeKYf<nR%L_{CTK7Jx6ub`-;uA!-={X$3A)Xdz%
z(#qP##nsK-!_&(<@XgzxckhEkVq)Xs6B0ipC1+*l<mTlU6c$xfR#n&3*3~zBY5&^M
z+11_CJ2E=<eSBhaYI<pTWp!<RV{>c!@aXvD^z8iN@(Kw+`JEla@83E413w7Hk&sbQ
zQBcu-@`Hrzf%rkeN4-POcb7o=IhwH(Ap^fZI+09tW_cS1qk!50v5E6ACJB?^67%6t
zmVV>t-^WnE{}D(3#Lz$S1D^)4QIHS{L%|26fD=kRTlWC&9%KulwQ(WZ?V)rf0!1#H
z6Gbc4(q=_ihwbe(@AWn>?19qGXPtA=Eg>_~hA>0TlIJhJG4KmI-8Wv#P8b;WKZeCu
zF_LpuSw3L;@j<eX$l}qiSsv5;V*9<xrkkz^G_(vGs3kJd`tG{&SuGq$*IKy1p@jp4
zpegy!%{h(lrZZq(dXPmy$c+lGC2rd;^Q`0;960Wsfdfd{aA4W$%<%`!h(R|`b*K;e
z*Tk4fJ$f-&6(R+UlOCc}_R?Opl*t%sX?q5pOp=(+6^<=bv-_v0m}TZPU#}HC7-Dro
z#J*OtV<dGh7Mhh1d6#3orJ}MV?_gHNnQq@m*5>jpgaaG#wJ;QUIPi7&$I_%B;#%rj
z!E09UraVg0b<`cm#A^0hdym@&i8ve~6W#XCPu`?kQ!z-R@NJTe^Mhbw@|CX<jKutC
zfdd(+aG+HXLAABBI-WJpQpGjw6(Jk|M&UqkcFYGKMGu_Bh2zDHi@O;&DpYap)5>*r
zfBcx$Y94~ucJuR_3sx9XK0-|L%=gb?_9%Iw8*NetI8qnMqU`Ug5*?>};eaFt9C&U0
zlkA?S5;=`QefyUOpc@nrj3q+J7$HYtBgkL?WRU`LDENz-U$XgSH@|%9KND}>e>v2s
z)S&~+Vl7f3D^<>q5Ev9jpicnQyoq^4yIDAPB=rtz<2Qh^@gd!H#=|!q+tl5!kM`+`
zl*dGfL@G+UZ2X>~TsYXo(y)m8Ui1Yxd#Y6;=iT4JDCsY>`xOa(Maf?o#Q)BGraLd)
z7M$+egzptz{$RSZF$Hze+)D)Y7AZRh1(Em}9A$>e`>O5vc+!QiCa9kgfkWzh7R?R>
zT1(b?NNp+PlZs~pmS3o=mP4yz=GU>7^H{D4STv*R?B%?1-%(pdGNqLetSg;!%UaQ@
zT-!ww6v#P8Z*4KYBpgDD@!Y&?mB?gJg1>Ha&X;v~H~!T~SDwKWH}0lo%J-|IuM*yd
z)UQ5_a3Lq#eeA`w`!bPVyS|jleR*Wsr*VeUs9JIDafROF2lY&M$U9%GycW&Uk9Pn3
zlOo=j>3)00YjEsbo85$z#kHXH)LlP2H>~Ke74pLMcP91bv!e&2iREeUpFQ1p`z(8}
z%(#O+C)Z3wc*Wh(2!G$6>lJripM$^`m06n~iFXNWyY*ko^5#Ds11)Ls7fpw*x%(w<
zZ4Qc!SC?v0cGT$Y>IhFiqIi)Pl_Ah4<B3-r<#jH)UZr6(=8;diSz|Df*&h_I8@-`=
z)hzz$rfh4~9Yz(lV69y~E7ogyuOysz-fmht#wFdWlo7*Oq?vYgAW5;)y191;>?7{j
zFH}{`y+v}4(sx}XjI1|gOpA6~zN1@emR9QPx8d4iD?=S)?K=GG>UQ}Jw0=Uz!%&*4
zj7yE7<odb$XKh?POD1Gtwoa#v7a_4A!^qXW#{J7zCF<6Cy$gH}Qq>z3I&+_1&T%~9
z&vE9%ESh@xzW1ivyRZkzJ8U%8e$Z_1R*YM!xcmvTTQii};~J`GV`p9HavM&;Jse|q
zuM%XxGrPjp-{{}P%KFJx-iH%EcM3P_Xht|isN3tCIw7Z?o|s#Br#sK`U4_%a=3EHt
z6%J=dHq8;%3VjT1)zqZE3X{KSZBmk=1`rn<KfxsC(j|4j|4FhU7!KfGzY6C`Z^;;k
zG9Ud=7K%Gmbnyx8S+`YoJ=V+*<6{ndkdQ<5+5=1#Y2u>EY<mfPFzkLj3PWO_@2mbg
z%H!~3wZ9vhJnL0RiRBf{bZPEfqSJM_SiTY+J(647J)viP4sHCXV+ey}QkSN#i;3<V
zxAe{xE2#J5^*4k?HD#m(_|>ln<;Emm!htPqBVGg4xn-FAbGI`{rQ%s3kLf#F0o`Ei
z4C%F-*^`xW(G@{uljqTu?a<?yuM6kvZ~zX3l)!;cxwdN;cL#Cdz@P&mWFZ9(z&Ijz
z3Vt2@C6Hgn@XHZ?A;bUoQIX(L1Ku>_k;NfKqUR(_$O(%}=Wc(P_eP|yE#$&+qVGUZ
zS43r^yQa6(s@1(JDw<`sF<}c<hQGJ@@KsmHrz|=Q`<HjwxC!~#!y%Pxm(FmYPTvxy
zbM)1HK+!NRh}1g&J(U4v!EV#pQD++J_I3TNf(%&@c(?Jj2kt`xiMJCn5r2*!`B&Q#
zub{xx(!%BLvcvT;1P*jOzjed;b?lc6e%Zk<U-*Rw|D~81EEu`V$!Jbe?Zg(}V%yR1
zVu?oY1`aeaE5c|mh=rgxBDSWPhj>97hoo<ibr-hpfrv|6L*~a9pHTM+J652yV~07*
zWBb}-W>7xJKgbHoSgOAfTbkqJDLcj3i2K4^+fYZ)W!HzD^rCf1PE~~UElr&I#gN8@
zj?0VBWBXvia*N~?y-=UGaa$86r1Jb-)t}A72&<ItdH@Q{3XAcQ!bC74_40$#vY;wz
zwl46QZG8;-J|i|de~F6#A7kMhBlc?89Tea>Xhm9m$zr|`EO8#t(&mu+q_BDN{-t`I
zLM#u&bA$eKvmYph&XihOeZ?5GBxe|#xh+XGayr9#a_s}M8HIpJza@&uWQl5i_{@1D
z(z|;iI$)lr{T2?a(muHLkh;PgN09PF>Md1%@Q)G)?h^1R)>L+Ak75E-RggJ%xi;%J
zt&O$ah`hY*>CCAGSzKRQ*#-`KA-(#LF!tD|m3G*sO@6QaG<=%hzZJrJ%X}m#*fD(4
zo%<NtnAq>Q+FWHD#_$nf83Hs=0L{*<kP2xwQL!3**zgE+V;ypRAazj$1+Vg&uhULH
z)V{eHgadwPaDZ68<_PcCvH$HdP~jSXHq+t6REtG4bu`&3X=f_cvB!2cFa4vb8&c(3
zc6pfryTL<L;^0-9zHd_hD~=QwUqrTFVIQ;=8R_fw2+CNEO()F0iNZrYSO4AUpTU7Q
zaG(u(LYje?38(*s_a&(Vhub?Fh`}*y_KX)=#WCIm=!OywNPEG71B}hzWY!KtOp))x
zfgwZ44YIdHZIlo1{r~clr7v{SkT(WdmzMG7N6dLVJ=_}M?-Ug^d5DW=B&!1=SH+P7
zvz#?94A}&FXZ?xj9i!hJ{~+Vy0W9Dc4lEK5?=}TadA++~h2g+~k4<pk0`<hlf$q%E
z7!Dxj*nyBuUMU!o1kFshMB)d?7s%qm6&3<AUW5LE7r##ROIE*(;g{q5LWW-``YTNQ
zw}n(Q-W4Ncg|*c&^2t-~{BoAuG0?}`6a#5-+eL+0aqmDz+_$c0sLzU+ha^&brEhE(
z6{(t%1I1`^tIn8)wpkHJ4OAou)epvNMq45ozl{gCXz59$kfLrdMYO_!Seb&vM`z$u
zR<9~{s;0MTk!s^big2K-z>RQ|rvFp6#|2~vkvuTKfpD4;sa~qc`q|Bd1=Eo21;5+a
z+aIP<49Np(>mEEDy<No1pBfx)y;zS5*)~|?+OZTEt<g7&Fj$X@^NB^-YE8B}l$5w9
zBUP#+pVeDjtIoV{<#Jytzn!IBI@>*6Zwc^xQN)2C*>H94J3zG~misy+QwCGH`1sTS
zvUoP$-$QZ^v20^XwXA&#2RIIFN6!RS>m#sesWe4;$L$emN__O@TYw07hV<ypzx{_Y
z8F~|%%sS$*UWN4TPanAZhC+FEtIq{%FFJQ>b$7RQE~6OfVzj3CY9A)kvht})XE8w<
zD`%JvRY6A`(o43By1Ga+m7^I%O>$$($D-Iq<7|$LaT(-ih_n+Chh8X7pr>RK$FqoZ
z`QkoZSQviWM_i*7-kY$8^0)p=h;(xGBc9|(<ky%08}QdZxW`N9Hz9ufaG(qy4rHyB
zrS-#s`2*<kt%=(b4C4xt)HX3p<^LzL|6N@FI|TFJwuC0_!1fjt4BctJk^pRnkRH+0
zo5NbJVJ%W;k3ZsKbr`rVTpfYd9#O4sF-1Lmd`%TWIa1R;aIctLLt8oj^j6?udECb_
zgQQ?v2lv_!Z>rR~zE_4rBb$BwSSe+QB(gm~<_kAX*WT%<jupkMDrI^<Y~OEKN3UYE
zlQ4-g9mwZ5zhVfNS5J3t6g!w?A1=t7cGsd$e0uDru<tkH`aXNTR_B9U|Ky6)hfUA(
zfvy6>ziQ{ty7~wIp}1(nfoPY<S1LE-ukUaElUjc+ttZKqXs&X4)G4gaqEFjI1;|NZ
z(m>sylmSCkLRCjPx>FzGF^O|=Xk_kSKP%h_TfOJiA$-~ZtFDXT8Z4Y_&9l;#OZRG;
z@*5Q68LfVY`f;Yu^|BD0HDfe-(}?Hh(Gv7z_ltpn;P98vg}|L>V%V0i@A|z~lNFT;
zgC5=AqCG=<2M1(hK1BYqxxckJ?AwO})7uKu%S3UxaY!Cv{%KPy2Si92%PAjRr7CVy
zR4X82e*64fE0j)Q_&blN;J^(t0c4010Y^|oS0&^l3XC9FYLhq*vJi+EMmz(=RRk>U
zqw`XcRk<e*?t%_A<#<${*gxGMd(x{%FSW!L3-i~tyuFaR(PT+>H&qdG<^A&sbAHyB
zS)i*s)*0uEaNtk_fP8t*dW&2&czpo5C<6X;3V`+?4uRW`N(Epyv@nj>co#}ch$>Gj
z3DNVxL-c$eq5b*fe@iVAq6U1Nae_H2wcm!=JY9eo-T&{rHV$RK`L3XZu(7!%dz}EE
z4kNSrIvUm>O2*K$yB`1ll@U_($^z{+4e^*@AEnBJrwxjNlX<)zSYpUJok-Xsmk{+w
zRJAue29~hbx_B_;9z=v^L!OKLx=*~FZh7YMrmAs}ml8IZ-_J6;LEfHfiFTB;n(!l$
znR?0rMi@y8849$80|6<KFpm6miH=?RIkX#A8c8^id3OR1+<8EIqe*qRM>9e_1o`5$
zvVV0C3^`DD`Z<ytq*?k8uO^)G9PJ`Bk|u(oR!6YLYc5=KI8`gIuGUih<#hM{@l`0i
zEkndL-SQV^w`pics;0n0zR4IT^??TU*fK0;<PLGz0H}YYo^yFawS;~2os{s|HM{Qa
z=E+3&bn=@Ob{9K~zd5hAk+{!?YXuK!gmZL7LN}$W5xpaouAePbai4cp?_6mQQa!I>
zZzzp!h-M*&8ZKRRKL2T}K^`k0swey<lBm^gd~#pmfVrxl$?MNcjRf*bf4RI?V%NN=
zKT6-C9@|(&0dKA<k_8>oc|*)2n)Qs|2y|NbGlBIhvl2OC9}&L2(zS3xH;u^b@>USo
zm7OlpJ}ujTccChdxT`@1rwoQ^k7yo?{--Wy&FD-QQ2-}PA?sEu;#;GgX>8a!7)LgD
z0koYFp67VhxSOR9BAZ+su=*eP+hv6aaqV4cENRL!#pwBi(k0q70=LEf#uyX->(%fN
zRs47hp&LqabA)aPN(zcHrqqvegjFB7(?cHqE;B?k?jMOVv)uE#OCZy;3Co-z$edZQ
zup2ukK=S;VG}^5CMx^6e)ZYI9haj|YamRz%s&v$9tq8i|$RCBB>+{*PKIs^U!NqT0
zJ^U|u``F=mgqatC6!MhV;~6uoTva7uq_)dC5xQIS{NFr(*5hw+g6DTlOD%~Up5LMi
zLoe9te#V>Mxexps{r<%){zHq?`w(W9e1)EWz+B=aUi1kLOsR(#fmoUAmj80Pf8i?D
z2xhd*-&~M%D{bpM+fv^%Cqwmb{5UIcN>g?Dn<qs4o#W*GpWxfSB+b7;pMM=Yhb8vI
z#hq|Y4y6~Bbr=+Np2LBPe6cthTv?Znzi3V8#&_kzykKl=jlxLHWV^L`z;1w}q`fMV
z)FbQT-=K>6?|@DDzXJ*Wv2$d3-B_=@37X12U@jJnCW(TWtGd^mkzLO^BHdX1ZXY3|
z2>%g2Xd3k->1E4S8`#fPCDw3OWUeDZD2P}=G8_75vnu>gMdW`71^;4fP=yH|ww8xH
zUsP7-RNC&jjhDhiUR?2T);szO+S2`<HT~rcN<0J#I$^5d`P=1&Ji(4g)>zX4(FIUO
z|3tyDfd2^;{NIKVqX>*hu+VA3unA#^_J{SRzG}mG-seGw_t!}DFJOcf0wZ)S-guI9
z_lA&1S*!19iUQG%nbS@ul5xK<;=ew*$nt?wcrLTY8zV5HFhrR3n#1Lo=*~Nw$rvfj
ze>L*{59K`n0@6{-E?Wi*7Aw0$4_e}_#YYsx8$-#T#UnXB;=xm!z1Cz&?_g)G6o3Ou
z&O1^k$U~3=5)e%EsWBYDO4LD=btH%i^K}K}uoqDT{&5AO%KLMUk0|5b_93=l4`Cs2
zs#QnoVhwPI;J&i;T289gZW^uHfs{`Z6oawYe5bnY%DXS+^VJ{G1h^KAE#_rpTpdf@
z7ywV0?!D-9U}R1(bPY$=z2^)vZH(AB_=rQvdwRZbdWZ-+%z)<bRoShV9voo#h>iE&
z=onFP)<Cbhfk#JHx>7+WJB|Gu%7ylZB0UipX4fM{1WKGQUrzyx<z!T&`08JEeKYH8
zW1ohI(8mC4=1zoWvETIraQWJZ?mrQ;o#E7D-(?I=-qYmk-4Cymkcm>2Ki=6jY$3&r
z&-cj?N?KXZTFjbI@kY#Q6G0PbyX@3k)se1s+uB*T)~1D{e&<HtM%lk=SwAVIUE0{X
z<(2Sd`|ycoALeUneOJ!UJ`@f_;v35KKzEETNF_ritRcEKR$z+2DZSpBL#CQtu2Sj-
zMuOZyP5lTWT%;=s3T;2Fo5ahvbBQVBBx$)Sd@n3xz%#^*I>N8IL@B2LR)FeW{eVCh
zOAQQ~N6KNt?m(UUJ_0R5>m5%=5aqh@D7EM5S>}zdm!E=r#bt$_wJ!C;u_v~ugMxb{
zWytk@geW52+ur!&g`7RSyx(Ih?8;dpE$)m2V|Hih(0#3+4FQQ@M0|B_-`Yv`+r5<{
zXn+F-?-Y&m`#kEGJ?UR8-D&z^K7~YvMK0Ru<Ht}{y*iP?0^9bJd(u%?GsaXqutb#0
zFRxFfmUEe^NA<nTRQI+d?>kP^torU9Oj&cHCth^MLCP;z0z?ZVo1zqtGK)<utsKDX
z;Tu+qvg?emF?gEtG!DBrpps2$=T*nb$7tEto#78a*S=rD>!nQuUSjihB(G9ma@l#K
zbc(s{D$yJn8grw6Dgg^_5wOQt&&_?_ULpe4oC5M$BcG3{=j~1O9b#~@7&MRm2-W{a
zpTrQLQ~UU>w1^oMbrW7ni&Mzk9QBi%g-bjShaD5)5iZ7L^we3thC~zNF|U_J`01jI
z?s%$cMd^tKu{XRh8IbZ7&S=Vy@nD+n*?xp|G1;uFsoh%)oE0LNo=aSx*t!hRz1cm7
z82R7n4^Ni9A31oO86>JKfRpb&8)ka@sXj{=Jim}}i9F#~(EG=h-`48mSf!!!0^jo4
zIxX@$uTil*R&m*;gej|<hIMLkQ$2cYk?NyOu!D#>0|xjJj~AG3@?V%Q<n@xzwmp)W
zGq{A=!pO>)gb!QFFlFAzK-@Q&3XXx+4QeTh_qJpU4L3=k<xA4Adrjx)<3n$&(h{)c
z?jA5Yqc|NO6?qM~>_3^SZ`ir=Gdfr{AkANanQ>Qht+Xh=!1)$>&+LAoK8aIQUF*R2
zRb1GqwNI}ErBMvY_Wt0p(Lwtjcj;1svckvrt;70aqK|ZRbk3F<!oY?C3-guDD@R5d
zCm}n>w^Fh^i*l}^@-Lp#ev8&g6n%B4)7cjm4|3bOD(6m8`se=ihTr`H2!Yl1Kml$f
z>9?uY%hi*+vF>`LsvK&HJ-iPf!w)W~Xs5CeH{wr9?I$8qdmGWUo3aBqAW*zL2HiOE
z+kyi}ci*gZA9OVFz3OrEWmM+4ox-;AC$fyv?sxVCE(2pD6Si2@vOuaCUOig)Z$a)h
zx~|aeMAHIEnqihEsK4vdy<whtR`cYi^F^|pH<zXXx-{<KR+k@E>*o?hJN^0n%p19<
z`}P5E?8n588m|y#ZhCr}Qkikq%+~#jw^c84mE&rSK9lF+XA68Q>6P7Im2TydAMifl
z)Wq`>ysj=YH-iHY&Kca|sA>>RKcOqBW<tXY2ZhfKiE<?At}!$71Bs=am(gT~&q4rt
zbOsvdoR|$%C!IFYt?D>G4;#c@iqWBwz9h-;>A6p_H<S}S1hjZov4%#i4UX@v5*-LD
z`dJ(_>EmIq%G<c{#Do_`&jjn62W=XTt&bJGwtRhNGVg~^zw^_E=ouZ4juvYE5Y+Q!
zp}kiVN>u2auyckY_(jmdD?UlV_vXFGo%ff6hIec-_d7G(tACVatix7SD*_Y7K^qoO
z`SWb0iS`D$v}G}0I-ScA1$%pf(_9EYBX$MqGbD8Bd&luii6V?4UD}jq&;4qDBYbpK
zufoQFvbxbKV?Je~hsH@mapr>MOy@?Z%sQfQRZmBME)QvLUC_`_@dOhW?OJ|@#{Ffz
zv1-=b0RE-$>cj$B%ayzR^^g(e5gd>@rp>=_Q5<6ll@m_a=yNc4oakY^jIi(wWLI;4
ziBb0@@CZA$-#eU{T{7Ce)`w+bexgS*UuZh~x=>2_!4+?FlJ?ov<FhM^tYn?aAlv%V
zyxN*N<0h6bWP&N(BC%+-eM4%wlw$#j)5`meMjkiR%0=}=BiFq9MjQFd;hrbdBsgYM
ziuo8TE#n)b+1y<IrKTz$`Hh1>`$(6yc!~KemEmiu5|{dATRyL<CMI&<aXzVc)o<`<
z-aj6d=6WTRv!LIVMG4|C3+Q!+D$;&)5%@~36r)a-62>M)*CRe&qwTy!YU@z}zTJHl
zyZv-DpypidhVq81<xD2oFWe=&uPiSdo6{z?u!0aV1S<Yg^fhr|#3l89kMwAA|NMP5
z?>XN18R#OEP#({>z0&)97}{^U+6xCJuS5@VPU|b<9$)Dh>UCB|(YZLRFRl`_68d*<
z@`FU3^~(zyIuOt2U{T(Ol}<Aj=R>M*{e*8+eKZl_3{g<;Oyk`W#i5TJxc#Wn%^ePY
zR{3IJ`x^$^A!`OD?i3y-6;%K~`g)el=0H{htQo6?d{nS!*LBIqgeOCD46z-I|6z(7
zX2wG>9buBoF|wp#nyn%?7c?H)aCTFDx@EF&+jC;8dfp5NxY19z@l?LMZZdkVD;Em+
z*ebFTta>>+41DiG^Y3B(xHX_#+sKoC?B}ld=62Ak5qv(`^lyshooAckj%$lHVyqW%
zqoOZyj@!SpAO{D8%3`@A@irY7CgrAI#z@$2(Q8`g;Fy(RgN}@Y6s)0<9_SB`Z1O1|
zpq{CDoY>DB2%T<PWYiTw-l<+M?AN5{-{&g9d&A>^%I{l4xw*uj<ouT0Oa#k6vVmVw
za&m)1Px@2s4L8i(dD<m(3+65at*EjpH6F_zomN;LZW$jxC#=LUe?X)Ct*2_yQbgaC
zDqYd#T)%Xl-OIq}>J%D%U%yxC?0hlflIWGWHQ3VkWkNLB%a|{s;|v{5(EF9~JsUuc
z=tjgz@>*Q>RQ36NP%`@^#K><Ag8r~9RB$sVm^ozI*p@?-PS81!@(7)7*CjB$n(#s=
z4dkCtHO0O6a;*ARw?{}Z`H0CX$1+?emtO$7*PD9t0VThGG#8A{+Jm?5exeMcJvinV
zSK$dkboPu2%YBxb8NO^Akm-7jC_DH(G^{FHe{Ad}!v?&ed+*g7qnwK0@t80@MMr+a
zz3f6l0>`dCc5|+DdP}OU`Bk+1*N2AjV6b1p8I7zpMT|~WA?IF$3@z78Fj6Ir-!out
zXhaRlbtQaqQVo@WFsQlPmd0Kq^460R)`n3*6&~A}?;|Y}4y2Q&5#wI7D+BKv`OG+!
zU*+Hiu8X@87lp5Xyy_`?+~~XGv%9W(u&(P<dpXD3sFpg-l4J*CuR6+$0rQoE`BK(2
z35%RRI!{WtL^QcFK2#igBUY0*pK(dDyURUXd}R$8oA|e)gSCrK`ggbW(e;?)k*o7s
zX_*H$)RzXgV8|dyHIJtrY?X}Dyqi`uReIFMIg>5GasL6j`yTUWcgq;>QQHK2$&8Sv
zB%eY3WsNYJ`GWNV{3)9|LJJgMCx+SmT@Ss%L-A@V^$o5A9$;(g>(#rhj3|UE&ZBXS
zkhH{EOC>S+#@ZE!Zu3ux*@m96?VSdqS=HaOv|`3FrZj24SzU0~*Q6zNy7aUWgLV4d
zwT&(id-Tc@ZoyC|<$;CB8``!cU4!_y1L38Lf&@a}OV|z+*O!RMpM(0sVuQptXy)3(
zyyDb$m|9NYKp%{()?BkIH)GK?zkQl%BJqGHm4SkJ=If72#gy*~=8z07M`hW8{yJRW
zaQO%IyV{0eNo!sS-ZCFoB1ZSjh4_W>iJOy?ZOiE`Z=sD~adGp}WKIKJW^3-3Y4Oi*
zIwJ#SQmm&^EyYvuHNK}#n7birk%g;EhjG1gqNd#oM2q}}JT_0~g}zn8Y^5}#fv!x9
zG;7M6XXa0&c=%Pxj#GU+FyH`=&>U|YVovIA`28oT`oiuQi@D7;0SbF8I;Ls!25o0O
z4q@0h+3VuYzJp|}IWc;=a3ItulW&~omUh!c18H0px7lrpTGE+5=!k6u#0DWv2KODO
zKXrVv8$WPYg+tLr_{!k6_w4?KMl!4cmK!&EVw*-|;45fltf!Ht5|yk@lxs+=#nb|e
zO<f97!c868Cu5hePwd@YI4}wxU)ry8cQCwqa9R2)$<7lZ8wC3zJc=5<<DrOItU_nY
z>}djt#pah)x$&u!o`}^HnW|&0h=pdmA8nPD$qL?fsNX#Fn1=(tRi^^HRpI>H97n@k
z@%DCA*S5pnOui#kK@#1)s<S4B_mM8W)2%_p2Fwm^up(HpS3<V6bF+Q!#n12;S53*y
zf>FiJSXHm*)A*ce>nzI5{n$VeuM%Z1a%pSZtCXH-=g4*RQ2FMjMNXw!KIIeCzn&&A
ztF0u3U2sX<1M$2;SM%H`p%Uw=yHD@;+%8H9FCCq%j`KAYc0oDgZ+RUOlCMt6b4p3p
zhMl+O&!K}Vcc-l>%+zzUbU0?Gq|Lo~A4fK5MK8~W<?MDcuK=`(h3iGWx?7xnc&FMY
zqu*?V6FJAXjRGNA*Hd4Hq?>JhI4*Fn<}`_zPZXO_>SHvywtY<9svc8W1`c2l<M`}c
z!D<C;&x7HB(Rl7oKj%{wn!PD5O&+_G9or?Jb;(N#S(;UIR(n2PGT<f+B$WHaVs}Iz
z8aT24^J?RmXHboW+>~3=`Cjkvgjm#RqUdS;(&o8>7}skBelf34Iq#<Y&*cwJPQ|}}
zVV_vt%3n^rynOW@TqHi{c7w&9vnAV+e3r&slE<mhB%EnMCuge7*%+YraZloS<a3~X
zV4{JPAXHr|rkLymvgUN2to0#TVy@yvk^a=y_{5j<Z@x!@f6&~+bX%p6{+XP52wK^E
z*;anieeLS2yj!k?&6YE%Yfib4acU(AiJS;-%3ZL7li2+Pb*Hsg-%?cXy1ea@?pclT
z+lg=N;N>ia1BSInM5O$Yx6Ozr<j6wGPh6HMcU&ylf=_CiYQrt*9<49*w{<hWW<`k}
zGD~;PsW*M@7Fr8?nz(SQR~hjs4K{IlF>@%oYYCG@<lLvntgts$__<5hQ{WQ`KeF#g
zB!&F-XwEf<NL_YhdrW9_r>HMOg1NO%Z|y9FyyUNrZ_%qlIk8IdbP}wXY#XiA6wHnt
zLz58j67jpkQnDR8=Z-7-B9iy$96vG1{@c#{_pZ$x)6=)yKg5GZgqSL=)wJha-$?4z
z5};U!-+V-B%q$rz>yr#`mf&_6H0rh%6B_TiMeL`(@+O?KPG(6I+TGqVvaJpM!PjLg
zzUQ>@*x*A2F>{L=n)ETvL(K1T$IpDtCbA4#PMgn;Sv_62C1!5+66Xpf_JdABu?k&{
ztv3|8n}2M1k3f^~->#v5M7|e5zrmr%<0wy@`p0m_^~Z2Ve{dEow047)j~*24ekjH@
zVsWZQZnV)yMKE++X^)a&s+=-=R$L~WA()t+IMLidh(1@~vF34#82m{{JWM)kFbwxv
z2H}yru7^x$1?wumU^Ul(@MSO7tWUdJk`J<?Y6@8jO(AwHJ%F|7ohICN@QV3K9YF57
zOut8-0ik@Xb-1NwR+_9YR?N{m2rRm&R1HyxdGRTaqyq7<33)a?C|v;Vgox;ly42eb
zV;5v_058<nqf=`|H$piR;+E7@kNPBShA9=LQ?Z-ujG>e%o6}Wwf$70sPQo-KYL2J(
z%ZA)|PBI3?_BfFz)3IX+c0vAHr(!#eOQijBHjOz^Ll1AW6e2MX5^~qn_tt@-DZP@&
zQt^TyZ+)T9GH6om6HIE>2-3bh>O!p&|1tA=V{+_YiJH0EpNGLGA705!bE)O_>Xl7m
zkD?DJt!tUnpC8dJk}z&acoG-AgHrfTPgGPuQm&&P_TuX4NTns;($0rGpx{ZenP;y)
z%1Z$Ay|0PuKYIJe5RYE7C1+p{WrZ0{7*IFoHV8pfc&}o%yd`5j@~t1RjH`WfFx(XX
z8r<|j>rplUR_|V6jU9YyE#<xo;f8tG!tj>XACn44UdCMVLxaJ)gfhdtT)QOw4lz3E
zs21jOcb@IeD!=?O$aI=B!IgY+qxLdw<s1P@`9=3ncBWkWmBwMjatY&RNhkpt6;X0d
zGa)JlFVwVEC>j=P5q<mRqv>@~rmEUT;bJN^RAVB`z9-nyn?>171Wp4Wp%<QoBKZ@i
z{3=EIePaue(!(#uRpOEz)5Uh}eIN3VbW@BAPNT4pnZXWdQyee7ooyJs)Fb`0Ij;;C
zxP?x)OtZ8?oj2=U_k9*N^4?<YGCmDeYN}FZ)=S}*oIWuOrgbf)k96jbttCqg1N;p0
zlM7F6b4o9yz8j5#_zI@=%k&EUaA2YPrvK6W_1<6eR~%T^@Zfug(*D#e){*I>d7)H)
zloZGVG`a*J6<1z529Y+dg+CeRS-9jkf}Gk9JZ$i|Hkw#*_}cjIDEIUfl|VTLrSm<t
z=ha7`LQ)%q`Th=>mJ4mhyzk7%epDf_K0wbSNR;|}fHIO6<z(v8fmavx9rL0!s3xSN
zY-swqVy{&1?yc`ah^TJ1fX`Qtin%Rsbo0kr<@1_IZEh}i_ne*8=IP|0hP~#up(~k6
zB~FEwNsYN@o9DC%zh!by<aF?`VM>!6!H$@>Eu=qt={tNQApsI<Vo38-P4;7lWF&Uy
zO=tH{7`0X;tHz1zlv$7H_L@{Hx7(1IpjrqyH`XyX;I1r4aH0!uin@&+E1wEkbCgHQ
z!?Xom5fARLe)wkCs&lkA3H;*|#U&Gj@7v%#a}L#SNDs)K`5^>YnyjSe%dl&IrUGp)
zi}8K>Y0P0x@k$3e?E9k^T06p8J1Rsp(w{0|&<_@Bu5QO!628}#GcW!1gG7sAKs?)g
z4pGa9k?-_1baC52vpX+w3gV4`C@CU^f1OyizY@!yQ`9Lzd#N8OSNR!&JvaZJiL_kd
zkX%>4P_8z0k>{@DW)IV~Y3l6BTCE<81P<`i7svP#h!z3!EzJo=C|i!ZPc$2nNjPu~
zOsBU}&+o1qe&}WtZ7on<fJ~g0CmUYFprxVHhLJgQThl5%)p3=S6AgHL3=S;BQEaW0
z8b=~MtH+}hpt(k)K1dp(K@)i4l`QRI1qYINW4W4xLLG7tPaqyPiRV$9^J}&EAQv{s
zU&)RKjYb2?X+?Khi=UmZfEsnrv_QuYXOe&JcVyY_<62Yrew!AlI?hR$KU?C})jnpp
z-;_xN$lQA@zNW}LfWBPb=;%-pdfKRcLzAAtsK?55^}yD>0d(MC#N;xOknHM5KH`v7
zlVqN}Y_+G8H<(l<C%2G@DQP;H?wt<Q>!`R_KoE&&oZFkm{3}XrU5nt{ejo1!?djd+
zYiy_KL9tY?VNwgOw~7vN{zI?2A_7YP6w7dyzEzz&95)!b=bX<|jR;;RRXP0@%XYu~
zqteXOeR08@bmzCyOj52!Rq@1reAhK&alS=iRVd>U*9Z<we9O4PFA@-JlJenhc~{m>
z@sZ&>i#FY!);yiaZXos7*Q+!i!|<Oo7a?>KwqC}D$TlFExUrVTE}6FKDyZQew#bUu
z>Gt?89PF1)J--KwwH!i&ksI1eh=FTFW#tdVlR*DX$v9Ue?;;v!Bv5Jj7s?Hg%z|XO
z5I?#XTj7?XqkCF2_*fYqNW;nYz)eT0j-)eSlJTtFeuwy<^$Hc!ZMULZ?ef=(5!cR9
z?p^b8?YidCy|Oz?(d}P`lY|R^-I?o8&KQ?h5$vGHyTebe=E{a=z8iH9%P*Y9MlM!g
z&n?6dDi5EeG>a+L3s)qVdY?<LGpVe8sklRiVv{aUE}rgfJorari@wvJ4Kr(b>icgN
zWJG_A=qlGChVD0zMHu!*_3JxF{zH0*8YU|y*G~U?&IsEm(?4(G#fpIg-MAC577N7m
z#pENV>tA*zBW+8eUR{L3n%*6G1E&yDql=*4Av~PTt%7-l$!`@|@&sXKQ98<qhePct
zE+PXxdp!0fRbKmC>77gaCj5F$A?v~$jLtFWCz2l)Y1mMl`)+;DZ(l)v1V18n_4}Df
zYQce?0u+>G(wlZTuv-S}dqj1#bKYZ4oRMgA@KvKAf#EB$SlsOovwP?(pNrL$Gr5V&
z95%+7bnGz`HD}^caiQvubH53esHO8C3U^Lli0O&6l^&dKC{EdAfR02CKj^xxcv(#t
z9UoEJ+EVA}5yto(d$5!jJ|FY2II-#svkSF$Je=u6_ODUPjr0@f+PT8)PeHHzR!Uj&
ziGsIImMUL#Wi(m5^}}{@u!SkarM`1q(#0%Nc2E_xA+HQfI?xE>D+MeQ_q;Ion9Mmx
zdg1bjK(f%0kx!4L@~!_I5kWU`<yh2<q0?F6$zWdlm0IB~H~ud!*X8tBgN6^Eoz9X3
z#;Uah%XIlThLBoeaRO-hhH=2=e)jVuyO-%Qiq>Xc9(;r9?~uc5fLP5j^QHa07w&g0
z=$s-phN6|)PZ%POwpp|y)-^a9iHf7&p3uyXedY3~b!>_x9vg1T@J*1x^7Xaadb#6x
z?Y0olWMq@l7nk6Aj7yfD6LI4D85cI(!q1dpSGPcmK5uL8*@Y(VB(L`_n!KUT64x@S
z&Uq;`HAn(95mAN2dlo<4T_G#b+D8^f_Mxm-3b79g_EN?&sn$Mz;DETID~j*6Zyjws
zApeK0-bjr_V)9G3IKv?gm$^Es=%R*JC~P*Q#f}qLULr|B6w>sw@|mK8#t2bH&Cls&
z=a33Kj`2NJ#0<JxDWxn%(+f156L~N6t$!6#^zF?hWbewAnr+8%%UCYcyB5c>Ha7T!
zaSj3XS8u}9Ga}c|*m}ipYi-@qpJSy~84rw0=D7Y~!>uJQ<4K<br>|T1dq38Xr+ueH
z(-<&nLh(;~*ilk0`rrT~ZZsTt=?OUzWkVS(KmmcqN=!yAK97}r;>og3unK#?N%*++
zzMZ}NQbm2IK)LT7W!3Y?B8_tb_8<z~Zg8mX_RATOXv}%NLid?kethtCdu>>YCzFSu
zq{WQ;_^sG}-t;Mfy3)k%Xw96r>kkgk=@GH<Mm@^ib-~EK2PApSnxY2fDF&f|-E_zp
zl6h|j8ZK|n=5MR+=6wIuvUhd9_gLJ(jLWi8I5}Hh^7hC1kl+WGL}Xcqrn?f4=%`Xp
z1?$btsz&>2ipzYJnpG`1i=jMM9zPUW!@13bJ4)T|gHsjWI&hCQf@hM@Yi^fjI*r5$
z#F8D7YUZk;s@GdJ&5BCay0;DPGi9o2Z$Xs0^4=4+roK&`(U%s>V_%E%Ico%L%N@N?
z0cqB;q526Usq~qJn%85=)f00IhPORcktEZ;MU+f6V~0+T4-}by;KBj1fOfCid7^Zs
zAmDi0+PSyKIzJJtZFm*$ojRbc?PqYZKiPT>3W9DD7C{yVq+oncau*P@mjF&s10q#O
z%YVyb$16@<Ix{(~Ki&2+Mqg!pwxo<-5sR~vjN$FF5M9*lYXy-GFS4ucc<*Ng^>K5F
z%0g__Jhe5&*_Afi8!G6^3C=AwyF2SH3kL}=;DDw4OKzdZLEI@iydQP$=W}2OEO=ph
z0jb#7uEam)U-fE#_j1=V@Ue0}>g_kMT|3^N)hi>}ny5{f(53+INEC7tV1=585jZP`
z>4BNja{^S+a-V8^+$X*8)7=!#`<`+mCE+&t`IU#gJrB>F_@h4OnYjcXP5N%ShUJM>
zXFRSt*7`-YHTN|{w-#!UR;`D7%9A#pZcwu`nyCjveCfoG%^!F23ZgnHZJAH7Scul7
zqxAOaL3D)v{t*G6j@Q*%iu)=mc4lO}%q>1uA6=gBsj!BFnHwY4emK<1MFj9U*)Y%#
z=((Cqn3^rdNDrWWEPNiLrL|iN);16t^MeBrsZpOZa<nim@F{1SqU-fWLxWmO(}eIy
zK+ekotr~hckygMoB5ou`#RziYcYAs_-*vAFQGW$!eYd|6KhMk=sb=U$WnCR(cpgZx
zXxfcv-!bMg<;0iW`YvA1G*8UI0aOFtuex%<TosdBq`CPT5t?;SdHMHB5u1;Koyk~6
zW5f8m1yl8F&3XwE_J68cO65>4C8v!^SnFY&HT~SNVe1+ts=dtk*X)-ooPne1cZ74k
zr|gfm+<Kp~v*)``%+1(0->E)SO|r}rPI1UvU~W`k9-Ib;`FF_?P=Xi3Qtx^8bZBm5
zx6D|>0ko@0C4TMiwrdEw(m@o{${y5xlMD9Y)Tk!QV~=H1Yc@MRb@sF|1*YOjt7S8l
zl+M^se`>HTxP`$WdFMayFQ%v2KUwG*JneCwnMyvI3lW~Hj}%^L6!Yvg1&}76jU`w5
z!U5{j=F^>7+cd$ws#?_<YriziVb4Uxck;1{Djdf@VrtH{>S`>xC-;SC{K-W&!ZLK3
za+zX%AO>IKmS<Fcqpj){*uoES^D@EANA9fqomt9{@&vxW@J+<67i~AQOm7-})n79`
z(KkAn8{g<kpsvV-=(a-l_s0TtzgK~u?DF!u-l|&Xy{=g*cw9$g8un5;g0B?-?(=mp
z<uo9wM9&4W?UwxuW@Tyzg%Nv-eU;H_HpEn+i>Rz16Th;vrx6P?HGGPUF<Lg%TbPwx
z88N%SyI}5fAhs5M`1bo+LZpYoSs_*x@;2IA)!lX*iAJQV6AAfJp#g;AJr=&{$5bOM
zfARI9TU2@aw`rSjs_XO0g-0XC1Ns;^EeB+$z-wohv5~d-m{AbV^rz-io>S4(PoHiY
zw-!Dpws4MCqtgd$w?B^&(HEh$tEHR-P((=<nncL@R!>g_Z};qNi?2KuRH}DoQ$UFc
zaNr%d;bc=+QoP1?u$o$O=i6j~+_l6T9^o~=zN+}vw$4Y3`0~(VXvUy{$AWf&5KF1s
zxgX{3s%G_}R*EI@s?%2O0PpcxLi+l&MJ%g$<qY9OI-a5T$XX&RD`@9i@z};j12q4J
zKu6r#mcEADwlL6c`7x)LeeR6?Z1<DeecXBe%f;x~pDNic{f)3~rGG|s;d8waA+V%R
zXtUTea7-K7<K`ftXCx|_n&2-5R0n8G8xtHOh(7DYyp&&$f$xIkI%xWp5aLFNG<C%7
z`iL#)haucN2o`VdRb3-G_ZY<<%hy=D=59$RePd8X>NlT_=&-RPddUR28!_8N7mNJE
zoF1F96|-J==|odFhg3i&7LJKu8NW<!(k<FLU$F5<mhl?~S1?l}cb$PlBbtzUSPS#&
zzn?B#?azQzgxL$L;>XjC4ju<~G?-V+m*}c|DLp4FOR|#1dxTTg%a8PB(F|ogKS95#
zcJ%7_y3v*MJau+L8{zhW=jYp!|9adL0{z3#5>yt(MW`LBX&`yM`;1NjG|gS}IQ!!Z
zSbw?G#A{KeQ$Zd#Uk_O0+32W>|NkrUlu6&8G-lxGZ>i)Ngo=5}7KMhsyyTa|8{iH!
zJ4}sD#j{i2u-`>YpB~4JSWC6TFhb8Va3Djh8i<iVD&)o_{`Xi!lHqm^X%plX2jv;v
zrtDG}991WDR3pAYKuclRG@MwOEW&X(k*xKu+)C>39X3g;W(AGumc~m+8&}VYo}&Fq
zy$|K?aQ4SAecku(T@vQVswbyJn4(<#H`)Gx%}@w5W2^ZZ)lax4#5O5mLY-f~=FFn0
z)+D^v-n74VZ(yGPLo47;B*ap(0O|5|@bx~Y*iaEG<sPk>Hp-W2YGE6-7|~Ytp;njy
ziXJt;7UPJ~)aj^Me+B|#(5M{QY}7m)4vuR5rZLz{ldoHRuVX;VyTXf8<gO_}*FZ-d
zfi93e<ZpY79pgAf&U9MTba}a7mVZOrSS#(^ml(4>pK;&^yHy;F*zND=Xsu|`vDfpd
z7_`X|MJ3hOy@`-sOGG^v$BfXnH_X_uJ)N4-EY~jl89H`r4bxCm4<+908|V6lKEH>t
z{7hw)^1XI%u}Cd$FUFGcOQ*1x>VHSw2Z;5-#4WNL=!P6|`$8vMRU<-8GNXGxL2UJq
zB$_75)hWlYI#i&$_k2@dsgvtr1}EVE|1kHSVNG`1x^S?73WA{0i_$yNI|xdR6hT00
z5JYO|y+uWlj)W#%q)7=#4Lwq&NDCzpnsn*CCdBjTyVh=JueJ9+=exe^{L7D&Cv(m*
z#(j@*k1;7B_1f&ts`l;;GmCqgcnoHkaOE`caeiNJY0{BQTn*8aR{<bz1NNfINa**E
znMCa5Qa}Fnw{Ink^#KNX-p#e^o#yHkRj<_+^wMhTssQNm&->M<p&C+7QE>lJi$MG_
z@Wm9<lOOABdb_<`bWRju!MWiJrtG7F;==i6X~hXVqAC1cmL3gD61Cmk-JRNgeVTe2
z9r7&6c$RgyX7AzV8OV;hvz$SJ*(z!RJ?@}rD|Ja=slF1VVIU|Fa{JOw?hm(DUvrYQ
zflPyjX>%zS;#TSvWY}!svz^}*J`i0pFaao4Og%4GsnU*U*xl;%FQ%xN!*BslxR3db
z=q620{rwIqkj`)N#Naw-I0E5Z{m1Ux=;l0+Lcy%SV<SyHqts=r?vtq7*T&kpztMuo
z+|X}w<BetsET|yWku3m~Kz20in+D#+R0lo>qW&+CUA&vQs`#ZX>92cI%p(ZEOJQc1
ze}R4*2b0ab0Gm!lZ8;JxWP;s&ht+dDfUdE{Jt2Dtx|>UWl_bGJ7VQR|S*+D)K%M~a
zFE~loKyL~{p`OJpx3QZaz-~~UXRFCI?CwMhwIm3MJnlVY!Qrx=zTUDH1lUE~?Cn+T
zn;R`&&pvlE3NljEm_~1ah|(inW*EtA_WaLMgO4S}NB@G@TYUdFQNoZ}u!352B2DXY
zPFC<yz)$)|(!H<lB4;i#f4o7i?VoB^QDI`gG--fo&a)^GO&py~4w5}$kMB&XV6Ymi
z{~*!Nu8d4tGq2hF{>C(TXLCU)^D0xH<aYp9%oYS4Oj_jTi6MfgWuce??KY!M>Z`>a
zMWtCmN1t!7b8S*jvOXT<hrJlDUH{4m_fMsfX>I`Iaya|d{I4Te&2=526Ly)J?2pr=
zvf&45=ji+2FByGl&p9r`^Es249b8=1Pz9GA9w(gV_&|eZcQlV=X5w<E#Anh99CT-{
z*u?Na9xJoiM#p<o-<D>mxTnVYj{3kU`S0OA&4tRYMrLyDNJe0~x+N}G#k7`LDqOmi
zZ}PqHWNm&O8Yn6dxodVyA`hqF6x6Fpz5eC)lGl(^+RZlEWbz;h6n7U1>8tk?CYi?W
zd$T(016!Mes2L9rb6^K4W@kU2{_}u3`fq3w2Tz{k(JFxSWV~<70R`%Hb42vfKE2vf
zTBJTzQF`fkUuj3n;tirpS^CN==7EF&mtgEgJqHZLCn(_f?*A~*s|Q*?bFp=_C(rO>
zG;~>hP!pir^R3i$qao%#=(V;Q32WN2^Ckj;)Iy-r@W&iHxo8+>h7*BUr7z8LbPFhO
zxL#w-7R?3(`l!pXFN>XTQCN~l%PlA7H32BCG58!_4>;T&8E?egxu{+>>Ydt1s;M#j
zIYpuBpHw}GHn&^6A?olr;&GZ5<ToUibHqNFZ7bBtoo-s=54Mi1jR3QL)>~pG?zSRj
zjE8F!F#F1OM8XZL4+xEYHy0W_+}vFG%aTl!W;QCZh2%<?G1Mrw_kK1l@&gwN5+{=j
zPO<0S-lI<DYv!^Dxd!0uDd+wd0fVQSM;3YFXNrPe`kPzq<?0->1rlq!0`(WLY-A4X
zRoATL4c<+-uE(cKsQRt{KIBkDV3XXLu_;oC<Nw0#JcQ9q$VV8T$Lg!cC4?|-T=@m!
z;s01aNz9wODCm0c9`R+;3~}~DL;USzbMvYOQXO-{X7j_}p3zxOE#J}b_{Fvkitjq=
zD$^9DP@f2ua$bFO`;Vj+E|y1{k)|+6*e{Tu<DZ4LIxlF099$h$+_7c(1)?pm_W@vR
z#9v`%^8r9(if>U`05k?_V2!lf9+YV>SA9kMAx8Ca+Dqc+&eFM`#=a+BRkH;=8dp{a
z7TQuFvMPD~h$zyPy^2UJ`vPW}j(x5|L}T5^Z-0Ll0t5;l=a8jjA&$`|#UJPAXK=1)
zr}!teBb_Pk&ZWA!{m(1!zUtpjz%FocanVVyi1TQ0-8ujylrTi+>7+K!57xr=UoMS!
ze=6L+IQUv`X(`tHZ9!=<MDXl**rL_k7pdLUSW{m+>|(sBVfWt5l;1KQ{>W<5p8b%W
z%2l36MU#9N_HL>4<p{PC_HL!LfvVu2KAji`d%FG*0CNaPl76huWG~^$xlnt(Wn~>Y
z2fdYq^<7R<5jCY|SH*|o?peC3)5_Tn-$_b@H_u{RkGH1Bnjt%n6st}g39n=d2xLH4
z-@+{u^WVJVuKh6}jiWjsu%ZR4YQ^fRBF8GL4R8uV!{4KkJ^enk<)QmDcZdiGcWoZ-
zs%`ft>>1m@4)C`vFpvhwnyP!Qcg<JAM3^eEp@#DAvo=%ipi5NlQqs3|QW|P%YNjP>
z2rm0m_FA0rgy!Au1xNL5lT9ZV<Oj4O|CGsx#3xJMoltK<iaRf_u%7`gcztDmGT>;C
zKHjh%*RVcYcjLd!a(Mrh<zRLd5;>Dy@)hE}q0p>A#}(|>^-c|!3Lu#FYxEO)XzkbJ
z&H$hQhUnI`7Y8V1=~CrF?~6v<<KeBwzKAz2mE+0_&PE#z8fIjS&&i2y1)MdU;tw%F
zhyEdd+}>RCjLNh5^}E*cDOIEN(#PAj&98FX(rc+0f_@0E5rGz03iEpTIPx>itIY$}
z4AwlP&CO4JhEY~E2)DVR5{q!LCatVZDrdgWokX&+N{fuao`3BI>mMF+1t&xa)F~1>
zqMPIV$1qU}=y*tu%VWlfk5+exuHSK0m;1Ck6Cde(%+abfjcOxY*;H(=*G{(gaCFSG
zxKfI7YBi0t2U9$1Drb97!Sq$$zM4lZu}QH^1fH5K;y}pSQBw>*C=_c55<W<)bacWk
zKol^p&LZHTWVe1|cWqbERF{lu&Lbcb5pwR#o)6%Zv#qBt48{@&;v&!9I6voCZ0|Mf
zF1!S>a96k70THpWG3wS0JL9&`A!Qan{vJD_3#8OlnS=Jn-M4TdSGJ(<KRY=;VI9Av
zA7h|Sk|`}NC<5GxTn3~)%p=a1w!M!1Oq-9^YrmXyw$AjAp_yd%q)KMLzjHduPK-4}
zPWUjsB)XIyL$0F#pM(e2lhzh--)e*5?dH)7m)@LzntZM)b2)Znl7`9mB3uWET1FVy
zItnT;Hcp?WVQ&9BK@nn#8Wi+mlRGoaUe+Mv4=ny^{rCe0#2s=m_7TN1G+fUdR@Uf}
zvG%))mY^^^S9W%3E$c)y_7^DX@gL9iwqP0v`wuo1=z*RK?LuI&q=2bu9n{G%U`I@X
z{$ywV-}&6bk<3ml&cSihDJ7aL2}8dzLxCCvcS_&YPDT2>;5@!Vk;16I)h7;FUIzuL
z0L6j_r}7~G^Ui3o{l-{?d9m;!R}abHHBhlc;_cic_(0M003ne!Mw0#YB&tn}2>GuQ
zI<D0#8UWFl54Jc$^lF!s0JNqYVSpaxkJkho=b%DLUz*39@hO561_q_KNu9m)zEUX|
zOp!9Taelac*hhV(;MKwN)HST#!`DC((HD{V=7#X=doX(1Fahl>M{Ah|I+tA4sBGnC
zZ6%V=>+7chn8LTM8X6i|@*kFkb=_hM@QSA}@bv<9!Qh_lx`F$|Fhxz_THoS+*+U_|
z&K@^i_;A7D<Q~4Ns$Hj=E>{IEMVV*g_I{l4g8bDxMu`<JXP5`G(|PAULgLj;t5u;4
z-~ekmPNM`_{7343Nd*}p*!Ra!NFs`Z@GMCFp*cB8N}Nl3$Tfp!Pv8&ISC)*VJ4IYI
zS{NS>UQ0eY`huOP;wL!8IlsU4RZ)~|MPa;CR+k{4h`6zt&8}z@qW#*q1U{FSGWww*
z6m)qtBx=t;#K5sz4Ru=KFQLWx`nI!rMq;+eEu$-!B2v0pBo+n_%$|FFM%AbB0E+C=
zwpsPc)a~c`TDQQrY%+50XQwEwp~)N5gIuMGY7l<oX+N9D3K4xx>yvetzTH(;dk_-A
zgX{B)@i!Yq)eLv+Rz;W0F}rCET}>JO%z$KSa!U`sE!>E(gsPZFY4Kt&`dwbExcVa;
z>=bn`I+7^1QM836<zP9v2^kP<dEkE<RxfrvNFIe<oFE$CryVl#R_`?aR)8sE)QfE_
zrBLRn8t2cjZm4zRlO|#dyT?mgs=+TjxErF)yblp-=lEn(+{f8xVb7M5gACPpki{n`
zkw|oZI;5A}cRMxVK<hLZwWv_@K=DhqF>4HX?7A!NZo729!BgtfT3j23`Cum5-0OE+
zhxwVEM6VV&?K1f)^*p-jowM?VTcD?}dT&m7p6LfEA^^oH>c-kH5CVxG9LiDMcBMKr
zhkn@;c{v^xwMA=nb>PxP4C>P=Y`u4zyas}S)dtwtP}tsTU49xd=~ofF;=P?-#v%#n
za(h^XSG;3FU{(28byUdiaXY2v1<!@ji#LeoBCX&1J?@aqAvBl~Kd{diGkUj4Lv`g7
zS&8LE5?{va_`~h>O+5kwz%SHX{J)1ItdcsrS{Sb8CoWhGWPDrdl=|TTFubDb#pJ^V
z&Z9_|Y=sg5g`Q{^C>4wR0+rwoV_j}WTgH`4UKj7IAQ-g)!t>1T`S1;060n%?K(3u5
z@0Lo;vT|ML=0^!d#>W*-GP#c<u>DZ5&aAT`U-jirG0GP*I{eeq-O_DQCKAgQki3qd
zn^dIW7c~)_FU8^~dnGgKD&B%EiPEhXw`7N_r<)X6PTcG98^$t0@qKbuQ`{Aa@856Y
zeo#&>uY@FQ##BoVr(q6|%N&U00su}>WZzV>W%}em+)b-q9$T3lR0Nh{_sIIOz}2K@
zu@?*5gDeY-p2N!8UxQ`sVhX7-wl#_kL3pA@AvVTz7D#KhY>VRh3nQ#3@0)*}(nKP=
z`<5(|eP~GYwWn|M{$-Y6?d5oHBf)eg4esJVEF`i*O0gUa3`yC!tJs-?{Wh=#Tl5N2
zE%OB?7q|jrcB3Pq$~fhnz0xc{c|^zI+<q=HF##G*{FO<Y{Lw(|^UNDRd|V@n#ZZc(
z5!EDDvEKfk_5`gX88i}YHZ|EX54llnFDY>S6si=PNzKD9z;f}$BSDaCeaPgcrFTMA
zV67m}>SkQ=(LSe`HJ@tFcH;RFzO*SYVlzlgLU`T7k-!d>@O;BjzxL))%r<Wg#F>_Y
zBX*i@O2(RVly;xn*u2`MPH|KK7r!w-zxb(2XUFlt9&XNAXw6^$e1;Q~J7U6D_#;JV
z!itnsU82HW<JryhP};5^Bb}+K6`DuU1Zc2ESv$%`Cq>q7I(aG;KXli0RnQqazbh1G
zP?eSxim&<jZGiL^oI3VZB_|DtX<BLXb=|YxO{!stxqwUa+IeFKy>McVjZY5&7i?tF
ztm56A%0PQq2T)Hci8L8ys%bA}PBouIQz0Z73H;ku)E1L)yaS*m<}bw}r?S?P&byD?
z+}t0VaGi*siG2?p3sfuc{e}~SP&#-BG@ZPPH1B>#wA(d3i>`Pb^(iAVk=Oob)#}ef
zRW&?ECI>Jd<Q<Uw`#Pc6SqzsC2d)u~LAK7^MUCR6i%lSAQv(VaF7kLuz5f0+g2f0Z
zQ+2rR6-nVGYsQ@gAthymq%Dss1zIQN93Spo>404wQcj18Lj_JD_?}~<`gD_r>nvf1
z+nWqvTA^I;5!yCZP5mX(QFW>U*%(*f+p{umk=~}V!4#0Dpw1h4B#wDS(7>!y&R?Jl
zN<KlibZ3y^_y=xw^^nPYCEvlWjTd{b3wz(v-C5k~B6*cg!mTW50)5`>aE32C5fWBS
zc%JjA0i_M}W@LLA?#cE(wb_*nOO-d7yZgmvgqBvagE8Vct?X$EG4X7@4&7J1+<4cD
z`em5c=JCYguvQy9OGq{*71E4EP1~-iIHV%hzvX?K5Av3O^uSRrUK}UJUnyp7r+tN&
zL)(Y(#}02(x^!Ai_{8x9(xq;kL-U^Yu2ChP;r!-{psh0Rq1EH0VD{bH)fs)?YtZ2?
zkM`I&hiffA-g##sYq@8ypGT)H47b?R*>#u=Jvt@N<5mKz_%^}H;zh;#VD0oTnqbc;
z!VbM7u*>RknMYdfd^bcxFcJN!QJ>P(-Se(AJbh6xpGB$W`AYm;O9}brhlRSdFb`K*
zVV@Cuz|D=h37SUXd4vTR7q(qZI=Mt$VfHYYM*AncCGrhB<Kj*7;V4GomfNDQYa-9a
z`}3qGRa{PFD1XwARTgRaFkvFZYq5M91>sh8?o4rXU)qs9QXAy{Zexg6UaG_z<Z|+w
zU13YVu)PCxqO>sBYS?O5p@BJX5o@L)3aM1?l~uiW^YyiG{fuu?a@Ptpujy#rtUTqM
zZeDrjuB5uf_XK+?dB)ipIkEC3$l*GyJ@T1z{8dEO6HX&f-vns9Gf2TbelHy=@6qnA
zqN0&kWO#}^<C@IQ$!4}C<;y?wlROizBf)yHA!e|!R--b0zJjz#rK9{8mX`Yl=14N@
zJ5;w;m}un4)2-=#meII$&Z6-FJq22|0rlWBSj2OB`I+kC)%WU|d}Ht}s5U7p!j)jc
zpVsWO-OG~T_9`}TH@KfBdMtHz$+n;?<|zkPPnFT#vFbiec?Ha4boIHv6lbcVPsI9T
zds-2wG+DOl6}L{QJ9~}_DtYKp$gbmoxTj@ZV&eQJ0TRz`;akuScC<8tjI;!}KaK2G
zuwL#G@jCzo-#TR*kF_Q5s+>bnI-I==6A;@38;+E291=^ow;#u-PCWV6u-w(NJtZO&
zk<!6E&B}G<b4^l56F@N%&(>td5C}&eC&3(@%BPU3yYzaSds|Z%KC8Aa)Giv9=g{;r
zIC8nHZ$rXHxhHayA_xsaBj|c9y;hB?ZoF2R#%D1}j}{R~MLRX|d+75;cq^AQnQ&Z7
z$*Fa3fB==t^4Lsx*}6W&TC3X_aA|#<D6uEQTh-uR0l{?w6~gb$@@i@F=A$&jEA4M}
zx1`yhJ1gWYEu<yfWoWSftrP=>%wG=-i{|bH^Gyph#3CD;ns$jJFZgD)OB~TxIsDAu
z%}ZnmP<>MK#F{%8+IaC;TiT+Zr(3Mb2$03NocruGhqp2onKd~9;5C)O)~B#9zm2h(
z4TGu@;@=Yd5$8or$=VKCv{}=jRJ<E1A|-YXckbrPW9caC7C$KyIggS?Km{>#1@mZz
zvRMajYM(5tUFN2vXu(6Lttf1W$RkZ;|4Yk{-=Qr6EsP`XEm#e^?Gw{|ouy@N^xJC9
zBc1JEAif-G#aky~KaWM2VETecO3<uR{GHqJY-L~Yg?qr2%;~bM1^>Z)#;6}r06RLl
zs~A!JaBPUbnr~AEt)5f6x4A`o!<NM^@W*E>`WK+x*3uvc`&Nl#RB5Pdew~*QY$@AK
z(CgxYqZVbO={%9G>zCUJ+B4R4l%{bg(q87x*rTHJ^q@nVt^Ht{Um&3qt0|t(0FQRg
zXzkzDPtyvO4pb|H*;yD*nYXa6k+G_Tp}KlU;H<$9$ez2}<JhO+Mw*I|5V+&6g$<6@
z!vt$y)~3^uOTV<0ZSCSI5U5dBQg5?oJR_F8vCd;TE5kjB{4lx1e#jV+`BYYOX59q?
z4vr;U<xOxp9}VC-{sl_JoL(?nBk3GVW%EV0U+AB+<m1k0>=a=|vIWy5$xpIu>L0ls
zk0v+toj~xYxi@oKsv_V3&n;W~v;In-T!&*l-yBoZG}^@ZXlID^Mb^6<NZXTGP{2yL
zHl?l}@(GIg7f5G4562y#M(TsVmK4mhd(&h#3DD~5P2J|)(@8ijkBSMp$`Z{Ox1m${
z?l};>-c+`u4(<WOkb&!DsGGS3aDYtgXEWu6W+(3b-<~vn2{LB0Uj`>n?QKzae0VJh
zC}u48NF}+%^a^OW`YP~A`EunE@eW5o5%L_YeB`&mViE$`WgR!oZFRIAy_z`9%)#({
z@+C!jF1~<4$86`{IVSW^wUpML)#gksLbUT!gT|P>F}gsldCpkFT6HHnFJj{ByK1Z{
z#N>n*O0SSx@tv-Wh3r%OrFB`;0YOJWp2P0aJbU}Kt9iKffb+570tNACM*^ka;obRY
zr|C97#_N=IZuG@`=^?dAirgE*+nG1t2v8>-|L%bcpe@uC;49lN&llYO6u~gIs~F}i
zKm*2kb_vnbF-6Vj8c4h5urp>%fObS*>AhiH${zzSmz4nl@-+aKayXc7PoH@kos$+J
z<7Q0Sgu)vi4y|FXUJnuc__d{(dr|*R%k1~AdlKhp-~J1U>}hWkF`~FKm*#=eRA<J7
zQ@<%s^!Z^EVO>!_5siO5FELg!pKLKQt<}TF)A;_iBuLFf^M=C_<L6EhH+wsv)cYTH
zsTIH)(I4atijg5(rR3TEm_c)a`st?O<fr*WUHT(8plX57#;u#cua_s!2q|d=%$|sX
zQ&?MEesu}z?Tb;G4*H4uh%G64Huw2^C8h4<^H1?PU%oZ_)+zHP^#=SF#EPm9hq!AA
zT-~lV*Te=faz%RWyTF_{lJrGgl%IRa>VNMf9vZD%|EA=YM`8-c+MnbCx&c`~_`+py
zR-zaFx^Lfsf6n;SNpicuQHCK@qHke@)=x#^<=zEye6lw2%)8W{dwQ?!`Kp$VJx?dj
z?CabMv;}Ia%#f$s$4~pv&R$JM+HaFP{LvQR!j|Vf&MduA8mQ%deEF%`Ga$}<DxmP1
zRP!bn`Ui$*NVR216}Jm{1=PgqG*<3%g&|6|oNa0n!2Y&zbP^eDmx(UP>`s!zYi4lw
zxJAK_;jl<Uyd<)#C?Wf@!0q`%Li3~V7@(+r!#?%!{EY(JD3;GLg;h0RLh?q*{eB7y
zJ{bp*2<Y9O1lG-GsmO>x73T5)Mt?$n7?SF;LF0RnBr}P)*<S`_G1O>K+#FXT-kBZX
z;bDJmYEnyl7@5$X=H2N>0`0a`qUCP#f6{YpU1uFO*#Bg~%jVqWjB+)#zcL`l0r{78
zbHmjaOq0jIc7<s`CkSkv^84d!g(`W^fwG=I3`qP?3<G<UnEG>e%HA=#{%v&AVD&C%
zbH!?Mx2-Q4QdyE068JO6dB)l$A%4W2SK4xuF93lu7dTTqxl^b*Fl|%;gPoeg-gESS
z420T$l8g+z&%Z@|p(1?pyEQAHyX2go^>jI5RMD0t$T*;J_U)gJJMCK|*6c{6_Of?Y
z$-1P!YMB>Tgm`sbdAJ4l*wWEG5mqWwZ&=t4Nprxhx!afdyudpy2ZVY^#k(q3B<!mx
z!q)KJ{b6xhynd<Zv=+ZdXss*T_|2_opbvdk4leprCTX=ri_dQKx4c&Qwv-gIr!*rB
zuYz#&*DirV<+MurDB{T?_NMDAU82nviSM{ii@2@%qZebv;=!tzsh%UxQ!Df|#Hwi}
zBJg2N&1J9iM>I%|u*Udh1*Z_MtotN$YU}}|6KxgzCob(K&Dry$TC#eh)qD-|O3~@%
z@(Xb_2EtpX6(SACWHF!e-83cD!&`X;^2%Lwb_Mf->tm{m9Zh;nNk0#7jL~dfQB7zF
zY(RpFD8JNhN#8E9>)7kWc2Az+F!SP?680RQP^G<xOyXnJPI4+_&3t3n6?JHN(Ug=1
zNox5PSFjqSo@AkuTvOO{WV6^lm;#R~O%31;9!?Yx@H!=YF7_%}8~jQCB8Mfyt23Z5
zFUA!^ektHW&=6`Fw*prUBGGqFzlE-e{tcJz>W4%I7OjqtWp1r#*EVFXw>PuZeR*lZ
z8n*piq*9A{@0;vb5MN;9A>(emW|UbSf9WD752cV%|E@A5R!r~2<pet$u5Fs(FiW7~
z=Ge8U!I(UNMjOtxs>_YWy2<XzoDV88-?PdPmr}|7>-3#_A~mz*;b;sbYb{(Hx2fIQ
zzrSI@hG`zkn?Ym@rRl!V2sb<(B)dfyUCU9+mTLCJ8*U;?pvDiM`bCIkL-m?|x6k(c
z?1=ABV5OI@3W1EYRkwdcPO^6sS$|#Mg2@ENZ3qES;6=s)<c9H@AyVIwKJmNmBe)zz
z0O!P$8=C3yEuAvVRWfc4W48*G@=NR-{Fe(I=L@UeV5(*LNTmrKv^6Q=Pv_6E8EFaB
zca`K`YH-CQKC$m~<^j?u`U+3?JgS#X!F{?X@g~D89VTmt*XH8Qllj(n!=Fa?)mm@G
zyj&?@a+K;6jLb+pz?(n{8}hWCL_u=^U=vf{G%}CodW!#z8n91Wg4k+4cG6MlWpP^+
z-A|OB@(B4_oFUcGAdrCq8s?hkloOarlM1W%V2f$^YZz>49#kRbW=H)g5>-Rh%ael4
z7Lmy)T(-Vww>EXS@Ua#hg6M{d;q_o;8I4_Tuk!|a>IuY+FV5s2Z#V~n=c#ep#S&>R
zcGt+{KBe9FBO(a~7hH6C&}T!-qa>Dyb;s2QZk&rv)#(>dPnrmt;*W79dW{XEchFnb
zI+W|PSVM1Ji=Gu-YqH3@ItfC$e|zAfbk<Vz&|f^V?FnlZKfD3;WA<m7Fh5>@p0gSy
zP`36wua;M9F^gy4nvU^|N|F9=zV81i@St>*p}y`@leLq$-g|9z`DiexFy#ZS+^7+>
zjkfF3Tj_5q0~ZTuJN@}OCU=nsDCWJwVQ1zoI3a63g*es+;c)hxiYwwUw;@gcbyu;O
zVp1gMcAD(ow<ShP>sdKS<=X|XeB`O|>K<FtRgFEw?cUb^66x$R#y!@vcSvl862gyu
zI{aIt^MCQM@A3g!q-QP_1k<+^SeBB6Ge3N!w7w?#H~+>9Yc-ySoY4YGnCtO)yTw?`
z-$)^C;5LwI-3ebkzOhDAZUGic!qBj;;=8G-%oU!6#2(~dq6(g0xXnwNvU(0v@6(T!
zH6);NCDx5cvj@fcR26TnM;+^f8RtqFuN+;%<-q!m2iT*|$n&SAc=Dk1sCmp!lL8Xz
zQ-k61kI6ExcB}KlW(0OYm9<Z=^>DceM};A}X5TKwdVj+`36R3A^-eDXIZw#W*T17%
zj=bdp!IW#@dHDdG@>c)+-c=giXH@(u1XYXNxSM;l)@&h~x)IvF&(lt;&&2oZ_XQrm
zlSkw@D~4u8s*B+edV9TKK(_d%*y5RVy<;9upL6uJ@ZBe}F&cCXv7b+Um-DWuZeiVL
zSG-Y*Z4g##O%Zg@G1z%2^uJCP!T(*FD{`Wvqg%x;>j&oNu=KRG`L1AM$;|4v_SD=g
zn~eF{qi*8O5>>Yb?7vSIDFbbQySMy1Hr&?FQdy_eZyWC22Tl1hj=HOrEA%tP1*q;C
zmY%78!O*|m?CZ<r^MHzBv}g3Gpp$fqOh}Gbmn=UWuIh91A%5q9A4uy*k0u|Yewvpz
zj%<lFcqX^|A0NHlOgMh8o*HuH{lh=xZZGS78yzG4=#aKqIT#!)@H@?h7W;v%H)#mI
zHXErv$QQ)X*Vkylv3sp1-hFU3X@vH4&I+zPv?KAn^~c4A&+=calNg(afOb9f7;dX<
z^1E9Oor#1|VA(cu$!mR4@4rJ#ga17-h0l>22wK#@FnwfIjU!9LcQyWyFY}2h3#I>^
zd}&f@OgP2EBv7i&J{C4b_g1Q_px<_eckOQpR%e(nU3@fRy{lb2;3ZbxPe1oH)>5Zl
z5<eTbr#|p;&9vaM7$V0AZ*qR+$&dM2-g<AgUFvGYs!Vxx)viRPn8G6wzFzOOzGiWc
z_q4BiJ-fdZu7lxnXe|K-hzH-q@KPk$D=6YD3jlgd=u4PGapIYqul(*Tw&!Lx7l^t4
zQwFOz$G<CdP6m{yiMt8E-y0z14U8tcY8wvw`3%qvNa*b5z~w^#BtmflmIDL(Ne<NA
zURA(;Gcx9WruYAu{e}>z2B?5Gn>Il7?v%9vm^ul--B@;#e3J>GOaME$2=pb<OS6s3
zr_0_I7f{9NqoJIr#@dEN+mr_beCjRUoo;Y{cbH5rd_3<~jjDn0-)<6M&e_o^3q!4U
z!MHwBxWbxeO+eqr6bNYml;4G!fQBo87eH|t!h&Pfy==}>1SI$qQF{)cuO|R1y!Q))
za|Fht4H9$jGQezF3c3q&hrV{LJz5HW?z-km@<P}D2yru3&%{PvM?d~a23OL(sA42h
zg-q77bxn62OU=oYVZII9H}ksWvk0$e<=V->^p#jerk62yJvho%05NtYA;HkwY62`g
zE4sJkNI#mPw&?ln%TP9ps4`zH@0hQ$hs2<%JV^T6yxXZEg@JXUiz|3CY%%m<{Phc)
z4_<xkmO5t4Y_9UzzhE<3IuyzEK6hbjQWeA|bqD%mf5hYdb#O-<72l}erzoaZbdNi=
zgLn1R7k9T+UlraRC8I1c<hF{|c(!t7$;Nux)RJ$|^B_leFj^ek{<4+bJkMB?cBE!F
zJ-XeNF%#&E@v2=K-8N9(j(+|2q9^ya7@}^b`#=4Mn~|jUmNbU$!l`SdDb7rhvbk$7
z;U2@!zO85k)GU#d&UY72cT1+>UfqGVbTmGzTz_9TaJZaU^@TD&&pV1%J@?c~DCKs=
z$Hj|r{ZgI&mi`8i&!o%+K8)}#CelZ^*+9*&NV%74B=KnYknzP4_K~gSXta?Uc}KWi
z!WZ_%9}9c~`P`d}7blHTwlnB#MG3Wp4^9iRq4y@fu4g6?F*q2-if9hoEWGb3u$zmK
zZ+gchm2*aD-eL5ThO5tzsZvo=j}f(z7u<<rOi#CpA;3O*Q+ml(1r61!CCw;5jJVn<
z4YG`~jY-eaS9^b*Fjyy%Wh2;ZI9)ql*Xd&|)i2sF`d|bYAMa=p7MRWZxiz|%KJ>1u
z5kIl^Jy~FJZdZL_()-zkesRNGQ|c)jQK(1PMUu>O2WVbIGm;5ftaG7*f!~FZy~<Lx
z=mBNRbkt$XdOuGS?5@C-g^|)vF;|9$R2oj>;;0rSOWop6&L}iNPCl4>rwV2t_Si+#
z_F@xbL|{s4_<Qm$!MT?f7Y8M_wp<)UHC#Ua)N7wKf7s4wTTk%3(o3H)-e~$|b@?Na
zqG#F@@(*T~!53nFkmxtuYcw5XPw5|je}h8z3Y~5c@nxbQXFdlcVrlvDhnOGA+})ib
zQ-M=%{Q+k~W4}OS4e!99(K2ce?l_Srg2Pm|K%T7&7n$2IV=w)qB6aKDzA$+1qImwy
zH?h}eO-bJG6ZWak*pkDge^W7%+)XB^GO-c90?MwR?z$vdy2RCQ$I=92&Vmc&M-<Gz
zreeVXUdhf&toM~Xag$an=}rrD(pi4sRCcj#PfwlsKAsGA(}u9Ao)`5tXrpuCh+CYG
zrZS8AubAhoaFyOuunoFhjXrZktvZhl-b^(S@Ekj@0p=c1jHv7?yy0l8f*Tj9#*Nn`
z<31cw8H5TV<CB6*JQ`POF7p%wBb#u>rqwmbsW+#oOBFT6L0emAWG**X^-Q%ZA=7;x
zygjdX5Ayb{HJ(-$%`XaGeX*7s{q2(8F<isGVz#oL&<Gbw4V)S?x4=mtCd=7QDm862
zsJG@NMN&#rJQII`9$CG;8ZXIJRQa05?zDS7O7i>mr<!_*me08W7E6_m9&*e_ZX$I~
zf<Fm`AKVpW%7ypoiN$nOp{BllftLt-HKtb{T4$`tf8o)RJ#5;>_DmzHn-{shV+0bb
zO}Bk~1_!F3<j3vrEx^TV?o$K46~a&nak8a-Y_RlEVMKzS1~ARwT0#L=fDnp6lyT$&
z$h%E{%e$Y$@WB~NlfX2{mwW>i0E79#l=YSkZQ3e>wukp`UUE<CP1!W$lGuCL=J0Z}
zwlLQ9Qdz#LMwt+kiEy!Iq9ayI92#`UJ<(KE314s8z^Z9oRxs}E#p9dEG!FZyg6@`F
z-^4a_Fp5l<CNz7NXZPIg>z^VMx~n7$e2Jm9o)0qf9O}4vF7G4#X@xnm24_Bfz@K%~
z*SEvvirS4fC#Z$u##s9h^>lTmUlwZL#?@n%@i7;V1a@XRz+~3l@WA=_mf1WU?4p1>
zSO-$f&${QQd7LfgwhH3fGohuM9BwaKE?lIgVf$1>rZBg65hJbDhw#Ce9?urw#iv8l
z<4ySZ?1RK63-Hp{eAB=YXsNjoA=Y{&s<b6^a=%wt2z~lg;#c0LTQ{qor<U69dl<XG
zI5(leMyP<uS^NBYaTKujG213POH)TqCUyt}&{(Nn^<8zVo%)5M!*oAQ4$yoOq3iJ`
z0Y0fxI{VHiNR3OHGj)1;9rZ(hOt4Y9WG#M;!Y=^I(MA(@XIK^8zL=G|6w5Vxn^G^L
z0!W(wxZdOP>G!@|AKApqIL8MU7KR-8`qF#a#_|AN5(4Ln-9?QJ!0ul5JAwc=96}j%
zcg7;+hW#+d&4E_L8vJarl$B*^q5Dr?TTyY*Dk?tK)YN0ubSI#GkbZJtW*8FW_@LwE
zYZIQ$x}r5_@XD~WH*f7K<EVvMsf5WXP_R2qKp?a57k=(4#52`%G;NMHwVr~HY7g>6
zDjv4vJg8qRrExgwz6K08yOp2ny&vp6hS9E{&1qn195ysJ+<rJcU3D9;l`YC|hgPwv
zcnI$hLrsGlL#@7Tn(ikm-)mz~m0c2aG)9P-Ht}zvAWhl(Pi7I@0oz{A)1gZ90>lDV
z>-wo0ThHs>v@B`lL)CM5DjnX`>qln^v1fft^~p$e3_9FLInOt4Q^SX$upeaQm_Wq>
z`#yW9K&y0}X>Ki{PvN;cr#B@b5g8TNCGXdFP2a2;5*x5OHWsXW+_XvE4BNRP9;DNT
zEpb&wN*WsO(Ab1xz`6}nufO}4m3Mz-as|;|$m0=$*PJVCi=-FHP79dNG!QNa^uox`
zliIus3ttNKqs4yqtEF*4W_SC8>}SkTEGHKlae%Rb?0x*nBLl@5OxJOdpV{6|=3<?c
z9_G=iwx^hGl^g!_*K^P_eBQ08*$3<)X^lTJR1DY9=8SP4pL)b$%9kM}qiPVYlj3X;
zuB+ZH_u8E|p@_W!p}&tqA!IKXI4X?!Z=c+h46iDL7HDj5E!QR5DEXf0otEd8n5dYU
zy=~#2eiB#vBO(o)s3I8d(v&fJKtNb)`vC{pD_{eQbZ#{{iM(I=rLyX6KFf2TXFS7K
z?Js^dzWok+G?!rcgIorvpK<Xx*P8~X5=sfVD*b#aW4J?8&nt}&K6W4`)*{(YzC`Pd
zVQ`|yk3kxjV0q45?3qvZ?6m!Bki$DjXDqCR<U88X#P4+W3#?TwTOd7Yr&o^s8~eSU
zdJBsg9&+;=)kCz>&QFCIVy@)*5zGT*OEbjwE7Sp7v*>L;H>n2l?=Mw~)>AnVcF@Jf
zhBw{ZnafW-^C&#H%}4^L;xbL&UK9Bi!6NE|43hT&-2L@IjXpkOPtyZafr!VGCq6jl
z#q0X|6Gvecu*#e++2`MwVHnge(9=Igb76m@8Bm5@)~WP$B)rBJ5J=eo{pEqh@BJhC
zV^9~tPe%ep5o^}EKFw85iTA!)MMXtyurfYsaHC2301BE~j8E0oiv`Eg_6s8qPkn?W
z!vkdkl^hYgGCB@ng|x$vXWSrV55M%6p!JX``r`gQZ=mZb=3HhL9}(EkD`5hRzx_%F
z0BPnoHSVTu{5$cC@7s7+{Q`V*r9G7~4=tDKEZd!>SQA%Hy*9S$J%{_dS?db=0t9h~
z4wy*-5p!Odoqt|!sAF-$tW<5C-N6_7wfn+#`|fU|&09nJH+5!~n-c$o#lzu`@u`u9
z8)d<f&Tls7A>>&%Ox87H;<2B2RmCvlnxGr$Gq!g?GCz)qBmd1)zBx^Q;#9Q{?1GYG
ziLV7(K$ShlFjm*R6j7kKg}M6@a)8<f`E@T0M<n;p^#bn`%n%~@@TCUg0e>_*T!t5q
zknkoj;RFcN`ucY!quW=9q9`O3vQR7O{`&vca}`W90;y^xC;<B}#{u%{Ga*4>fEwtJ
z6%ipkN`8uV7xZ2p%eIk7EEmX+XqA{M3RB`+eo2wGqPTl=+1>Z+-2oB+)R*lI4!{lV
z4}U+h3GAlbqh5NkIAf<c_Hr$uW4-OWAIfot_$A$_d!0iur!?XvW2pi&Nj{LWnV~Xq
zt+Scq=3~RnaerT=rfG6*K;fbrSDGWBHZ}fo|7GXpuAfCA6t#gb5BpV@#~RiVxb0yA
z{Z^~C2I6*NRdXtIn827#o;UZo>gquV$M;CNPlmNnvD56AcPJx2HWEKO4%km+Ut&+J
zAvE|sy_pJ~gejh|h^+2PzKb&4dE-VV(K)(6=yTGdgie}DrT|`F!iYqEw?kT5qh{=A
z9Xp1DBXfLeKdsz?oB9C}407T~u0y>Fc0Z=@l8a&Ahx*v_MM_+`LHo6i>9+2(V~Y38
zbv@2d$L9Lk=5xil(haf2)FES2@M`|F;@tNj&e=B9q=bsNIUsnY+`L|(N+kPEe<l6#
zwl|^qN8_x{Hv*CV#>{UCWeq^(UUvb8a!!-%@{Itp2uhv>uHhYu)!iBKzxlJ%@i@kq
z-n$NW$zlD@UVGrxU`v_-#u~+dbShCzbW>N=X&tJpchFNNIW&8aa|qv?tdUEV7CNkx
zN_*i}l=<Z!!Yn>0=>jfkb_DX^%#Vgfs%E45_x9;{AbhRXabhx>#Rc3C4TiIhBjMvt
z(|~L}e1RlOn>S&(DBvN0u8KWZUG@Ql&c%UZLH%A9>Ve1u{Qz++FdVBNBMfUViF1)9
z6AMqP?EWg{RQkm7TBL?rq95W+er$HfU^;R+&Bxwzy{TsP+>2-RZ249G>WiM4`StHO
zWi!O2J*r9LrYtQi54ylrl-amkmKwYjse}0wKOW);hojp7rMtLH<BxeKxKe2~tOB*$
zWW}oFTS<D~sT9T;Zn-wm61|Gj3rS}OracLG<7FGxq@}yX#<sT20ZmJ0xz}8u?UO9s
zfZj=aLE6S};#7$wE_OVI-%sJ>b3}qcGVt{vXZ;|W-%IX{aG4t}u}Bfu(t-dgWzquG
z`?9eQR-y<7Mt^puOraMjRKL_JSYxIiKEU;|$Pku<cH-5?D05UJVvJn2H58lf)zO)q
z2Cn3B=1zAxKno_%HWa}ljpF%FXRv<BlFEmd+~v;f$%?L668Gk@_+IRimzOGX4y-Y8
z445sO9;{l<>$3qWzF#>;sy8W~AaC?}xu>nr&<HRmT{KrGmIUspkU>sk6|C%z>&k4P
zp3SM-=bk^W_Nf$m8=+K?%HF#|?{vZhyz<mEHXq++qj%ik0A0~`5q%G0at#PkS@Dx~
zfqe_ka3LuFi+j9NJtik)Pn|=h#;5jK5Mv0O1IPDYh0Y+yFXa|@S3AHfu1lxD6mjij
zpwT|DPazmUx!bE40)4ytS|(lc!)o-0fr_yQtlz~JmW7?12hJ>JlwW;ilIqZRch~OK
zQCu&s<I7MfcKN-~FX}$~x|lV9lQP%((Be^%P|471*+#NkX&*su5f(uCFd4S~Wn003
zK|l@wWj8ELPkc6N7^<}%5jlIu6{xz)O~?=c|L(D_@)xS6$@;Tc*9EtghFn5(9D`tP
zUYFb%JkzwoxD#@&jK}i@jqy%XXliL{eox`iFfIeE&U?Ucr!&jP7mD37We9&$Y~xWt
zqTW-^voFw7&U=-P>%F#$UgE=KucBa>&tZXO)ut_W060oS(8In99HR<D@_ifi`GKdl
z!}q4Xz>;myul7Oy-Usvf=p%=#!3u^O5V7+D`9BsMW3JyO@-wMkpYF({>$!1gdSItO
zG|*B9p6t?<0@BJw&e|Fi_ABRJJ{%sOtD(Q~D9zF?<lS<;y#?z~{X^!d)fnp+VOA2r
z^xE%BMr<LkuXc%fJV8mC&JL~nAT652?w{8AIUyWfhHHR6tR(F6E2^~@^8snyUdoU5
z2J+`ZpDOlNtlmF;DKG2qrs2WOtp@g6UmDKV*7sPcz#ZV<jC%FmG^~=?voLRco}lBw
zFQfOaE<x~Ov$OhuK<isN>kf*PhRNp4h67+W+E4c7#FBb}Gl8TI9s#`Ud8`w8Vc`bu
zVXflC&|*gUO0424U_+(<Cb|=)`rui|{1Xu)nEJmJWAasM3@%X>h-Q)t4<2UkSG%n5
z-KxVn0HNrDZS&6NSV?Nr$Z`I>7JTaK81HVk#L%+DQU*EQu_S|Ax+`xs&#-+S@*o^&
zZV}S4$a2fgWZ#)zPfo3io-rNqf{W%iw=~{ZwAz=p)CnXX2h7`Q4$6|{lI-Q7#v7vv
z;3UAX?$l$dWHW>}nn%5Eg6SV{^w?YX`MzIbldfaCcufSv&fP6Pxe{=;-B4YI#FI9>
z_AsZhXfU5eE(`Qm&qbDP46gE)re{+cX~Sj&!Otpv&X>8On1iH>^ivBrsf-AS;XG9T
zqDf$S5<Zxa0h=o^RS+7|+Q2xV`O9R@Isk!Tg-YYbJv68D02c_D@bUO1uK)+L!7}pa
zu_>&@$Xh5CI-NIMdNf&ZIFhH(@W~9AFN#4vTa1vhX`nYbMR&gAekUpGdav>ArA<1{
z^z__q7x^<RX7bEGT)H&l?ARwrHlbt_FMDKqUZ0<@gk%UG&Y@*?3Q~j(NF99I`#p&C
zE9qZc^tM7DAnqr1$U5N%#Qm{De!oZfTwa*~qWsQv#|&GgOf7|{g4LuvRfuq?m?34&
z(i7#Y5HV2Ttn@EXSe9sfClR@??Djb<DKrpXsZ$2<nBkK&<rhzfawB@515<nLVfD#=
zWF4_s<O=VbXQ2{NK3#Q)7ga;f2bJ~b!AH@4MJ{8<O~>^}=e9e$)FIU6UWI+^$0BB}
zmV3Fw61k5PCaRUqc{&G@;W`U_vi*JH&1a{xNH+_gNDccM)DH2}^=6jgs^zMhq&dbZ
z1lkPtB1=U2rtFnpAkE26^+o2!<+nVg5TS2Pz!Xizy8$ytkr2g`O9S)Jt0~s1a6SPz
zdlF9`Va<F|lv>eYHob^4lol&I%j03vXa8w>)z<6meDijnK9IfGZW?XyrXvZfqOFcZ
zXxnqu!Iy86Byy%yvqt6j6;Z8fO9VE;Va)b3-u8%NO#gX9#D1d*SgRU{6}GE8zOFMp
zLu)4Qzu&}XO>|v|6{w7zjsLoe$Dz$uP0#SJ>X3g@1Gk$0$#yVAKWH<O5vYN`Kt&5E
z_-+}t%J*-e>3p~oydZZj0Nnyb3v^2y>|4q}D=Psdq^IGfL)QDrWc88lgyY?~7xZrX
zv^A2pz+lt6_kWP5TNTzxbJ-MR9ga@r^?w>g{ze0)E>tI;C$BLkPdqb6DfNW&n_G23
zZ<hC^ff-jMwPF@N@ioVIpH(1RwMdcDp$a(mlZzB#RUDP@86MlP4~wC6M&RDbj=Q~`
zX{BK;z3wexQ?4pp{i7)J-@qCVfAojn`^**_HqY){z_goO&Ul-VD{v3T)^Jt(16Z9&
zxvNA0gCxr#0l5v6q`Ctm-Sd#EWoz4OkId$)%w13hNag=Ys_E6fc&`oA5H~%2AS~D)
z667a7-C0>AH{69*pPg_cE0^Xvhanz4`2+|5PR~5ala~E+@UMC~!pJkM4!VmRfZCT`
zysNp42+@>3i=6FV!5;&;_7|zSgbmJ~Ln!JrmhYEs=>ZHwEczL}nBC_kZ^A)5ZkRMK
zaUi)Lpr2Y(q50S#RB8JWb}QU5FX*j{^Yj?coru+g>iuWo{pK|1T+ZaC@Zb3^18J@G
znrVQdWsSlG1?^}4B4`4Nkz)Ctan4(89z3b5$r_M@PHx>4QFs4hsk`2>>6x`(Pcse-
zG2qqGU!c4O)BD=?cGbhCfd9ZN>T&<~dF0Jydp-d<{Py_&@t$7W`{!^#VsSAeDHDb<
zayOERG@KnEfciXicV_CmlLh2Q({df@sIe|&x0OqMrX}wKU3yIf+uyz4&t?LP{-SYr
z{$Yydzwg#A{!_&LPyFHk{~x?G0`HXP9DHhKrUUdXnfOw3UawKO$5gx854-ly`j!Gh
z&X3LpiC>f-AIzQsv!Q|48Odk3?K8mhPf)$&{dsOgXap*P@w&t$?Lw#Tet{+-=SeSl
zO-?LYsvM!fP(C=NtZKSiT-_8aMaKs++)+NUOPHtK0eF0KA^khE{3&0QyV}90Rw^{Q
zTWq3KkSjtXH>%_@8!@-K6uUnlmn_qHa6L3jCLwNqneMHWzFTC|0YFqK)-kkQtO*bJ
zit2E6^7ae&iYPJ}yqV2E@DAx_0<)_D^rP5+ltJb`9U|ir%3;rLws41RLB7IOk$&G_
z^4wd#v=9avjf^gsG)sHx1l_uX5l$h3Th86*rywGd6ZFMLQ~Nj~PcM&_Qp`<$PWg`R
zxMg=Mh20o*8h(+@S?$i={adA%O?#yf2&fJCt#_*Y_w+@^xYa1Pb&S}|5ut|s&3h%w
zq<ejy$jkYO!=8HFS3nl7Pgb`5BfJ|lya0wKxDj9{sbD@5wl+VSVRi{Nx4sXZ+>Tn#
zC#*Rkrjrjx;;Mus4opqV+28eOT-jT)5+NeoI>r|vip^6bheXAH*g2bARi$Ihp-?8j
zexoXNpRs8&H5sc_<pZ$^p)616tN;fvgkXw_mOQqHn=~87ncyR#0*ugF)3i6Q?LHZe
zPgdl9p>^WffIZ$eXrA6+kXI6u&PBny1zG*9SrppizDjp0JW<Tj@dq1tQfPIhf$dxc
zOYgqwO{A=uZzsm;TvHXHfM~h_zl@xxLK9Td5R}$QO1HmTJaSj3w|&w2miM0O)CxZd
zU4KxKK6=BNI7DH|unwsj*Yl86a+{op_Uq3F<h6L7CdE?BFVI~W#7{6S|AAY927l7<
zlvb}A<MmM9Ya!#iN%E-Bv<Vnw>^t=qV8MqUTR!%B_=$PHId!`<U1!`QO13F`D?LU@
z>SD|^4?9_uU3{TUlAToo2`jZg-D_KR%NsJxxt`gMF3Iy0${L<@l(UkCL%eX!hH~D9
zp67FZi`FrItIA`uLf>?)SjcAu8sjUX)EeL4j!}kRogy9@JiG%G6TF^}Z~8oEuYcmO
zM5TJZ(es|_YER-c{1%n=wF9QriJ2MHyVHm>$S~miS&cpEpqnp@FP!!mjCR?aO4P~S
zAP=^fkN1aJK$gX-?^F#sZ?hS*@s+=qP;Rhhe|g1#>qN&XVDxEPg6-SQ75G(EW(nT5
z!H9&dQhmc0V+FV3?dTcZ*wi;TuN8^t@L@kh{oHtBI>Qhv!58Uu>0x(F35oOy>QS(m
zd}+fwQ<22~{bF{Y0Vq^5xjj`zHEP4t_Y3eX100-aBb(s@8My9i=#fb}%eau@3L1tx
za*#(TE<^lA&$FU{+hu@A^c8YSUxOieIM^E|U~#my!r+XsPRx!GU!zu$la4PXIm^L(
zNlhF2={A;an11Te@l6{E8S5+y{ROJV-xtgSo4$BG^rVX)FYn^P!>_LU`G!B0#vKqv
z;KkrR?tR&#bwKLT8|UGUKYk%cU~P`@W1~Lvri_RcRjoGsam;Moa@6<GDplvuM#f&&
z@ffvF2gF7o^MuE(!cKSqM6}>}N^>w?N0T+vMxX);!KcS)0B`C8uR4D&LWZApH4=_k
zcH$wwKm*MH<9wY4AxNsR64J<3R*oxX;^$>o#Ut78*}oKTx7<V{Ug6rZDHk7iq4L|}
z{LVLkOv-=wF?XTWFN+qi02$ztFh>|xfo}B2-knfDFTAw~CsqHXVg0n6(djeDtu7_Z
zQq5UOYJa!<$rPWlY|lNTv8g^uj(`cQfPGn$=V<lMY$ndKyatrwzO<vf|IykOpAVrE
zNp%k*Va_^Lgv%(ud3w<zorgz56?a;h^!Tw6X8U=4O&Kh2ohCK7j~7zWzjK;3ew5>l
zR&`t21v-QDB9hnoI5bqSfR_dYI9jk@AcZps;TjZnGHnF>W3J!-NO6u61?+2(5RkW%
zK;fNZRL&(BBL}vD&eDJIPbp3`%6@@XX2HL2<po|b<ix?W-m&iECS3G)nfA=@+^~Ol
z&U~{!(aFEPpwaX13nXyjVG?t;=j#O~y$YB0t_x=yhAvDE#tG~7e0<r>Pyj;>j`T>F
zP)beM{Q}WolsiK)GKfYYH@AF~Za;UZ)2Jt(@g?}%OWU%l9lamB$o%BojJS>M116fz
zg!ac~-g2w?1n@zOFa!quD<|=~dP+!i3lnVq&&IF1m#tQ0@X5-aZ|MAIPapaJ^-4JJ
zxBK)uT0W$=?Q_-uRsyzE5)wPTJ6z2edFCVyoXzg4>&DeV4zu8qJ6~=<wyI~z6P@TK
z<22b`7Zogd8~%t%$YbCu`yT!+Nzz<yLT|gnNYp|{pgJ_!<ziPKqvAx;z*3={-~zKY
z3Ej4qk}0Gwp|IFtcBW6Zcu-7QoR74DG~`m8iU@cP_cYm~m?f?TK8{uDf|zh!rbbjk
zozJ{}&)M(1if{>l_?$xsR{_cE|8%>@|HF~``(OLVW@j4P?(<Ep4#xJVt2PYQ#XHGZ
zlF``c{R<@hFGooKAKI*wZ{*X6fv2YCyaU?EDo^9Kf&8y4H*bopaJNH^4enjVr7*c^
zQli>{B#)Fz?eq+xsfuqsHTo#JFzpUjWsp+sPNG<Vjp0lL{vxy6z7GgTo^Wb_#i)**
zH@|4^m(T``O7TDYajW0f{SVLl|G^?u?lSG0%*GR^>`lHF&NHPrb);Q64m{lc7bjGJ
zX9wcn{&pUaugM2qMGyVm{fv!Of8KODn5e>$UJ6)u^APRMpG1BKy-ncJ1I=EF?G#=1
zq+PaVK)SOrAbpT&hvyn{(q5cM(H6Oy_v%-^#m!9`C*C~CjSJHaYqY6bAC5p??)AhS
zdhcNd3h=^a5l5J9pWw(d{ALt^9c5&5V^ts|Dw-2R0z_l<V=V}zu~1K=8rBj1pB<KR
zcOk{5cmMFw|B;`uY2s@4K|4~bTMmO9!&)Zu{ug_19T(NQ?~jjyO$Z8zl+qy}-Hb(v
zfFdPBNJ%r&&0v9acZ^DjFd#XkBO=`mLrF_3J;C@}?7el%K6`ui-DltPJ@@|ZUofvV
zYt6Gh&nMoWd>};I{#RHU{{%-^Uq#3hsLFa7-_>j$<va8mx-{&3sql%j?eqI}J{{a^
zsI`G}p9513Jw}h(Z!dex7;g4@(Y#odFRMUSzP7G}*h_b!{Fk-Pi9JTM4o8k(1Ur#?
zWY@4cmvoPA8UmB^rie#VR`a!cuy}O=)ZeEj{{qYORVjEg7I-`)z4)XooD{8D0CwAC
zmrj%b4BZ~pV>eQ)q*8b^QrF(SgXA3TpCqlYt>8N1#438)oK)EE05KCky>oW7P2baK
zn{G9j*dmtNmb9-uI;sk5<61PV;taJB=1~u$H$$3j%|NETNV8UgBNEGN<Oxb*`L2Lr
z0PnEXCq_XQmMg~wcGWj8{&q9tezBjgfojLZFCc>V1`2-~Fgu?szAo{<&KQ4efOyB;
zLNT^BM<3n5Aetn)5n=d}aC_VvNtbUtBl;jmG#>$(_jpWw@WgI^<wQ5)k^CChwfmYH
z_`K#3itgw%GiOYM_*(s9RuZ@V&Z}ALrkT>$-OC@`Y1901f<yQcjwd4~O>d`U=3nwy
zV+vzLY$3QIhc&|1z}14@e*LqsQYGdyJ@UxI%nwwL36Q|yr3&RsGsNj}G27ng-6Ln%
zlLi!Qhk%N;-)PnHU$*RTrAO1G)I{1aI3m+@<T`ko$~Kf*cFaKP3n=*;Zn>8-nZVME
zFhv#im=)a#?>K8I`aD%S<gM4ENTHFN6PfU&)7c+^sYuj%Z&0y4@1f6!^P+4?(;s$p
zl=Yks7m`0H9PCvJONcI&s9!Rj64A)U$;WInw?w6k0}0svI$MY~s(Ul24SX+#f5_a;
zt7FOYR;4A2_}b3=of<AV<;{ED=`WUHbuqtXoE!i;MEwYn{S}Tvnomm2mY~>4g=Ub%
zCf7@u*7^lHRxpcWU$KqZ?}C7zAPmric?&%>Uu3yOeRR8ja@IUb1qqEmaDr1k{lJ@J
zOu^=@9|c<L#q6f##q3t0fFuPyvm(+i5AB{^s7KFEn)(q3)%F)YgoL!-uhgJ-8u89i
zyO-GG?eQjPb612eP`7fp4=7yxG^>%yj0)8bYwCtrQ^a)JDuUHUd*!*(HvuL~e}P6#
z)_(!*2W+wcF5?3r>R%#ACLk~?$!COX2w?(K*Gn0gP0*5GCG#Kl<SUi#m5i0p`_L8u
z2<0`-wlxGQRhk4Xxgi%Hqo3hpR`&FiFU)ydgj;s~8YiwzEGvglL0(Z!bTvx-b>-`_
zi%O?&v%X;}32>Dxz|dLphNRO!*?g6JTDP>Vq;h_s3+Op)2|lGew)JKei^89x`#sD1
zL#X~&=<r+a`}(G4)sXkDU5hj?&-EOI1b{{}Ag8v&)J>Yc=@Y0@e;P12PHFYR1-6RU
zA$I46GlyS<yI#3!B!9n0{=>=3Yo8l*6Xe@;0_1@J^STe}IoxuRF2QaxblTG8Q_%s|
zH2D&TpphpOYu;se9ywwlH*6@IA<hR+5|+N~>71r_ncldmwaYz(s{fHCaTk4rzX~GQ
z_l#rXx$W#3y*8J1Sl@tpb+Wrg$v_)$s^<8o8}NS%jQt^?|E){$&+*?Mxm|zfUjDJ!
zIHmq3>1MYrTLN#_<8{uHkcQ(^Hn4Yrhus&0``C;l3u0~<{XFpf$V>dG#Yukb8dK9p
zq7kN5WWTSFNEj*;h&A91`+bF%Py@hm8x4fU=mXjc3#iQ*C_d5mqU?ECE0_Qny`|y<
zPh4MGRK$jv8D-C_IQ84)h8hPH_-mb`mOhLoB5@Gpk!$IVvqz$Pqt0l(Z80Ohb+rFX
zd)-Y*(CMi&bzEf|N5``xq*^nTAG6KWn54Qg!E{&OP0`b^Zhdsa`01Z*Nj+hZvuOZ>
z&lz-gMYOfGK+AlTz_ofi!B<}{l}dhc6YmVPwZ@#iug5AHC-4Cib?Bz&p?0-3CWJ$E
zt}9|iRz}`p`oX(;ucxg>ij8Kh(@c4j;%TUO%Hai+8N3}?P#REwJVwxrT>248dM?~;
zD=owKZn@ur`17h(W2*CJfxPYVN;QXk8#q#n-JcAdM<J1Z;^zYRVnzA#?J2b6#h2(G
ziZfVLR=;ZmmzoP0D;h_tgfS)Kkf5OsFBrw#X+#DJaS%IlxNue`QWw&AF=4dIj`L#r
zg#S4o#y;)-$<o-friJARd3kmmq3-mRtS%GLl#Vrgq@1`?<mGBtYTH|E<zh@jTQAgS
zT6{iD;~q{s&oKd&#uj89_TZ9COM^Scfm)FQ^iOJi)`?Fx5Ieq|8&1r~9DBAoBG+e1
zsV5wMm9s3a&D494MCjo~h3pTr(cZn0AIWc)^)b#vI!Yf*UuZ4#s)^!oIZP%H>G7!l
zNd}@*Y$Rs0$&|OEe<UqdP{Hs0lh;Z>*)lgd@vY3a^4PlfQMCe!H4oJUP7^(N=PS8!
zK#mFEl6A6JO51A~<}=z9m=hEu679>=p|EP~&21d&&|P4#)lQ(Zx%Z?s#QpJu8xLwp
zmxTPpjn*AJoLAdGcOGe#hPJLg7I|0y`8}~YMUHvMFouZZRn)5Lt`^pZX&{_mCa`B=
zn}(D{Ql2EJ_yc3oHWo{Wj*Z3m9Dn<6O4GUoTC6+VI9+gu>_byo)B``znwnns>lIdy
zl6Q1ouP#0m4dx=Y(`uBcIr6;W%!lJpt<_!#+jis^klhU`6J=|s0QvC?himjMHt2l;
z(XJ+njbn%L;kK{)`)NC`ZE3ZEZoZ&ovZ!IDUupL-8Ly@T3I)ioJbG<@@94=>;W^3V
zcJ9we5rzc0wo~(B>$;$}(rTRWsk$u-vr~Ef=DguTsTURQlag!R)s>2|0DXVv^H0;V
zBv8SqwTtsiTStHh^3_ORX{@iPO-Ksip$*?Ak0-5dUe%(b;B_szh)YpY6y=P1I`e%M
zpP#y_&eK+A>+>YVR)kcQ76J)8u1A{1CUo+dlb;!>Z!DwRh(H%xk2cu%Q2BI?UPotn
z&I4g<!<Pn1cX)a2QVo0*Tl#DfBDT7Vw}9x_6`5WH4|jvJuTnd>xBpg^CISOXaCkYq
zV>r>HI-|B^O^&7AK;gE5=xx1KIUL%8Z<>Vxt=Q!2<om8!%zC5v*U8L(I*|Dyp&rn8
z&*4D$1m$XozZYsgyaseF{Bk4jyrf*<BJ~YvrA!GLitrqUcE~I}No}CS-qULnfM!2D
zxHWq>1W<EVWr6UO&kAa%jlKgpLojLuY$}0i)<u@8Czlt`lUowATQbuXXpztH^g1P-
z>(gap291=w$0^T}Pt}MwB!y<vNIF@`YM`UNW~hPkr_a&I($YSj@fVL`vUr@<_EL6^
zpPm{n#bU#Pc~_Qr^#pYT?E18KN8Z-JxHnC1WoMU-=3k9fDJx4~+6A|&c#JFdmE<7g
zhxL1wV`t@K(!xiYuL?BPP%qZ25iteiK6lH3052+}qyaV1-hm~+fAbRBLdyVkr8_W&
z69l8*T-*18OoRPQ8$$E2?UhAfWL#G(&c|?;{-56l>D>sTuDIf*l*xgWW;rYc$4pm!
zCif|JlpT-5_zMyC)?|J;=t{R;Rw52kSbpa@PB6%A#kIarFg;I9K8=|@j<!SmLvKsM
z(o?u_Y|t73$!p>2p%nu?u4jcc>y33~UucWTOQUGkAgc0CUe$X+e=zG&hloRx^|jS|
z6~N?+7Klf5`j)+m%bQVIACGtig#u%x&$6*fi@3^sg3xuKg^6G$(NtNy$3UlWXKM+5
z-u#5!QP}6ipLW0Qmks`l@BhcQnN_wizef)eO{xgE42K^JotxcVN)8(#&|`0XM_iK)
zi{k228etkykRIsSHUo>hiYtZd+^1-CdQE&rrbD@|uW2EtZ<MDappBY=<2R}+C7D8u
zic4b>v=6UHFkVP`zE$_oexMXMY`Y;QztKKA2F?da1;158tt(okBqlY>+HC8-Xg->c
zk$D8_05>JMQ_zc~Kk)WoKvT0<laDT6i{Xxay^4H0|EKX)!W-NK%tQy)*#SgJ#`ox_
zu*W~~j^G)XKgNGc#DA9rYq*Q600P3o-)(XHU%4s4PKLPw$2bF<VC^|7M!_(q-+rWp
zgK5EWd?PJk3y*4*fUluy@y+l*<ji|{mDCS%7T&G(=oXjwlC#!u>m6hX3ASHmW?!U~
zcTkcFvPVbLg}u+;rfo<SC@I0f;P-*vJoW-_hQ7=aGt1I5lV-8X2}K204jwJlyPqcG
zj?XSvYHA){+{U+^P7Dm=>FI3#p<?;V8tDf&3XY~m81q8kerAs!M!aQJa0L8gS+x}y
znan<oCz$nz+mVoyofqUzmKrO$nUP-Mqzt;JoKi@db3Q^bI2qFc*1Jywa=qmcR2@7Z
ziquABZI%RSi6t73D`Z<Up7+=2wVhGATkcu;T7K+G>7vVp^0|ju`LfZOQ@JL2)s-F_
zco(zM8E4kzwRMz1COuX^dc8?#CrOEvh5Ed41rh5U!L7GpG%!$2?aGY0dQ?;>0X{3V
zPwu?-6szg_U*{<xGQ(Ww9K_DZ+Cv}ov{5s3j(45xuu<JUg{JYtjT2!Gx)N3!Wyy;e
z^3HS*X)0G-aXG9KbXL@)U9{=Go56DjPYvA20CICzC(JV`aXfBwuyC%{b|Sqyt#{cd
z-fK;Ts_w1Q^c>ko+hga5e4qQ$Pem$aH#KF(j={wM@2j({DIGZZ#VZ!bk^p1SU!w|g
z!5__moXP4a5PPKkp22JP5oe!C0{`82wRn_97^CYJnJ6uvs=R-kqu>~W2|IFfa=*E}
zFdt!|C29BE(h)JF%=awkXyTa_m_s0=s^ggt&EZ784Ri&eCHx7+OV`SiRCuewh&)Jf
zRX3tI{lR94sPZ6hW(l&K)QN&6ymTpRXsvDi4cWxD-{m^J=^1Y^C7BBF&M{aGpOC$^
zLK;eC*^Z!ujo+_EyZ^jp|A8F+7!v&8M#0h92xD8D1AqWl+BOn5IIjC_sVV>h=*{{4
z!UV9wx&{HS6kaJI=8+-y@`W$5y54b*ITn;_C4jPXP&l38&I4Iv*XsrpICmX1(_l1(
zy#6&Ba=kU@kt=-|wQ48MxJG<&SQwK&)jTjQ-h90y&M*!W%a_(^{;4lBHnA>I4|08N
z_jyK{sqw^BFSr@8cTHH*00O9dPK59{t$X88m8<lo-IjHW`D*J+ru&t!@^?D)Z)Y(i
zdxQy4N{IRtY3X|+Ky{UG?#N77*rvoX_Iu=DBD~BY7p7hUfZzAJHl@~rv{Q;JkEGq{
ze0MvigSSshTu1Xasz>K80Tzo_Pa)0f?5BQ?{$(n@J9c)wPs<eU=p2r?dQ=ZY|C-4&
z*m+U&x?Pg$Fig=eqp1nXm*l<cx7d%BM<DdAMA0j78>FBxg5Gadoi<eUDAGXC?F?SU
z{6w8DfJ2h5r+Ms|62R2&Ly&(Hy8Iz4{rhz}+9xfOdsI1h$4^F{FmX-Ui=Qu6C#{CW
zx4LMv{n#1t#*eBH*D!uQ+;V>YW_}~kH9k<(V_S@eO&~|+(z`Z#SkB;;Gr1{Ua@cxK
zN||Daz~RrYYxThl-6W*uX?9@o<NJuX&nW$MnHx(;*Fp3|0BGq8sB88N#R!l|W}k}(
z!Z_dY@sF@TMyu{=0#i}<RR2<1S+R+bs!93UtUK*{_*!f7<Ir*X11-)nY>tiw;{%%x
zG=fnsz$BKL6rCMAoKk6FQSY+NoA$$fIJw&QkdT$zOAmrXC1a<2#X*{?s<&sA*ScJE
zzkq7vXhrD6QME7>7PtE3zX43|*Mi?m(hs3Kq^~%Lx}RTXC+fx(J61MbspR}tH*x>d
z-vP7)^BX}|3VNJRD5uyp-*G;qbFH(%5f^=P%`v{=u!b-FVe!#m=WMUGEMvA-w59F*
zuC0CSm7_+X^{aKI$g?-S*K~4`5ox#w)8XV^9|$5|1EXNxVxB~0GmQ04Xg^CgbfU1N
zc)$tg3E@PG$KI=my;>ebsRMbr;C4V^GkqjEIdSRDDtr@pr5vqw%Ny(Nj-mZMSjYEg
zoVg!}+mG3gcfmt0NdLSnYtN}&Mv6xa9r!f^H-D7wK9l=HFYqsJ(7*RK_6%Fn%qUBG
zv90Y_=J5&V?i_qnDl6-J8(vG_$Keo#y2>5@&iDA<@rLBLb~py!8*Wa6qdJe2fybc;
z&^>D=m<rG@w1Yx`EQetEKq4YPY&U}QU|v`sY_WM9BLceXb>#~v9<~cqg$!97^n-tB
zL0ox9S5g^3oKwa{JQ+`$*dM|d;1sUHt*9^dFkwE~6?>C77JZ4(7Q6Mis>Gu4f!d+?
zQ1Tui@Yj>Cbv7uuuWQ!ib3~fT&@gs>)|7`n+TM@KEte-9llF;l*|(vAf{GUG33$$r
zMq$@SpH%3LzPf(L7*zE{Ap9AneJWm?Tpn2D!d%u6;BPs<89an|zpg9^m2=Ys>4huV
z&YeN4Wt<(W$;cCM^6Ynb&a&*c9%XGVexT@~n>5j2ClOyRV?P@05^+W~={18xRYWjR
z>L~0uV`xpM7qu3`l75>8dMs96?!8p>T{5MSqfBCVEr&H?C)dwl&AQ!3<Zm2$^fJbk
z#97KQ*>f^OhEE$p*^#D3_3mBdaJi{A@rZo&CGnZ+FCfO^<(zBd*P7);?*ywJe{Gx2
zSfA`Yi|BROwzD#@5QH?J3$PozdR|U&_-I52*g1%Pj-G5&>|phq2zzPua*HXhlM$NJ
znio!hBqPEMTY5EhB!TJ7hZ(q+?NlnCs%z5cjL4VGotde?Ij5HlGA2E(FzeE9&ptU`
zv>o}1@^Z~v)>Dt>RV7Pi$(1qGe8N)k<`!u@>;v}=J%|t3H%XN(h>I_9->xWYtPgrA
zz|wT{>S<8(BS#P!4ae+<(1bRz7iFmYswCB}er&T+{0NkINs)P-*7q%#Pr-!&ch=Sd
zmA`oJgv(R2?mXfQqd@iN@0cWrZL(-FaU2%)s%rG=K>O5Lv<&tclvUIvC7Zd(sGxZH
zh`0npWtXkZ!IZN*5{7)zhc4*ccyj5;5#HqcimM9oM}>pBmr~XJZU@t9*on8(z>^+I
zn!nt92AZb{3|x8o$|Wk{_BqjuitU%C9o#bGs-aTHv3h}owp@O*QgiLKLEq-Lb8zGP
zKv`S`R}R(uQ%<56RY;sx(DS-V<K>iuf@IDb4u+k>p>YTOxAZGsmU%W%2ftFkeDs1c
z^%<T@B05O<M;XhZvC*p;ow8vwM?xXNdQT#{FD7Vdureh{GsV0XKxgjNqA`>RaXtNI
z82#5jzk6utz4vzpE!YW*Dh~;eq<r?#d+t}Bg<Ou3VsK|yEEq_0ni4YSa!3<%<>4^#
z-jK<Q$n&3=r@wAgp_#h9OZt$8`9`B8cR8CYd3b19<CHZHZJn<oHa~B--DxFgEx($X
z=nRw(i`}A8L<-JqbdWaOYocrL>M_I!LRq<kWj{IO_sNUWZ#6z=Uv~9Gqph7`Dv0gp
z!rim8E`1x_?H$hpTw%5*?jjitPl}5ZkDZmEX{!*wdtU*&svD1M5(Y{{*SIxiXzYNH
z*;81l4fvzZo;x!GxHF7d%{YSLG@mxU18{cE5r+0caVC4AxHv<+N2vBV5TQCZ0|Vd}
z`5F+4s}8cJzAi16d0vddw}q`;dzL=9El|P`)`EW&1B9~h;KuCTA|MoZr5*^y!T3-+
zoAmjp8Ny{C2ADu#nsz3TR`{*fzTrqbal-R7vUrqLbosn;MB&wv?V^kH6l+vqfw@d+
zz2<bIr?vGr)$Me}JP{_Zij-GXEK9c+YwK_lxDF^km?iu->#WZEX`w7pzbpd0{~gm}
zw(+P&3Bz@8E&dViUM=BC2tR?K5Bt2ZZ|Q&gT|pkH`Nl{^a=(p+FCc}-(@l<FK+<uc
z?91Kz347tA;78q(-cREev05!8V9VQ3wss7)sCLZZ`7@^P3#Y{A=mQfRc@s{}26hn)
z`==A-k|pcPVr5QT_HE+w8{$a(B+~l8_4EzJOVarlG`wmP%jGgWw_$9R!vx0mx|gNP
zZNo_Y3gRt$$ULtPn(U#0cv>RlvdCP@X}kNOj_f1!`3C~%{4Qm1mQ@<I%vldZ=RY2&
z^fojYWq_;B=&L;M*6JMDfnI}_yyZ}1Uzojgx`?06oCVDF;Z!Jo`nJ85s@QN(3GQH!
zz51*N78aqfkHmW4GXT@DDAUFTOW5`r5ULEj^%L*W=VRa#gv&qT8%R~(k{sfJEL{(s
zbNM-1J|DxernkG>QyqwX>;;yOQ|{kX+$a}T5pBz-n(%XqT;viuPvIQlC$`*vc``bm
z%9C)dC6Rj@AEafSVKv|yscVra5}R_mh9#8%X~i#jl|cFAF;9t;NxZTmG_spA^77TR
zw_)1)@4A(>Hncrq5llm$$+CEMOs<9DcuPlE^!1>lRqO`?*4$-0JU0%p&QP(A<&F10
zsjyY4O@gYevG&<ablZ}?Po#b%5PIuS>&-Sd1GIYiQA{|=r;%)<80pTwl>BjhNoWs&
z=~*<L_N+IPN@|A*(>@aZ4P1(3%m=(ahw)D#^S&pZ`i%<$USAY^a|rPIzS{&jwdEvo
zEVVEShXF$P4KHVa&LsXdvY(X$iwkx@G3-58x}`23+#wVJM%!hSA7}RNPV*`}NOhMh
zInMzx5d4zsI-d$~CR)pz_g7Putn)_2hSw>Cdy{mFdw7fa$<?+7`;|Q(I6Flsrbk4)
z(X@GZbU0*)o=7bQHvpCMNy!He9$L5^ROkitSGjQM#@lTyF7DvPUL3X2puMWE=Pz+L
z?bw{1G*ZuGT>P|n2^G)Ea?e14UgWI(Y@Y`#;_5%r?a42?=dZF}QQwjZ0>enxLt|UU
zdW_EpI@VAFuwFyPKGxItjYirp;36XKPP~%+_15~7%`^EEG^|Q&?Tv9(&*gSMNKiI_
z^*(76Z^f@mjYcru8yy>i_xE$0wYu;+7fa*+EKpgkRC;z{L2)w3{*q1uk7+E($ibmj
ze2#juC(;2u9%c(h7}ULNO7HO)N2*|{PhA;x*jjzz<{~EOu2q@GZ|_z|^njL<@l~S(
zPNDsX-h_B@i5+%63qXo_r7nl4(c8r?1IpIGtQM1G;2oIO_etmFCbm96Z~s-=bvt`f
z_g{#1g96?S%Yj&Bz`K#4O*-uWK+`zP5gr0?!dArXf84UKwxl;u|F{~NN{(oN*;YKe
zX#Egt`QV)`VY{Iy@nMFy6BRLytG8Dj0rzfT(^zK34ni;-FyiGU3}-2LLMObb<znY~
zSwigcNJ3cVnE2r_O#E8)j`i;KscmCCNuC6{@hiDY<3c?>_yFjI52cA+=enw-+!>~d
z?K`OY(DDq5BpK;37v&W=9z{H~yu#<8B$kKbuWYf!`*VtCj--Zm*n)|`w<=3|M0k>p
z;YHGgOS_9z)gdp^vuhewE9`GGxw9wX56?GAN%$B}YyXBn;5@4sSa|urV3LA7O7o2?
z>K5Rn^PzI@eT$SFV=-YIr;PV=-i7(dkJ@Ov=dO+~?#S__=3Mln<%4+zGs-%i|8V`&
zsfSr~1*tLo3Bq~T%A=HIc7b@{Rg2Cs%eaciK6G8gqSq0e);ZyG7fu^V<5P7UgFWXC
zBI?ppu)|px>KDUEq17I|zbZ4bCpUk*)`Ka=YbD}PWf?2gaLOS3#I`^2qXkgC!jaZA
z?>_8_n6+|885wY6m{u(5+1=c{Dv>ndS5K$w>>U>UZq|~p*L(<-S9mU*yV5rnI_}z-
zr(lXf0$s4o@U1rg-4kaGckz3vi{77k=fuBc=#lO@gF%pkUSgS|iw>6r_3)_bs0X;!
z2Dt7%P3sfF7l&Agn0K&9v`sSLsU?|b>sP;bg?W*M1Uj7jsE*43FeCwS!kViX${|^J
zp7oqsx3pM#uHy~4@~Vndp&~Hf)ZB+sfp^oXZ+mXV@z^fqZ+kuLRx;29&`4-+t!tK{
zVZ%iA$*ab%%t)Ob=w}`VbEK55)h6%hHjI8$W#2Hi^Nm95R2vc?w=+cCDe0;``;%v_
zCefE2PYW5)lt$-Wku@cf7UR}2Qxi@sD#0ygsbFEX8<dZ%@EvLMMMFy_UqCj4u+D<L
zq)<yfK}O*xzH>m$0!g7Gzem}hr7|4$hj&p=_btH+mXin+)fXSxa}Lkyeefz+CsfJ+
zO`!Y8cP}N!qR>jKV0_0_C9o~?vUC7jmuVP_JyW&xgVcI|T8IMX_^p@}_zch(^W4N5
zkwQjFbR*H)1!BowUY>Yyo$~~X9WYWSjzobd_`c-rtYaEh9S(LF_auON2^Zccrlw`(
z`wR1nsoc>u9?<4K8caESIf-{$?egZ^*$itk&q~YTJipX-y#;zSN^OnWQ~X)?S^P)c
z6OwZD-RKJgsZO`b-$}G4-FK)u%xXt_@YOAQ7gSvPK<Ri-kG(vZq2=~GA_abRza{=#
zz|8+yl)r9KdPF>_EA9gx2#~XGU{(fl)+3H$KGA>LqLjy7c~raQk?z439Y<qhWI+-q
ztH)0#5k=4?ij0IzMT*<`=jPd28)6B0S7sf6hSWIVP}H%8<&*R4{Qkle3^+xKHv<l1
zy7kXf;5H2wpgAVbM-4ZIgVgRgp;}f5sY$VE?0HFJqhh!qo^(ip1J>Mcs>n2C>WyVS
zK0z#!N}kD0LVF>Nj?B~aW|T{1^|Gx<1uC;2*O_SP=qP1{wKO;Hd|!zwEvQQDo_dGY
zRUr<c51JjNzbMYK>x#JHJ)H=P!%%TM1R=#{2-m>-;PmaE;Tp|PcJ&7m`~$g<fNJ$E
zY3o$qo~RaREDNW$<Z~Don4Yxx9;wTv2<jilsr2%#I4N^x^z_|3S<BY38u6&1-BkLR
z!NL0)Q!YZ?8SCsZjd6mO9D6y+Cf?l1^6oby71R$yJDa4n@<ry7E-2n#K~)Vu@cZzZ
z!}6_&Lr|E(I8P-XyxVd~@9y++E6!<@XV|!ElE+)Jc^5DC9HZSne-cvp@UnjSXuv0w
z+kHjZXTaePUd>{ohR@0kdE)jA`O{6yyIuL{=EYiFq3bV0Z)nr-m6?%Td}qUWblTM8
z)b;jd{g2DrUV+mtYDqA)HLl-Pj{llb{&OB5_aE!mAMDOw+ta`Ou4CDHwXW%CCDJF=
zkpZ)Z#m`<zUk-z(#Ls<hpi<IFNAxylUi`UyiKy4-*yd-NU3c>7jRPy>+rx{OXcHU8
zdti$M{>4jYT?`jjQH#KtUZ&SUIcb}Q*fk_U<<c3Zlc-syFCbgkmM$oRu^_D_ZD`m)
zZ@iAJkfIdr`P3tImYK-uOuPMZn?<B)X_ta#KjoyNY@?Q9+T(L#6qvAjk7C~fY*dHt
z?ZQD8N6I^?L~QST-7YkOK-(Y4ViqRs<Mg7&vl7-Zp*rd1^9V3MSdD*P%dgv=Pj_}#
zF`oYDph-)Ejf?Dff4RMQoS3Q0-HQ}yr_ltU6Rl;fzcf+YmhTO8tTE?ounWfp*d_4U
z2~P-?kZ;lt8OH*rms)#kB35|KbQ*Ee@+>9IvBaf{EYC5h>#pM+*xf^2)Ti_=3rPpK
z-#^1SEHfA`DpY$g-l*e-sf%z0$t$ymSkChi-F*{R-k21fHYy7jeHBSA<6*B5uNU26
z2DPQC@->JbP1g?8y4KV{LVE!0TEGAdFU`(-V0+B}DgAI`7=7i;9jYyrQc-?*e5M48
zZ1odmT}aQTvt1*K&W)3p{xP>1Q#s4?WrM0+!RB^XQU!&2onO5{0^^3d>s)o9cBWOH
zi`Fi&@{Xqhj_D;&oj;mE?l5*WuG+q5Tt~^vuD>02vDx(8$iwta!UypVlv;Z<l<Jw1
zp*)Y(qR9K65lB(V`^)!u*hgEBO8FmQIIJ*`p}y_1df#J3lGNg=qcb>$n=U~5tx-~o
z*>p<fYX^yG`%d++ipV8$Ed}@NTCW?C!{YHmXNJt^E?s~S+s+ZEI&g+n-m5}^JU%vM
z^goMN3^65Wv@UaFMrH!x(aTeZ6mDAH(H$Q!Tt5(LZD7_`j52>WtX!=P(>!pAw&8{I
zcIKw-w%TVD#$Wl!){|m;-Xi(+E4J@M8wRgZleu$y0xf9dhP9szX9wM}K;fIS-|&Lg
z)<cUAB~i`EHZi90l(!iU9KYz;Za?Tb?U4gBxC~6ktc+#4^RWMeirER_dhwVsh34?*
zN(b&Aow?Mm=3%>l1E$B^{1~{l5aAuLw7jg#smFxkTRT%2>G+`jG>K1%6mZ_-7m&a>
zBr5AE{nZ%?XUdRDm6ERDPk~uru8z?o!-)<9pYhI=oiDKwE-6*Hv__$_5b<GTYVsU(
zQFY689W_TVB3u(2pcoxfvZq&}NvumyUYTCAe><7MW8v=^^K-bg496Gryanm8oN~u8
zIQuP&Oc{G<ED$cusN_U?iirlkq7=ADay(Xo7kNY~>GgsP*XY>p)r@f2f?5Aah}TF=
z1m&e2O>I_q96dbYwc}VO8sH*^&EE-^rtH+R)J>`|Ou5LPmDVv6XPJwPQpypW?@f{5
zswu`H$jFzs;p^MrUAzcmGkh(-Y=O{O59=6$eNe-<K_HF@i==B?*`AipmCk*=_QG9_
z)nbaZj|DcGzJPQ+T<=>&_XH9m8g#35qh@nBczF@yo8^OaNp354cFdkGwz@N!DAMdZ
z<mZId<+Z@$3_C&+#LFL#cs=|)vt_k4kXeBqcaFhfD9%=u#%VT}dh=q2Jltc@CywQs
zbg`F*I1N%##+`tf-g8xmN#fcH=9G0Lud*+8Za?&DY%Qfk1>)1>6R<pz>M2)esDYJ5
z_yn+|^b4n4)$E5lpJI<=LIX1@VAklA%J^jS*6rM9y~c4LTVfQE8OuEig<YqC^B`&W
zx=BNTZqmd3D7-^X3QQ@gy^1i)^eK28$dm5HNgr7o5+oEP2~lLubK8PrQ4=j6H-k8Y
z<{$IEH(l<t4ZzhtqcrVmN2S-MxbL=Re*ql@YTog9OW2NR3E&b%JLb<{oHKwq0$GK_
zgDP2WuJ5;csw=B!G8@~fTOD7dM3SdO1lG$MwS-Hq*E9qrsK@BZGv2c5iWx<#xh*BB
zEEiVdx(56cw;adqI$Ec}zgcp1p7SodgJV9p+#k;(2K3>5-s{6d4*+AKZhc+UZ|?~O
zZlMPbiU&f$f3R5c9eF6wG&==^nrljcrdj$lfHMA)>$rH>J0Tb_n&7M<ffNDTnW10A
z-jEo8kF<Ee{rOYpkHT*-ef$*a3^D3vW0?MWQv$R$@q&w3RNE`V!7GuFl43Q+3@Cel
z{8MErE!HPW3^?!h7n$l6odL~@ayzn#aaCZuSn7Ub0z^&hMz!^@*`wP<W(k4$&C{)-
zB=uFkqiR&?uPmLb6Nfez*D5!AjH6$y(s)W4Dga^gMA-R(h+`X-36$1q%RDWd7c#D9
z4?Q)}Y4)nAT8g};RNobxs>0PKl~Lq6wB_Nwy27hfIUBpM%cS)MB;Tc`-}R!hXX9lq
z|8gos3d($0W;5B!$HnU*AD#3Y38GBT$p96}5JXCaYyf{}d>iMTG3<u-4a46OE90*d
z9%vSGz#wcu@EaK0Mu|RKf1p|HGp^lZ>l-aaAEcK?ARr5gkGBq=ARU05@3Re3{G9D{
zi7Fo7{;G<oKRUzN3_~H|DBqGF#czq_MZ8*&R9Q*0<b$*II@CGa0w;B;T_|H>xlu-v
zr>6HPl+^NUwnH<zZrpqwA2%s-TVWPa)MJjNW<iFos8Q&Rj}BiJ%~c9GhboG>z5PNh
zA$W0j<i6A#`o*a&r^#4^@Rmy#xP3M>-8*Bm1W{C7iVq+4IfN>z!kzAiTlDd3t$kF<
z9(r}<3bOI>4dvj*k?^Vmbvzjsh#0JFDLxW|IW9s~QoR)0k%bweANVZ~-<~t<Hr^AT
z|2jw7?>OvOY;(=cCx}rnVU7=*Jc&XjgaTZB`m0C+Eg`?l%<ZX@{rzAnw%sQ)$ynIX
zSY54^=pr|Bn(hi+iYsMcAsI-@x5MLRTsdb;eq6^obMlA{x=$Fpjlv(;D(x>FJKuGW
z=042)<L8&!IOmAidt}}8S?G(;;#gMc5A0~-u8kxuAc~fuJ7E6=RbW)Ap=UJ{Y)y&E
zY4>b%e~)fy)a|E1gO9bAkXj193mBs<cw9{VVUIbPSM*;%u69V2K|n?if&iSQrie4J
zwar%;z@wO0;6N|qJ)oD-M;L{t1A=a!o4o+hOa*k-#ecXWF|ZN;V~v3{pJ<sWK^^uM
znni+4G)uv2{X!k9;`3u)RR_?M_6Hgw0fDe67`-$9dVYJ0Y)f<!Z5pMHG>m^BbkX=N
z#T)li!BZ^@j_>QWfSxohyG0nsJeKb8fEtdpIvjPC&N8!pf-qow+-JL;ENvMq*7l@k
zxItoBSJg^o%f%Crx;n$IVTXxZ@;oY<SlMih6W%N6guOM}Om2783C5`VQ99fUF4sB=
zIj3%&U-xS_x*AcrO3^N;@k+mZ)2qe2e+!p~wa%>RU^GzhwDe}!s3zc0R|BD>3nX<R
z^)Zn^2I%~rx>r(SgLZ{#YNStNF#^A*J^6k}*Vqj>nV~}N9SQi2^L8v8b~!d`V+^1u
z_CG^BvY@kWT2pGCIO1N8GMe+SV#Lt#_f%A_hu_8Gt|3}rEf)^7Te(*+Zk%r!uP)wz
z4P3o?xg<=nTibgyXI$>(WseBnU3m1E))E&C%pz5>EZ*QTfL0hCM{LaVa6qpWuQLf+
zDUEB|CNRv#Su|x0>KfE;aq^j!C%Qv(A1A>r-aUgKIY5(AD<OScW5J<aFm6aWZ9t1)
z7@*0`Rj)sdlL3>9-u%oShz@(o56$IUN<5LBXf(GVYF`-38u37gOlUwFd%T%ERysc`
zid)lobQ6~Vv;pilGco@XYv#B)U{#Da-(&BR+MlN@f!y^0&hkn4Ce%P4^nwdoEBnyQ
z?qhB3&xk5IXCBv^hvx%q1nPCqbW&boyyrfDn@c~3f=eGLDpl**WbG2RKsX_E$FKyn
zM81*Ei<5?T8q>(~BURo-G*9_@%%Ssct5QS8aIyhFqSQX|c4alf7Jh5stVq=uP0z+=
z?>QQ+ZA#^HVzCAWOn1eh#imo{&)Pk2ab<B<+gjV;kN|Xr92cPsu0(pnvy4(Xx_WN)
zrj_?VZS`e4PT$~zE=`_$!EIma((W=>DirF`?N~LvTnWwB{wN~;QeN^Sq6p~c-Vap1
za?)S0;0bo?wQ+6McZsp)Eq0gNb8Nsfx&C2ejsqoMZ8<RPfvq^iYFwk2<Ml-yyNV&Q
z92;GQ5ak!3+=f|a%@2&l89X`sjR7r*qWWG5*4H|xx~lX^N6<0RTUy#uQ?Vy-S9%UX
zqn9n?>=d%6#$E~O<!$$gAf4|z&MK>pGGQp^(IPP!c_mQxEDD^dv6KrWhb5fB@Pcg5
z+FIG$4!yY&idR!qX0KcqZTX>Zuv_aQ&ddwz*4DCN`4}JBYZdqtFmv}UE`)5?D+9z#
zXVUE=jmJ-*5l7?WXd}wcyAcH@{Tr-{gPX(7$E_y7y3kO<_pD1y7+_t-=Y+o+7uqa0
zT_q77oz+#R!CbWIsm8>T?4JUx64NAG!V>dY({<d5Qv5Y>P~qpV++s?g$UAHqOD~qU
z#)sV_XhAM2Vce0L@%d7Y!JoTdg~+KYy-C#%9^RIag$U_i*jS$&)z<L7e5ho@@o`;W
zfU~9M17h#2Gy0>B?UKjaNxALFThc!E?2^uCO4KXKNW-5~Em0_C>cw-ESmSayFX$Vp
z7wJSWbFKTGqEtQ_HS@NHBWf(;b|S%URiQd%U~Icb^<ju&4)lek1r~`Ftw?V8tzq5+
zmvuja%71KjVwdm|UZuzn9hqMJA4sf98wk4f?z?jgQhx$Pi<BU!rE+9M8Dt4HWA!7D
z8<AF8i|Z%Z?~&*n6zgENPj>fKb&GFq8+qE?HVU2I1z)|3p8o<eLKf0|G_e&P908-3
zE~|}UYI?o1W-+hJq}=9Ya+KPX-n4g^cd_l{V5RKzxH;=AU!fHGz<ltq2qQaQ)2fn0
z?FS~^c9hnK^i>-Zw&duoSY_`IwQ@R$c~45zUyo5n%H}87V8_Ot$5osLC8k;$S8e&z
z-o&BCT|e5ZOjKWvFDUCSRE16RS_6q&E-qFCR-pM+bTZtKo#VeuSi!M`iI(XK2lF0s
ztaNRN*5GD<za=MtlZ^JZL*oyved2(}1qZi#4QdYwwaca5BJV$<W8U-&#;Q{x4`H;%
znB|prS8X)1J=?-FRz>?$!>|ih^QBv{lJY4;23OYK4KO>?lwNjGI}=ihL<?Sj5D_lu
zHifX1$hIggDfJ%4FIAs-BFA8h=o#9;kXu|}F9^GqI4ja~SMj<Y?`}lGD5I9m9tgs;
z%6_iz8W1Pd+Kyg+Wi`B|yrE_{IajQwxv7@6Fz_)FI1x&zS498b_ANn6``uTrapqgq
z*~8@l^<Etw&7|^NKEdT0K^_L9!k)YoY6J>kW&vgg0REqB_ejfKKCqD>{nuQZ1gO@h
znT^D{#ej{sXD6?SS(U!so$93({6<<xx0B9tF+%-B3+`xM{uIPbbU|z78EFsYph<AC
zz%y1~>DKk`O!Z1FX7x%H-YR(3f??s4yWP($Gm8nGMTKQW4~2V|T2%|<FhjvZ#K#PM
zv&88G%iE-eUnzaWieTB+E7yddTuZFp09+F-yt<in$k{RIiFvHY!pRdko&jv(bS?b+
z<ge+)(1P|wo#rwxqkEzaej+|Fx-lT+S{mQ-xcU5`dBBEKuQTV0)9|piT2bAp6G=Gn
zr<{Swwkbs^<;%fSsl~~w5>j@<i+xy9Rc?yV3LcwQ=pUiEKl1l#e@ppasniQd_qO6S
zEYL8j@YwOyFj)lWD%)4v(hUCt&-#zm#s5>k_I+>ey`GIE#Y|6E6X{kG8j9AkVW!V4
z6seNtB1$9aD`vOP+&r+?hkalHOpM(LB2@XWd4RT$;FdR^k+hkt&Z9Pg@lc!3NTv8U
zx5qbu@oI%^Ak8jp{;oUZ3yA$03HejQb@DHuQWTCCWFHLz9!6aP#vC67(&j)Msxt(n
zl3}U#Qc-`nr8F#oRoaJW9HSLIUtV;4bLzU78BcYDLGw$ngMP3AyfRgCAnj?5XW&iU
zVa;9GjnAL%q??P;R`aJ3Dtrv1OHZ=(KZiok-;GqHDov(8g{}hf{6b@9PkArcrM!1k
zq4RAm5cE5sVIDU{TSGXdYzA9&NZmT$d(Q8~<C8}ysuVv+9cFQO6zmI*VbG<y_63A!
zF5X)%@a})`=ZKs;bPGXqHOQrISW3u5{MJKNsZXQ~e1}D53L(WRr!#djRX(;>stR5v
zHk}Ih?CP)Sp0V01pFQ|<740v7$e>gF_+Uwe-3Jo@L8hOP%YOfb@-$eZLoMMAkV@@*
z0=oT-Y<*%IALt_pRIXBd0lk>XPXWR)W1moyyT;BFgkxA(Ld`%I{e!Q|rV%mR!G#g3
zp8>`3N|#Q5{O-s33}FqKfO;_i%ERQFesfI@D7=%($L`IGyd3Mx)%hJA^a_CDDHUZx
zwLc*w6u5LaM<f$&V%(Mm8LjT+<&j=J$L-Z{gETbl%q91xCg}~L2(I4b$S5tB?$M|P
zxMK|Psd_edIir%JPj(uHc7bmk1j_#2tblix4GZ9SJPZSbtC+Qa{g-LqcNpto>j0Zg
zqwr5XfnjqU!0IEvfY#3MW?6m#UE(3ftpFkY(5@wOAd16800KOX{{JnHf^<96X#wRW
z7O|Wv@9zp$VGQFPgxEQ^gn)os*cT8Ru*9o_9RwdR9tg5UaKkLou+HPP1Y8*qG%Mb>
z^6!_rm6Ej!$_1}hh7qI`eqHx>Fa5vwK><*$UfaF&-wT~`8p?yM>oadmn%>8!{Ypi0
z6EFs75MMJST+9A<@ArQw7gqJ!0=0>fY(aKN)`ROm*OGJqwInjZd-2ULpe7jR<o7&z
z9sVCFP5*A{vXzU_87_I=BfKv-H*#Hc6;3o02H#9r#oqc}8h;f)4MI;baCQfk|L(Ru
zfWs`lC+T-$PQEOqhCQGI8+T>GyM+D9t>5p)|J*0>4lRgg_Txh+>s*E(UUDb=RE#(W
z6eE78p1#*?Y-<0j$?+#Pn{q7SCAy^N!3&)%pkn0w955|Nr9sej{&!V-z-^pr55ZT9
zX?qkfY7D=x_rS%=;E#uZxQO=%>@)g%zS_r<|Er&*>`|I#1r#5qP}c30iI~HA*BMn=
z>;8ZXS&#Q8J_%XpOMzN!z0Q60N&0cYI>klTN)n@{sUzncd(T)o&7Gbe9W6oL!?F#=
zW~th5Csme~E{$KSq}A+q{)Yeb`e0KlVC>eTFCaNK0EvBv;>!8)zRR%1S?KOzZcx@&
z?DhAYn|g%yC-|N5a{NYOO!s5tyVei)#soc5XWXBW3?<COwbN;-ZJp-C`%{fRsgqQT
zH6)*QkH-_+Q#b@}qrf{d@Qs&caE&r{`@%8ybLR+x;vB%}?GUHQ0<CV2lsL0jvKw(2
zEDXMB>&>T#D51N<dY(B{j&<q@2zz|ZwHiCVCL7qVB^SS9gIJy}=Ij<iwmFj25%C-O
zUAa$gC$w`Ml3q$c^4}XG%VJg6JLjhvqkdXt;VAJF(9}7m?odR~3&Z}UZzTCf%>9_!
zNjr|otjkHlWmUf@htEDnoY)*m+|~Wo#6T~j_FBO;<G|B{fo)||tdXjR-8o7a>=-U+
zeNX>iF!h|QKoLiPu#38;U&JwD#>?xh>D^YXFPrMJ<%ZH8<GknayB$Dd2&qD07CvVM
zNLUsNz}L47cTNG0!ZnC&){Ei74x0fkd(Q9<XL@Lnji(RppuFqU=R6;?>p5C3g+rqG
zuHJFaX9wyuV_dnrql>k6GWxLLFeAX5>98ippBV=763$uuMngb~5<uw8vPERT4;}!j
zjkg%a@mm1QtqB1VvNQ_czH$!RDh0+LHk@V}bcL;>Yk?#xkif_5Gu`nA0IV<2*|K<X
z3Gn`DVZZqqC+~X<Z~_tk8hLJQ5ikYX4CrC-2Rtg7T<|9o=oe63*Jiv6Y=;EZ_-zr?
z8!6NaGQecBQr5lPF3J~PB?-a^|HFgi)Rv@Bm}Nl6JfedU_`<&Zz}F`(c~M!j#rffH
z6C+N@w57hk*c$m#ij_&~{P`m+vFDsOL?-SzY6^(EE2LLsRy3F{jW^FdfBZ7*dX9FN
zvx+MkhtIPg-~YOvsITuE2LAhA_}@WwxoS1J3eR+A2n8YR=q%v?kQW~NM~Y?tv%UFu
zEcKr<$ltJt2)lK;3Tp_6tza*|i}HoF+#zQI%Xr@U$~)h<kqZ187#BZR;!hy41r9^2
z_;%sQufjp7tpqG)Khur?@(XD4<2PCP$}Ep?WUB;E9oUC@pqe2l?HlKOW9fgw$p3~V
z@HV^{C1sqE9;Q!v8it3}gi@>GK9A!k|9{OR9dZ>A$S1P`4C3t>lrJ!fNv8u02IAv?
zg-On%-yOZ%!`u40DjZD(<JJu45>gy&jud)GKBY{CNuDvkhs~_un|!u1$0H@yC(`9A
z#FIY%Qy23=Up|ZRHF`iR=f{5mZJgg90yz#U&jo@94nWTN4RCNe5UJX4@`2_g#zg3@
zC(|z7pF#tBF*Jt;f{X}1c8dusD)}o;*t_C*9SEwFgBQ`T-P0QfRQCmlP9%2V{rSIu
z7H8FVk9HDcC67JpU4H*MJxceGny6;5z$y8`mXkuhY7=^c{>>9SQXE}kU0V`4mEzai
zn>JK7y#0Xu!1or)iSX4dk$;nz{Wk0`7?;06cl=We_kX%}KO$JfDP7nIQk=2SNeW03
zxDgpv$>hvx9vYL&o|%`wuHtj=bz))#s!arw5M%b_=P>5qib<_+e*uLf#&=y|dwhx3
z%s{-)RBnl%@{_$zb71@pXfbR}rh?08?l#22-nbz8V`h>zK2U5;fpnzsQ@GN3u4K}3
ziG$S_<Vmj|Lkvv1m63Fe+rv)4MCJ&vzPawHz`0u`p_drBSZ~U^-Bo*;PiH+2)PAwd
zL!F(J>9w{g4D@W)&{SHAHyPqb4m7c*?t*n8toRnsLAxyaTO;TF*a8erdj~3kVu+_$
z;r*eA6E9$Y0*c0oE;^=J*f%O(iX$8L2!AF(G#)4^$`BFcO)TI}IlM}t#`vl5qH$FW
z%Q=!YnL~6^N0fheU}8tGRR>U)s6lO#eg#An>D9y~8d=FL;9w=7Z8ITT;|`qu5Cycw
z6x~_|;+BHAcGMEy9FL7790Zh3ppMy-(iXb<_vR$&^qTUwA}d@a7P_+K)_Q}2*0ty~
zOn-sTdR?e#2>_=V3IM0TUjbsVN8CT*2y$Rr*uYaD;Oj8ZJ_~eOyBU7=DUkg11w;%C
z2K=!2G4#?`?0h0IAMXwLng*S)kLk~jJAD`Err}3T^#E;p`6gdLX{OJJ<dK1^dap}U
zT<b(yEfymMGkjTC&;{?6-UZJvbi*P-nN?4lbFM_;17Jwt9H+Suf5Hg_VAccSIadK2
z{x*YPx~t1DPB_HBPQKiN!b}0Hez*uDFo%7;ZnSCP3fno2Y6b6qfGmP+6*$=w7z)_;
z9MEDP)Pd=Ygpoa5Aj-7Ew}%TjvBgp-{BgrsCapaL{6HCBi*r~dxDCQSQ~<c(Y1%?3
zfHXdF!Q#FD<PwmKB~DJBacj8_e9TOrCk{*8k+Nxkt|$J^T7YS4GvoNrd(hq)fc6v$
zzpnDzvWDYe>-7M%KhzIEd%0g%`E6Y=JAJ@|pd-iAKmZTo^tWYxqs?wP@M6+7;7|Gh
zw10o=E2(~6&%a?2W|cBl^9X2DU;{A6+xXo9;JkDbdVDf)Qo=vJvc^B%A+6(|Ew^R$
zEZN!$+`WpAW;`zBJg)%~*Zg?o#wL3pjjH{dfcb$Jo~xC|zy1%)PSHPd>6dJjqdcH`
zX8d=(0PcN$e4i}^-8|H0PPiKP1+=-&L3nI&P@k1s?xh~azACoAW^(<cq@Q4Ydd%I+
z6G<;+9D9$?<-1JKeH^Qn6nle9ORXM$3{CUc_&ENN>3_?vwOP#r)A<7%W|6G3?}&h1
zGo|-Rc3S>RyY?^2)qjF$vzIstdzu5HZO67J+TuDmn+Di=;IE?ejhp{ZwEy3T_MeBs
z6^a@`H_O+i+<6g?>SoV5lbD4lald_3D|XXk?j==+!SXw?u4)e_sQ0#lj3@HwxcugP
z7pn6=fD8YICA4^?mN>;J5^He)r#rPhK9YOgGvgmkOTz8{`6Bzzi6t<w?O<R@9eMqA
zHq9wM<|oWdF0##_4jF5m%a`vM&JN?-j1C<#gC#-Ng}7UO@%>~JKEMqrU<2U<wM&d2
zfOz_w;sXh}-n(K1)Fh$!K$aOW)0XfCymjr_@d~ge0EBp8)4c~Cd_F+{pv%_47m(RA
zGQmARjY#=cFEmQM;#yUi=AC2^+g(u8_A`=sv~mDRp<)OB_D}%F=e%4|b`7&>dy|Xy
z?-e?*U6|9vrwm4E^U2f&wZw7sfN_`ciRlTf6J0*l(X#fj#!(3d;Dty+)n+b-vi_B^
z$xC%C;X<~w;zvr{fs-=JnvARb29{4Y9Pc>&fh$te?7krR0Ye6bd@D$fVCwc&kz*67
z6C{UPEh*3OJy$|MGY7%v6twEP_!k`ze^}1&bD2k{;fPG0|MMHZl*DgyobFzKNM1l3
z2&%8Bu+F+-q8KT1G@>Dy6R#wrB%Qk9?yr3EcPb<tVYIMmqi*mLIXS_f4dlKFvU*n<
z=Rr^1Z6&%@SnJ3WlfWvWrStaO3o$2=CJu2ehg-J7rAT>P$;eDpGLHl&Fr^Zb=8axa
z*i8Vi@#SH1+~gDxv)04`pE{5~=lkV6zx!}`{}*p9X94JXd@uRmbfgrH$Nf}Nz{)ff
z3*TS>klGtQ@J=5i8n(XtQyoG0f77GnsyS3k^o^VRkjB$`@>gD|#bW%41SWx*8JMk~
zlGuteKu&RP_@^W-bJmtw*6tA-@M=&PK}6x#RsXuqh`}B1qsvudlkqPq{g&B_&};AL
zFA$l+g;q6L9n_l7d~%zIpAd>l<sh3bx8+SK>6g-7T(#J^80nCqSyp1!k6tZF!rR!7
z?<0ffn{RZ8nERf<|Cu!XbE^V|i!W+bTyd@hTA&mj-%SVFhML?bWp^#MdVuN-07CCo
zXFM8KBY30ssxu<FVfS=loi4S6oxSP|jeY#^Jum&!Eeiji`XK66;l<n5cgc{(dy{Jc
zf{Cq~PW!BWn(O|3c0DhgV|{|bpnXl>p|JsaKk}l^T+{Kl$v&(3R3YxY+1GDsjH0c9
z#KoGtW|n#e*X7%;mia}5du*-@&%dU}XqW*A&oHp-1!%fwE&GFSd^$jqJrnTu4S<XC
z4ZuYr1I4A);$3kDt^P4(PnVFX7`8@Pn-Dyw{KeX8`z%REcp2Ib3n|psU2@>~{?pa{
zx<M=TSAO^T_V0h*zC}QldJx(=g+>VA@Jx#ES5Y%>jNZ1orTxmZ<iF~X?EUZfAEN*M
zoUH!)wK7Dt#MXE-6lwf;MDco|+TI{*ia*lIoKr;wJ)0**Admn)-hSaw);Ly70rK;?
zGw)J2lN__fJwsSm5tSlcZ>Gg0qx{RdRk6cG`gAL%+D83fQ=A7Ja0D6QaqJctD|&sO
zr+UD_5OV+xR6@3nV@WjjMSTy>gyB7`3^$j+8#YeB6_M%CcAQ$zgFai^X(ZBOOhR4n
z(QM=RyT>LZQUhllvm|gKnX4I<Y<+nR%-?@{58kKkEx*%dG~fMy<UdZcQE#+K_Sq$e
z*wy_s*7q0~zh>tihSdGeWS;w8n+L-8z38u2^S{fw|7UXdpIa3$_;*nY82r2F0@N-(
z&S3>=7eCoEa(++G@2n$psFV}~_!g07Nm=CNsEOjFl7m_j9gOloFxToG<o9fU^?Qk!
zm2+V*`ljrEP=^Y@v~yC1SWFUt^#XsbHT~DmeX9QnoLX8*I<GHitH!#LAcVrN-MCCE
z+Y7Snx&s*%=%bBPBrF%n519l9e-8F^C3r_)?9N{04HKgac|trjfE{e>T~Vhi=QF*V
zBON^K7<o)0U-^{Z<7ZJGh=hq5%;P?V%rNI&XP}~j$Ky(RMaDBHk`V40eK!`Fn*0;i
zSa2h@Wt1jToGny{t?HiThe()+{OA+0yN8(gWL4c(mFAJkKHyI?D+CvAt1>ZxvTIj9
z$-ielM9Y2bgS~vWg`pWt|Bn2=T+nw#C%+Uu%-{2q*a#st({C&oY19@UEk4{Z6)39W
zuMuo}MclVE@yugpH)MQo<Y_;idL{8G8PBdFYwVzy_P(0lUv!lt{7f%0K-l?AQ}=oL
zWb(~O*Ywet=3u?Vz{NFjwF2ec9q^~~;QBOlL&pki+Id$#-wlY!-Uq;xUyJR9qj?LW
z66f`G1S16^BI@N87^nJ^&1o${t%^sv9F%fa)6~|W1ypz9|0smVFju>ZIgK|1tUe1+
zW7A?N1#m?51gsAGez6vRY6Ga!c$EJ_;u{J0tWck~nRY!d19i}mzHFUky$KDZ3?=WM
zdgff<Yp(5lc;H9@RYw4Up|Xr1s4ejsT#IyNssk#aAN%V8kfROA>=C1Ox;Afs@i;>V
z@>iV%x$SfRkyn{VXRC7&hzI%xJ2XhPr{%DCOd(0CH;Cy8lLi2gI!d<BVG-ohh7L6H
z{mzg!hj;ypx2sZ*<(Y))WTYf8D1$T}-oH$L(wan`F_^Rc)<YEzbF;w)3jeg@LeY1l
zl!k^}F6LCN{Nfk`iBB55?+R*hmTC8}E?}_eJVJ9`&ac<nn!VJATq=!SV)nZycj&qN
z|3}_;$2FO4YX?zLQ4kcQqg3hAJ19yQkS+v>ib(IhMnO@!geEo8rABJ#vCym3NLPAC
zI)o&CFK2XS@XUASoO|b<nRD+S{s``b?04_A*Lv34Ydy>Kp(9M0y$@y`7;{SZT=Ya@
zO_>$WP%K9?Q&U`>qq@y?rWN(&`67JtptkP~fU{|a7hXX3w(F*>w+tDZ>!uhFkILjc
z1<<+m%C-OLQE&;mEVc~AlQ&Kt`zc41ZyheeAK8`4yq5lLevG=Q*pL-@ja?<zF3f4*
z4&f7^qr!ezYx;bpjkj4w?aM41hLo}T;^s`2=gBo#J`r@?A+oydk~T{<7uD?(MR7E3
zmLg3<qmnwN$K~5C+yx=~J(Al{bUPGt7PxjH$jctlFiRa9HB@7*nV30IU&OCJq^G6N
zoJ5}MxFpR5%V(D84(;zxi5QTbaq53;ZpfcdRnm>AkHC}DJL3aHfr}P$z;V(4Cdyn3
zrLvK}&9a{_p=IX|D!?D{;e~v)Ndc!AJreNe4I=ZbhuXawmK+y$nnN5f>Vo4yXPO>$
z{IIS-)%x{9=m((K8@Tp?U@b6NjCfx}B~iZxlxhF@f7Bq54P!E(H}Iwq;X^zOt2aWm
z`wSk}ka<Y@E+Q9*BFzr%Kljypy3SWgoNg^qFjINg+BIiP<0zWHiCe3)Jv{Rv=QXj5
z;U|OxnMoCZ>$SIRQ6<GorqAAYKcQ~DqMWg`R86Hj<__c!{B&_=6o<d+hT7T?#RpLQ
zkgZ^PkgX7h^Crd7(*H1&32hpIZ!OE>gS`%+)4#h%@nul-KchZ#!D2S>gOFD0`%8if
z8UEr#N5dt_CD9_6IK&hTv$h~?omTV8@A!1aP|mbKzg?0_z^Vg!9D5SFmi<jW8ULDF
z8ELPLE_<=^oDJdga`>GCuaVO|Ee(iv!Vh{$=Pzj@{yT>25_$)zKO~=lj1x3c;$^$l
z(`@vpTt>j#$@;eT6JZOMxX65tcL}BBs?F_r+}~iw7Nftfk33+iukT5NyaRCCm=DJv
z6zyz|1I6GW!0Y5Vpw|Uv7ohqlf5<t9)5q5U*TGS@juX}M9TXJ}LvXPV#ae-CsH`Zv
zX0?pMchBq1NUm6WQA{i7D~hn6IekOi45j!nha$bU*JxB^hlnho^MeEwz6id@Z_=x@
z<aFmXS!#YPY5+Bj1FLsVF2%hbIX^xvW?R|l;A<VaIm9Xvk|uT5PW3_a(6GU~l$SCi
z4}B{#7es46v@J$gC7XyP2;J_dFxa8H+{8|}r`i8{F4FLKpw@Wb1K9Yr51B#bRYA|h
zx?Hhygp?CA;L4*>S6DzVIiG+?-kc`5O7>5ML@nRSB#T6zNuJud)pDjDMo3ZBOeN7M
zS@kLG=|-WYLcUeyTebvMeOtuTeo{}Eu5RZ^p&r9(TPXX8ePk+-js)C)eg%Pm1dQ<B
znR+NEU|`_~38z+HL4eU^Kd5^8;ceX=&+tGP`;jdEhIrmr(DzR;C;+>04LGS-0u7+#
zNQ8M$AAc06IXydrzyfbO0>wV_q+!Gz1%hT@fX3s?bRQ$8|CTX3bv*&Kj=-KgsJ)u9
zfNqi<7<nMxHd*R?Pc{aiR|2Y@NPd}4?B)xXyZHuGtE=(&ZiWv7N(7QmwP%w2bbj;Q
zF;Zcqc>e>tb_9-f3%61H{!JZ_Z}U4>^hIkZI}oc?uB|4-V;=G};S#MvHOusQK_aoP
zqljx=QXU40QT3m3X(cl~L*utsmI`(RKfDvK()H|7A2Jx*Urj?!IF229dXv?kAOBp}
zAN>6P#_eFORi~#KW!vBX<kv-dq=l40YZ7mWfkyq-<_1yZS4oGo3F*5RH`~sHX%>(X
z@X=mu*&re6NC_-SvhGvi<>1P$p6kVHvD0jktAG1No2i=Q_0L%(apP<ASwI*AC=Bc_
zzD4Mgmr>New90zg6j`B6#ivE_NNi`K7(t{J{Ss-J&iTQWLxtEafqh*_7IWeNTb%+5
z8GhMf7H9qMa{9YMaQ4vO5HDi<F8jjJ??T%A0Z5Hu1~AY=j)S_LU!4`YexO6=PjX7i
zd>wEI!T^T=lzmWnkx2Gk_Wy;+kdHXbjep#^2pPB8zhNMI?`A;xO~)CWH;{+$%hO%@
zS%As2|G5JypJ(*e-FF5|eOmRjCP$=P(I8Eh($Ps)Up3tmDrZZP)lhGn+_TY_EbZO!
z+k@)mBHv*AZ-h{Fvw8}bY)mUFYXZR*hHPZR+`-Pwb=L&=%r}>ff`wDX#WH%`M+<}8
ze8Y8VJ|rb+Syr#0Z&b_F`yDza`oCzB!e0*fzfr*d41Gh^+m(GiL(SY=y01sgk?wJu
zUD1;h#U!1B>>k)01z|H?bxW2gL&;Tp){mND`oH?Ey)Y`{o6Wp@WTpgQ;A#5`!t4RL
zUvfe}g#31}UY4k>CFrJ2G-#A_p4-)1vgJC}@(Q^Eao>|W>e9RUd2jnNFY^ppw3gDV
zX!(z31z~@!Cx4OKpg&r;|D7id$s!@TE&W<_XIQ42m-J&4%W{O2CrbS*2<QA;2Q%lN
zus(k=;rzp<6J*y(PrHQNw_klGGU+IybVceT#&0LZasD@Tz4pIP8U8!v<#){lP=!Yl
z$_qEct;w1v2HX#b-~f&xkFRX=F9+t?FN*&EvON7h)?=+Tq^DZEkfpjm4J}o!;DMbb
znf$PqE*tY(z>tMlB*xOzm%glHs%PX~(Ph}6sb&z_x>95{d$!k8n%*QMn6l)`xI#7W
zRK-Bj#1u?<+zlf<=q`|#zwk>mDi<)^Bham7B7E>acYpE+q;{O(DeWQ#TWsq~bf%w)
zj#DyFYv^e}5drbcM#UM9N7s$I?*w}=Dkv8gWIa}5jo1kuoV!Ly#Z0u8`pmy?e!E;S
zuP7FC!=m*(*VNQmukbolT&>p&BbMms_>IAEQwky~fG8iJ_uhOx<l;ZORJfnC2{PZ<
zOs9PsTr-K%TCcImrZ~wX0X0VPwW;2`v9FnM!+`k_jh;UBnMZG!KfsQ8g4qCu3KwbI
z5CrYC0S1pHD%~wbmJ7Z!^Sq8~C9s)vo=n@pHc<BOf!8537kc~TKYa3xr^G5<$<(7z
zW)bi7({)ZVWj~rk)<6%+f!bT2DM*y-nrWe&C%8$tai!CFIn=a_bfOp2O)^A&efzf1
z9BV=gFO5O6K}f|NkjV?NF7{W#dNt7ix=CbDzu#kVNpC7>(D2+Pd54EeIZ2TlcG9Hs
zjf#dL`#Ht22+Wf7W{7y<w!BdHjUokuA)!;$(F_F_7h9Upgu!`M=;WZfkPod1Bg130
z)z5D_oo8_o6H9~FpkK^pc7XS7r69CQL!D%^=SR=RCrdo4b{s}iH+|NoZ5=q?r~9$K
zr{JaNa}(8zDJP=Ko8O=K_?l|}y%gCVT;0-p*c~}Ts)%?Il71E4JB%w-drlw0A4cJR
zKh!MA%hzkI;qrd#r%k3rHUE|Y$?B)%CC|yl0*<5xPr=^PlTrzNUKL))${Dg5*7Vr)
z&%neJBt7m&i?0_?THZQ;=A+c>+3>v_jT_@}i}>q{_XYP)QNS)w)!spq7Sml%n$~?}
zpBPai?YOEo?}~=J1A^qN<5`ar%#sr=BepC0N-NEte=((vAvEvh9%Sens#3Pcy8{hx
z>M6sJI54!Gwfhd)Thexz!hLYOz9m86n9KuR5M`tDKy28kt$m*e)zE4F_UA1=*E`tb
zC_e@ezI(2G=Aq2pUfD2GMAsM89uYiyf;h{d&5}U5rjq8EC5_IzLbKs&NmSMqS+<>h
z6xUOY8u<@tmMXqke!;r(6#_EK(>k*4HRhZeCNa{oh^E(Pl{0L5X;wS#GI5sN_<U_h
zoq*8MZZbF6{#wR^4;KOSFDh&UeJ7b_cQjP>If-NeNC0fjrJvwvIn!7tm#-xWrLkk@
zyv81pEzOaM;7f!!AoYz4<+k;@MRF+7=H+5xJ711}sR?y*@P(ez+)1ld0lH{r4@&hm
z^1KV-FgQsvUPnM*XXhcV{&7(S<Lrfx#nzV<ZvyQ`H<>KBCrj0?4l<vl<llB$EmJl>
z8t#mxyT6mGI;OdbSH(6|p%7cU5sB)h$e1PU%cbrPrq0_dYEK^0J4Qq$g>R;>@>K&Q
zB2T#r_EEj~lMv0a@^IQGfdsUMMkm|@@0lGHWoM^u-EK0}uZ4RD*{<Jg&H9-Eg$hcs
zyY?UyH`$&3+*ddAE+)7W@zxIGi=nSL!+#3eniQ9>4cjxe@?0HWm7QII?(bS`12Ll*
z-?z9_fRq7VeBi6^C2CruG0?y?Yue#9>%_!Z<(Y6rxi<1?kR$LOgztOymDI_a#%P_C
z1Sn+cZV=E}>*d12M1k9?FBoTIJHKO?IUO743Iy2nYTh}!pjEfC)bftrlY8Y(2NMuw
z)LdWdEPl-FZYyrTQ4N8AMnrbdXvW6sSApHRg*QKZY%1tL>_(Oj_MDAf%q0_$tjQhp
zt+*o<E9+wJFdrKGswrNsbve(s1)pO-V$#XWtHN~ys7ysE#IyET#R{}#sZH2=smZ5R
zrJRJ}VlTcf4X|csi6s+nz8e~T=B8y%9t*-^d<H$0fK}br*^4R<8}w~KbdXcj!DeR0
z_{evkfR!sP?AxqP`+O-<zGSgWD{$9=V6>0nBUdb4T{n;LR?oox3SzijGo9K{MBfLo
zikx;`oYJ?RPc4c7CqmYkElV;k@t}2>?z?;H7VkT}@#OZK>-^kHEplk)aPtcnSSiw=
z0g&{YwU(6W<^^vvjd!Dr61EjmEHW;%Um83eE+S+O%v@ITAvGOMS1hlnvPBeBq<mA&
zPbRZUr?<A$u5Crl&*Bq6rOds?hdV8ijX&Ok7usX~2sbBbUi9Iapv6JnCzNGwb+m8H
z4{VITcjaDMK};`SJvn77`QohCa|Qk`5`oS0oV{*N(RXkxd+_n*IBSuD!sPQDjlFt3
z<1t@B?V0JLi-vXaM}sD6EqQ19J{5DKNvG3Vs%JW}MSF@=R6AV^5hYJ1U9*sT2J`FU
zo_C_*oZUv?QIqBL-c@d_@&uQ)WMaH+CIED%6x6aE-d?>%Qsee^swZ1TAjy=ab_zbx
zk2<rw&YOApnyS1<Eff(YlrGx65Mk;(0Xd5tay2L&7LB-dcl!JgVe<*ebz?DFF9s{-
zW0%=r)#?y;jbwOM;^Um&5Zi(aJa}*10IgL*!D3Tp(}b^^^v&zmQc=SDLfj=nrWWca
zI9b)*={|ko(l94^u$<=RyKOtX;&whq;p7<SHZ%a5W^<=D#hYm0B^s+#PC0)C;5e2u
zb5{&f7!>(LILjdmtO;65kwr%*!7$T45t`;(MLDM!|E_huE=3I=W_Me+CVYK>+DC1I
zEkdtC$%fkX`0+DqicImxN$?M@JwmQTH9FAcu#9jQR>DWUeYbsGdY!~M);B8VGxryP
ziTh=9<?g1}JB)Gi*5(vmeEb{<BZwl0d10n{ZUyMSo>t(G3Q?6?4V2Q&Yk@k_Z>M_u
zqz$M(gH6njlHcZccpQ-Ae|N|+AW)1c%R#Rj3Z~Y{rnLY9n?!QbgoXpI%7v3vYYIqO
zc}t-+ZhhYC#mpj9v3rX2kGj+)`YYt+w8{stJvcQAG4Vzo9#t>tv9i4R=SnGD1F#ia
zbz-Ih2fQJ7Vx7%u8)LNNqX?fhlg<la0}12P=Go0Dw^`X+OZEAP2WMaVTAhK`hupP`
zI#~eMVbgc<h_;Qg1Iai=ttZ(^JJ&nO%PVK*>g^#h?8B*fsSM`CTv-@TQH*fqUA=Y5
zw%cZFqR$ef4L@IcZPrIcCE7q2e4k2kFaG%P@hT1KH%=K*4#ib7P}k+1!L44k=Bezq
z&dIE})q>R>15Bi0R-V_|yyGt1I70jEP!~&wUk5RF2i4Fy|5HKQ$xUKXrx3s1_>)?p
z=W29;Jh0<mK{r0W^_JQuEw~0~9vhyXyTT<4k6TwF$is?l-w<_b`Duq`7kY#%y~+_P
zQyB+};BV}^@O+36d=k^^E0u_&8R=LvDJz(Fk98Q_eX4&&^+DVTleL|g=Ou*`Ct5&{
z;C2C-3>|C}J>6wH0^%H{2@zZ9HLhLzdc(TZ31T%#t^P8uYUyiXNk!6=k>$GlD|^KP
z)jOxd)3O{@M3sWxaq?sqiG)l@o7bCg2NREzSq*4HjBst@<0lO27W^lzHbOTduIuGA
z#mpDmujmu0lPTY>Hh0hI$a+s#$Bagm@^P>5eu{KGPR3LZnKD~YCX>AsC?F<;gW0uk
zWimD(T7-8If)G;@Yp`nBuH|lN$h3V%WhFACzV4D<kDVdy`cMF)6t|X=q0I627e*j=
zSEBOM>+j=+ShMP?499)@_dSD!Ot}<VS7UJ7*uq+$;ALMS5&lJIYdnjsh|6Qvs)ffl
z!&f=WA$C-xtP!KsBNC=;{Zt#54UEg|D`oq6_M?_2CZ^I#HNIGHTEdLka!k3a?vJsd
zGx`j<az4fL4c;RrRuU<8QoegGDUho9Q(t33Lb1wr7~a-T8Bvci(}<U}7>yfAz;<5(
z&-2>ZPOR}g(=APm4aAneZ0aID52K8DRKj)vL_d0M*}w8`+cJ4C#F)pvZUI-*K*JxC
zZsy*K-}lousZ&rdDzF-;lF~1y*)G0Vd$x_H%Wsv%s6Dhga3rjI_T_TZc?m}?M@tv1
z)}5TU4XSZf`>0Ps&OrD%)*}udNU!}BM0g*#OBQGTXwpd^iJX8^SOpcN8CdBLxn@Kv
zI!+IdT)EgO^I`Apt$Lw$g2^XFn7-b}wyRL%)1TFx77BrCW4Q^V1)|1*1ZEFp{gKYk
zcepMm*E9++A({Oy#7Yk{F6-`#?Ju;A&S3fQkS`4t+M1!Tu~Eq<$z$0fiKm@*BTVog
zYDy}q{pI_pZiJ9QBja*dZeR}<t#@0wnx!xrN{M1YPt>$+UzsT@t%d}`LzL?DFsg}(
z9yO{H6t-;BsVJUT{2T8YSe~SRvT1qphRFSv_nj_oao;pU>&481olyw#MY@7OsZHS?
zWZb@W5#3yf?!9ixfD}PZDD;{u$$<-B-DqA}&okTp{BZp?t~>dCmVm8hgus)SVZHq*
zoYr`y%pYa7Pxq|~6Z3F^MN%%I4QG{Ocz9{Nx6LBsn>ruf$-X>!6nWHHyN$?_H68WY
zz7xQ&0=i;`9bAL5C~RT)bv$_&a54SieJ=Eq4SZ4qCLJ*NzF5R{oWg4HZbpmQE0ZDN
z(N`4}9xF*w#R!Zzw}QZla=lrW3wCNZ?-Z_}0L`i`rX4nl-a;){5LeHo1#Z=7OwfkN
z_uxkbh#LbHO+}+A)Qv8;5V5;ml{)>&^0FMSq3!)TD-0H~%O|MR6B_NJ?>;{W+2qX~
zQ}nbxUB|X|PBG7jMdWl<0O9f7^vIh5L{PztZ-#f2C&f<b!0agXjk;E9>-J@;EGwL}
zr)M2|Rd)t<_VaN{1=_l`9-9?djl!m!XSU|B2dD>6VjqOso_u@kMo#Bs62me5lBa@h
z-I6l<BFj6HNB=S=FGCMW6Rb=}R4*|(J}htPE-1Y(M4o|QxW%sdh;#k+EYYJIpDEm8
zgNDC&;TBgoZUy@ks{r+q2ex_=bqMuT{)BpD5B;Z;;%jd)Hy{V+q<Ii@?ry*A7ZHuM
z^q+Q`bXQdh$eg%Nk^@{k6+^@5B9|CC>47_Zg9!+R%2fk<CJJjY6>(?VVJYCSs3@{{
z6O}x@&-nL;UOGwg;I6Zz9<_O80lrJizT4fx@OJ6*Amx_*`Q2dYd)s1LI5jdmmQ#72
z7eRJXB;URuWJol)%)LiAu%eI8&d&A{IMFhz%5D8cl-<X!i_4YVCzy|2K&ZrDGq%Lp
zW2jq`?D7x9Pfl9YaKK*3SZ_t+ytTEn8M!i@s?$CdHJLI`wQRjdQ=K<$G*eoFvF)zx
zI=;%1#=&XY(q?=_V$q;K^@C7{g6#Rl&>d}6y3d7lC$^97r$ak-x>!OnBpEKBvSLbs
z=y?d_WsH~@vb8Wd%yMPLWih031yB-_i6@SwT@J^-=Mw5(<#w(C9YMBrX?9Tyy=rKK
zwXUdnfj8!dUwMm(ZOHQl0;wqlyRgwePo>Md)g{nUZB>?i1(Ay+O30Cqy2`OBy2pU|
zkf(`YO)H@*^!!m)p`Ov)g+s^@_(CkMW!urwNz;&hR~RGI9zkv`!`!{v^Ts1Y`@utH
zS|#2o+SU%HDeTxoy<3{m+C@&8YSNU+(hRT61F`aX3k(-ad&_vhTGb_eRD*5j#>8pO
zv>8?tnYmC7%?p>4w8DA>l9<wlz~?OLm<N31Cz@Z@u2B}4QEYXMlq7$7lDP7UR|Fx}
zCAKMSmE>uX$cCSGaQS>bCeU)IvBWcD0nIlNW@~4Yt{*I1=^J|b+2hzz_{1Dhoi}BU
zf{KXBa^_ApU>0yfE;+g3BCET!|FR)}Y|*F}7BkMZzr1JcwMQ|8v9K{6m;nIskj?xF
zRoDI#@$_T5HgzLc7*rXn1S?z`)pGe~ZWUKsjXObe!8`1O4Gk^w7VuB#xn7nkHLXSi
zmzEkegz~FWeeJgbkm7nu%ICKCr(o~c#ZU7`zRd{}7QP>|Op6`DMnQu7THyk2-PL@R
z!h30Q$R4AS;Re71$g>pXIkRVbEh2@IgN28Y@WD-9kYrWB@G+-<fIvbc&MtZtWJP9-
zSq^QNz8()UBbMH;3G#oX^Ln`AxE}K(^aj09Mz3o2L=^5us#@MUP^bQ7KtO1fX4k(<
ztE?*Vc3<H=|ND`jyaz>EU;67zrI7G{*h=jU(R-b#az@znx;9!^6+)qw!B(3yc-x?B
z`*K5RyGqlAmA<8smqn*eP90H}a>-3s7j#s!$HcEUMI|Ey?F4-pUTurYu&xpNX6q)Z
zm3V9&XV6r8DfKV_#oGG_nL3kpMa$okt8{wDWp5B;Sy}qO!mG*9%gG(9@iOvbN1OK5
zi<GnoLQ3S?1QkRcfAUY$nRV<WJ(4&0KEB!_8Sb0twx8^;lYVrS!dvz&s#`?6ZonQb
zAE(_bJnDMS6?Tq(>}8LHgeqw}wcM5g5!Gahy0wgaW=}t4&3cok+kZw+KLokwcXQ^j
zX#gDJ2*4p8MEmIG6$D1H#-@5(pxx3YK2KITthb`(ri!SVnFhg0&y6dE`TeV_@JQUv
z&+4M?5TGRf)&8=+Rykbp<ix1Y@c8gav*`BW5ApQseH;9bN?q-|);`$M+;Xkt$$|%K
zY;7Rp)vxGO?&(9`phL$MbEU(&f_&u0E&Ctz+KfqGdm626L3;7xYKzM!+d0qt^g}Le
z9H+~~u(f;}H03MEHE`&6U76lruh|n$O@H^`TKK2&xkM^H^OUz+uY>`8$MdA>CR@4h
zt$5?^3C(h~3eaAwMyq4#Ru@?d1qEAd4tQpK4sQ3Pifjh(s_e6)py}XP3VY_7vOvf=
zkD&DaLUP*U#@8MN_-Hm~T?;_PKfhzUpRtxi#HlPw%vET<V>0dwHx?QRSMjM?k+Af`
zi~&=J=bRUn2-S;=WIVeV_G)nc9!Al7+rmhh>Rqm@R^2`N;cz7>lFKn-Sxcqr;{oVZ
zhyyP4-ZD!W>eKQbTc_k<OREZ#egareTG15Fejv(mu6N~9AK$&2SWBKlUiscV7&l9m
z&wC=q`I{$La1D)|Iu`_cG5E-YYzDUi98{?~d(<i}*3cM@Wi{M5+0kpvHxw_DPZo44
zb;L=jdBj(-tw}=VY}jb&G^%si4;omf5SdABY37}Ybb1d_I}_?P0@ObQ(W)h1I%!vx
zdXBlewIFUdkg&ycN5P%sMav<h(eM}XVE%jNt_d*IcUgw+;}3i<8rsUAd@sUZ`(6V+
zMbovhL82Sh_BYU5IoU;7Q~5w3Y9<PjAf60vkYS3rT^lY9)xH4^I+8o41fq=~Oz??Z
zQUn0gL2}yPLn9(AYYHnsl<*W7elsZB7_PKU3Y^w|Baj34VYMeN1G#mmoL3@Wl89S~
z*jQP%w#2^yMIh(%e*zNzx1al*R-b*DG+U4Lep^VTo@cbwdTHnd8*^&*Z1#;@Wu9P7
z)%$-aL%Ny4Zuv~>JFXigie$Wm)WNe~hM>t78C9@e!7^!eH7%Ev+wTMlM~*iy_&zP*
zXpw%zWoLd9QIv8n=&Gb&S$|-IgvsLYx)j#!h}e_%SQH$~(1lT^OmLac4A&z!;);P?
zb%v3Oby+o*nQQLRQ`rbvD7IHpG{sm;T@p{U;7NH%H@PN;%_GV!>O)p2`a04*Z-#w-
zY5dATj9Q!lrvWjvn_uix&MIXyHe9AE_B^F7vs`-Th@k)RF;Zzop+I3i${R`u-I?Gk
zZb!`&sm1pjl$oQNYH5yVJk`0PP;@&rSeW%`5_3#UgsbgC#I@d@u<LR{{e0wvCnDWq
z?vI#i4XZnek|jBLclW#<n2gh})g`VIId%Tbhja!pA{JM|)J1j72v6oiV}s^K>sP#H
z6>i)sQMpHyyVRaCCbO;#M>AB1up!)8#_U&)K0*>vt_5}#%FW866ZCrCC?v!TUAfB?
zHT|sazFup<a}q_dN$UAW^SXX7xxJD{oK!Em@CdH@u5le1Stp=l&`?p8zfXEAO1nBi
zRN0tLl9Dw|>rGTtKqx}yMLP01sqF(C+*{=_c922qZb|40)q8WoLa$p#ZF5YdTDa8W
z!ml$Wrw58{Z_~L<L@Z+V->{)zH^^SDPp<1R)jAQhV22YJ5SZmV_`0==eZ02mWQ~i{
zq1XtTs-#Krqjb3Ly<3DC{d{H#;wd&YYPBQ{RAPi#(&znjpb+#JgIq)I*md$7uva?!
zm0`+_%O6{)8$Fv;Kn??Z*P1N?`AbhQznc`}6#1;d9vKX}pO86HzD(GhNiA2j-X};9
zYE~5W!Bt55YR*A%4nbueeI0%GiN>qZ>w=>eM}h|IZ;n}7xZ4r~8N+Lz9`%M*G%tDI
zVz{Acb>T{WYkt`5S5Q75d8Hjri5(#XRwcoVcv~S0re`!?gnyE|+|np8;xppd>5b_I
zEc-xpNajN;bclGgQatAc%b-&riMv2x^X}r}RcVDVm8Gj+_9_hoD`JjSE{K|5WcWnG
zllj!wj<o^T(WiR8=SZ&eJI+|KMrA^7$B~(*c@?q24OTz%=Mxf6Oy!XVyXZTpK<~@Z
zkz}eipTGvaN-|38k+{;Y%h?@WzBrQ-=F{K(#s;|VPuT#-mLdUOOs!h=wpAb<%(^82
zxQu}T3h@HasKDy2XL?uq84-+i^J9UaJF&DnPQ=H_{ZEJVo$zdF?BtJ%4^FVHtAl=#
zzR9ni=&(&sk!Xc>x5J2b4?CNn>kW@3KS|Euxw6k(eNz2}suI0ibG{53c{-g4<k(A;
z(4($3>Qasq#u?+(Z&x;0RE_)P**y-K@I9gW)RLt{CycqsmT!lDi6!r}u{}FC?)I!_
zm(3|{b)3ETb}`c0*1nQ(#yYljs9>kMdXB$FBU9N{q66wK+@8lt+|(1-5ypD2GcV+M
z1#4n1Oq7mHbxfHxtIe--5wosTXSv~Q;Of0JgtV)VnCRe0W&u|}8)<z|W!9sQ;}1U1
zc5@^pxATN?`Ye0lm2ttk;Gub6;qgtRwS|has(fL>c=@R8XUwxY_79IM++eE0N<EU4
zJzqi24DVE4Hw>&hb@hk4CvCsU6j~kFL0NOTl@IJchYK2&>-F2$j*iPU#!dK&sP5{n
z+S&23#z*o__w*@+aILsdRSXeukHoOpiRF}5YN@7ySIu30W%F3{g+?m#t7^Ax!AR5C
zebGSF91jpXDwf5|s;@5t*~D)sW(NW0y)PtP?;_`UKGRzYwV1p4i>pnyZ|9v$^klkQ
zcGYb}r>WwIelIf%Ixf6`sy|buY?&>gybcp>Gd5(<;ySi%%bev8=boO%`<Vpt!V4{E
z9>i^Pd9KvFb%^6~8M0s1y3yH4RW;nGam=wx905-7vM9y5*CpGUPdN=4j`FyS<3YxY
zCUn&)9U*EIW$C3MmXz_&MnC$uH!XuJ-)-JGew<&0!~y8&ZBaJ-Wo7l%)GSLcxVqLI
zOZ>C}!%>^CY$zWyYyAQ>p{ImQ4y3u!F*x;!PDG#c$}zQ!^wydI9K)jhF6YYonM8L>
zm&Mxhd7LX(c2H?W0dqLL+&WhV8AqKlhtKToXZ$%GEk;N3y01wpDCf<<MTKK`*6jw+
z)80FkGW%-6-39yAbphk`L+1n<!&vmdEXv&4s<^)D<_Fw<{U~uA=F|M8rgdh{h8>n^
zqx0sj7~C>NhqfQGcD1&@+KnNFrzduLg<-*yPI+c%rAMFA=IllOM@|x)FW;{)UX*r@
ztSl?UEc)$legy?qzm9@g%bVHqWhJ@*_p1C`l2DSw3<0aE7qaG0vy!PNTaBP?K+|iB
zooXn=!Nfj$63AXoROpiuPU{jHbH%N~sUE>pPfXU^EY5tc8Myf3aZn>^SDzLv`WY+<
zh1fkZm+&-jQ#I(pxlt49dlpKSy&k%Ebc>4Tz5Er0_2an0V(Xv+wRkfwTR=a7icAZ4
zq3XQ}JwxEf&Q3^WM_htW^VH2HW*nD2HS=??jfC@Al|Cqjo!)|cY{1w~so@?VT5QmB
z7B|~ygdz=WB3X*m_;$2bFTE(**TYWV3cb?U9locUFkr1lxvDCOK(AU9S|x7PuKKPN
z48Pu7vx`RZIqYaRPiTms-Cz|ZcODUh5=VCH9%ppeBUnrd>nLzXgLlTWvBIN|lcEf{
zxGa5zhO@Ear#uo>gL}k2_wg}RT_aDN?uqMkeHK8IG!pZMv-bSC()$U82j|xw%C>Cl
zr;qXu83%$^!YFt558k_pau05IX9Tz14`L0rgjU6Qo^FU3Le6qNz8feK>fT;xZBQTt
zuH{}qL2A<~bL|IqYImxTb`6lTMsr@J193=+7_8aymmtb`D&4Cq6juemfT-wFKrB<;
zs|(}Cen4$Lf`?pHLw5I=8t>}0Zu`J=YhS3N>rp3KmqfK^m!s29e2n7q9pD@#RZ&w>
zX^-bnHb6At2_C$^^`$&!f^pfJgHNT2A2v4RD<#p;sbglRQ$8k<;vJkZ6Q#zZ>)&e$
z0W|VM0|2i^$brRqKF4|}hb!HUajB`T(W0xmWSw@!Y2@`iK%1#-V5_#>jU_B+???Ld
z&eX>FV#=(SfnAQl8e|G)gt$A>#@|HWtWx<3%Ho%M+>#j8Z}675)Msj|k#@$t8*I#%
zRgI5bwmq8E(`}uFTt;f_`lay&ZC>J~&wzDk357S5qDGot!}9rEYm!Q~Op|A&UvsEQ
z0-HP3I$BUxH^ttL=7}i-uL7%X>H$}Q4Y?-ZSFGdpbS^|>@+H!!G$iX^xa6QJstaf{
zrnnlIs#+{A8ND@iFx#2pg`L4NyU`%Vh6HU1C8Rr9Wpsy2TLuDSdj9gw_)2Nrq_fqE
zof<}W>&$&A%6c%?sCm1A579HRA;!We+bZb$EiNn3Dw4dc>Vrf94~7$IfoFu}>R+l{
zr7b)u&Kks861B(Yw~SiMz5_IXRbAcN=CAAcjKC0E!FRbM08-IzPd6;|)3Kk_<{2JS
z%`ctEoUm(SnC@bo_w>O60$i`3ISMS-n;709*l~ubTV7L<=DlGm);Nxy9;q2){W8CH
ztg!UeymT&8hN+tG<Cg>{J7%0fgoUIIRtU+KVQ;+T<|1^RV?*rKpyk2gGsm)ckq@@m
zpDuZK)9L!@yv*t4iRaVZ#KvR+vC{!$6`z9j;nV1ns`*|GNtWOlxbRZ-YJVQYe)lNi
zU$Rduzgi<QAC1(L4$CaDR*@NQJel|z>Blj5Lkgy*;dykc;>(XzEXNC0{RZ@wZ3}iT
z$vmjEDaal726Q8BJw_+CHy^#@Y}8u(vc{Lg=VOl^_d-P_vA;o84zuIi^R-hjLmTAM
z3JSpza-d=3^y@nE+?G_G3oVAqV_t#FM%zv9<?m=UWL@?SB78|QSivlna=~IRXft&~
z)TI=ydT{DR<+Ky@iUB^m;nYsw#P^U*N+3*b!1xu!nD&!ZBkn5R2QuMlBvMj87w8cy
z8Cvh#zixbeW9_4YPxCu=-Hoe69UJgU8FTh<a;+Qk>Y^L?Y8s;YF$R9{JF@yK!?gMl
z`@%&+@<>~oG4oaIpb_0p6NQ#jlx@K>x~yP_)m~xMDQ)&V4D1O^2eNBTqCq*Y>a;`Z
zDizMMVe4+m6(VxtEY+JzdWu_jcSxTzRJPjLTGv&L*WqM87cL1+B>?5ps`FU@6lm72
zA2QO5Y$8eZr06*jJ<c0l=bV3os&8hhkU)3tWpX-8zq3YSLYw!VsI~Qa?f!_#pK6GR
z&$ufl7jWyXEOxs4Q}d!q&Z`PJR$LDC`=CScWQx}zU3^8kRegJ7yr94rmHmocxEE!z
zvYPFjbO?LMPY(mxLJu#%&2|7gL0aNrjrSkQ#uGCG<u02h#wf;J0?%y-6bt&xf9l9s
zf&J&+e3N)#H8sdGxgBw~!d1zL>$9PFonJl25nI_?Uk2dWW4HIvI~>r*2@0>nXoPf}
zs-a%WxcMi|t+Q>j(j3BUE7!GcWyaBWhfFd*7YH<N_qJD7f&0jsH|DdQUR`=fYZvYN
ziS**;=0sA`h^Or=&T_`csIM{~Xw0=snAtBS$Qxvx$qzTn50rml+0=gT<0yQyw&n@p
zLkY)V+m;qd9;vUO!b0G(mIn+4?9iT%{^z~I1pQ~Fc9BF#3DXyYX;fjT$qjMc=1-se
zozBqp^K}gDY<i6@qY-LnW$aAAT!zD&%SbFa<(f<kYw4meZUilm|B8=M1tKC86CzQ_
zEB7hZE>~&9L7vZ(B{q>~UlOs{!nBv&(P7rVT4FU?O)eWEl;sj>x5DhqkuV>p4B2eF
zd@Eki$tzFnl3PSlO2vDhP7IfI<|Z22Gg^?!(ZNGmW$ib70D%^mcHwg5pNEvW`<a!N
zz^b@*?~|PGFMwOxWc9?yoQID@_oBdKxcq{lVepEaPlwYWShsG+-S;%^ZmHx<d39C)
zmPo9}n1W+g)5bZW$1o|pIQt{OrOFA8)=~yo15QO}vwQQQ@B}1r?l0$%?={QnP!(1F
z;%BPVvg*&PXg;=4j;ULuuzQ+$1~AV-|26ZhYMt+KuZKtBa*tMm|FWyC_*anI%RmAs
z-~rSS%(6$QbC~$l<N+|qr^=-c9@aJkmz9ni-`QOJ3OaMIZMQ_lT$yrZLR28WFx~}7
zbh>%($;l{D_S_PGKtr}HhKjbYrj=Jg)ZB4ALqjLUGt<y&_QQ7YFSr|!b8~V=lFF<J
z8J6@Rme9(GyR5hGEqaQZFEmMZdf(AXa9{KuFN>)LTl+R^#213OtdL_Xt1lb>jE&YC
zK)BHBs}(({sVPGuJumrF3H1*JA0d~q_V<E0-G<%Xe3Q94ip04rf8^t0f$igcLzgA-
zWY*Fu0}IxpDl?balo^P6nT9$2Pin_^5mC)~eb^g9Zta~iS{AkkAeG>_hufl_n#qE9
zuOnNF-5qNRkEuk94O*wpr>FN5!zwz(C~ig1m-Y-}ZmhS(#bqNjD$p`~-D*o{s~&EI
zq!GZNLS8Iivvj40&8~|b^trfF^C2e>D}(L~a&89<eXH*#^q=c#T+%pa>1e1(C-G!T
zUx`WEr%G$Dv*@g;b|S3en0}hSTWFMShm4&y&+61@0itzh)zN!d2(5rzS-}vy=FCYH
zgthaJ7!3|zClrmc%>t#S+-{TYaH@yNh294dF?@-RYXSSg-Q(4D=~rS4R{@q@LZYQH
z8lYIL9Jtc<Zw3?@PO=So;LPa%WE_NCrLVs?%%^-_iryZ-8+IpX@^$E5<YV=`nTMo_
zv$9S;of>p38P9@~>}PRq+#`!7R8{y65?JnhD;b5$EN)_|oK_S%cF*JOY;SOxcy3BJ
zD|BI00*qae)QEzOM~wF(u)-nczvD*A|Jsdg*ifr3t#Tb3cEMN0^!~2(-Z*5v;l5c3
za|5{1@oTFSwkP9F6<}OEFqT-3O#FiJlpv+7)p$}aSs>LA|F}S9!GqFnyUmg+oZoiv
zOb(#jcE%P)t4V(kYBY}wp?zIZzCcF2@kiwr;k4rNxL!2?`98_2PVX}3d01V!!BXt;
zpbFov$z8-^Tc*5!%>-l;d~)bzf|FBJZOzW2HN<{?;beyk1nTbITloXT$~**sX~V9+
z*O%Za2l6+<cw{_Q^xjE#F7;5mUygQ#Ts#8Mj^$2LPqVVD$Z2cM;8A>9(}-uK#FE64
zN``m072U{_uf#r=coKQr7hy-OKmwY~A{Ewhie1b^&Lb997j%p!N{#JNx=1<sHJQh(
z?@M6@xeAdV%j5Q6Y?JE-@*<;R{qmh_X3LLpWCL#Yz5%$b969o6L%7Y%R&RfFbdzd~
zy(gnQ`2ngrVMaIR*yOzGOe3twT(_awh`gg;nKt}H<|+%U*9>Luit}WF2<?3jmfTZZ
zdl20T;~A~DGZQoxnCHU3XgGC_%}ztt{3vhtMiiRLK7L%@)}=0C6$36SW&J~Rtw;<{
z>Adt|qpI|)IFz=f)2qyGo}H4aI(UCRMC#|+nhNS6zswKt-Au^JLTm?#9_vlNNZ*vY
z(Fo;qk2_F#qCXub&VK}!i3V$c3%F~x+5oXO5ZGJ8@bQjEU_mwefKdefwLVDsQ#fQi
z*Xj-~h2i+G!XY))fGIz+v2*~5j%oY{14{y7mZAvdQpyV#&Na+-#HJhz3b2g`C9(sk
z_}=9}a#V0Pb4|aM^hp1K%&WZ!xK@=*NrjnZl0VbE6D`{>3DtvJ$L7OdwZjy5Y!gJ<
z=<b_`nhv8jmQn*_G(2rQD@JTCvooLu8tt;3o{zRTGaoaUdN7>yT)D&Rc9Ib5(Zqh6
zE>gaBwp?i;Z^R;Eb`RV`!P?J5`0~D`KIh_F>XkDdU`RJ!alm8_X)1J1Xk+vvSVAsy
z@XdXeO<e*d6Lm?io*_|4FCN7--eA8lj-Jr(cd#>cc=qH|*s~j_1a!{5nF!1HP}hpD
zDh~V3-0__&?pFl-KgH%v@noat99ZrdJ#-wkAe7V&q~a5~8XEax@(wkU;TD6&q@rHU
zN0*y_3+4at;ZE$G$j@scA>8UMOqN%Qi<2mrl&@S{vJ}e5-#(|DCmk+&m_6q9cZQfy
znY`<J7e;`LtY4GMDE40gXf&67oBztAAztdW`7QYdiU5bl9GWapuhQwnh?vx2jwhyU
zEQ`b}?)U+v0dOnY_yZRUeS|-Pw~X}6Zq~&1jCFhg_s(#OV4MZ`{?p4EoKESHbJASz
z+CS6WPLi_B<51Nptt%R@oV&~ME}65)Us<@IY8B-i{sTn_y80XQ3E<HDm1(BNvJuw&
zqZido_O2lxe=@3=s?SKN%l?r%2tYoEXk8AoCLLq~{+jgVKVek9y2IN53_em-`-RU=
zmCWfYh=1cgwG;*<d+L8Z`3uvC*LJascRUHg$JmaEpsr^Rlpjy;`*iw;10M|kli0fc
z=UBr27#=Xvf5;N{*Bs@Um)I_0D>9=?sNG06QlK`RZf17)wDG@x%m1-3f&0srAN(zY
z*n3L8;yGtQ+r#6NZ)Scl5EOqoVzvMJgpi&HYcA_M`ucUpmRs)eLS|%a0@&eKi9Y}D
z7X@&X$$&zH?Zy7pfU^3R$RP;Ju?Rv$C3fx*;asHle;^F{$Ivar4bb=l$mufg39S(d
zwrRZfOrwDKCX3(y0YhIaXfklW$^lcmL154Sw$iyd=$W*y!eNfV|AkxrI|AWVUYp;P
zZ_>no@Og$LKc-vh02=Y2`<GBN(Lco0f6Y<KEopCq@cAQFJFRDG*pEy%ealJwS6D#*
zn)dx4&x#)E?dqFhsOsq~T<<?&c82>&Gp*?)JCd#JiI}#Yzp^LIyCjahYb-UPt;O$t
z;>07>++|1CL$;=5qdpK-$d20=fF4*gLe+p;^<7i}$Z`;h074ju2wddlBZU$D(8bpA
zJz>xtAGxof7f@UoKm%w&82UHDurk1XXx3ZBSECMkbERK)3;=&Xa0*n37l~QiyVS;m
zk!iTSG$jht@K99>)zw4=5TQ_ei^gJA>rv%sF()j&7_TioTphRx8B>T!ZW`pfTfu>9
zbr^OI{e(diEDCYQUCg_F)}`E%aL??~J;!h`q4d6cMwO9$!IQd5)tvsxpmg~#O<lO-
zJAipWHijNd5=8L|^qtG>XL8}6=|=$WrZXM4m%pq$QxG_s9htItm0I6D%A}bkDb<YN
zno_?Qz0BNs*9y;nt`o{Hj{dO@TKx4`#;pxT{Nu|<l0oipQq+|8S{%+J0(XJOXT=`B
z^8{!Wy?72m`bDD`+euRQZ0hGpRUYC*$)mFu$~&*r#r#@VmzrDSDv`5t8HM}nL}lY8
z2;hl&AFv$*p)x$7?5-Xo_&q=v+^~~QT5t>Ry7Tgfam}q7Su7Uf_2-VJjm`w*mlZrT
zX4;KLUV2y#jovG!V~{=}8}@zrFERb3vk<S#EveRL>wDTV#xg82;}b^T+>(GfzR4;Q
zVp+;UECdz_(H1A5axV|eeEyk;{Ku{nN2cq-a!22k3|q!U-54BZaS-Hq+jNxFu<>^A
z6`$e+jee>&G6m9DZDmXvWLL4Q3quI3=_kRjpeGswUx0|nn(rL^PhHBi(Ubsy9D3K5
z2_T0ixK<93Ll-5HNE66D`C+kuBx`AaSQogx0D6+cv6nGpjCeo3TB3nhP>KC+m5DKL
z<ssr1_XBks=ccss1q7RA`b*8J3oWYCEjk5_y4`QM%73Gp{ei#uP~gdE06HZO@a;ha
zpmPcjxrT?k+$G-Ho{mx(9Hz4}2tV#YIFzLwdO;{TQXuJ0WyVqn>Pv(*eDgR8xLG&<
z3Od!a=mFi^t=lx+%4O`V+hjaEDua9qWNn75Ui))L!8IRTW6NF>XF$kfr>;<T0SwaD
z1DaBa*3!Stp3yKBySNH4NFS1zA2x*aKX8<%<>ex~VtJ_Lg@%C%E&Zjg=ck$idOzZI
zeC<iUf@Cj@1uW{wCi~6-TK>d#<yX*EYk*qxIP}Zmg;8*Iq5qjs<pMW!vR4bR9(RFO
zqoxOZ*j8wjKKnF*!TBA1vcMt3%LZ>`;t3H<#mQbequDI*M1f?hpI)&4puT>d#j~IE
z!=JUnJo5=8CG@><J$%u$o`Gs5;WuxB<`*0lziSMcvg>>3F(9OJNc4XYZk?*)s-kFl
zPg~)dC+^2$1s+EjF^td*P+Zb9IU_t}ed_ru#PNjnOFvWc{tmt1OdgPL<OtAomNH^E
z4lK^EY*7nziU`;!h#+*1|JE^y?@88w7|HL;Gdv27=6!`Pr0ZzRnMKJ0`nGu*Kx}YF
zv#ikzHDQ^_vi*-ay92_jA1z{0ac&pREc-5|x3=?#2TxB!XK}80L|m?MhG?K^dmPE_
z`T%wopyt)fBSB|WnA$~(yZQoTNSqZlg01z2>Z8)4aESX3I(w~-BhC=nvgJX%;zw!C
zjJ(;8>7GmWyv(o%u}U|dl%H#Qp|10=_NimkTuP!#37^|)|HssPrS(yHmhjGHd$I?n
zF!k=f?(G+Kt$TQz)bIA=XR_^IR_{QNUDlbDGcCOPWInDKah>*mbL6<>I?;KX#4|%C
z7L9!m?^=t-Mz#piCIQVIM&8ho9KT9`c}UI6?`jzYNEFok4fHW9!~f7nIo<#5wVq2;
zn~XKEsE@BQhSWZOmg%^F#lQUu@&Od)In6G<Y3S3vWT;*yaQM=9G=Q|tlLZV^%oQZC
z>&yaNg^rb1S?)aaI9*$fvj~%Cn=FAKu>5VBa_6Q(`%3HL7X9YkE*6~lhAaNA9Xesn
zE|6@^1P?_m)<fqit!JH~J2iZ}sN2aNP=7!8jDzc@;>GWD$HzMK@iM?oIKo19Q|s2z
zDn=}{4d91%hhUggX6v!U1F{$`pb5i~tq6Q{=)#=D&rpjO0&U7l1m4;N^m=N!O1|rj
zS1{(oqb>o=0S(R*UqPb_Ub4-z4bUTg5$l3^FXw)NL5CX^&`8W;p+L5Si5m*TBr*Hm
zhjajf(H8$QPPi3^k8c0vJBedu*XDDe=+^*YZP5on-n;vNOs@Azz~lkqk?wW0G-G%+
z0zZ5%C89|i{S{;z^j~c~D~Oj8hGI4#I7SNRf!%q49DBJ~e9^}R2i+c|?*HKOvp5+6
z@Hs+F6ki*G4}<;E1m!@sexP+f!*~`z&~3R;^wc<py3mJxzgAX$k8T(8>3X-{uN3RC
zHpbn}KGfnz=-kIS;J8~XK-WHJK+%cNxjpNjAFNApGH3BCsJy<jFyQ4kp3=(0l<+hF
z*l8{^1JpwtBj%`Z7voNlm*Pfb7vp4Gk8IN6t+bY1rvn5N5ih&R{6kBxL)|(KbszcR
z0lx{x|CGz|DzsIOGxmWP=rqhXPeqqi-Kcw{vFx&LK<GAC!`*G`mIUW2S2yjb<QHNM
zuJ?c*<gWL(@U<j#$2c|B8b-727txpnNHOfT{ax4zY>V#O8*e4UJ*eo=<kBLl+&hk{
ztcW<)V#zbx4=c1hKIMpSE-G7RP5N%1)AAf!y+DaFbCuVm958-)nuIE<Cr+=an}Xxn
zcYfI4bVCT;b=)G}V`$SUTsKsTlg>KTd^j%D+vdm->uF*@n^#TAz9vLK|0`|&&9D-Q
zuEpUM=$Y|v0GK-Gbc+an>v&l0R>ZcAn|`@F`JT4at1lzmP>+ij{ljo?_s~)~I@{q6
zFnwh!L>U(CoT9Vftth6<l~JZYgtxBn#4zFr5x|X^IM6$ht|DRvvP*o>L@yS#!G}Et
zkbqyCor7+Y9H6)<;4JAlO~}SWKk)(0^+*f>hAY$;pvtC&2%ZX@X^8^>N*D#GaLu-7
zctEZmqVP)MfcX&sJ`DK^Vqx$DK;BhADzPLQKrcjI=DokQMc=zPjli}6*cFO>{=3tW
zuVu5PTBMYdBnEgY6-&z&&XRoY^Smyb{9ALE*O0vq_(-mRk3<AO3YzpdvhQEyg#JFm
zMJ$DMl!YI2V5j&U16%(#y7eF$`QeM7{a=NiPO3hV&MdY%@!%F5naZ(fo^A-%?O9E1
z;Sx2s=evHACOFJo)K{n&so1lE4{m>hZ|McPKLG!@w&7ScFOxCE26P(8t&5-B_euuV
zQu)AZzCRCm7r?)meGNQYguVVAYCQ>9N$Fa^_uT^wV7qKFkY~8J2{69nvH@G5h|I%|
z@2Nv)7{;=pSQvDMaZmz^#XzV1fG-M4AMVH7W3y#9NbR*zcxB+{QnD=GS9Tp`33L?i
zUS0rN{^7OZdry%$<G>aGFSga;K*JFD9;6iLv2L-w1qD<zM;G?}aNjDhs~Xrg!@#&Z
z=*xy^_U6aXW~Y7+vR8BZTdREzzwzE?%7LM`>=os&&7+pqvo7c(lO8s=g75K8fLPY%
zqZ-)7b*uzp;~@7`AEYb~8$X`q3{%kRSzJ=KS*>>Kn_29UKKtE75+5cTKkDT={!y3<
zJep!YIHT-lPFw!mF=doX;`Mg)G|4=orZZnUQ~Y|BMad!Q)P?^nQ_M1dig^Bkrv)5t
zOS{i76+*lWw+LZg&VjkLg!>>6_V~)*K3zH+o3a=p;GBJOg0AI?J2HMhoIKEPhx(Z?
zSAAX0Mp{r>s63rj=zjBw-~e_)_UW!``U;8JBzh|=<730TVWR3Hb}P%cm1)DizVDX9
z6sQ{fRiBYF{LpMQbIUW+VZAN3WT8^}Dvuty`2~v1&N3scX0~-r#Z}1l>@azos#QzQ
z-kiBw4zLpUen^@z`flAJC7|)kiQM~xHN_vf6+I8S!;9`Szpm5Yzu~QFk_uX(SBw_i
z>;A9qL_pUEKzGwa0s5R4AXDMhbUpODlB5HIYTq<0=|7>pq;RD8M*x)BrGsOw!i^Na
zYwb43cjfxKV)1XB4AWSFNT_f~H|vuP*&&t@y)6*C<D67_MCWhK{8DU>p@zMub=F5C
zmbkNzJ?;3k+rn-<8)Qj))cUA}F3FXtI3dZ5S_f9_eYv`pW@UfeR6M6qGe((<y<75c
zzu!Y`(~o1f0XX<J3<U(;3~>+W;dny6bs<c<q%8J0WQy^lJ9J|R2%Q}V03z0y(cq~$
zV7J<^0gG1vcDpas(C5&*qd;KIniNYl3?!qu_<s8fr1|el0JCO>zlMA24zzjBm;GXD
z50s&HZ@qAX?w&?9%ao9G0X8jekLd6m6bJ4O0%sK1?u-BQB!GTH@>SMg5Npw%ZL>J)
zA+*halu=gNTl4R}j6(J`LgU1Mlj!~6?F())kFLWsUJ|lJ<o7NDM$oRsPlWW_%QDPi
zn0mOy&>8PhMa8<hlyzf~O=U+erMLD`prV$hl`3Z=mwEl{W5<N=7kmM%`&70{t=MG_
zE>`;|fO)$ibLeav{(=?w{<3hQTD;W0czpSF9S9uuW-PUN!*qVXP`s(&zYHfrG;95r
z44Z82S@^-%r!T`andz!!luy-;_m=5$Iz1JPs<zt)rx}mm%h8S&Pf8wk9~vcJ*+0K%
zdgmuocw{C9@aLVNXuv#UIQ|CkhsS~Z)dx*x-sX;cF@miTXWljK_#ZiOd3=O1*|{}F
z!(#R%t4nO|KBU84d|Exb)cG;2N>$evF;o5Y-v`Y8Ky~~3I5E;*FHwP}VGj0g4-Qnj
zaCtpESFy>U*);oGpfXbX|E#vn7768z@_hpE<3Ek`0{HQPFcEhxz>oin)%^tr=Ny7k
ze>%|rx60G=#qBa*rVoSfh4^u4Hu=RtByLTeatL~{2J2%&wcCm7dN_mp^F2F5_ATJ8
z2WAF$e+nD@aji^6UYBROG~!2<<6E?f?>l*XwjhW#45hiT^6q@)^Dj|>lKSDTS7jj^
z@arcPD1_^>BjWW7lnwcNvk~wu!0F=BuGRFCN}V-o9ZuGN)Fm!Fe@_~g-Nu7re&3cI
z<SGtuHo#ry_xubEMWAI%>mV7cDZ-SkjZyk)+2(y7n?j5E#_7_ayTtCNsbhvFsNI^9
z)2AK7y$Jez7qoU=moc$*BIXP5Mc`HmVS(BtxW4-$?p+-;k>h)e=$mW$;}6=Ztkqq`
zP3d%={gKn=>|8?$<JY9iIITZ)QNE<{pkZ25xXQo8=s@@=)O9i#UW!h{w$6nP-TOHX
z^xc0ZOxkTK-HlQlQGzTzbGq6>*ba-TE#(xcni<AyimAmyH^!j*>$1DKA$@<#xF&+I
zk>dSSf$9wi_Qm}2Q8!29rb`}~k(0D>*2iyUtVK1_xo>6Cgtfda(1njf*Tp$i;z}Jd
zhKn_HVt1Ct@0D38{ePR8Mmz-EW9o^B{iCYbFpqdd6X4U*NS_wnuhoc~W)4T#Y`pv4
zZtTZKrhxy9hhR3UfKU{<z6|>(lcKMnp2)7C-3$59%?xw>YahM`AOBHrX}&#zQJ}@7
zEar6S4}E41x0e286_3n>9kiz*#q31@%!lU>eG2rFIH3#sl<zBOaYh4o;=|D@bF2rz
zU$`}c!pLyW7OeFG@-=+_=OEYNI2{m0WJs{bc{Ac@SwX6wSNEu0P#7$*BG)OpLcW6B
zbLPH+nBf%IlR(SdUj?ErADKd}6P6vslTe#fuMWqoe+X}VUy_5ig<N<UAP9i~Jljp}
zgYe#W`8bHL@csvcs7}0u&FBWQ@UA$Vch7sANzG2*zR*Y>@c-C*52&b;?R&UUR6qnl
zlpG{yBxg{REFg%aCMZE-lY_)Y3`kA_N>oWA0umb<p+zJoNlor1=b+?np#82h&lz;$
z|C{&TZ^Bz^W-Zpbc&S^p>zq@&&e`YcKtTeRg_jHU*=$2<!<t}Nna4z*1{)th#9pUo
z^f@=`wY={%yT)(m4N#WBONhnoC;^Gx!O23#R2P=+0E?;68E`*?u@m=R`_x@d(CXS=
z#pbe_+{MI4@Wi2-e%#|}Ofn^dX?vARgP2RWkIusHBBzw%>aaA-37+c;FT!J8Utc*Y
z@?PDEEA&<x7v&47c$N>pmz+AX=&yaF2rOB;3x3|M7ekzVUia0N0ATP;iw2ru4>6PM
zsrgxOGq`^nhhpV=uE;WkuY12|MSfSa2N$TvxINkkwC>7U0^)LcMjWuGo5BV<aRKss
zi9jPd(q(BaES>=r?Zvp~8D$}l0i;CM%y5@v0kQpAfU|CfVou_EfJo}e>EQis!231Y
zYzYIl5ZDK7z&5`4pLi3HgBg)$e@0D2oq~Ph9JQ%mVVfj%9EC46aEt&xB^DUr*#ln_
zFJHPWKX(MUBl8~t8m1i_`N5%TB5EN{UgPM~BZ?L$GU~I7&Cf&!6GwWZ+Iwso1ba6p
z85pcPiIr$bG*GIGjuD>fm2=}1Pt~5eI&Ps*{;@0G`~GL!zU(DDw`%|^T><R*A<}({
zhj{e&CJjsok(|>QdTQ1Vq@GSD-ANz8IeV$nK9w~X1aZtw>LKtGYB+#oI{o03mmD18
z<^BJ|S^xJTfe&RT_6+$ZmAoDXj>YrFnW38B?9Wbi<})-v*FOTNLF_#^xR`8O2WR9@
z0>Xdabo`Dtk&pO96mH43Ld^{V`I%|GI`6DCcVgSN_J3)!aYJo~pzn$5aJv8oCrb~`
z=E1EIyp@`>4|*xTS``Jfuq;a7+k;{NN5y<#A^xf_N?6*Yy=otz6k=WlsbvDnN5oex
z#&GK&C%b<hK;$`p-?{khWe#yt?S+61inX5^JQXJkY+0WUxY5-1DC2<n{?Yd5?&kmj
z!g(Ij-4(zCM0OppwZT7Ph;hg>ed7?o4Arav-A@l7>MJn*-CxC44c0C*G2he-F`qJ4
zIWF9pfQjNDH~$3;W-N5=Crl8CR7pFPGAZ90(iy(UbKTKZ>iM$56U@UbvE})}f)KAG
z7uLDdAj3UnaJG@JV!r7EeGu_Z#P<xKFL<B4%Jw>s`Tm?*O8BmewhmDy9Yec8X!JFv
zu`RoL#6iPa{FSSz{(HEZ=I2A7Ko-N|TWldmC$wRHJB+-3fDT_L^nYgHXRLjQW2bhR
z7;MTWfv0?g{BY-bz?K~8syu@%=Rs^72<ralXA(BrmHG-&PLS8jcg;wW%I~c?O2#47
zeksaSOaGr){2ZVEF1rs@713Tp3h2%y@GHIxERn%DJz8^N{~s2=@}LL2Z^EXmQlDcq
zJ$XGqfQ(BD0AMtgh=ctPjK3a)uoTWlPO6mN%0F9nXyQgO^Aux(T)<6>^u{uKf{Hvz
z@kOUD76Zk4!}5Iymi6Yfgnu3Ke4=XQYJjBZ!n1p6fwHx@U4NUy!Unror+sMszRh9H
z)_wo&eLv_@$obRm0eL`jl^$?heh#RwQtkoQVatWM*7I~$pR-SnCOk{v(18oMIMa6c
z-98jeqUFDVce>{JkZ!Dh3|ZgKdS(<k-bVA`^p0veI-B+(FwQ#a@#{+#w~Wwn>o~;N
z6dFyvdPZ6c)uCw_6LolT^%H2J@Zu@Glp8I`;E=>C?<7&!Payq(^z_-h4C`IfPS#U1
z1u9glX7XLbC3{gt$d>6*eryMoB`lWfWU1?_M+#@$v;_WX`3s#_yW^1Z{jOW-Z8<NX
z1$S`O&ww<PBcv1NW<)@4U)J{|?YE@l52;!1Yf{QUZr=d=+{zVUI?y{1iLa}&h-1=;
zqyQkd?}4^G>7!UxVp`*j`_v=vh$Bhx=s8gu*nsn;KyKg6&*k>b;DB4brn*e%00ZMb
zi5h5q;Q&K*JV|^nm{)#rMt+~*b1LSl93!+TXHo$BkrB&pgc(8auO7z<AURAK$I^2!
zDfIqiO}}(p*Ze{-0u<k&ucE52o=lmo9R|3@!bi{zYMbB9v3*^=@MQ>}Q^6@k(=jAK
zenEd*I+hGb=RJ@fPAPzx?D<?YVgaQ3T7L5kU|OQ-3-|rn0UD<Mo8&RzVyiJb3qT)$
zIi<#L9@?6H+~(N#)%pZ_miBe(90i`6A@J5|pm($%aDo1m29$GPvA?A^zMhqzXCD7;
z+vP~U7ES>M-2`rpfmAu-(vl?X`1RXf!XBOHWwpw5uR4t~XJ$~<KtkJX=_97w;cKlt
zhu&Q;CoCAb#+`7D7A`Y##D}jX<ytDb6Gw|9b!~s>+U`s2tUBG&aY#;O$gQ?%rb2r`
zsMXNaxNVzxnxB9b)8HU{-7=b%#98*3ow|l64o2G#tVRBVGS*c7br+AV97{d&m7603
zP1Aw0Tpl+5WIB(64nXLq*=gdNRF)O*-8r9`biBQO)MXUP4XUpwPw2it*Mi4%j=T2u
zu?iNZ_xQR85b&>EMYq~ONNmd9WqBntJMK8Bh029B^}<+`g=$_NVIEM=vNes~`}p}j
z-UGOgCo}LjqDSM72&|vOmP#Y`h|FdfhZCXe4FDL909O`!ii~=nFV}CY@6|25Ujgpp
zxuB1rjwS$+MoYR!2?p-tDZadcH1A>+a37zAyRlyh{1X`m`41*eKn9fdri~YLhXT<i
zmrnv%o<oU10@PPyQ0{vQEY+CKC(yrf5LVS3M({X;;)|yroN%F%c3?WTN^+O|XFlig
z)m_(B+^qrV7##fx^dRGh&;0UT(u_w;RDH)06rJ2}3nA9k_~$a!G@D5~o`YQ8jNhjB
z*SKYva#}`{L2!{jzouxgs%)(Y5UqHw^CEuJ1nj?6gXW?0`uc-SSAY0o;m*I-ygzoj
ziY$no2Zg=^%*<QwSAm-$TJArcGw#0xM*a;z1;0iXBp}~Bco|^ts_DQazlOZf*xabu
z`vp8dYzix_<yHh{5+Ip_7NI!is2?`Vm)#)`;|Cb?h+y3Dd?1%7^vBKe16I!AMt}{L
zCdRnK4+9bhIe$RT4_ov%kWBiYA403kqQ0_nC6v37c>>5XXE<pQA6MSy`3WTXPX<W|
zvLFe$_vmE+*jb5}iE#}n*xB4^y77+%iH~NP2YZp_eV&sv6X!e!&Xc0(2`>K}<b`s+
zww(VFSMhH!1OEl5nXn15TaY#1#Mfixdl%^VDlq-EPwZone=LT)lKQ%b2FOlFwL7Y|
z-#vyiYLdW6%n$w4u;%_5!jb<5#vVIr*v;8&bxs>hji|{_z9n`p+8T@moXSr_w10{>
z^7QHJ0S>{Zqgova>hB)L88t{^Bo|TtWRN&rMi!*a*M`1>X0+XU73dxkW%&<w&A(~5
zA3NzG3o?)bR}{?^MpTY25)ke-=5odTj3d(h3xsENe=tIX<u2;0x(6znL%YUh2G0Au
z%Ypy&co+Zo2xWh3uIQm&Y%?*ota(H+E<zKWI%l^nmYxu=Bg^hmoQ}sq5N*hlSM>BT
z<)1*pLN8x^pIUslH-K>SBjvs~>C^_^3hDMRpm~whi0*+d;sh4Y(|DRKt|AsEKY=XO
zKzSLPX4o|tPUAck<4ME}BanCm-7*4AewT42Qbt)s6aU`VHwfi671Ddi)z{$(QFHEy
zA>|p~E`?7ZZ%w3QI_i!5xM?JjZt_%8|GJD{OS~7Qlxxr0I<9PAsGtCaox5lw_5e`(
zP*c{jTX{FZa>Syc^_3_caJINTyEu$3ZwMvbs*Y~9nC0wrYriFp8cm<__w70ZVNn;0
zcVr`LYH8YPKTcSD;dtp}_>^@_;}zfhNvnM!RBRP4hNOtL-h;xGg(d&>h4}jf(#qP(
zL*~0YvzX$0Kdq-b$PeOmw~IL2($K06YiCr3mkYz3kEhoGlpsm&qoKPoWDsJZkh^3K
zLYz#3yx{Oamkue<3{UjtD~Fd?ztl1PQ2j~-`X3$mKRWQr*L2|V9NQi{eFj})&gqdz
z3TRZBuKCnb_=2u(-=L0xdFkskg5V}L^N5rc>ap2sAF7ukm}@|<E}%m9@$_|<-f0dk
z#k{g|pfXTEputxfT864~G_y_`_p*>^-YAsVq6LKeL&u7OJ`&J|HZ15V5eaD?)%98!
znMhPG+AKP~S!~piOP9|qVz<zitVv}Z6Sp_F@ZSA${sHRrr;Hv@15dfN>hW~$%2QB`
zAnz!}q0G1?5PP6tLB3Jndunpsn2VV)v&8ZzNv|lglrdcBo;t`GAD{&p7*E+0zgvoB
zk2}u8Rjb1qhtJRur>53<LnNtWU=lihwqJfu7z%8E0i0;?7g5@}g;Mz#f1sn~fv4Zf
znf>V=!ZH_!+LG!Yy4r1bM7U5{*(WkZ)ZJ-k)CG9W&a!PLY(D9fnJXaMauJYix%s(j
z_K?}$H(F%_JKSrFS3aOa9R(b3Rwi|K`oE5o^t@ND;(B*2hg*{2PWNlx4zjF}x`w3U
zhh^&Oi}br`_sLVZy7Q=5<BWz2cDE%~zZS6cMf~gUvvhtxfL|Ar`oDV0x8So?Lf?(w
zxB8IwmgbSx$jfS~iwkc50NujZ{bliGuXys%hqk7QC<WFT#V*8kJ~{m1Yo%R4dq%~h
z6H5%x#bZD=Y{WOH`oCJXKk|3^8Vl_?lq;hbwgK%KCfa3zXrktr{(%79FUr+-zPAFo
ze?bZ23%@#M#VSCl&K|rrRQ-|5ktog;sKj2kpr*r;%hUY*1&UE|h4w=BXSAF1&{CrB
zZp0TD2Z@uAerw(TevAGakf;WmA}x>t7E$^&-!+0BsQ@|3kbL7F{)XzMUP)WU<>f~_
ze8)^j5}2oP7Bfvk-W#9*iod@Vs1#kcwAt!DVY(x2tajW#Ywf(j2dUlkj=!@&v2~$6
zpM3yWzlM#kR>#q7TF$|OC0#*z@*m*ndqkISs^^gAq0gOJr=v}jdGP2?fA7t|@nZjK
zgbvHIA8PZdUvgR4ZVGc@x&}@JooKxM{}e4p|5_;hr+XOVbhW?i(MmMX%i_uKTW5Y_
zS92XLv#Uvedyjv%e;cMhq?idy6gF1jXTQ?jWPH0^PRQ5Q-r(vzQ5)98n3r5{lS;|e
zo7#R5zx%gJ)h(RvEqFKpE;=Q_YjinHOGXr=<6Cu?Z_CSu#qm|c@~e+`YT2ua>2F<F
zYZtiM+~(|-N69HZgJ|7@<GkZE#B$uG8{t&U5;y5pSMUH0otmI3L4yK~GqZ7tRwF~z
zh{DFTy)F0qDrM>lE2qy~Yn66B>KkXuzP$mB+0-lMee1CNG~rm%r7Bn5*LJb&Jp*DN
zj{2tg%eun!%=-5Zp(4sJz8EuZxZTxqfhIPR%98U~Og(LLk$?QYhZW6%OtJ$M)0YCs
z!<m&8U<mES*z;->6-vXsNAXk{_PV;BEVY5>#}k`E(cYm1TSsFQ5~hkI@F(LIY(__<
z4Dx<@?#JucyB;wEG?)3TIy-;v#f$I+cGYvB6<e`q1zV?Vd8&ctGGxncxWIlOOMv(j
zXx!k7JY@Ly(ua4xe*BFv;-B*=&|KyYAd_vV${qvAWRoS_EC*z=C1t*r%zc)#$1*g#
zk5~9v7334>ZB+g^aJMzF``+I!c)hS1XfE?|1*kIwy33%phU{$%mbSo+LKL>imUjq9
zd0p#nFUZ=zZJVX3NRi2{dfuv6R$hb#5_Py0vbc-yXt3qOoe&nlW!YDnzWP=F672=b
zgZ@vIXo0ftuLkzb%%F0fEDD*N)nLX1!tPpvC8sVuiA>9&_=1ezB^(OG#J?gd`saKK
zbeA~>9Ns{1Md0vu5zpcC;muM)#^)rI=3B+jU!A~TpWZ*`(`O?-B*h}Wk2+%f=nI(7
z2DD+AcpuBk0s<WWPg1CVP8Hx^9~HRdy+?c*;zXv|p{+4au}*-e5x(8{gyS12+`pqn
z@VpdB2}6+;Ym(v`^N5mR(%_rJ&Ql-%{!9KFfaoiwzo}uqrLqw=t5gx4$5#@qG}$!q
zjfVib%P;^8_X&XE4(!BzYaRbu4`JR<7WwS2Q>p-mx2EfS>A>MF_*j$z>Ez!Z3gEa4
zNX;k+RkuJ`{=3Y=!E~n6QO9zM_MU&Ox_<R97zh1&bOv;nAt^40jhBW2{LGP~g8)C%
z;4HC${}U(!gxz1xmH&Exp;#?^0cinu#VW4daXQyr?$R6*NH44TjnMwzZ^?fH5I*I1
zfS!z|%?vR>Pe$_7R^>oXMsK=rg2P`An~(i!Q2pn83Urq_W*9q9WJ}q0<TVTPU?(#h
zzWXNC*FyMzL+?)yweqmYH2O}r{{F<x<Gfacv=+0+U`NaDsp#t8(Ez&3WODP=X8#wu
z%RqS4{1p^dP$s2*&1WY+FaT1yr8yeykMs*^w5vErUOJnq6@i$91jC~)e>6>C!N*WM
zDO1<IwL{k-6c?VB=n0jpG3(Dno@7Hlb#gj;Jyzv(c?#XBq1OdKwhYQL#2)|S$cWO6
z#2DUP-r>~SO#aJHfRd6$ok8~9hug)p&o2;D5-^dl+=_YQNvt$|j2Z;xmMY~B`2+&T
z+x)bc=0_bS&=Zo-HT1F(fAE>*uyW6v4bB<(DPChVQpUvgt(GvGB(BRvmy^35d!|?h
z5i~b4ZD-`)$!h8S>76_sy1@)X<sP+r7`Rb>+I*hD|G-fm&rRubX;kpOAD}k#lnAJd
zqMxl>#Zc^70cBP!pFoPOX41)@K-J^WdhEN!y1i3CK7sI^!e^2{@Csk+R(&n${VQ_j
zVYu^NW}EAzxI28Hukv9kExuNd{oxN0fhscqiQzh24e%T9?|uERexvQduhhN$e1#W~
zmhi1~`j43ke?@Jn?p~*C4Yhd%@c2z<VJB)?t<MVL4sC0#vnTR6c8MqgE{3kWok-<q
z6$oCFX$v?c@t{)C7oEPx(23PG)_P%lN@CFI)X9@jYPwT6Xan5EAkX$xM{98(qmTOD
zzI-RPye5%VZz$qr?8z8q=2(}vf&NFlrBVA%7)C{V9%dVkvNCX<*HIS<0`^FS(4!YR
zh%DD+a~)rTcM62B#&YqWyvW^>OT@m3e@G$FC7N_zS+BlJVA1m{WPIVT4(u8!p4O=1
zIXGRFSHWOkqC`u|A>rX5oB8X4h5S0>&kUXkDiS#;-W+j>RpmG=6E_qIBT3--85ue^
z1Qm<WPO@TzS`hX!_wjSuZLuC4fwJxFO9jAxE{LynUvGR7Qmy+_>AwDP@!2yQsb_$^
z{pPlEAaCDt83E+&OMx&VKT)w&)LN28V3u!u0^Om7;`pO}P`CXuF-v}@?b#CV?_I^u
zKQ7yz_U>F;>~m>WM(ML>kFg{$d2EP|-*mesDB-P~3D1T%S}vhmW}n`Do}-qh-{qm<
zHMF}|;DY{o&V3PI#CD_P|5~%ISgoZ9_swJmli{KWW^j1jZ1kv~&RFh$K^XXt1LVK0
zPP_uJre(o6VhBJW!++FB{!tVeSNFNh49U17__@q%?gx$JFJQT|OR|ddHGqya02wf0
z89yNB2T1=0kmX<f$A`ez_-yn9!?esWUGjsdJ!oy@8O_~~=)H-5G)6iV;b8Cwt0GMP
z8!ANLCs3<Fr@TD9^iTD&0SW8>_zIE!2|zM0#n<aoANSZn1^l3S7>Xx<%N=jc<|lX$
zP5;_#{z)au{~MMF+*b<D6%Fer9|-IxCxhca0UsBRPyMV2`ac3eC>xlD{dN3udA`bH
z;vYyc_Gc$&XAA9q#;P*s{BaTb|HcacaXEg)N)d2I#xO^+MT`p<Aac1Q*wTm&kcOuG
za}CYl98BFlfVf;<wBj)32S$uN_3Z3qj?X_ECe^}<aP=H^@F`r>xe451Bs+cDKiED0
z=H6DU1}g%B7S%3%fS|=$+QPA8s|-(S6o2ZBVy^!A<Fh~R{h3iY&qigt$@qW@Cgr<s
zP|!Si<m%6K_R#$sM6<s&TORwF2|kLMuBE&h@7f<_t16F1!DEFR)sUyI>g!{&5yA!<
z8YX5lNqcSo@vhSl?r!A7RkrCimpVqa<AJMakVhkiVU*(4dTxHHM3F&@`&ErRoFXlT
z<^GD{Efv=2haE>pQf>nj;n6I7<l;ve<D=HA6^MI?q;)`TdSQLurQYrNP$Ls5MJy+a
z%sGx;{fM&FZa{Z>0|tll=VxU;e`#)+WRqsoM~AUHCC_z?*X`AMRYex81s&p9g&D}p
zZKUubxDjHme~-~T_B`7KiDr(?j68+8^v$ZTXtf`Ss2{4)=-JxgBXdJ^uH+}#>dH}>
zoW$q1Cn6()EzQvvh)pk*@4ma*_?kDIs*p{k>99=H1*MMK?rEc+rU{WaO$zLVODXT)
z9tSwKsVAgz2TRqy<=;rw$G7)F0{|VXLNY*hX}T~KP;EkeKuqkLdyL}<vCzl0{atjo
zztD}8qGmh0QMhU#Nuf*i8}+8IyFXIQ9pHHQ6@l(I(uG(|@F&oPgs-KYi~&*nC=GR7
zbuca>@|#Cph$%^cqA(K#nkOlI{p>dsp<H)yvLa~gmONHW?Q5+lS2GOW&}UIAG?rNR
zD{1^g)4wdJAOB5{mV*oUYdTrKGG9P32a~iJ76Wjz7eashxPMoV2_sxjuly`_7KelF
zygy<)q#i<CtkNm4Js86AvEZc`yROxgi^VyYcgmgEE)0d@>;6O~`wktUOD<b-z>&SM
z8!jkO17YeQK5CR}FS>?<Lrvl3r?Lv3I=L{J$23uwho>AKdJTl#!oyZk%VgxVw*uXX
zv^j1y#c0=j0EtPbo94u*`?vUxo?JLpC;OJ__@i#t^Ck*$W#>Ea<U1ns!=9NTe-6F}
zxMI%3PY@NpCZr0FL9B$g_2%Bh<1?BQQs6~+xc6(`ZHQsDBV&n@(B6sliS?G9TPI0i
zI*9atQH`?!=QyFKY@T=X-Y9)^!Lx&MJJ!{iu<^Z)W6M@Wa&ct?&}qjA`rfk+xAR$>
z`dV;#`rht$veT?LmUDolKlEn}`sQO>Ryq5}9OPHSqJU0@KmHEG5OjU{JB{#Y=)w2s
z`q~1uUjU;K3&=}e_*1_KpbVw@Ji^#%M#ExUsEKmrz%z8jjiItYcH8Xmcar){TR`W*
zbU<B;4fxW~^udJm4f@YY%KShJ$paH`@ROA-#-6j31LbVyp)0hdNuBns1kSHc#QJqs
z>&7(8b6V6+X8ZAWXx>VZj-4(o_v|-VwlA#Cc>h+lC0=i$FA;2CgLL{>)cDn$UR&8c
zFsaJvf9hHNZNLC&!9Dp#^MuHytNRROL2&?H*k&K>Ze|Q?;rtPw+a1J`LgX=9-*q@p
z$=!bpeEfxB(%p$kpbAX;;K%<6N5<c?HW&WFuL8gB$=Apalo}0PhB1-H?V+pVVs;UA
z>1uxru7Bkz`CV(SSh2Baw&WX+8!loRx#Z=Let0@AYSVia`wKwQ#kB$Z|H*Rj{zsS3
z4GRxifI9~Oy40$LB^iLCDH-?dqJBi{!PWTdzKL&e9{CKpcft|q3=ycJ3Ur2OY~DwY
zH|GC>(E~13{?5}u`rBW}jEc)KDk!q70~fjSvz)!g(dt)s`_adrb(p}(QK$!Zr<`%S
z9=N!s`FXP^U&1FpCk@1ae#StP(}S@33Xy;7H--6>r`iqGFVZ{K6IMjWTc-1@Gmx;<
z{||(}U~!m7XHxAT4tU5VeMlwfVfxJeQcBb)j_!Z9?pG{1UvrMp=hg%QTcZySO<b+A
z+>z(?gXVQQA%EVi0}S)uHX{BVv72M3X4x6on7t<-eB_XV)*5b0P762;k;vM7WtV>R
zl-ys1vVWoD!EaB-?>zaO*=U#Qb4-bFtOv-087jihy$QUdU`D@W)PLVW<8L3i{k{(b
zuF4kmkOBsao}3!*?&h&#%pQGkVc`597!RBn4<5sTq)w^6g6LF7pijm)71!t11h=9P
z|HI<1pXx6bjzywXN>vKR;YTMdYM91I6XfKquBO*j+Y?IiRFna&2}%r9n@zH-Ay~10
zYij~BThrrru5^!8`8Jbfnba!~6J591308`_R2_+uY^$9_$5u45Le+TB^6~M;X(UZd
z+{#Q+X{jeaXMXoikaFP~c4On*fbDv&Dg*zGM_2EOQ&pigqyYVJT(wJh5Z5IkcwTx{
z95PLq=m};Vc4K-lDE~C!Y8Ni5bA4~s_I)GYfN=JXxn8VdhY+Ykc$nkx$3pQ8XurkK
zLgMDWLB)`NS##O_2Gp2_8+9}_I|;?1Hy=)(dOI7{)Mf2i1&uZ_8pF}ULsHs#wUutG
z#wtdWK8h9+n{p*(#Zw!*_PQi=2#M6K(6`MPY3{ht%<!m@q1sJj)oJnioGShqLJQ)4
zb!Q`66LCf6z`!H3M>GSrpXb<@CYtRquQZn5aho1hi7enV?0ch(V?c<F*6eiHIjv;3
zj1EFgRB08~ofONmx>fu#qoersSeO{cwV*98hRO!x{7(s+9=$*P<l&8&mUA0SV_nBp
z3k6$8D|7ubhm}3H3<PPZFWa-iiYjD%UKHrd?MwIORo@=uQ!(#q7`|6op~4=@<{weT
zt&Vs9ZCc|d!zOqcRa??~>SB^QhBtPCBSCO|NtNz!ipBIr%*J+Rng02Wk&x2z;6n=&
zRCJZvw2r*zA(<aCEtobpa%fcxr323L2}~KnyUs{jk{Q=eHL~8$_H^LX$-swnxV~{o
znH|cK<!?A=BfN6WKH1%tF7tdzz=tuiaFV;{7Ng!K_h6TyYm}SY_Yz{*mXk25yZxS!
zfty2Nx3_i4UE3q^<~OsE=>D9kI>hE8_5_4zo;5-2R_(pu<kULznCL08=!!kJ1|dbB
zdFUe6|1cJZ&|c1HKo)7xZybLlGw8L732=SwhtQPTd^*1qpns%rLYX1hOElKxV#w(M
zPCPnS&0}l|Y5s&c_9BRa@ezZKR?o<}@{Ge&7Q{ryhEv~M-%HtCUf>1;cM1L4S^1BT
z4>oS`7~ZgDFr2cq;s)VE-q5Z07tUX^Z)WRFo*SMst?F5d<uXD^-sGebzQ`RzW*lBT
zR!$<Ea(mrZ7v|wnr0VVqpci0Y&j8Z?QtHVbm0hJ0Q>;wt!H{Z|D^#~v>*;Apnw~lE
zk{_vdafYN`bud>%WhNZsgW;bDezm|gY-;)mH2n#LFKz@i>uXfc1i$IDTjnvQi0`V!
z11Z!UaY^%JaBEODQoojyI3X(l{OVz?w$QD&NP_9L@Tbc;C)*6}pNI~yqN1AC!cQCN
za$mwqhd^fSqx|JsP^y;kISHdO(PGiz>IF+_1e3?2azwh3D2RV;k7hqTe~i;%*^<(H
zXB~ZIe0;p)#HSm)2fRC}>Fu1d_T|Yo*PzomGZsB3e@yeFGCOk(T<;@zo%*U$L`zKF
z5nC{0q@ZE1Q=*m@;$q<uip3l@_d*`8X-z%MP-D7(x!KAEpzdlON8!tf*<amj!ETy%
z0TXr>=+}{aa>2!5j!X2lm=M~yv*=xUbd$MCtt3&45@S2v81#j#FQ-miy1-~24l&*)
zc+JA3cBq}tAy#8_3#QJJ0g;<)`%vebEj`$Aqu0yk%#-ta;xVCqIc-#t7dl3wWnFm^
z#Af;v&EAd*AQ#XPkv2Wm2{6wETtCLdtUs6XA-O0b`N}H|cHIZ{&N9+AR=i7#Wf^nl
z%;Q)E=cHy2#S3^V=h^a^U4!<yj~Is~J~mTF>vs(!k^;gFUpg)8+1n>a@QJcTP8dM?
zFP7O%sTjtWu)n_`2aXm?yTfW7a%Dz6VY}GLbOrsw0b#{pZ!%cL;Z=}m;xkGvmhOJ@
z){W{O`lZ=(3(}>>uQ2h@Hr2~rogr3cnsroRs656CX)okQ+=H9t_aSIc(RdXjS4Pc%
zyAqx}>?ZSCkP>X&?hIjOOAJ-Pv0w;sR%)tessB-89n1T&$Bf5xvQ{}aA#8aIh1i1R
zuo%~Mo_XH|thCp<R@dVgJ9uhv=wy%M+3xLHpR;ZZi4H;+$D;jSDfj1J(s_8bf7Pnx
z;niZNRp^WrP;0FL)Xd3Y&2U5z=+3B|;=Y&`^ZM>!{|*?8>-x}9cq|5AJmFQR7i+YU
zBDp$E{ewxX^M@na+AbcFb|q{~j|j~kH)=*{2i26%*jDnOI=bvN{1>zH3l$0GMg93k
zF`qz>O;IhlHO?pH72U&EZI-8ft=})}iALVMa#yu9R{1eCi%o+T2OZWiX$E#_2?e(q
z-hJ6W<>p!du38%E+wfdn*gr`HtJ{BiWIsH~*p@5JVtiOJo|>BSkj#x@t0??eV=0q#
zjojKZUPxqrl|3TPU)|lqWg%HhzsqdHUy8gS)tNt*uyEtuuH{>L$^P?YHzaMk6$RF!
zc*$5TB1Yo+srhv=j_?A<!lh53)YQ_=B5tzccr<^inOVBJ^kcYp?<Sud&jYru%WLR*
zOTLaMN1~FII$>{v#@1ok^~mL`5t9Xx`x|A2J9b<bl#{l%{m_`i%iwX``ygC{2EWL|
zPQee$&sxI6!)`O28x(2`GH}*(iL{RAT$f(7w;wG-H`iprr7AWxa`NU1v2v-z5bLXm
zZV&NN?JO;Z-dHZBD7&hzUa1ss>tn=1Lf$JjQzf=5$>5rxEtg_DY{BXtR`#e}085Q}
z=AjekzU$o3ogZcJa62**SWAAz+3jL<u;}yELXKeFSFawk!0aEz%8H?6+X+vd=6yjx
z90T{--9K?T!|Lg&h=iz*{_eas5Vse@Z?`FFtX?K2vLoB|70RMjIj?B0mpT~3i31zs
z8c)04OGvCvMgt9jWFd1pcfGud%QpR?<7H>&Ou+LxZ5%yDIMfhN?y}}RJ2La=g3)5g
z^!<&dp<^6zp=|hR$s7<Y0Q3yA4@A0UCDohdg&8G#$s3m_-A0E-Y+d|I6fAqMpYr|$
z8hHO;vbA^&H|w&C7+qYD6s1w^+wxjQzd&s63<OiX@Wbw}KFv5C-;79Vnb^J@s?^Kc
zv(z#t_Oe9nQ8V<RgO1@*rO9>oi4tv1BDHPXYOKII5_J#m64p1D@8#9M2agD9yaJDu
zw)fsBc|ie7Q2!2@s*kU!#M!b&2@=wtr<O@^3ut$9dgke5A_Cb*+OM6;ewHq}<>b{h
zxVh%kMU8FWC>JZ=hWlYXreCh9o|$*2H88ZiYzZM6IoWcdGa%@xvi+t$x-a0K6qkwg
zsI;uvCVGVnbt@7BaUi&J8I#{E2`txMS+vV;jg)6j6C%5L$`tC${$BKE-)5e_z?Gmk
z7ohNdKdEc4Y*31vkwh=j;|IY(QaTSE3J^jZ3<jg_>sER68Xl@nWrPi>S++$Z+{}&7
zhDJngD%4ZP8wb7^G<R#fm{idX53R``wBPskpPVXQSSr{IP~SX?>kbipDgx*3%oOVn
zwAq@@k~43N_Ir7xdBv?Ka^eQbtp+u0DQqPjLnEa<>ryqeTdj|>DgQq+ZDFDj`!Cud
zZZ28bnMEV&C*X6Q`E()2g~~052sm0@kM_K`4FAYY*X)Yg(pVfF-qc83%4oN*61QC0
z>|QJcKPxD8bgz=kyg#W?nbb06gnBo0`USxhx5~h<=4OjT^YNY>5s5~na<B5j91Qw`
zQj$Fw)x5F=ozB|7+_b0c=kA-hCejx6Ab2k*zo?~oxV6z~JxP*sW1x~U9o3TlA<hiA
zRjL85l7f=WaN^3$xMUJw`;}x?S429UYRsM&8}iSJQ*fd&EN%5RR$A!ixPP@pY5dUr
z+5su+dju$c9baf&fWW%&UAmWP%fnvtK)~RjDvPYjHW)_R9_qguVHq^zPc#Zn;M9GV
zaRbIfS{<1Z+1Ko7iRA%45D0cU1Iou4bo(|vN9S;#Kw7Umi)*T1cW?5niYqC-QiQVX
z(u}+gglD@=Lk`bucEX%fbV3tTVgAYcL|f{qZ&wTT-&fs)^?Sl0Z{S^_rXn*YNqm)J
z^F+t|W&|tC<K9f(UU#dPP!g|Rw#V^-1F+CHz1rECrKovFY=X>_nEbt=dqK8BnMypj
z$A>R+SzDXrGhVnu@%U`ig?@=czwEHWMC12gXdGNsz3*4m%!VnQU*Y>zJ!1^h9~3X>
zhkm#UoiQsmMpl)08dbZ)7m;&sOFq)C14{5mAFmAu31)$TR86YcZNJS`tr)0AT+?gZ
zmM)+Wk@N|K^WQ-1Q2>_bBmDEKxeCZvCk-LX==0N(#nNwFkMeiqzv(S9?1>3HS$vQC
zJndM_PJ>^^9lACP&y`ES|6A(;h+Jo77sfb|ZMeE&s{C?~hSO7UrQ$mAjcbOKE~BMx
zrLI1cscZ!GE!*8_=|yxIy0zwBLsG1U2SUHgBq33=f>IT~A8YPke1jxq@rlu^lu}#f
zM2vC*L9AfIit1u`JhvarL|<Hg3);~!<TF6QV#QNqB2|NsOYma;9k$AKKWPU2qZ1`b
z3iazBtVSza_ctRh9p(G*31o8tYQdgJqh|eR$Fs{yNlEcd6qUnci&|a@4v9wLmWSS{
zn`LDyL#tD0om6^bpX{E#4gGya&AWLy#>X>*?Dqxb2G0d+dc5Z|&@>W>QQymG#aE@8
z^kUs?P>+`b7vv@9?aI$uLu4J)?mA?7wdUye&vtjr%4gjQ-7m|NK%p;FS13xm=UrEB
zlYX$q<ytsj1lRNQ+8xxwk=uU)VYUGnf4B<#)G#+?)?@zJ6pB7YW<ZHX>_~51$KItp
zG$6hOfj==+fS13oFh^L&dz)eGqi@w~2~<1YmDt^?Nh`!IsAiXEy|67Z9&UX`JyR3f
z4EgM|OOs!<HSk(YFQ;J|{r!_(J8pQbX5~ZcwAUKCedcExQEgqxTn<hfxqSRHv4>?l
z?l@7#Gh0YrQ<kXX!guRr(#g?E(+=xiSTwt~JwHFAJO7-^bUUo1?-R%bH!-EB&yKU_
z2^$sSqP-HQRl@URsJNZmf(@r#q-se`8`a(udXHi(v(WR4(-hYf^r=2wu!8*rO4NR3
zut2HZ2yv22@K8utYj(iWzi7x6=FTODu*S@sWwANT5f|w+SOD43Y+uZdK)~Ar6x@5S
z&eXK8V5Q}1G_Xv9PQkkh$9JhkD!Q-AG}e`eaSI9Y<KL>YY-J$4Q7hRXnWmf3wwj+`
z=-Cft$3C1}nCufvD}08S!@3KWrD}cxsrI6}l;UN4byTuwWM8Z*Us-UOPN6&3)I3|6
z5!;>vhd5%74>mOCEmR@a=QgPGZbf20_bZ{faomN8wlvQi$Q8frE#fLLU-WrbC2XYH
zNvHDYrmekw3(g}zPMm;4;9SStS;Z)<Pl!0;;WG-*dE`EH=zH+cp<4?ozKA6oK%-Fe
zQW2j($r(yW!My$S?`>59V5>lmfUR<I4bs+GFJCQzK6MFlo$j|^sjsMh4eQ$2SMKbx
zq%3Zx<(_*VFnP0Wg`HokbB`%fDlO1iO-+2%%xkppy&7SCtBDK{m&Nile6*?waw<K?
zVGuf!N`4~0`t+-emd=mYtsQ-rGh%#qcVUaev-{q6?&^fq;2bineEq$%7WAK)P}9_&
zejT-B@49wq;c;htDQR-Q+-RNdN}P!icpHH^z0@y#50$uprRB>RrB0)Aa&PpNY?F#y
zKGaJ<)UYO~^$>H1tVwb>_E^ECwT6+QwZ$E97IqZ;@l}TAmeJ^N-mclS2h=p1y(HIo
zzSEv9&laW!iza*;v!QN)rVWj5AqzYkAB?}15!>woO<pLFx28PJ2Cd$}VC5g5n0>JN
zxlxr!XVOSqD)#_T{$Y5Gv1&5M?u&D3E<Isb=5L2q?`3**(rl#+O%m@wBOas167(Wx
z+EZ^V@?jP&Phnd~V}iJe%pm{c7g`gHr}!QcX4pELbX-zrkHdd<4ty71F+oBCe~ivm
zQ75|+T+@uGB#mJ$K%*KAP0^;dKJjyjpWTepPuxtObY{O^!u~2waaXis+$#%Pb8pnx
z*tUGFRNu(BcyN?2Ypv#<T^RA*Q}Ji1;M2y|ppWloauWFRWa*bS=bhFo;ze6fuwvip
z^v<4D_FI;vRh5+3`w0o269fL)==0@qzHQ0<L@hZsc*UBeha;W2bgHJ2%cW(@Xfw^-
zkXoaKRrQtK0e7VMR-R~CdqYA}Y@-XW*y7WnQdd^qmccl{&G0qRYF&sGe)rsst<`r>
zywJ<3Pt2@1O0-~UG_I;&y`b7L6y8<Yy)+X`8zDAh&l6+sd&)-dIZJddiv>iwpL3E`
zKr`k2Bt6X_YN#fC*8d2NZN8H)N7djbP(tFSFzZM94_(XYO+7-6Y>aC}<&DP~odoDV
zfjT(9SUKvPTiGg`Jca^GrGpMgOW}qjjpx=;EY6HfQ{meb61F)r_1r$v^7V-Vh0~=U
zY3Do_GlwtQGL@{ah%{LecLheUF!H6(Sn)-=R8dQ`U*itw%JOe2o|^SqMQj#X$qivq
z`PsCo)U+Cg182G*>+%n&#?Ob}POWZgM5uLeNd@%u<Si^Y%(ICeU!7^y+tS~TQ9M%C
zkT8_2?8GxH7i?Gb(oN_tvqomDOj9$hl90E=tiFaD_{XrACEj%ueG^%j0fXw#1=iOv
zE)xhWS$0pVUS4M;8RC83)Koz>c_NPY7F#g>EY*ypPLyy>d3p7)$zJjTI3q7gcgUwE
z6gU&izmIocyzL&X0nO$ZW<NRK0Y8#Q*s2uE1%2p!J%okMaVo8%*)^xtqtDF)YQ49#
zoRc4NCE{TL#(e?1hcz6@a_7(A$h~=LJ|F4?Io-RJqfkarq@wRQD7IGTV+lDN*IZ8?
zq@@uD^mB!F74959cmPo{Nq?~VxtW(pXTk_s1or^6)u2(nYPQhFI1KAx9M-vNrM069
zXq3&Ofh@IJ4d5r*3?Rk`{(NB0&$rhe8k{e&-8w_CjhvXVj?;iSJ;FQAsgsFUL&z9z
z-8LuK)*$XUr6lgS>I9g)M0_tYjIfq(X4&H&+^7f=d%EhO*|~<(*J8Zrhn1z3ISM4Z
zuY?;H`tz;VRPUPXRm~aO=CbyKH~mi!+Wz(&>3lUuw-v;IP0^FLxIS;~CaxuwmX%ZQ
zf)D3P1Mj@NSJ|~(tLHy((}{-)<7-{xGWx>hwMQ4aCZP<FTvO!aTsOt70(p}{^I?AS
zLnqA!nzI^WAJ53qml|rv#5l7w(6SqRP$wbm5Nun^VzBmyKzz%qFyg&1WqpR+Qee11
z7I)86?)m;h^GrY#c3G?Z^4XqL_v3n}5+Yc2K7lybYRQ!(Mw?|_VyCqd7GKOP;5spb
z!&+eSr?gxqYx8}Zn2nOk&Uq9w!oHF$f1@_cyOZYHtEo$kr2)N_`WpkIJkrwpOF;S{
zp(xPSa(5oO!v&0d(*27&O6vFNb=kSucqQm<-7-u_^!3URq^3{sjQKUscuc|slP2DN
zzhCe3-S(3it0E{MfS5BZ0WVgWh>e-p)C<tK@wcBDNp`<?xW<iYWLR~~<qf8CQkkG(
zyE-3QpXY?u+M!9L0a}BuB&_CIv=Gvu+n*b-$8ldWuVA1r6-w~*80y-f5>$<)+Mss}
z<ThQ4((5*=dGQJKV!oo1Qb4FCvF7ux5!t6IF+dN!MD~l*7}=@Qzk4-Y5Ny}g6kWn|
zQ{zdHAB+hidsL;9{ApVv->N05dK95M7|<f~IS$rbHQ|SE!dZWlEh8})PBt}x9(PB8
z^8&=!MOLlyN8yMvoWB0%g5orOgwsqf?<v)IW<g_8k|An!X{lu!EHPDPGqDdz<(n*Z
zl=i}qx_+P8rinNu^Yn~<_x5LcW+px3oCm#yZjY#R9^qK`<?`-QO?}m}T5f0H)Nc16
zi!-MX)f&&4V~Iw60=0SOPe{*ghV`Dbz5BV<S$BR2&~ry{st2%xmTUjNLWeVu6MY>I
z<6dJOyh?^OJe(XvcyyxZtYB7K)8Hw;6FDp4F-euRN;p-(sso)*<#*T`lH$M%%T=iU
zeVt9N5mOX+4jYg$J6C*s&@v+4-A3M}<fhjtN{(6FNo9Ji^Ac=qv@3;GEB$k9_!H9w
zX-<3X;`i0nt74$fZEdX``GSWa8^>+MUpH4fzkJ~tNXt6*L1Gr~g}xtrv^=4@YH=Rz
z?5qc`>fWu{qW^>ESEZhn@J?cJxJ7l!485}FZ9DuU7T#1jEq=8Rrs$TN5BD=oM^u(g
z_kVz?Z^B`d<`#cAv>?fuke-5{XX+uv%5VUo1<(@o)(??6&|~2%@{kU&l9IqtxbEj-
z`5T`PVvN6VMh5a*f8l@@yvN%A3ADbN1qkwI13yJ{0TfAHjQ9SM{5U%TNR;&#02WmY
zvFG@i?xF*1G?2dZQ#<hL^GV{APEGQaZV20BzOK9LoF~DJM3WBCx1mS97sLD5%wvn<
zFW>k+_5P1%g~#j{Wf<EgBqU|uPh7Uky3&rXbEJ9Jak9Z#cq5-uK*ywId#E>#O7Bgp
z6}~nBlB%QriWG=qlB%AoVuJvk8zbG8t$vqny4E7iixFzH`gW3zl67nD7UsSQO>5e5
z)4WYnX!<O%82PZVD%)ziS4D91u^V&Bm_43!vIidY35}+t$7skj@}VqB$M4?no{?b`
zy8eRndSx^2;%0$<S>$GQW8?F7x@ZZ5&KT-&E^6Y;lslUv$`oGfhrs^&OC=cOmROfW
ztxopkr?b&svngw&4888Jh$+vFDpQC*4p+$JEmEv(YL;MnMK!gKj5=4vD!#S#p%I^q
zt-GPVZ-tq=sgeFpwDRG6O0NpTV_R)AysE@HszsCQ>7HY>lB-b@G86Hou+1lC;6S8t
zW3jrr_t8_*u!#Dj6e;JXNb81PU`O=2>n<ng3yb!(zx&w9l4uz@A{3-~i>)?`(Gxi*
zUNF)Af)&O-xhvPJW?bonqDyx+j(k0d)iL738(iVoDsAU!<<(Z}R$_^+a6(-Vq8f|5
zMYrpwTRPm!Q1t-*GD!V?EP)jzIp$?l72bVkC4x;Ex^AGd#sqJHk|tx6$9wC&%)Q46
zT91S*SKib%2x(pMd0$!vKe`)RQ^|V|W;FcJwL#^>Ky*1F$4t}og|SeP0Rpr^MeMDc
zTvX>&XP5(>C9WJZ4dl$g?yll)biYl#tYo1wVR-f=L7L=+I=6}q$=#74Qm41U{nI;8
zvj@;xT+MiqU4)}qQ+bd`H16Zk33``^99~W7&BYBWaXlO&>%G*7ZEvQSnbn$kL#IGn
z?I_H1$)nNCj^RluJI%<#9m{hstF$k_5i~y;RIMq0*PUWDzaGM?xSx5rMW<nqTzBAO
z!G2D(TdO7A?e`PeLk4+^uIeT_5;`bJK8s<;c4qj>h#8$VU*uC?&+N_J{QUrP^$g~y
zD}r@pkSFV&Lf$)&9m!5V214q3Qu`yDm9!=+>+)S=w3E8yaw~#O8ys}$ZWoVaJ(x-C
zaK;%a-#dPnijLTN!6gnjOU_%k;>MTVWr-|7(d<XS8HaRF`afX3a`aXoI^aZpmBML-
zHy0gDGNYB-Q`UUaX2Po94jEj`>*%U*51DozyL<ulG}3^?r9;bcCNjaSFWBEvXjUh7
z;an84dLp@+E?vt&1310#vWJLA1>E3qS%SB^Xhg2gNh+LwW+73)mg_P4fKn5{_na$F
zpz`n@5+{j~DWD^KAl)n2iq|38ygbrfo<P4@sc^7uckNE;B4cQ&&Md)@jM{9MdJExR
z$n)pPVdb-W<e4{&_#L}^<dt5c^OK8afs%$W9P~PHmk-c?Az7UQ)6if{;-;P1I#%8t
zpfnDfljWG&aOJeV7&g+dmrp&_6n8qV4PQ0&c_WiDi3B~k(27t~cosI@gS|=hT<1<u
zCZ=>dsb<>~HOlvXZrG&zZl{P;!lNi}$z<(Owk{xOn!KJqH`z)Rd$D7QT=twD)^%`g
zaX252F$90CY^QEE92^D11~Hq(h#UohWqQ0xFk#^mqiP5<88~F+($kn!m`J)+ZF9oq
zz>Mef;Yrun+Oi;5H6UGJMC@WkWx4>j78vbV0MsX$J}@lHJD!y^GppZ?*0EV(zCUg>
zZxO+M^JW{=8ek?QjyW#~kx0gKDe~*CTBeNx2bxQd1G?7D;s>R(vXcxw<}Fo*Rvxl@
zKkY4j=iA&i6gkiTAf?oa79WTR84TXVGI(8&nGZ741k0KDdce$hcml-Pg=0%f;4r6>
z^+hYF=3TQPslyHBr_2WA;>a&C!%mHaTx5}Uw3TbU6UX})Pg%Q%TI7ZLW3{2|q~T{U
zC|kdao1Cd`8mwj%Xk&h<n(XoEvhs|HicM-i<*d?v%4auKCgId<>$e{v9zTzmq<9JR
z2AbA}c~I^^A1Cfd0G{Kb96;X&tRQyU<*bL-fSA`ieGO5!*U|VE?r{%s&m*B2QT`e(
zsBwDgBcZ}Y$B*<cFTRK?dmmSND(Dsm)w)X`^Q>lsa!ayKRLZmN@<fzS!WMEU%Xj07
zYw5IOnkn=HQ8~8#UW&FBByZk6_oe4Y+1BLjh>Uv=pOEdRoYYFB;&AC8JuKr>kTFwT
z>bPuM5FuZ)j8hgx^CkI;lrOBJ`+UwxMFvKH0$JBSH)zaiiVYbT9w{y8Xc{E<Pdt8y
z;?@x@(5&PH|A@DdkI}F@1efp5*B3vMp9iUi=XtIB`@|MhWhQ5)K`vf~7PYbW#8|?$
z@eH~}NpX>WZ}45x#MVeJk4b9kRFxMd!-g;$Rpm7>Hg!b?{ni}E^jR<0v^cMfc_Xt}
zczt+ctM};%V`@kI8<QRHEq29JFp&W_(xk4=1}tZk;cNk(H3&Ar!KK>VEbrU1w;PwL
zd|m}wGS<s$(LJSl>BHj{BYkU2hY-2ed$pl5hjIelQa*}1%H+%PLEvJaKzi^q3oR>p
zedVapYgL$<>dTOuC*<{dQOkZ8vMw<6Msfy?ioH`L$dEhV{5Cv=#Ft1ia~NVb>@T%f
z-?0=M*5wT~s#db|Q4BLVE63V%3Ml%xL*My1@hBK!B$@8ix>w)!2^5cGoH2ZF{=PIh
zccuopRG?=Q(Dn=^o%-=*crH&w*Yl>3tOmCZ2~nXLUuKSWwr6{Y{8l4+RD2frZ6Zz0
zV-L2}W_XE}{quLO@t{>kYk&v{2IY>ew;N{<bx98vBm+IeQCVEFDJH3fFs<~oXQQU`
zUNpL|A}ln(yJ0r@)^I*|?PuWyqec7a_oYv9xX>{iwRxEA!$*tISa#||>>S~atse41
z4tsd{`weZFn=+m-iC|O8aSY38VD=jsImNwlb8`RGwDhC(PO8ajo#%aKHW?UqRe@Gh
z+$9sZUjj7P1iO#UeKLf)>%k?UxEW$u?Yn!;H!PMp_04G2nG7GRD}iD$QLo($bJ%Fv
zitV#IXW_`sPRMaL$Ree+{c_K2pW}t2WjE~Uyu`2#38Lo{KB~IT%x75Mi+@Of>i{lY
z&TCGP0Dv`<p4oB#0j~<K594OEZo<DG_RWA|5%40|mrvfH=jHPCSXIxK?W@8nJIy0}
z{eh>?Q!V%Qa`5q&>&?%60_mixO2a4tsvW2hHG7@oooSE^2KlLG^AEe?366YUfRYXr
zp!jvdrg4_*@}F&ORM)fa4GkSx9StXq*Y={`Pj9opTic#rHnGr-*maAQ$l_@>LdOWr
z#FinLmqT}48^DW_qRG;u+`jh`I0p;PK6KzKaq~|N9=%BnTCJtZi%?~wgj|IW&tm;+
zy@w%vX(+J$l==x&_$)Z_3X<2lqOY0vgSs1eV<NeKkHfpYH(v9Y-Ugk!6y{}`+db~3
zd#!E(J<0Jy$ns?W{ji9Fc?IhvdiBf7uT$Eeuf)!Uv?_gkrM2NQ9j<zI){~Io5!=Re
z$#g#q?6Fo1n{-if1^95i<*fjJhr;>yVY|xbZz12>?;h(mSGlV<;TywgX$@)T7<6{E
zieutjkg{NpS9Rk8>-K=%^;W0gEBw~2Epf>0;XK4#;<GalySMW<D=YgpV7*Dw51v-&
z!3`!=A3rW(9BgLwj>H?03cnL+rKYVOFFnWsQ-*f2o0a<YPkU<4+h!-2_!yq{$gAej
zElHB_@E04hACB?x<q_=(VI?|0fUu^e<e$ZdnZCY)YUVq=3^Q9R4+@`3$VD}~5Bg!}
zp%;Z@nHzT*rlI4kJp|%p^eB^#W33#F3vTF*jK^Dhh&JO+jM+HON^8lU6idB<*xmL3
zNPn9de}up~iS=F~a8)7vwDR?P^t+Heg9YT3;RmR`WovNsWhN1#(|0w(-<+I^y-4md
z^pIBDB^KS&|H1M?hggqp&-@~~Vot!FQ*>Df;~~vhG_U;vJWN>N6-!lPh-<x*aFc^b
zq7j*wiqgpMgL}dP&`~2-A@zzJ)mX314bulfO}Fei(0VYbtg7rMK@y)p-Hv!67kR<~
zZ|K+P8hL9{#w*v+IHer9y|>)oX^K;HL)dn<0IA4To4W{|`iQMN7TE?y**Q1YhF&))
z+pc)FlrX7M2n@O+ILD^O);ra5Ra@1ijYehAvxO~h!?PHYM&*(VAAJJ-rk_su6+d^f
z#NNoiTf@yQZ{8_a#Ea~rbG~~~L!7X%m0X7^OI!5e3J>aIbPcb|RhQz1!SXwV^6LUa
za`tOg93JIKhW<#U(`hm0d`@pZvOgNmf5WQm_I-vmltk8cT@IayiTjtS)WtObC$*>P
z0Q-gTGTXZu`JInEHv<J`fOK6{^j0aK60(8T#Ia@Y<=Hdun&~^5_3bJ>_6H?}n&pk1
zDM4P3)_F$B7%WGTxO5~^1gL%v1{nC~y?lQ6ip8$p@o<4(T9<jFyB{}weMja#6;-28
zrD}6EeQK3^vDv+z%{gN$&aCVg`*75Lz-n*2$0+M4>$)9{#eU=sv6L{j?gvB-G0&kJ
zZZja3@Q<))A8XLVX`U)OOqG9Ox_XuUqO(qya{Su-a1Lg4B=m&cTcpGaWHW--?l#{<
z>~wpNQuA>h&hPwiLSX7Uz!u-~TTLGCsw&Hdi{N%)n8Ob<(}jFRd-AFlXZkY^Kc6Ec
z-SVTk7w%&m!kKnTwpDFuDIx~gniYb|fw~_^+|t;W8{>1b@?lEog?@!pLz9-F^5NkZ
zFsOKcF83l_6|OqdE7G`2_ZA{~Mm_7~=?Io9K<V{t8%UXY(urxK<M7v`chxP`p7w)#
z&f~niB=>n#i)s1*R_?8OwhG$jVwpu{D}7z$je4FMV8J3fG)8lPphjz#rVe-CUjVm1
z3jLV$mD{sZJadmRn7tbeF5bPoP8;VMGqOaSd`85fF$EGk_Muu~YAbiUve0P}Tg0#}
zE{YIXEOJtTca=tPo=>U|LuF0r$8^q&Jg93-ebTt)5VKCVS1041FNv;NSq>ON_3xcV
zkd5v(=e&Svt*?l!X_0Co#Xi`1Ua{ROky#~8ckNbLQ3`+-j}dd<UP}v(OXBLd^3ckD
z&=AtSYL>jl?e?&@pe(LxcyB*oU9qg1U_r+;Y>~X#XM5|#HYKZ~^zAB7KBbM8ryvLm
z<E@#elAMElaVBC=S!8*DuZ_4Es>su`W>n5!Z|bDI-kG9CH>a1j7P^E^6p8$fNn$F7
zhUcS}#)AAx@mH9}J_y&Z*Ej_$tss-;dUjXPQ$WZfXSrpcqwm$<UZ`|T`#m}1(vIkH
zs*Or=Z5ejog!sWhT4t@{acA==z}eHy%4iHn@o1@o9U~BZ1^1+itK!QbFxWLmoKxXd
zTEjb9eP!ZRW+!d0D3`H>GQl*8$P_p`qlKI^I1Z=1jkFaTHY!JJA_U#4Sf6c}njs6d
zlgeYvfdV8(a@z-=v&)s;;xSRjPELHk*#zCb^LYvGO{F~a;#W#5kxN;LSFYXFAoh~4
zR3zn)40pCt!Fyv7G0<p~*f!+JY}}rmfJ#U9GiEA38L)*%<Rgo$owvIP?$q<WyYc_A
zcjfU^Zr%S#rIAvSq9a3!kSVjH0U=b1B2)+oWz6^}l_K*L5rrgE$}CPrnddR{aLn`U
z9OpcKTcsRztNZ@$d+&Yk^+%r^``FKZ_FjAKwZChv??Pywf0KB5G&H3hrIIS0VjNyg
z5JsW6+jm72w#}IKjnQ#mvofMMqX*SZ-cUXBQ0YNQO5M!lZ4$eJoK;+TIikQ=@iecO
ze`qvz;e_WspX701F^`~!TSiX#CI#Gju6p>M<;va`U)`!x@<T7K><eY2#W@K!V(O;j
z>SKm#N)#%$8*;g^Ra8V2Mgfk}RaHTmQDl?h>EXClAnjH<WhL{vSRVN97+t=oKnY#9
zVt|wMrJ$h**?L)QUvqA(d9O(dIxBWg7i9jzJtHoHJ(wdStv=4j2ff{i=$>(V*GqDr
zry0@aX@d{+^>q-pw>A%)5aZv%d|&k`(@U-v@=6n6#>N#qPQE&pNJT|GZ3cT{H#F&O
z*;V}-tdnz6gZ)tp6#tMG#;$9)tDI=5evf%l>_g!=ZnWu1_>OcvGd%-A&!+wQcf#mt
zpNvhK({Z_zl~zd_7V)L&N2o1t2vF7ln)x))0DL+|&)yIs4SVdqIkDX2d2*~acRu!1
ziLmY|pDc&1rbc<Y3?qb{@j^p2g9NGGsQ}3cqrG}jdZp5qrpd}foof66EgMHEUaoiO
zGI2<M+U0s`LZ-w=Lr$nZF27(T#T)Z@yn61CCvbMFrdHy4%tV~oJEBx%l4e@@Ew($q
zP2X^PhUH-R^{tq|8>|^&si`qBgGr4;H3pdj6VyB%9nu4a4|HdSBJd<$`byNYd_~1^
z;hAA&4HE0$CYI-HJ6c$DAD%n4u8_A@YSEgoo^Uz~tP0UIVfZdLA+!_C_QFC|j`u}h
zd2UpUT8~P2U|CjFPf~??gLBk}GqHiv^e0xnn-Qo@wGd!Vn`pZ|DXkl4kOoZsgv~lk
ziSm=OPYSwfWN|c}dRtJnlLY`Q+;tz3;ypMzc*XAY^ejU0VRH=aoOOBO^RY$~p(nK0
z$|u?nW~k79dB(kDo0KU{du{{Spd*t`%A<UxGP{G`;X2w_$p2EC==NhZx8uc<bt8=n
zOs-y*cq^3un%8OT!4^b^{Y-;qoXRsr(>n8d++jWrd09)-`l0?y%tN<x?wZl5O{~4(
zSC;=|quG@;G>6E9GxKt@XxCkB2)NU<?@F>^<K9^WC@m~q%-vC6fzOeM(Mxjj+hUdE
zzvD6Gs(?1BG*hm(`MJy1UcF(H@<#Z%c_7I{c6sG=)%1)+^Ot>(EsP36nUkubC-n@%
z8)4gHj=xi@r7hHICr;IvdYO6^J=JrY6uenyyw^{Lo^6}tBamrSav$N!^S<doPaxTz
zd}Z^hG4mM>F+cN~YD}bVXg=0-paMTQcp@#Me2_Qt{I>T2$x~a(R7y-$x<e&s)Y3I)
zQ0vTh$+roJtSj5Ie_Vy%?m=C16g0f@8HnC%RyC+<oEXyw49->(cM{R0*#Vk{$p{a+
zl7g99EGiW*FcDrjW2e!{@yUGTj+o?gB&@?}5N5#gxAU6JSu&11urfFoBct9uy0kxO
zUtOQMQqlQUNxO~=n#-i0Du^4*%k!1SwcDqVzRK}e@4hp(YcP2nPKtkGgcmR{7?6CV
zk74(C5x70drJTjTb6G@xFdNw$%)Zy}g{$)A<+}R^>cc(p9Kmr1+xJMY^C=HhPxVdN
zg+;~o?CN28aI6B^Z?ZZ8bB4oPT#47^5O8+}?<1krae3uXA+S7Rk6OKQQT>1oPOV-;
z^@gvLNSvR1BdU0?Lv+`WRCxlL+02=S(|43DJB7Pp74f+nQB0_p(#KZ-x7P}zZ21#j
zUY>SS5!fk~U%?6t|4UebNpMBNgPj(P5HL{$_m5##4O(Y6j8PaS#Lle}zaYPPFt`Aq
zzH<Sf{=7;iTW+Bo`^Qrz(svKCZ!N62KWLNsQ1U7Z{w2#!#^fA?aifCt*@l|r3BuW1
zg<*}rMoE37X&X}8<GUp?^24j7I4+Mm>!26Wxs(!XC(p*^3kV8`jFrcpFfK2yPFCQ@
z_Kn70^$x92ji@jiLlQzmMD)+|5gdBBm=3aC70vbL=1J=G>Q4d2tNUg%Ixf$u|K3fD
za({aG>W8{!>|8rWP4<VT$v$Rb-~Rp$sw~Hsi^(ylmdWazUxjappL(M~O@4l}OWr+9
zbpK#XeLo)eL8Iak^`xu)NX*TeNs6#+k5w_*Qw+y)i|YdvUbJ8ec8MRaG<`3}>e+cB
zDe_5DcT97hS;N^9KOdHup=4#KjPvlh-u=F54<pPV)ZGLR_QDvpTTXq5_`IA!+g`?N
z@7PeUQ%@Q+N&u)tSgCxk>gf#4HaV`j+-TiFV>DMw8?EBUTN{f%h+W5{3ST~vcyzia
z?N)ekvi9Dz8_^89nyG~R-Ijudnhvbu<fOEQ?}aKeFIL1;b{g;|fz6d_SUx6`RPISu
z&HI7#Tv9-F6Naz!u<OD47r{CO{KeD4j!iUVR3=_N<Bh-v0{lSCATTne=v~YXR|0=x
zI+msP(C;@>cx1X1hl?sl_a^(s=&{xh-E3?y<XqE#aC?8y8sFubp2n*p2AGSA42;Z@
zhV0S}ri`9`L>8-ilH4*u;3Lic#Pg;v&o=bpRD0#<vX3>esqMWQR#^DKtsmnll7kqe
zlRS{a9lkp}KL}j8Lebq`pD$dd2^F#&8GG+;|0%93+f$%Z5NDXw*P(6n9`l|E7RatX
zU!F*v=vt#zVPJ5%Wkc3>!ZWG&ZP_o^nl1OeC_+-_swXJOhc#6i6f=MP7=&q)qsuiI
z=oF5`>xZ#q2V8(c-|o{)1x&!QB^##tq<99f(EZepNN0X<Z8dV7rw5uE?3+d0EBmNX
zX+Pf8&o4qqKc153KVJ=zf0IAEa7n4OPH(?Q7Gz}g#PY7^3isW@n(bYu1o2!&CoJ{|
zk8?-f`%G5!#}z+Zs}hXAWeAEGq=WmLr2Ve)7<NNuZuPS{v|OXdQpgFzWM?6dfihVN
zp@8~B&Q$jzBzWu4nB!<q0mBZzn>VCaA8j$n%cbhMu<z0_IYacOcdswn%{Wi1V&hO_
zaGaPQt+J3yv@v2tn&Add)-qd(YxU{`PApQ^I_mLAMY}a;(%N(C;>GScLQf?WZ<xn(
zG*TSZq_f>rno+O8@Farvc*D-y%y-o{+d4@je3rK}1y{SqtbGhs_eab#jjcc|q}clM
z$<%LupGm0h)!ZZEe&qF5?jc;H%}zr5={%fmmvdQC)(>q|*fIQK--TB`jP&b9MOSPW
zCX@YMkp{t%dzVVu<mgUr(F{9?AoaA#+q2&@ot4Y@5|{GjR<SoA)L#rR@-)9ZJ^(UE
zxo3*e{dgTb&JSJ&GKic`Yu`#L*#16SXI&WWDaqrWX%>RLWswr2mCnv%O50CreIEMR
z#r$Ptmcui!1d0Z#0X%|b#x=zNUmUuoF=7`l1kk1B1XN*5U$?9HJdM@bfH=G@;Kood
zg}TE+)|f6R3_;C_Zm*C7tZm?u5~{VRjRDkypsOL+WB~@3f<-KCz&g))0$kVXpiwdh
zG?J>zKB+Jz2=|xPb+$EzyBFxwh9y5>m@s2n$2u&4myYFIp=8o&B&B_^<}i-00dwq>
zj@ZR<^RmP;F&B%Sa03vqhx?J!9La#an@u6=5cvE$9M&eN&^5y=IL9ak3eebg;K#Vp
z2f++(^X=y+bS<Fi&FDf@G6#rWa&d&$#sG$JC$SjvA>aZ5W>M9nMxa<hFwQ>WXY9r$
z2&3_9G&+$=jux|s>$3Q)8RH@NS}oL));x>26WUuk2qJd(K=*Aw4}rZ$sH!sHo#)nQ
zas4}Q>dzwp^YTvaZ~Ms)uJ?n+-h+u*Q4OMYB)&f2OP7!#@Zywgr@&LIf|%{i^G~4o
z@>+ycFeZ`9s9`muSw!~n`M3P~T9X~k_z?hEy)gr!0vn~izU7zK`a29lwJI^{DTs;L
zc-lRgk|gczG7wEGqgD3J>-hW$%lCJXpeB7~S^aZ|l~8=CEc-VAN?rPP3<zfZx~yN;
z5!m?u6Dy4Te~X!RDqqy2(0Y`Qrq|WofWvVW*V~->i^8*rz;DI=-y$a;`jqAL?T#v;
z*i#s{Z1|Y=fdRpq|86<S;897lj#$YCs%LPuy(KB%CF=R@IS}~|(VTz4zRFNlNpm}$
zae(UXTq;8}J<HIJuqSfgo<{zgE#xBgaBg+y6N@s^3TT|F1@0K_=j(M^z4c<t$n^Cy
zDXfZ)Y*y*QNjUzh%xr;ApDxvRH!IE1P}Q2<nm4h|RzdB9DBPq2C>F&`T$Cx56K_8N
z^>rE%R<eHOQQZ+btPpnx-Ioiogiu_8GMiNph1Fv413=X}0;wP>UVtBL!9U~-!?6`=
zNDx_C<AWZ(gm^uD3!LY(h#CD^#EVignmJ>SB>ZVFe_o^-gZf$4=wbxrbA;AR=}OZ9
z=Iq;Nm~{MR`m?>JYm~NcV&xtch`%q;#@I#B#XBuq9cOs2#&Hh~8?CJ?&^Zs$GCl7u
zJTSl8)qyoVml}p{onx+4x2pbzvJ`~f@HA*&e|ksCi!%DoBTqq$8zn<>6JlkKNsXxW
ziDp0m05ZoFts1THxn`?dAGWSrQK&~r&+$mQE<^_r-1Vufk#WrY<bBsn-Aq!d(I6V@
z_~@uT<?`22rsrgCP{c2HLcC7{Na;b%Bc6P#JaPhe<E2HVMIMe@I?67{b^l`Pnm{xV
z=6pG-pMnB*WJXv{ATGU4^0T7dQhsUw<clm5HXnZ`L8a5L=^yi(^5wAzC>b9I*Vkmp
znZ$+;uRu1z-AMtes-d=ZgHptVkEdo9kfKOfFZl3lTr<B!IQR#4C6U1*pQv^r?{@M9
z%vs5U&lG&Ij-*^||1mF>N9{E0s)1MhZTBCi-yYcYvTpP(?Osx?V4k5<OvVcNTZgap
z1h3`sddNbKNi|>(N~{)E=^N4=-{)x@ekvtVySi>D@d5tw)n+2DP3z1rOt2nZ3n%yw
zoQOZYE7i*JKBcsSX6c*fXwT1ufw0#+%Qi8>63G?Ou35mvx@CyBPf`CIdGdvHgWTm{
z!ajOnhJXj=KNi<tq}Texc)I??jyw!Sg=*$PGLrg_fQXEGme*LVc7nP!?EPEwOSMD@
ziNJu;yk<{<yFoSHpt^;U67rjhAB8NYulD`tJezzv$)gF=^l7eL{gdzL+LhL(6fmAM
zM2w%Wb6TSu7#3sE@qxo-a?O{Y{rYv350w5E&hdrRe(roXIWt$Dauz|b$peuZ+rC;6
zbB%u;Py1?9zvR)xRV-<)^#fbpY{V$BrO0kSH?VwMu`abQQk~K%BiQd^T4F<2Z1^=9
z)=4|HfIh=k;)C=zxO4yP^YITn7C@yt`9uK7s>UmfUz1#;0I&?dhm1o@9FSi~;TN#3
z_DwS;m$Or{r5IjMxj%GAfV9KS&HrTA@Q}(|d6_|WtNQWF)s)7{dU2|DF8)5+w?0{n
zf3WS`Q&SSDCUmBOJE8c%My};rGsj3GB(y=U@5zhOj5A3^+qGS}dERpkG-D@^WywUm
zN)l->+`oB~n?|1|zhdeULrkj2jp$JxgW@Ahof&)Ow^81Z>rK*e^y}PoYDa)*%|y)@
zKBi}lXRq(|Qf}TTAG4NwQ!8Jw#{s7YV;`sK*`!g9n6UFy51I|lYkPY}Z!I@ieY3w&
zPn4<*(tDy*b;VHg%AH#+E_V*E;Xb+Pb(>3AX=Xm0_QK^S)^mB$I+LDl+w#*rG*jJU
zucqs+cgxv)`2l(4*1DTlNep<oRvjKO;)-P2vrhS*=hgRCQ=u1YCY~F{ey`YQml#*1
z5v6V~msi+9K>=hR(~xvJo6pu%KB^kbmEa40Gs95JLC8fa(Ji<EfV+J-7xfW<Va{D3
z*8A3--l$f2+4x}Eb8RL~M#g*hTt#zY1d6%KXsh(nPGG#0n<axW%@<f-?)wDVF6#sd
z<2wr|a9Fol#KFy=zJzdzWAo^q=>qtb6zuGqX~92>uzm|F8BW}-ahzu9fpy3<4jX^5
z`G0g312?K20Y(ICBw;%<LinJ|u91~No1eyQZ_JL4=H5z8v)qE}Hgihp1Jw_(<W}FR
z)rlFw7!*uNX97EbhfY%hm$Pi|eF!_5iQ#-!3QJ7UgB&Nvvj8LpQE%{a^mN(8Q51eg
z!<gbPZDnY%ntWku?N1(Oqh`oJl39*LMEld(I(A4^fve-#fNic=)FCW&gH!OZdtdN4
z4Zu-f`vC%Ju}DXde5F5lU_u3%n~6dG54NhltV#4uM6*XVQ~I_<@^g*`@8|L;dBivp
z`gz@uryk**2SKs%BW5MIbpY;e0fCP$$ddrGE=ioC$Hh=!G>qw@kBq%}a<*`QX-s8{
zud<*HgWhIJ1*R5~l5=F2PMbdN+S=_^X5&S*{Bal6V+Dm&8lQ60&&r9K*9c2~8mcLT
za2tr73M&w2t09aa1a9@*5b!9mlwq#XR}P*xcfDpnD#1$2y@~hI5k~hbN7~~VGfXZ}
zdfn81W^`)wa%Ze}Aj5=Q-xL>`ctN1ggWgF{avbGMCBribbrDtq)O;8?Q{THi11^KE
zx*2!dzqEDB5Z{2d!R0l*Q?D~p_evDtbBf%x#<~&s-MA-6*fuVetV$PRnDI~~-e!v=
z)!~1z)!A6TF1KtTY+J^#d5ZUz8Ci^de2du>Drk|gfsD&Tu~6y7Sp;y~XyA2;=EePg
zs?o!+M7>(28lMzrBcpmFtO1>GQ~O>@?55jdX{186?yNy|^MK88ofGD@Ripsk!kPw$
z-UO6~ZLWDdW64T?6P^UGB3+d;XFCG#h8>d@@v<iqwWo_bU+x^J`B*_>^yo=S=Rl>q
zqGFhf%F^ZYhIBc)eNX{684Q3pQDPwO+v@0IZj5hMMwqNkFv-&0w&z%@yMO431GIqc
zl<qEF--8X_fsE{mR&icBe5PTo)X$7GQo+eYr0y#|uNB3oasTk8{))!r$iBKlizAzq
zGmjax3Q%(ha_wP>i!A!*3ZQ%6OnuY<L%C{!e=HOArrmm49OCsz8qrj~=sj-(5-4SJ
zt2ejGsjOzk{Gr^swAypl*oqhh_+T<L0lco`jN()@hwg1_x@CFXr>y91)}f8$XN<}w
zS#xxDzVftwt8)0lGZ*|;Q35!1RC?akcn2k=X8E=ByfM-3$63HhqrB0BVtLl<-^js=
zx9bCS$f{E)&OAQ<^p(tTy#rmk&h1s@h->GNhLX0CMxk(;TTB_<M82AQ9If28y_cM#
zXIwXHdR@mq?X5LE67!RI0Ha<WX`_(t6WR4@hqGyQ(qK~(=c^{{4L79kQzDL09keGq
zw-OPu>gj@FWNSXvOcO-kLyzw3K~9nZv*D1Zy$H^pw<TEq!I9g=qt;KgZnsI3I5ldm
zqtm2oyds%}t>Fz-;yc^oCC40<R5NN@tS9;GS=aIaJ$AJuwAUbiZYhYfU<3-meh+Z4
z>y2GN`9Lk;`s9J+gE};(H-W-QhlszU+nEiq0@DTTbq3lh5Doh2`xK0afI$YfDvXGj
zMbL#l*ocn;niay|F;Rw30fmEt4~w`^z&GYhx@Ez5bJxpKqjC7vpi0orA`PrE3^Ez9
z8%B9ixK+)-JXiyb)CtBT^U=dBz_xY#G8kE_qeA<t_ujLZ0?{(MZo2wRh@h;<F?kg0
z_r5LjP!deif$hL6PNDz{OYLWze^6)K=i%M0o!(=hm}R#|b<dN4Eid0?6>V?{Rr54<
zqHze+xuG1=^-yGQQC`~>xa`cBo`F%cg}Ks<fA@LXM%Gt7ECzdrY^TapM+An5-#*Ub
z%j@6m8v7&P|4&>D%BBeNdxl=NgkhA9<8>`Zw>_Yu4!bQX@4IUoL-)juH)^wTnsaVH
z)v3!Hd|5(Bi!kLTaa0yt>+y3EwJFFnwtYKv7pyq*(6q!XqNiB{#1o)q5tn13SS&CF
zNkQ_?QL)r63OqQN5-Etk6WW(~1&AGR!W_eO1)_#ga3!jhdKM933xN<60Mop1`_jpw
zzK8SQ1!so_95ewW0Lb!WzF7Kv@3EGjpLht~2;nShfB^?aS-%@M^r`dTF^)uw){Mz&
zS&V)#(+WE^HYPieW?$GcR#8Egfm9oFgvn+Rb&fS6;AVCmj3IPyf>wE4NTqgijZM0=
zl6hme!B)i-3^tHlsPbgCP^oFfElkYF)GQ+TNaP)}b3GAj6OEkFxgC9#qMt8oh>*1&
zP%e2NoC%E0Qf*-Hk{CBjRvEUO8AvF|dwhAoQ-H?1rTqq3jOJd6tzu{7SbFbi-w7ov
z*b_!L&WUHN>mrcEf%l~W5$_Rw*C6b4=D0%jQ%Gp06nZNPtfFmmRuM@suojz;upMUx
z*?+UK%&_D@wNf>HzlY7*di#)iRH036G1(6L;J0ouV9L7MW=d@@IgZ6;0P|%BFkjY#
z^|mj8H`^KkDM3*;IrN=G1=)7T8z-T3UM5sNg!6lgH*NN?by1CWZNV2ugt}IF)5+_}
z2Ze<VS!0<$O!7EH7VW7>@D7?9m3`T-Or&#azHZ!zFG}Z6+;o412IPzNkI<00@G|&R
ziaSSJyxubm#o5(X#%zMsQF7{+_E;8x&B$Q(tU@`!N!=K1QCW>)=UGHWCK?1sLGXt&
zwid<6Y2+;8lJ-Q20Mr5zo<eUqXA$zi9|;5fKBN7eP4EchsGteLec&(v3Vy)*OCQLy
z^BV>a!okLefSk4j&mxkJPc(n(+t%ds(~v}?kgBl(!g3w#uSdT=itrePEIY#}3l@)9
zy0LU^-k5@d9gc+<>#}bib~uf8(wD!iUJut$-tablx7Sgwr`dO~Ov2_0@)Mk!Xo@*b
zI7v6Rp(WEfFs}~l2&BsFVS$_o+xpY}HHO{vgU45b*?O%ri&)e5c~8-4s64{=4IKaz
zF$v;F#r1)TS_ut@e%_DBzqC~%y@>3LzA7S3Vq}luA(G3ph&^Lk0wPu$plxEw;7AR2
z9y&1b3|X8xe%$L|_0GHDe$p97%4B)?((OacnA)$)UC@>OAg58a{(>&QHXn5Icudh-
z`_BdphwS$Yh|YoUi;JqRjFJmitF6s3F|k~iRGM&<G3m_aPAQukTIwY|b*Y>64;o*U
zelnD<M%h0@`;70Apg>rKMPuw;bK5)kg|<fA*Ij+~Pd8b9O?$BSxOczpnbc_BG-;W#
z|A@dS$at@*z&rhiX3ZVTZAij$BcS8~P168vt=qgY7l59(x-h!X_{KS@1WwZS1d}h8
zANi$O#Jt_*0rqLB<lJe1P@F}$mKEKZx8($*W)bsd;EtbKS%2zqRI479xyD;*p)-|d
z4nMf@VJBixlp=r!d;I&O=l*cnuEbLBNd{q{3d69wZDw1X^u|1_n%iN~s6A<cWkIqM
z5=~R1`Y#4`_!R?qB6Xjp4`FJw8-Qja+PN`ypLd32@L#%jf2>h}r{qAjR@%V;i7^tR
zRk=wfxpxoHiAoZm^ks_h#sL%99vDT_>t+$HQq{u%!5p#e7E3&Yt!Z-jA8d8h_@H*W
zXN!lrs+`fz6#p9qGzTSXv2O<6#GF4tMb%QFF8MrijnlAR93yx1^G}#KX{Fuji4kgo
zB?V@jWRPO2zE7McAg8$k>VrA^#J8Di^bMi=+Gi13GKi?q2@Tw6GAt*)6}bW32@XR8
zXi^2y{xLqDH*q^n@QJi@lhb;E_ZlQA6l;?=BLZyS`93gJAKmW@m~E6cL`jkh4U)x;
z!fLf=`4gvN-PrcM7h?1C0jhSQ2A8QGY3|-c<HmhfP?K_9pcl;7)263rTB%(+{GwkC
z(#81BB1}dn1_<h~lfq<%#~a12Jvmp4Y~Y$?9W3(PgrsW#`{445v+5Ge4Ia6ti<vIE
zt+{fbPVyR=U~bOlhaMHU8XTOQ(Ge+i+M^@QNt!OGu8E;AhbbsD1F7fuK?7Cfz59ES
zK3`*q$P^fu0+bvx4h^_=ynUX#7eTLyroSqg_q;K@^Pa(xm0Mm~>d|@~NPSCxJgAW5
zb&D2Bxl0(RYqItf0aBOP_MLLzChc3vvI7M6Zl+_{p>SX(Z;z;xlU!J%>!$uPsH7h`
zRR98+`DjN!CZW}Q<p@Fql(pUvw(nu$=3z+d+U~tfauu0<K|Q+qPUQ(hVLo7!WYH#W
z-YIsZNLIGTE_u-J!kSY1|Ar4l7O2h~JbI$b3@{!r#o8#m&d{7igfj+j8s-GS>^(<{
zV;w?1=TGcj)-i>*(nC-5L*tjdNjM$R80~V91Tl&vtWy@_Bs4{Y;$3Z&hgs&_S3+sz
zp9lP%dq8L`l!Mop=mOTnW5!q;{?`O;@KB!M^~0z$P|wi?&rAiQ*%9DJu%;kvrvsSX
zdO8JIgx3u>W<)@;o0LuWS^>K(Ua%W)CJIf~`d5{jes=aO!rDnf$?(p~=m{)1Y(nJD
zj?N;kxrLzx0!LvHTV`zbf+v0p1<KFc1i&=-kEg&B00iVUa3+9qOD_<E|I~Y-_KFiA
z+u%INHqczu#v3<1;{|6#fQ$wO;$(w0h}3A%sWGr$GqpgkE(qBtP|j_j@YTV*hPK68
zHPfLq2uV_+My|nea6R#o{2=)OcnI9-;s`dtZx!<H>ofl-MZ<mx8l_5~MZ^w$3|M%^
z$nEYF?(d2qWeUDj?jx<}$7{hABSUqrUDRphv~9rX#lXQJHE&GUMRG2+)2WpPYG*{D
zxI>O4GTw?;E%fSf6L6Yef0*y~TCE!>?o(He?~`wTxRQ)7+fB~ZyNGIx;^lU|)ph5#
zzvmV*wlJ9(k`Ya&O^>hhDxSKQk~qWmjP*{^ivh&BE7=dd(~`vQL>@ixLr`pcT4;hD
zvb1q)R9wI*?-mE2PJ6B=4^{6TeVIR++o)C>kGdPOs{7XfF@vFK=%wb1jF%~X`TiYJ
zw_7!5-jFQcY&1wwoZ2B1kzjJuGfnoCZCq!1;An(mo#0z(HRflTHDhX7C3Aon9R#it
zd2>}KJF+2eU?OSEBA93sK>-%1g>$aHHR=#pL9nr5GH`p@7V5VV57ZF}gm*Wtfx(8e
zN5e-%2o&)3xdW6o7=Ow2tkf7Me#Kl(^#Tp-$7~!d{n$7PM+SOsF$N`K1+O9t(Zkep
zCEM8nE&uddfV?^U(qPzocyx14zt~u-!A5v28XkAd-hw<dp9bIk{jsxJ;*bPVAj`vo
zpbR=~irf6de9@nW2x_1|Nrcu<hetmO*s4^*znb^4q=&im+kK~2U43}OFw|9W_$43n
z-K-fYi=yy^r1(a)<{_y^Hx*Al8%t<Rc#)XgtPH(wf3@)aGn&3kfK>1wJ_CQ!ayVNB
z*6mA^o21SrJlrACOD+<ggMR^d8W_H}x;uUOw_QuoeqLd;KHI&3(%<OV<J&IY@r2rz
zWzvEOO)sbXFjnKHuqrQwR@-e*;nX-!<hH=%NM@~y594}M);OwJ#B-1j)RpK6uQLEr
zlvdNXuYV4+fjlCr&wl_?1LTq(&H~&0VKs7^D;cCQb>j+!QTUY_7~~m8aMiRgz&p&4
z55d-_p_cc}z;z!w--WyFMY>>^lyj7#Ul@%Y0NT-EI|OsMMQ6PP!mbS;n7D5aO|L`e
zqKL;J_L95=$hjYu?AM3jY!Sd-p;Al2?p9!Upa@S2tK?q8V*Bd8eb~jICr!1zO38Qj
z4sL7G@;*d`-#bc0KY-w33Ot8cJsJJ1I^slN$Y5*$dT#=LU2oO=C$@@VHta&7)-Sj-
zzY8nANcDlPZfKkw4c-p}?mH)q+fbi3Gr0;N)BU{lg2AC(Dl~WBA@!Q8R{ymlL7@5P
zHNg%$M{r^90~dC31(;8YgK>UGcf`%>fuC-&Um4Dp_6L%1PAbFO8J#+Y+?h*SK`%6e
z+4|~%hX3yHseY<+7~V~|6o+3)KAvNwPjj2emCd<ZNjz%XGtaG&7=+b22ak8!@?m;X
zK1q#D&p7Ima2f{5JP{{&L-Xqmhjh~~)%QSh`JkAP1vFNdHH%mY$mQ^A`t!n4@kP9#
z^S~QZqECU}3dTBO`bFBl$QNNQ1x_>?HLPhgi+EQy-@{k=nxt#SPd*3munSl*YN@Z{
z_C>^r2k}+n=LZ42q7rGUs>9mx*qGN_(e{AK|8xloMJN32)2Mzf<_zx&ece?*)b%P+
zQ!u|`rc|}A9K|RyCLLJydNlir0wdjl=#Yp#GAA+7O~o92htp$gYKzwxdxy)*6ivu~
z`W#0jfT!ZVhz+Cs-&X?1{S!2!&#da_^nuHEl-E2J7j`_}u?{x<59N<OB{Lo_^32aK
zZsXjq<<q2p%WKdPf!GLTLadD7TviP9dZ902Fwi-(T(5G{$;8uKPB$jwRkJ3M(Hl^L
zcLc|9U#9|LRq68mNnYwQnzxi$)BS_0sHBeiUO>>$8(X;x1>CK?N7VsCHyBL7{{$On
zh*)N{=f$pIUS{0?4ubpBK>%=WOHa&Ygl|qqGJPjZ0=zO>A~yJmf$wY+9{Gycem*wU
z#%fC2hgyC*lRhbp2@dy$+QYS#5?B?z`KtJa3*vH%V@{up*scFezts=B1@!`4NwMHy
z%O^z4A~v0wu|flb)w>J6Xx9`0;XR>F<Od-LkAphwDgaMwXnhrIgpWXtQ5Y$rVQKJx
ze-H|`MUA5MKq_h3KraX;hZTddj>(bW5Mly9Ov(p?z=L3{MglmrbSEdE2LN9z!DrQ1
z4gupz0VKw<D1eKv0zR$|8sW8`fBgA@UZ6GdSm5&nFEFr@2v~UlH60+Dp#jp7^G!g8
z&g6tJFq?qp=B^A-yIvlm@dE$Y@(8ltH#8`I7O|yaN06``gPV~u7CjmWl)h+&Ja|zi
z@Ke;!d%Wion#Cr;;rBG`&bu=z6C@{uZiqZwZ_2DL(|a{}S_8b9p^tfN#C9tbe8gzc
zIik406chXVZub7pvdjV~>FqR$AwQ{=BV&<U#9&I-j)ebkvomyL+zv8XR+wg+t&6Si
z>YW_fLi1ky+8ajtd#>E8`t?_zilWZPWFGUvKj89Fi8t@m*ewko^;)?2d}2*;V^D`6
zu)M|o9<rw$gOYu&>c^(<fH{i72wrN~T5Gx%H`#k_^S5%Ut?`qc;4?Tdp3Z*TVE=Gb
zm;SrRECxy;mjW6Z8d@C@x8o`Y%_0)~irMYRCEb0_3^0#5YXmyMM5c$z=oc%7_Ybx`
z$QFt4f6)|@$WSrZs!#n`c5Nq=Ae|D^i;!<GwUj~zeeZH2p$SDC(y>?{<Weqevf<vV
zWSLfRGrJpnT^Q0hEA{;72pV~ryk-eQ7Yo~W{m)V*iswHS=JyC4oW~nDE}=)JkOb=k
z04DLY-&49E@c*nIEN+gT7~_W>sJ@0WQ5>IUsma!uz@WTJ$x=|wMlpzs`bbC)U<=de
z7=+#jC@vHH>_+g|y)4$P3hK*m#_}P~*&Lij+=mF@=J-LE^xj99sl3wQyn#%Q3n9&m
z$1_|j7c=s<lyq<6R^GdfH}{zAY-L$z9!KYGZ-?#@yG?nIRo_+t$sMQSnV=b=pn>Xx
zS1LtVJs!6_RGjLQEEGrj&{)4b+nQOySJh6+(NBT0*CYn0*1n2Sd_n^7+US44AZ)5~
z$wd3Q(uB<+k4cpeXEVZW6I70J34M4WJ?1duBP?&>+VzB6N}#XYJCn{D(h&B|6a{Kj
zgTXfvPNTq0Is;pGGwSYL8@4X&3!k3^KvyEME4u||W;jI9Q^Wh)o6Wg~6jX(c2zEEh
zdkJM8(U$cCnN{ckb@8!e0)FtaVToXUa$KUkpE;bsrpgAMTK&X~>q}JeJibU$Y@=Cc
zBON-(#5s9bkY4M0r?hla9o2R<69a-3?XCh8b_hklBJrmrdd)Q;JZYR48E_2)w8fqi
zK59C7Ls%fT-Tl>x+!2?8M2FocuI06p3**XNBrz@3&q{{SSXv)u**bv}1|L|S$y!eu
z#j7MTeMaU|L!n&bEI1Q@Wu>=(IFOIEPT`&Gz)c`-BaoerxFB#eHVvcO2p7y+;ng_E
z|4mI9?e%$}gPKocdd3aT2mv6Iv^Zrq1R}IK36_me_g%IxKwwuker+`hcY-G>r2Dgv
z3?NWMQPZ-mLs%M9G!73g9*3w(z>-)*&S8PURQT06R4x(7hS3-$O#|b*4~^64E(=2F
z_638d0vNjvARBl3=Apo@EE|ey4V>bFr*eEtvLU4iGUV4Y!mofKFI8}M+)n5rdgijc
z6^c#)0ARb!OzA#vofSpf;X}U2i7_O6b2oVE{L;;Fe^B!UW68k@SyLE{+ZeLiv}Ag?
z3Yc$2(74U164mwyaFa^P?TQ(xm;eD7S_=|%eovkauMNOt7&#(=40%D_7gL9W2geE2
z7?W1$I)wz5W&wV=7!)K41%H%t+XKLR1_0jci2eL_ETTx)2mtSG`f7YG>-3pLAdR6K
zV7cJ-bkEIh2><}9{0Meuq3#VeB2W`^53*QF1ajj%0vj)w+ix*NqL}~GXJ7~o(8K^4
z>?E@&)kp(N0+z0(uo<`RBnZs^EFz*(Ex{Tap&EBsL3~HcEW$Q3fy6)BmcS3A+u#I}
z2yHlX2F^rH9u8TPhB^i0fepe`l3X8I3xn(aWX&u>JA|BjuCry;z?j;pipBxB0W8ld
zh8wc}N?YC2Q(qr%ily|{3bf#f+A6bN;X>N!aF@nxt=s-u+81#<nyPA?Pw{#u0g1Oa
zwe8L_Yfhd(2j`}0cM+11FTnGfL|(NI>A1eKGs||9#(K%>Kuj;r+TIrmwHZC^bU`u4
z47-PY<;3<#+o%Dk=b?sw{MC;B`ea&7ovk_H9MQHLPb{n=Fb|Y3fdBaUAS^YnLRfQ=
zE-96VduwInYXL^u9TJHB^HcO?GwmjQFkJwogB+~!xogn4;BL~Ar^_ZXpFXdR39Pe?
zsnlaTa$Av}_4IDk)fzW<vuGBHe47z3`RejU&PK7$O^+L}l>z2SzCCt5;M9l!cC9!Y
z#mrzkaEX>r@&HrSZ59Ev4Mw702k0FnEISvoHW?H_Kt!X4(%nGhS$r@a4`Dfhtn7FX
zje-4$LF4a0dUa6gnk;xGWzGX@O#^J&9AE&?g~a_gIs&x>C94vhnYS@JZMwR3U7VP5
zC+)pFC((NVW&*F02VplXd>NVGI`iQ1W#AH?IS72n3&y>0q%3MJ>9riZMjNH*qLrZT
z^spnc-X8likKX$5KsR^hCV%18hg@x)C&RZ@Znvn3?P*l0mR19qByxPo8d9s}k3Tw-
z${(SsK52c18B$w^oc4w)NoNr(ePtj<a|%p^f4kkx3^3A<qS}tnB92kugG0fu!961X
z{LIi0C<VU{+(4uV;;2zOH}G2sTqGQa&}eX7$%2`Y69CA`!=WJ18U6_}69#~-BE|eO
zx<5bS{!gC3UHypQGwIz-a13TZq9^;?3(lGjxCV{f8w()rnO-UBa9~eacgfXBOUa!x
z>V#Wc1$UfZ>;Aw^EKsZE#3h3`-46X2EW34-LG0wF69(4u`f5gb`pt5QO*#DP9^IdF
z89)>SFeTjN_~8sU*u~R+=ZiG!?cJOVYqXMmw2n2dR`duqWMw^Y(!t?F)W#EQ!f1CC
zolYhe%4+p5LUz~htLPzrWkGimcU87f692lcm{TUUYxG<D!rAeNvxoN&1(S7n1yA@7
z;C^F#C*ca9qC==02~$^rX4PFN*oSIMU^^7v55y$0X*BT>%7Pxda(Zk$Au@34)EaQV
zeC+xpAzV(;jn$%g#DX^h{KP(lZW~mNS-<R)W?Act8tI9IYQWYgi!WUvgea3!LA|Jd
zBj%@LGHJTojZtR1-o?vwv1Cf3Q9-3P#m65gkN5kXoS_|b=rq1phmO!UQ+WO-Z~i|_
z9sO6d$lp`w{`64+`l{`xsyR<~`*KKR+rJdS8r>hgx@-4u2rrF+akB4}@8sl`EgN4k
z4p47@BD~?q%`0cpo*#JYRq{kmTfkU`+dD95TzzoTPBt9pUrg(HFPw$NmO4En*t5qj
z9O^Gnz>(KN&D2QzrNqe!aF7{&I>=l^!S3k-HXk??=;6Y-tu)|B7y(=9{nLftbEsE<
zZP9aE$&+GXXWu6c_|to0Z_=xNQvI6F@SS<R`)X;}s3|X((A-)Qz91WRVaC3M?)bF`
zpYuso{Sgl(*V4+oT-JYW&00wW(?}j^lUKGttlojJWGlOS^65^mLdw-l0VNA}n!Nj`
z@B!eB2S+!NE1D$gYRnr86ZS$JvqJ%opLwG|!6wfuOcSw3&UdVO`aTqxNff{&FwUab
zEg{KA=Qj;uDNzH$3OJI}P_qRRZyvWmRek-DV&PZZG*Q@Ta8hc(hZmmYzo!5GxSrTQ
z^zMIBjd!60Q+-3+5=?c@8$wrmPWW%w4a^EZe+kO9Gh;UAmep;)HqbU&E|7d~ePMI9
zbn)q_sBqa2%}Vta)s3FreiwQwCM~Lx8Yhyq(wJ+bR02MqqtuHW{Qo+8@8>H2u8~ru
zf%54L#mA>psVSEgj&)>e=4IaxT_9>-r7QApX&C(ZI=XUV`>8Z>Q(5XcZW+w0Ic}M2
zZSsx3rKMT_R}cE1i_b4!U|i7;g<TlR+7fP8uDyFZ*V*0fG#2ac3hk(hW@wAXG{>M1
zyPT({x>!Gv-0k77bQ&NA00AQDMJ>loJHxLWN39T3WHSR3A?u1XhkDQeB%q!MSue~v
zG1WW)Zc;Cp5vR&<aRf`%S;Vf8brg3r#(+{yLeH=xu6obG58G6nP6x?!XS{oDBsjW!
zjkuubROxahT&Ug&>B+-V%I(FTB1gz7n8+ca@hewD`Spyj55+EyY;<YWw^Jy=0pGY6
zWTW%y^Z?3QP|#CSgdz@HFP>$rlT*3s$fmMmm)fBXUDkaBHJlyvFxDk_z*@vzAt04r
zGs6Z5h+)a7SdJ>2?_~zlMC-(qo4KSpv~pMOC^N3h=Av^$n4#nqr)?nyxTY}f85HXP
zXFLW#(+Y~V3mR*%@a6D%9O)ZG>=UJ`VebQUE3$Mlkbmfk$vxUQD}CGLla&!MgZd+k
za<uQ-j;6`H(7J`d+_22$6IDxpk;W+Wol7+!8W`BYR5rCtd4_@3{>qUtrm!J{H7>EK
zNpObzCfi{Aa4@(zQNX(%R|&_Z!>emb9Dt=@3_R&IAOu1Bsy=1UuzI$d(itsh%?;U`
zSD6Hor{gq}(VJEm1icxTCQN~ww`;hJ@v~NWb|$Z?W^=sXh49PtzZrCg1Rkwio_EN}
zResoQBRm>z6fm#VR--AaV9tCgI`t1-UoT2T1aSY4h`TSS${ZfD7(n|9WvwVcPLEfj
z`)Z-?+BxuNLCc>2fB9uKk8<}w6IcrPHd`<?Jfv6#ONp^fZyJX@g4D>wKkXZk5t#>J
z$n*gY#shV89Rt^sui(iyH<txX0m^vWJb-}#Gq5{?I96_P5<M|sPP7QbsMdd<g-;&<
zl<qOj1PciGf=Su;c4nrWrdKO$G%2M}95}VPe(Ff%?)RJzF1%Ec(zG-&eDxy#b^KQL
z8~VvqGT}awgKqpJ>dwXyR5})8vxwVZuQ$BZrhTcNMN4UE{L<ddbARBlv0&_Gt9!mj
zI68gx;{;>3Fo+aHCy}&}A1Q`ZWMxHf&lv6s^9pY=<jAb-cY3Q<Sbv>{_BmNNM-0Vd
zR>dDj&8~z)*ZFNDzqMI5we~2YSU9djd~5QS^1368{^Cq4SDfBQKeb$I8^Y-q0j-Xt
z7z@42?4etRHW;^FOmaQTg`iv;`Tn3=etgg>`Lo4SqXu?I-<$3fG!bsjOT=<(5OEww
z%(Dgiqkfg4_)nm?EwGYLG}{%gb(?L&wzhrdwc->_bgPbjk8k-G7;MeO)}-nWt(#8L
zdz^e`XNCW<EJKegc{>GbSK4*=RU|8_Z_z>O+|80?|4<NRbTPd-wfj7MWcgDst0{x2
zLCXQ#J;n1op2P$|s%`+c>#L(6Z1yHuAKp;SzpSm%`v{d^r^J;*%fdFizOjnQ<oJoe
zOH#h78wDi8EVbi}h!~%vGv`U8{l5@06RpU%Y@>ez+Tvu(7&Z2o>l;twt1*GK;!sDl
zgPWIY(9<tG(DTCcuc4*(ZLf}Xbu)%fxk_Xof<@?a*jNni55OkhA;~`Z|K$Y#6L#Fs
zXvu#zgk+r<HNF@u*J*rG%e~X$sE%mOV6TT6-Tz_%84tSDD|aDpof17?_PK%Q_Q+R4
z8~ndzVb@mp`)~h0K?AY()>Tznz3W{SeMI#xqVYTl>e>V|x9+#{!`;X4rCMMp@l1;Q
z7Q|Y<y8v-WlQpEB9{D!#%J4n!#I(VBd~Lhq4Nu=>wR-5m?&{9gd4qa!9fHXz%DS`f
zOh_;LQj@#bUwMt8MOyj4ljq?6;3W|G?!7Aa5HUUa>G?VVTwjLu|0X6s|0hsM`y0w#
z>eihs={SvPkU_P-U3VpZ@`EeD`u?@jSYU2dRiV=CMFrxMSG~Il;*;MCVg>t}zCC>Z
zHB{gJMn|h-ANIU)%7#J<uRXUnz3Zm+ZFvgTe@jt`pHidy8!1)ChE{VPleloO;mFFq
zRjX%mBKLUxThIT<K4ocBdx;BBS*u8`xK(yU+P}820v%+*-07jm6t%|VDtFuN6Fa9g
z`$N`^RVUuuOyvU`pbM)v98*%pCe1k#{`j<0>iBIwrPkIrnoKZvE0-BtUk+K57uj%z
zdduE25SL~tUu_uN^cvNw?z-mW157~8n21fjj|H`mamDBuoJin~jcvkpAJW}_XBJT{
zBc*U@FuRR$h35N$=X|uuAU~?BuO655-icx|!#z*4Yj=rB(KX?w#$b%Cb=ju6w>zD1
zO`XS$MDA?7;K|=0-(h%NAp%lS%>fa&ovP)^T2|RcRF%hb@3|(8tW?@cKR_pe)N}5S
z3+~LHzhZrYxW4&BCd*s)CQR3W%|Oz%le@OK@ihmut}IPg=!xbf%EJ-~`|@{fka(UO
zVxJvv$W4+X&{OA94^_W;5x)@Q`5Z>_y|9o45hfhE&7-uy$van7dVJ?x&7oJ*w!mK#
zd=K514RxpLcbPy_uQ;dCr-QCRH|&Btub7QX(SLzqij-k75LXeWiyA+%2!`=%9_e`k
zVtV3%2l>UKwnfw~3#Q@6>5RY1fJhA95QQ2LH+?q{a?S<5ICb>1Tl`~FIF!>6JTZWR
zovet$m;fwQqyr!Fn?+2FvA{Ry0@J=O?TQ~v)ncF8=lS^K;NdNaDD0X>uoxB}Q$0C?
zBIF{^S{}a=>Sru)92ToO?(Fq~C-S(WvbOYjr}EKj3`lw(r~M`=It~vfx-UGh=s2|{
zO`l+=<8wVn(K|?VOKdeLEBXpe(?0;$Sg%jDeW-t{@m@Pi`K$KY%P$GOJ9zdguTj)9
zl{)c4h_mV3N~x_PhW&a5DYy?CG*p?Rdp+{Y86{(*^AcKbThgG4U1P`&=T|Neg6}}V
zWCtL_DU}_!)@)e4iL$6noK;%v^)g-3ZM{`7Y9t=a(wl{(cU;LDK};++7Cw%l<2W#H
z1%K^}q!@qBqjhAz^IrKp2EBbRUIbDxNbft)ug4n}J-JCbO4OB~m<;mtJbU21Ppqc@
zlU$Kj@)^;2(PyM~#o2V%Y`m?MYP_mJK!li1mLcMY44-svH{v)FDb`OvX`AfS_m9~-
zRiDS>r>m;vxLbkMIMkzCl<jR{>$cN@B6?AKWKSk!#?PTjd)@}I#@~?Ui%A<on*j5h
zh~Ka#{WG7f2=FufjrneyBI5M98tLWKu?LcGwwz7DWQRS>j95%731TZ(lcxd}wg(^x
zYc;fp5*7qt|If98{2S{ts;bM<GKM(~Wj6vx2-lbvjR+2bTC<4D_vE6LC6+Au|H0NJ
zlH>m;$uY$bDUko3t)LL$<jY<0+Oz=~W1(jyX;eCL8LzaAEn*A)2l86~n2Q@kYYki!
zy)Y>-VU3YUac7MwuwU8!x^oc)^p8n*{|bKE|NNQBmT~%Q`sb9DGgl1cUEt8@6ua!e
z5-d*E`p*{yRWJ2wNjC%fsv(EO?2zO-4W<=tq;aYEZX)97e<Go_IiR(#PVPvwZadLH
zwW|YG?0nBHIL>7EFF5Ekc+>$`))ia^;L759lM1-9?ugn-5_5(B1DE{Aw!E`dQbu%6
zw0h2tIGa1klq*i9UO_Zmqb0U&equHMJz4XAJgO}dqSj|zdzM|A%3uGAWm!kgE@q~Q
z3Bli0;^6OjzW;bsbda@~ZJ3Izr3qj5jW+G|dvE1#*KB7=-j#SuKvC(2wB@$9fqSA3
zFul5$|KjbZNQM6_Thb($a`1e@?YH`OmtVcn^3s%M_ezLzH|eMF+EjMDiOgu;`OC%q
zXI!-~579Z|8$=u5ZkZ8I*qD4;)4cZDn-(wi+vKn4u^0U!F`WW}RnFeq$22-%HAp1y
z$pgjI(e}o58)<pS!=^x1NU+8MwfoV^yPQq(3SJBvs5jTNW9_Vk4l${OKeAZk%-Ru@
zLMoaUx5*l0*WsehY^m<tx>3{J%WR#(vgKO3wPKbW+mpunN{#mzC9B%4=!Y%XdQ+&m
zLQIX_CBs5*)2t!ET$LQXtf395oss-DdSd~`v<A_`VYsDUvJ~S^d5dFX(gcb92`MzM
zsje{37Th)MQBdOX!VS^uVaLK#_sWw9U~mEa7UaIHrJvL87W`*|-pXkCO78JHN}gQY
zQPyXn%y&JHB7_6vo<?QdtdkkibOHAb>$4z~n-Ao{kfNrQkg8cA<>=j1C`HUM*la3f
zQTy?US13GQ!|Lzc`nbs}q>rm_%L(Z)4F>jXZU2}+1V6dLVsc<`2_@ocBxYF!ghx@r
zF(^O+o}7^sP^X_R>N`?+Yum*S^lwQEXrH%Oxv31l6nr1cLcwa-%Uu;?5N*+KwN=JF
zQYJd2LR#PO!BA~GdLcJ4)ga+BIk6k+6Fcz_sf+)L#^lIup+dhSibpcfvA5D}DYo3W
zn=#_)yLpia!BtUoX;^_O8Gkw-^nZziy3BCw0H~7jn!^KB$#8$Iipsb7Ku)paCi4C7
zqM80H*6KfhX7Ub)XqO$GJYgDS`giVVyc9dKc9(*}Rcsm2)_xp8%n80|LdgCHTetL&
z(`g$RW$97B&PZ9a{v{}YbFbxU_v%HI=KnyVQ)erA2VkC^k>2G2%(IQY7n6W_CMZIz
z%>RKa{$nZKGGRb=#_M#3rziuqES8D2;4)@o%BTSGIRNTYNhOxxNHqYv8qX}^)*@v{
z@juwg-lQv3pi;Y{ob#@%@z%9EScL~W-?W!HfSPFw5BGndJZ!;~nt6rROY%Cm^<CY=
zHmQ@lLi0^eKg7C-z<ds)U1${%Z<PN@o2c=jLv&2cv;o63bu!6;B<MaiK9F3L1hrV8
z|4FC2(a}<~`-6d*9yTHMh1>>##uv_!DJVMq;}`qK0{XZqCZvyBpZbMVn1&Ev_N_Ap
zfj9VfNc}$Z82D&@U_AdlTbF3g|GPBjwCH!jmWDCB-7Til%hR(2nAXQ_H>I<Ja8F4c
z<#v47cud-C)Lu+Aa)aHPFp_Zu#R2iP1RubzBFc#x-fsl6+EesL8DV=YK^)0TXyhaU
z(pH5^WJ~btftS!Jgmi|r9=1}4S}r%^Pa#N;Bp$b)(nM2xfp4m}NwYgFY$p93Jt03R
zHIJ2pOb=2(7Txv~0q29rn}>eE)7$xH5me%2lc$pL;~=B&^#}CyGX&~I47w}43tkB*
ze%>7;`H#o{*F06$NSo@VpGz0hJ-g91X);W<6QY~1))!jaU6y(!nmJEux83N}@{QuO
zHGliJW=T$Pt+DW}*x#>H=#RlCZ*qHUzi*C%DK{$&s@;Jkkjo&(dKacS1@Pk?_oDhb
zQG``<(KSP2NGLa=of9{L#Br!#8=472Yhli67IkDxa0E7x&URz&d@#!5#EykgL&Oy2
zL@oc_oUt{g3j#nEhb|Mf0T!C?F^tavPT#USEr6jo^fX4MSP0e%fYj<CF(KMdDxoh*
zgdv_4^eAZ}h=B4(k8geYHR8w0?TuI_sO}TD6aUa&^bI^?t4qprdIo1ifZh>wN#zR&
zwo?QfsQ<1wm8o46NJ&!yL<CPsN!zIL0I(W}gA2rIwHJgBEdashmvO;-eGGD0*%=1{
zw>s~RKfuNy&VL6z+e}h~*9MFrjz<9TvIq)C>rK*CxuBQDtoKbeV&K~f?=>dcP~&G4
z$5PQVP1JaeX!%#DHz<WM0U;ct`+RcUvQF?4NI;%YlYqqS+5L4Qz-U(#3{8)Nk`lEb
z>+Zv%rd%|J%nao50gjt)?$TdR<RcdT`B8k70e#dcEl6LD^GAVViJQ9@N`MBG^8{#L
zbwEKRnYXA75E{`7Yv8b;{eTm(cq}g2vtTjK{+_a+M1u393Hy!g#tGyNTN?>5{zF(%
zgtY@<5?8wNV*J#2Gsxg|20sV+;bw+#fGObvr9EpmK^cA(GmG##wvsHH6YB_g$217O
z_5%3}l*odpwuMvpl~f85vc5<ImMGfP!#}2i$eL$?Son8K0fxj}M*qqH!>>_x3-rl%
zf4MyXjdu=UDL3j&AaVRQNDpz0jDN%rmZW2ZUk?MFV0?@$cs|;6ZrhzLLt_Nb1BJl_
z8V`bPN@h7c152Q2pc)XvTV`BS42W`vu4#<e#S4MIUrs<3w)AzoiqA{#+8>mz;6%4q
z$N|Nn2^jLUh_i#Kfhu>Wt0C9`<s4G5NOPP9>`PC=!L|gAk~xbS7suBwP)9%G%L8$^
zUyI8BLeepqZxZ7iSd@<wH;KgU7a|HyB{7i!*_^4UzB-7odHgHK-p9SZmWXbeSPTDP
z!hYi<{-bJrEL1}aH4f1fe$-nFdGg4==ZhjYYUjk?6OtlaZv_#sm=FrFd4ZVg_zz{k
z{PhzDXmWbtINY3SKH&T7C(hy>u;4WMi5+7+1B>!D<0etK{l7-Q2v%TOha2ANlEy?o
zYW%V(k=T4o6uHncAtuBmw(f`+Ly70>H%?))r}#~MZJfAKWL`IE19B+2+Mb8c4LuiM
zj@PKR=j}(=G($BxaIQCrvQ#g6qQeLuV82gnCsc@n0kLF%0?GS@T4doszpJHIAPg@7
z!XXabU}<zf=}NlqSz@%!?=`SnP2FZl!M=Z#C%(gWfjT2Gkpvo}!;xSEY>h{2z*eN|
z${NCMo-@GI89@#40u5ncX=JoQ?{L|DS@=!kAV0z(?y=w(LH`kd?++r{ondhcjfpV|
z*n#~kweLqE*CZ~6^yNX{%Kw6<-&4?t$h^UP-!A@W4*oX71RE>{N>{`I_Q`QYVrvi>
z_dhV~{yMJX-?Ez)&fzD8)ldZ}G0&EXc|m+3>lQLreEI5k>Rx}<fy+8w0EcM-1rF;r
zi#WI$Y(?P`$L7&J(*^J=DcIRJ(*j)Zt=|GmaN=%_<1|YTtV5=8*!YWhpZx)c#Scyz
zYP|g*x~~&SSgAsMp8VjXSuFZr#xS?~KS+`I!9C$OEMhSG|2V#npIJ~}XOkoqT)J{o
zAKUJN^~JZEe(w)WAQ}jBz8uw0K><55BP=HtXtKq8#umX8XpG{BAK{uCftuZB&<LKo
z1&E0HHpNj4D^%c%$usX@zR2T`*nEHcnBl~dG(t6nDBQXP;<Mxjr_5rp|1yNR#s7if
z@}mT#-^jR`o53GvApMSlYQz@XUq^oYt+}*t2EQmD@Jmt?WpLp)IgFFTSKIIF{@x#U
z!b_OccdiG&VF}O8;1VXagh~C#bXmfrmN2Qsbo~oX@<pKRf|egMmi^$0@GnVv{c0x>
zP1<+veoL6t0xMu~U07l><_9;0?-JpGMOeb5NS81v_l{Wv!3J<IKH9eE8u{Coh3^*y
z0e0#VCbfh~0Vo92a>055EQEK_RdQjS@g+>^hwi>5Oll4fS;C}Ns38GBQR9Oiy@Yr@
z4NxE8kDt*8#M7l@Gz(DepBYkfr~MKpwS-Civ>?~_pr!94=}VZ@k34BVa#=57Qr~y^
zEyYQF+-Xag)KZ+(+9e{kg^t*7iW_~lcm6mcwk1p|d?`+9-m$h6C-nt(<oJ;nL}DpU
z3RG5Jij!K3llmO$x1wk%PHJ>t4|0+Wgiapvv=_lGi1}QKlLENSzo9PDFMv_=_S+IB
zwS-A6VNxKl_C7RDvxt}a52*J>9NoQyNiF5>{V*tZDR*xvcW<dUz~81gz!E04gh_n?
z7nU%oB}_{EM;^ze+`XmTz3*3t{!1{axPmATwp-;BEZbeTs?s9ubeH)I%&48!%e`zw
z|E^!kbi_b0uU#yGBtsj{oPje@lS|jYrE8!!alqhjq^c5AxG?5qa#Y$NDK(@39hq)z
z;NF)p#aU~2Jn%c;GIF~_om?Q7v;|#hCdVV4Q8|w8PYp-k^{p-H6p9mQ9CZnsMOY-$
zbkQSBlQjB<(0%Q*2rU`JqbCjZ^_$1KT-mFYrkh$#vaCv1QoBBJ8tO+~^kMnXX*gQD
zpC_5-9m3e|FnSEc&JKBrlX-CBN1+)^DG5e)79kQ0V|fvllz`%xWfqYshuHD2`65YT
zl;l8b<7QSadbvRIz!<~@>|ZtwBn~4+vXz`>jk{E3*FLzp?XZl>?lZ52G41r$PKZ`i
zE3z8hO##g!>`UOy2<R;;1}fb#i<m`_1mkyu|87DmfK@{D6Jzx;5<o=<14bcnYfwyR
zB{UpD3U4In!}e3Ni0O5+h*ojH0*b34kPOWthG}LIZ|wnR=R_QiwD~imCqlK{l>LI}
zg?wq=s7i^HdoTIY?N_$uwb=tIM4~5?CJyfpvej#KqslVTxw_nMi|To425mj^Jju-)
z^Dw3pR4tv}&lEP@_GYL%QC(o5nOb#F`k-EBaOYKxVYfbwaWX)%^IB&Xv1ZEk*dPBA
zmE-IeWW)A^t3Tf|e9zfJ<c{~oipmS$yu=?reMsV$LnK>1(qFHCj#?&znLYO$dvk}_
zWk)i%gB0!JpzO(?ys~Zwt5>?2(3Hm=O3XgY`JtI<g%?Xi3ch<8U^o7uOe}t>|L@4x
znp<soo|N305LK$)uwrE+tl9bgiop1Ccr%IO?-bYn=g?MUupF#rtlk^uC6jSj^-ckg
zY6`-T_Tlb7eM%N@Q$WYN_Vh<OUe<|^bi9Ti>3Bod1TPY>|L<BXe(+-b0QhlLvgY`4
z<D}>KaV@^^<Cd-fWWYqK<Nvu9KUH0Tur|?Qj<7bW{3Btl#XMmxVvfE3|E2YQ-_Vye
zKD-sp4)|kP$LIKClfUxEBId|)|5%0m<DroNTGzxofY#N=>Laad!)IF8KYL2P(WEOf
zR1a1&RdZ^&$z+)7-(B;fK6SaFi0hxfv({RH#gaC?#T!+<tZAc%VV4Kx4I!(0$NtIU
zJ09m?WiSyKFxprrcUk)-iO4wD?U@f9@x8lA%R8(1`2Fhyc|&dnJ&K^oxr}erUB<}`
z;|7a3c2y)v8GByXbGzN4BC<pU(S7+VG0yuwtME>LW`{HR@h?9}ZoOaSirR2hoCZDY
zs}GG$qlOA0yc_~a^obMCY}JJ)xXp|pXAzs^3zZK-Z_mU4YqMn*fd&j*BfPgieFdhN
z8Jqix_-at>@M7{T0_6bX0rO3EDbNW^zxdPV<Nw%u>#!)-Z-00Y1W^G&B%}qTQMwsG
z$&oH$2$7Bf>1I?!r8`8ryI}|ck#6Y@>F#D2-$(b}?)^Py`+d*8e&_dI=e(DHFwb+(
z4A<PT)@OazdhUBI%=jZj*4T=+(eN=ET@@F1W~XZ97FOka_No|Z;=e5Mzb^Ryq?Un)
z^ls~0Bv+2PleTCITT(d0PebtzUnkKs1ufZ?l;;9wqKqzkb7b#Sb;Q_G2t;Aj?U#r6
zPX!lS*Oi&FDl>;Bc5Dvrs4AO_7R-3VllYqPDCq+<-{>f^Yw7V&8F>pR0d5(VVw_hQ
zQzjp7HpB4@@`b!WrK2nuI6J*?6REVj_<^^-uJV;mlhGq>@euS9`#r}Fs{Xg#vhD0a
zGcVy;QWo;*eG@y1hwKv`vb!I&yQh4nOTx&^3Le19-;m7*w$UzNkwx5YaV(VMp&4r3
zf_VkBINHeZ(0;%7Vf<<Tp>h`NOovWLvJ0Ga9-{EI%@e*ebPuK7xyQ{ej!k=uk@Lz&
zGF*{Y6?Ys?Th}FG?VqiM!GWW>ZG;2w->8M3Z==M)z;L0BFqZYVl3h{{o6|@^LJVLA
zo#YF~fWf%1mCX}|vsWf*<GK{}7+*muo6${)WSM?X`nP!^LfG~ux`>9!`FhKXoX~^H
z1LZ~<1&aozs?Q4yRq}VX^1xB+TUBxcb8mw0-8D-cxpB)+7I$5S<CVnniQpG`m_Qaw
z-KytS%RubQnyu*R4F|6qCxLUiiZZ)5XDmcv-Rb^{R$oCTz7LJnOI(x_oDQ+lU0nm+
z4lF(&*_9cyfQ10(2V*l;RxWPg4Bqe+L>p)0M=1;;Da5Tz&?)&~A=-{<mn@6IP2|6@
z98^`XrchDbu0JK0Gw*K9k-Y%iB<h-z<oQm4C?=jJ`jjB?ivH^cpZQU^l?D&*W)#$v
z9}8jpekHc?qC1&Fs)|ON=A{`F#!lZDF8bo-nHydd=a6SUTtTXyP`5cVWq;cd_D0<l
ziGVwHC;_Xm*u=+~QTZJDkj`UVJnUMI?Ve+2@Nh-MppNSIl_Ha~U}N|$eV2ibv=&G0
zSo$tDGfOpYgqX6D1kbMSQT4y!&PX20K&``L1k!nM^ZAaU$WL%!n26Wonj<&-V*-5r
zWjH}j3SJ6T56+ZHvjynC3)+=#*|KUOFKkrWSz$ByHdHt5**coqgxG>-*v)-znJ!m_
zg>YpF1!dSV+}q5L)6U<f21zD1ga5&+I=v^sarDE4jgad(oseQwUU%@+8B^;)vW9==
z?RbiMGU)zgZT2_|2PY&9Wpv}G!z@r$wpGkU%+9RnWTf<a>egcduIf)0$n3}ZT>4W;
z6V*>L=OLD5K@?Az-<y#Kmq>V-_>u-QO@>)8%672TZSTPFrG6g+*&JW+h+5S^PYv;V
z*s=}S=#BZ_(7WREdP#m%1aqImylN_9-qPzyuH-<VLeNZLeb-AoU>Yl5FR6{(xMub$
z_`M9LxTAuoLY3{=P{$uybuD60`?o>h>^^AqHN5p@<Ys4-W}x&Kb&nboxM5(KD*x)A
z^7La6H)lLlchh)dtwPs9+(*p|KzEjGcqMx$50t=H{Z#BC23Ed;9s>Hr)ZU@0n0j&Z
zLfnhi<)Rz{6SgdNMTjai9?3WbbErpyYYq$&*B|8HQcxFUK@rz4;FhTisI3&9=p)~<
zeS?KP6F(7Kw8v>7TV>uP582_~1%yLA7FV~c_1%l@e^;z)K;MH6*=B*h`>6%K0s8)!
zgQ{U*0i2F&F8?4`{$dz7$7e5RL7{E4g*XlG+*NGxPadBPe1dIM^^UZz9gjH|ADv7@
zt6uPJdv$;C!|1u0Q+!fBZRM3PBos&`jEw?1GEHW#uOq?!l=ChdbsO540D!M}tC~Lq
zlATKh_8knf!AMpcfC5;<jo{YJ%NLm&6Lsyl-4hkdqI$N87Qrwo!_8_S&f(K`)ORF0
zl3sYC^UqdFkr)to-ZzeRj?ayQmIyV@>4aHW(*mpx)sZ>$cXOpgZo|Fxmma1KnYE@W
z?pPw*$N+#MXklqAV2EZdyd7L39+#y8_s;AAYnO8`eHo%dodd~^EdE^O|0-(SL^Rn@
z6=d6I#yj2Po#>hNYR4T|%;hpX_VTm6V7_HmZJXUUv0Glx`Nu8d^p!p#(rlb!sC^hM
zN+?Ddx)HLIR}#iiI;6RmqGC~I*q3?2gEC;^(dJkgK;Hfe;=}}iqp8?$XWe(PlcgmU
z01jrt#M#yT;Nko~O_cVZD#iY7sd|k4<ZZFdQbS;X%sAkJy$eW`v9ogvHa&Y9e!n(t
z?vk~x=jg01>-u+B>?0CO9+aJ0bd6rjq{PAHeEt9co30<XC<8-4Zo;)KRAlomeTHHM
zT!c|Yei$7A+xNXin&mQ(inA9U*c@C9vyC8F1M;5&=dpi|dimW<S&ruQ)iX=bSXHI9
z0k~s*7sEHOc7dgP+Fq%8+ZI#iO^s!=ys#btPREZ85BtqNDFqC^homBA6W0{#0W2F&
zuSnnluq=_m0KhWon4HeUsk8gNhgps(*&UgZ@K49cw}0FMru?=hAUQfyAM7pBBA^Ph
zo?-a=tO^}23Qg#euKdkBQ(kP;`3xi(HHFP-;#h#$)OldfZ29(bnS^wA8Yy*kCKZED
z<%Pu}4>93cjTa8er{fQJ|M@EU{E)DaALQcYKf(9(iF>}He2Rn(>C=`>)X+sl3|k(*
zO`_$K4J-=F+mVH@9St&T9FKKc(xG3b9>dv2rtBg!fxhcK>XR;@W+4ot7!EPK;?uk1
z_$hYWUP;rl|9mPiljpMY)V<nKVn8z}Tc8bqW)NnR;Q^Xa^c3u!*#?&*?~i?>@%}s?
z^<*hxP_S%f%4Ywc4br~?OyFTH{2=r3)H)NLGr>gkHA~#9vgO6`&zEr?59Ocx_K>CD
ztJU>-&BKhEvwyt`+1Aug{;!jXe};M9|BN#^1ArQ3&#Gei79vUR4ND_wOtM8%Jp1%k
zUS8MPa?9z;?^pI|r0j0ZNroqTua-=sKF<f5e1lKOb4>;V{?*3=`Bt2{BAyfV)l1xK
z6Sz6Or`D+Th@@{){?^)9-WF8xR_3(Kn~qp*eqlYDDj)WPtMdM^O8;z>ufBo^g<WlM
z$_fi;h&f1a5``FnHsX-+c5e<+xK!+ZQyf5<%mLee^FsDe-_MJ?qDJP+@TKgE7j5Ts
z3`=IAF?;dtcT--)rA%yn1!49P8Z8pdIG^yor~}NGBP3pUz1X#4+G!s!Ux{p5@2P4I
zRD)L~@`)9A1ckhK5ldIgbomDXQaOQaqyFhg0m3kssA<zs|G7$DGVQxAJ)a>Ic8TK9
zqp%gOGIkmC47<K45sst~wLSZs5jQEAmN*07yZl3!LPd@NO@3gW(N0-}u4twA;7G>H
zWZy{VqE`}qCo7$SNnSMgK=JTSTwFT$8MqHTNDQ!t3AKuW7Jxn2FKhtj+HC)pmi>9X
z6e+4quupAKHKqQGJ4$R_QZ-V+4=_#ug?{-nVym;1RB)btTtoaj!Tned^!<o)8pl?+
zGF@i$Ti?C$fxbUDQ~IGiSbxyGcmIpKE?f^-uWE|?wqZ~d<X!0djgY;Z;v0bVdcU6)
z@IIgMK-P;5Fs1n6j_H)X_nPbXs1pHzVf#`MdDwJkiT2LZ{_D>v!V|*_lu(hjKQLWo
zp671=cRvKk;qj?u_bcdV`AGU`Lk~Xg)m^g@vN2U-ZNIoodX)8zFid<S4BttF+jkQ2
zkEHC?E<oE-&73tE9%DY<GLW<>dGM*EL#CxyUBBZ``)ed8uMyzJ4Tyhz*NZwvmtEGU
z9|Y%I?K*a|&gn=yd>BpyJ?0N{e~>|<9};@49-y41@1BP1NN6)Ikin>1DA0pv*IyG#
zSXivr=-U_lek~UAklH<4ixzYpdAbk#u(`YuvMH)v$_sX0$)xK43lpdLgOtN#9wPz9
zIUE}iW(g`IYM2K^c+rNJ2Vk5}!MB;)Ta+NV7i1Tg(&CIUs_XmeKfmw-I=*52;r5)X
ztz2jk(D6=8TA<@^4sw4m!KfeN`E!Q%&?IdkTH2-oJV^UvnF;~kQ;`kJ3<P-3a{DO2
zd-|4`OO}tl0=O<LD9m!~vitORY60T-$9?_j<zPTz<;}BY?-pGXx1!_%6jo#35Kvet
z+TE1AKRV4U`};Vq{M<4jerS^QpXBzZauy+Fp&<Jm?j(K?R6(TF?zu(OxC=1()*kV1
zfXSzPn#&G%UTFjmClC8&GRNQg3c5D4NAu$zNa1V_P&adXr`_&6Ol6qRiz6B7u3{mk
zFG4qPZY|6cjyRr_y)27+8oO0b6pBFwz_2Y&S9Sq4Q6DxHZ-~*$wK$J>J3wfD_=dzx
zS!KUr;Lqvl4<}yihlKs3g^ISS1Ke6+Rm^DDytEfKQPWQ<ioVo&=6e^BJLXwv)R7Lo
zPS_j)+}cQ+H;x8KguY{kMk3I4S1w7)%IA41GS1rK0e6VO4I@`A&AY{Qk0IMmym5oK
zW*q8{pXdXk?oMih3HahFX;$BS@rJj6FHUp8_(Q(De{j2hG{*n37C9ZyDF)6obFDhL
zbx7Sl&HsX9iA%gZUL}OxD%TQkX%MNkzBP3*!jY*l>Zk$lbUxNe|0%7rG?$lb{vIGo
zF8kA;{YQiRX9QNa_9T4XdH2zoZbC`u>pDx92ilE3r$+?}pKSY!Gqp#JHQdgDKtq@L
zPz8m0;vO&#CUJn4MBkX~h@C@&-3Z+`$CP2uZfzf72WyZ2aK-@^@w;68T!sFI-2EfD
z3SR>ZfjP`6v@!3pDhxgJNJg=%kCtOmC`?`wTR;*qg~CZ;Z2!%HNB?(7_rtlc>H5=0
z3i<gk0lM(}8rjAA%YKiGq5Tw~lmB#({&eB*xNifb=k<Vmn8*{%gwYRx@*}&K+N#bI
z{II?#%PFYDdQz<<u1N|A$eAC&Q{Y+m{tecd{oz|2BVYUlDnc*+jDT1Du0-s=6Y-ye
zbn*`e=}#A))76XVq|ZSJ8k&d38qGz^H%7Cl{*>C#G?#B#`7iD|?cH@b5dwXG_`=VF
zf6Vhd40PWENa-zq1TTS|BR+w^j+D*bjo{5upfp(7#e3&5nz0(E$>q)-u1)35)D2Gr
zM1QA>a$K}^`~V2gUHfN?g}n#_d?Y__T1ypSl<0H?%ox3iRDb&-Fd=Uy{-M#7xpWF-
z*9Q6aFI)nMN&bw|=KhFZMw4dq{$``LJkaJ6@z<PDcl@;n36?yWr-tv!7%JuQ83pEW
za(ZWNN($tJs6u(3W%8(8wKOTv1)lMh0wIn>AiU@W<i($}=)^mL@DzUq-TULY1y+g{
zybuTk7Y1L1GNQlS)zsxfeF#5Q!qiCMu%p{U_Oe=I2Q1}q*!5wzOWU!uFS~|uSnqy%
zU({7Oj(uLAuUV39ITpT2<F2zwG@}5ObiJeEQ6#2&+9O(t%uVmfbqZd2ntV++CQRHa
zcFy-ENV-b-#qz+q4oPhIqI{6kE6;u#e75K@L$gd-XeUlcOanva{OZK5mUQz1O?&Q5
z`i>s!nxKz=tzz*v`Ez*Ls9$<AU%4*B5No=(&`SSYhe`Aeou{o@9sPx)Gf*CAP?zfP
z0<M_MvlVT|ZmL_77j*X>sSJLSlub0rSCDCe6eoY)d_^q*Qf~di303z{b>*m!wlYd0
zi}aLs>&CR!>SQ5US$@i+UI;LisfCCA;{Xv1eDsr|L4*`}S6ak-X}QtrjITZQm~hvq
zk-_=cTxMuSFY)ryEHVutuqMJ7^&YN2?7!4tS+Cy*9@(S6ArZ~HR#B8#-jypD^J4V6
znT-C{UYAR&q6J;PBkEowvi?hh$h{H8k$q09&5iCn1o0iy2~Mfpn?5}c?8ETVxeGgL
zfSoE>sZJ|eHT|2g%>oR0)=~+mEjzIpUYSRl$H$;G1w19@dnsPt6%`z7ul_^M^k>&-
zQ>s%-e2FceKO-?Br&12Vn`PpTFZ?XeP)SPOR7`AmyVzJ~2fIZ<L;-7ov849QCvto6
zwzQ+9vk88|AjSe?J3pm2U1HPcFinJ#EZZA0->z+e*axid5$%;*u#d0Xw?h;>?IB^j
z$`$?xqdK7_fo1whL!o`T%3}}x%Rlm8=fuU!YQx$g99I}w;SHa>9`y9Fe{taBk^&cu
zc?QZ%^gTi?y$gws<27i|r=zuIYeegj3qmRZ*1@0{laqU&VcQhsXyevAl!?7}=(h5H
zZ@9Cw(2zj|KaoLmOtjjfe{X%%aVjD6>1vh^u@<HLv{?*SUZVR_13ffu-}_X>M~>&7
zf{;^1)PzEyn;EkslbQnlM0r`oxU*g?VQT2y)4m!SX0=Fuep(FAD|B8wHL_b){qFI-
zjR&e<Y)Ax@KbW831c@=RORs>LPmxpg`39QD^^fB#oi5@=qEF*ELc1XNP8_*J>b;;i
zqxr#EFNwOBz+h~@4N8_$1BbRWBw5@r{P}&X&mgQX60%m<^hMicibsj%uKlj($X-6%
znHqtqgsNVF^ma-;s5vl&i;ETUuk(WZ)`B|dbPLcv8iYLaloA6!&**om7cWa#d{tU+
ziN{0pexsx->k5`tm_ZQ;bw|`Xo@-mHI^uL=d<n9p?KyRQO3vd5rhBi-{MdmNl4_CQ
zps~kXLHjiD=#^QYIQ{F32~CW+m(mQ=r9pQB<DwU~7t;&Af(lw}n^a1}w#TMXQFSwK
zl2wjaV%QVNx~Cmn9#kQofItmf907&_hU6M$i`de!WZ-oa`S|;QlsH~PoTeq`xNe##
z`H6)|XWrQdM#pUoqE$Hyff2Bj3tlQZt5g{A3XhS4rka!Toy}*s=-0iy*ErFTmwCg>
z&v4R?(P?9%?ra!pu;wP9@wc~Te?<BaY1S(NBKayzIPbQ!DUHO-RtC@$yd)6br&nR4
z1Q3IkIeVcVlaS84n|szm@1N0>xG&sH)an2ICy9UiNyz2$^|8rGaq@Z-$!kId60=1g
z8R~@;L`ug~R5LP3+Sfn7Q%Dr_cROIEpjF|3HhGlL)6Binm3nNW<V-jfd0C7ugdd$J
z5s~gHI#DAUe-Gx!rM{}$iGYd*4cC^Bq@3Q`&fnUk3%XW%tVFU)?Kmn6X2N*Msi<(e
z9orxGg?kY%QQo7QspF>3X8@wvWPF<D9OC4uqeN=xCY{+oUz$Doh)x-kyAT)$OTBPr
z3FEb`2tFhu557qhIEJl~mfg@mLc1bPyPMJP9+-BFM*B9FdV|*FdS?PQX+vvPJF@%t
zS$grGMbV#SCJPWm@ib>OO(%ofn_>zQ0pTxJIAxLY*!1p>7-6I3rZ|U(1Cx#SZ%R#D
zDzbfQd0@J2^HiX>&XPGSQ-PUNTZA<dc%l`MBNxw~Ri4`4uD=6$s+zi11f8t%O?@~S
z&JdFv!*U(@u9K144h@}hi0W}Flce57+4gb?+*fouAT4rxVyR}+-2=5Jln+)aDpM7V
zc&S0E9tpjUDdvc^XvOiR$W`=EDOWD-VrnFMamwx1)v`6n)Re2k-d5RavQpa;{o5Ln
zA%EuO=L(#*YtO(mP+&;%R)t>4bipi`p<``INyY6SNzhk7=sU@D6~LfuE8}|h-=%T3
z*Lb`STAI9Km2ir&Ji=W?YfNTVFYnV%#yBB)hC{&bn}Yj^_eodPY-k+0TR>vh03d5Y
zfbwEWbFfN|w&ZDP3SY7f4_19~dX*LUIb-+3yDzWB%gss!P>LnGX-W8~laQKFgFxto
zNm&uea(wQt?5J0AN@;)|T}DYZq+4v-+p-AK<p%SbThEK3o`xTHf+nJ!CG3D=if+tI
z4l8+Qu26^&$+-nH6I(Ui`>`VkMCe9Ed=~UE&+t@{Op@rBT3FhGwUT{VBnH8Km!n+u
zY&nm3&FF=ry1ER%d;!JqoIPa1$Vl=dnYp`fg|3Wwb1uJ>dS<)E3FrD_mXi8~a#(8a
z2RbD&&`8OCJRqe*-F+2STm4ejieE>8LAI$M+i;>pzSV9M#ExeL;#l?52`vpQgBQ&G
zCXrIDv`x*aP7-R_%+H=lT{*iUgETKom^T+`_#0KmVX!>F44*{rSP8*&QfkUE3L%*#
z!1}Jrvin!t^`rt~@8)PT#!0OuM?Th->T4m6y6g>8fb!GXO&@j@b30mgrq<N?Lv%Nu
z6cd(P?m0W}l~2#S3CT^jyywo{prb3!zGN@>#$5DBDLmTxD~Mf@`j}AgfRJEi1jbfT
z8!d0ep#9P>SgOVxR=!bfX~-);d6$i>gdkm3)%)dr>CZ2v=XKc_s`~rgpNBTd-*Cb&
z#We242$-E8G(wsDt}SB!S>hxCn+Qli>fftwdOI2ag!I`KyUbY2IC#*?PWX{FpAo%W
zSSA+6li*~G;FkBYTY%}q2)bkDT@)C@#qL69iba{qu+ROw=`({Ht_9+JFi`K8RW}T@
z#;^GB+>n`UfdM^>LL@hFolw=L#FMhKXMCb<`K+06vWC6acyD-G{30-0SLf6{>D>^a
z&AHK|B+nt;i6T6bYjLyB_*2&jlgZZV6o*zV&x(!?fP2Ks1+=_ytwWJ*&^ezwOfDKi
zW8(CboL`__#6EUR3YQ21@yN4IC8ks>L$Y%G&El%cj$dH@yP5kpI71h@_@rM*;3^@J
z06#j&69n=iq>K6(2F2yO`EJ^t`?VIIO}Opk#0#A88S1#RrReX_y;}5}%1T(WK-ROi
z<Wq#C$t5$HN6E0ddkd#}L~3)G72?QU&sW!rZ!svaGeZ%X*#-wNbwh+t3O$`2K1Pm&
z04V+=RmkimXTukpEtdFFn=>}-3A!jv<fGv`{vX08I`_60Em8EA>+UtOv@bU<1~G+Y
zD4YlsJH)92!UyWym&!8v<pmxr59o7g+r1j$26uL<(Ae_FGu)J+54LXSqv$LHRf-e=
zHT0NIX-&z@zJhc+{$KRw<A2uG-{tH7R8?im)*<T?#h3{}1Dr*tWHudAxQb82TSY6k
zm9-sKTVpet)Z_W3fs22}3_y_6a+JiMJxJ3x6YIaxjE288so;#(nh(iWOqkMyiU@97
zI;}x+72`9j*G-K_+@T0%#4z8un<UP#aEVqbHgns%S>CH*TpxSAFx^$tRpO-<aV}Vq
z2qcz5x?psK^Fb-naZTNvV(8XXj8u)%&5%^OS-0z9@SECck(+}<bQKgwzNmqtLP13^
z{N2))(6Omd>4?BGfYP0vFrjN<!XGLIivA6e{JA#$8~8@NTvx4rY$$4!&d(`Bcv*6*
zOAN$(c5sUzf=T+_N`3dKvz4zLVX8ACQv+F3p(B4!Gj`53)iFx{y@#(;fDvn25e8q6
z3<I^%ey?`(NGeI%fnG2KHlTQS!)RSuR&u45GIn)9-l_I2ZekX6b<?>l{X-7APo(oL
z23kAxkhCo7&>*aG{ql6?xbG-lW$_mbSNhL#@!y8wKWCG(bhbAiQoOQicxT^0%g=vE
z3VxRYm_UG2sK}_yow>Wqbjoc!R7$qSgg)o`NXoF!!)colH|_6y;dYbZwynJz+n6Kw
zlzgGM>=!Qa+wDvF2M?ukBno@$(Xq_X1y&ym4!ZIv^g`@vZ3>1DIULOy$1+Vetu+fZ
z(`%*fMrKQ5Ae1j-lQ^0LInYOM6)WLtJ$ok8w!W>l-Vc*JL`d(grfz!5IcCer;T47-
z>IJv2DQi*O8ls4hsnJ1y-bg)r7VsR71}y_Tnz4UJA-!d`vI;gn@r*x@^C4wG|7;X~
z5=$U=wDw<Q<3F>3KiB2-;r>ImildFx<NRv=>z!2)kTYSA(hanB&=cIDHx=pIkczF|
z%tU?3!3K@=yl90Ac%rVmBc+3`#@xoIwZc0suY@M=eoDJ6MqV*6079Rj$o701VCYy;
zOL1Py2G<31d~0@9jHI3d0RI)#z$A=No-XT`W!7c&c{ld<+3YgiVUMLT3BMK_+g@>X
zfR3xmz)n%~e|F4n$pbc<Om^#%G(NZZs8knev@ijecd&B!Y3%Q$2Qzd^S$FJ}WjUI_
zRZa!0q;`f~ZaP4R0Rlc_mbeMF*iYL(K25NmuXnPq5wTAIyut6v`<o`?{LOmFr)_$9
zn~QX^nY!b~-L<1dM7+5&z<4j<c>`CNfI*yLVXaY)gt~yndZ!J`=EMUY6@vJv_0FBT
zsWMji6bpYrZ8-zex11Y*&7%Q@J@6P64|AIjU)O5ADcKsY*W13nno-aG8gOK6mo-Zf
z()s7+1@YB{+fS=ru73G2wJiNg=)DsNbe(dZq=mnQqZy{46ycg&imLz8on6_%)M#>l
zQo+=<tDn?ps!qPu-Wr2f(c`84dTfErnAG^a0fRlM4&FX5Zw+ZlM`>dM_7TwR{WgBl
zRBEb^s^&uaN#lyoI0by4vbXR&?Wmf)84%|dhu5YIkjHBP8|MyM^V{m8)y=|gQx1D3
zf+-93O{OcfP68|;@lD@;Tke;Sk2U~HSR;1LdjfRb?I~ah=V4Uql~uo47nPoP16QYo
zv33H1E*HzJIg`ozV%I;$c?XHuY7OOHx#uZ*&WA`bQMVX)c|4NPjgkq|rBbgbf3ky!
z>Sr_yQ#Y9k%aKD*r~-w0C*TP;*%BJFQPytmyP+(RuX6h$5F_==h07-!lAea1nQ2N>
zhMn}W_rvmh6VRVz(+Xcdo;5AkWsX?mW3*W{U96u~7mQfbQ=aMX-5tqR{~IU60tgzY
zs)da@k29Prj(=DczCfA2uiT!B+v?R>j_Y3tzQ<O!!7j76H=)q@-#nd^@jGtPF)k@u
zx(U)HtX-}2zIb7Z_7ZZ;olj)xjf-<18HiC<{duku_gb^A!_3*9;-VM{b?E20SeO-2
zWyIa#PsLC4r(Eye6Y1Cy5TJHL*UsseYZA1z@<|Jwac}FInKq$AIR?~jlIr9PW7CDH
zTo2=#&zSEo4did0qLGSwy7hY4$LB9)>;78r^p6}++Z;zZ+prwFRAKZrFpV_y1ygN}
zSpuyiN08LyiV?rznzX%`YXDc*M{ll>4#JnMkrY^;pD1BC{5)GZLH<z3q-VM{{Y`kU
z*s^n`UKwbyw&np7G&{QYD+ua)Wgk3!xaQW^-!Qx&A$>(j=rSEa(r)NyR2dq}jBY3P
zYe;s&9cMywY!&;s`E{`yN|;#9o$vC6l~WaMSR|QOc3}?uwD%ZikFw)vc{=GG9wn0&
zXtAVH>e}^NLed4J8d#00Tj;cmLq6p=d!$o9ta~<Y*oiMEG8tr&N>7fRYO$M~PYFRS
z3j!&1?(YYroH}h=(xpwuP6;_xyYozh7U~?n{4FaQPCn<>I2+qsbq&JBmc=u46CwK{
z>8kos{0AR~B`W9S0_M-}v*PY-XCV4VhS$yxSC08}K3V73`q#zIKp2MfM>2I=E%_=`
zq0=I@ZSM}RH8?K5dSU<qcQifcddJZs-8mdZ886epEH{}mT?3DXT9dfh`v-F(SY17x
zMg|lrCTpqQrdUP?K|aI6SoCS=0~2^0IJW5FnC)xQ3PawXM4?&hu6n&tp$YxUWf!TG
z*r2+@jl<H~^7Ek7#^X@E6X#|-`uQo&)h9B|Q$q@4J-6a8S$cPkg5L4W&zo1f#Btgp
z>KCVl#>(M}Rg`tQSXX&&2G(s&!5rc8!l8@hhiTuX2XiaX)zr{{ynm{7sTq#bJ9boe
zq&5|TJ5?nxA3NKm8ZTRxn(D7PkpE%(gq+0BKAZ0r1-W)z)W|aYW2Cji3indKrgD%t
zr<{CSkoavPmv`cqogMs(gp1a@AwF7)6L#an{cGE@>__LiXXt^lYDbf`8nOE3-`n^Z
zxi*-!JcC^Ep<^+3qRmgo3`X+<OvAW(3;A;#=$WswS#OQx6`s&k6GW1Hg5-}MM$Sx{
zjh?(DlF^%2=a73EpaVH?5V~cK*YV{s-(@@UTeRdaIR$dQ7(1n2%dKH!%Yo(=ifCjl
zW&1?s+^;K`fI=OrE%RfC$h{41TV#4k3k@hMzh}cXUk$Y4o|3&=`x^VMuL`%L-QzbJ
zs9UrrGRw7g!^=k@w*F3Dy0L4>9*YmCVH~MdeS}F(Y{;4h$3)7MK6J8e_Y}XAB3l{}
z@M$-G*^Di)vjyriKNF>9A;3pchL~QhGddW7j2%rbI^+oGahS8g+^6;#GO8Unt29{F
zg>)^Y?<_!YUZ%A3OO4M634Elb!x>#2FOL)qPuGM9=yfhcXDTd}qzfo#<(sms?m-69
zkJ-&p)cb5HeA+S4BzmbRRYpgNz7T?k7};Nn8z{s{cmj3U(oShIVp(hoR=4}lMY~!G
zZufhH3`oP++(ib;&-6{l;!x?V_G`|nk}RF%VWli>AQOy3{#NkwLWuw!^JX4X!_R4P
zz%@rEUpp4ahJpr{dHo6U+EZeUUxR)!w5>ox1GUPCjb1gM5NAr8@F9nBJht|N`+OW#
zHxIPvEi8^VVWQ`7?e*e(-gHZbGo!Nrdz}X`;mZVk7yB|guXVD}q~FhAEw1)g8A@4B
z23WoKUro<X9q|;@w~yt{sBI==$sBkXHsE<NBXZ30?{%%LaUh#iQWhEs9kJP4hjrgS
zSSF@4wtl>Kwpo}F536zm<3Aj^M;@ItL8nnC0U;B%STUTGL*axE2F2%Mz4AaP5AW3`
zR5(}E+xF}PO7c*6u8*yox?3KTdeMCFJK7>8*xh>fv?)M$N^Q%~#}v(PAE`x~A@69w
zRBdbCGJfi`ZZdQsy5RWb$rqwHSYfnrZ9(B`W*Dbs-rBdyJj3sC;*N8okM&KSU(z}X
zkAvfZ=0&)?gDOQ>nET@l+$^@w4mk6fTKF=62v4D6_0nSPMafLt$nA7*ZLTIG1X1A~
zVY4e&-w#mxwDnTh$9{=t+M_2Cg*jF$Z0DAapB+vZ`Z;8_MNpsSZEQsq=9Z4=x}DwH
z+RoGKSaR9IdT(!jxu|@6B9*C>RhG>5yzaj2X|-cMjY3M?(_vqPCr>TP6OR5^H5O=w
z+Bt8#BhOG6A(n5WqJ~X2n~Me(gC19eWvJ*BNK3ftP!4f6@-Zn!>lqE~^?wCr<6S?E
zJ9S)AKxxApXm@#2ag(T-JdfVpTA(-F7tR{oY-ymrUMrweL{Z$IizTPF?J{OwTW~x*
z4u&#JFFsk7i#2cQR2W&WE%T}tz395VE%XhbAAc(Iqo`n_<}&1`tW4X6UB257qadjn
z7<lB#aY#b37?=uuo9y7nGew5eSw_&*BK{<8k5hqvKaRQDFUP;Xr#rWHICisO1maFV
z(z}qoDU#z~;?7%G7WM7TiX%u~qxyi}*6;)OV(#`~Nj5T*1y<$4&KC`L@6EMd7g?jY
zox`b9f7>!68n*rw^unR8$^B{$LGZnn`~i8RuOM9O=+UkLN9TdEs<7$R%`LiW-+fB)
zQFPPLEoqANobt;WDR!E&;Q9v{wU;&07s+zLbu0Ztj_xdh>|0lCJ_g2j-4`plyU_(*
zR;j@wp?RS}aYdxcOey<zXn0VyZVk8;MzLMDI_0P#u+kT2(V`%lKXD36tv#ON9#J=p
zDpWi8^v#B$dri;v^bC_24jFK=b=fttI&EC1Gl~kiMYbc;I@8MkarSgSVEOJOMc#m>
z_L*hom*vF<#vVIZ!&pXa_3+VzF8JCioGG(<fIYwN)5!4Wwnr+R&NwyFH%s1OUdp+I
zJBB4B<&>Bm^C^#hlMt$%_FjJ7I{#SrESy9mpz0JlMK^Avr#s;}uy)LHw}SS=@HX3$
zySWYL6Xq)h@6@Y<-dI~ozy81^A-5)U(d}gtjOQpvps75wg;+9&6llwvJ7lVi(g#F8
zZt`a>AyHS@j!4ahjZMA8l@Z?WDjEn#-LgXFMRR#>#pjogNClZ0ER#y^ZB7r%%GUB!
zJ8e1~St4lls@A`!X~J9zE_h&RH5|?B)WY-HN?@N)i1P;8_($?((pL1!bshcy?o4)<
z_UZMC_S3?5jrurD@(P^p1rrQQb;iR(4uh375qem+;~U#PO3kb^%!PVc1<7#kBZTku
z7pXB<wg}f>f@D;fuL4Ka4=a}B0-@4VCj`1YrTzoJVfFr_$;?}a@df3np?37I8<dUW
zXkj+-akKbgm>Uk8P`P;7{@nDqLSq26Gwroh;<5%cN+S<W=~w&Dqk&yjH(aCo$nqbt
zeI`hSFhvL^o3&xzxumu;IvbP}aJ!{|=o@Cs-$INy9ItvNniy*0n2XAor&dJOCajKM
z+$-JafvZFb913NpL@ox&YDF%9xGuTH876+zOqOEjo{KFlUQtm1u0wPh`SRa#_>Avt
zl@q<UzphF2nH%$qQJBd-Ul!F?Q5(fG@ukXQ7iSH&=9;lw^RrZc;y00x5k%XTP{ko)
z?hCz+eny6>*m1`fp|fQ{qrOk>JXyfLvS?dFY9Bwva=VOKzOtFeeQHK}Yr^{60ZOWo
zEEuHhXK`Fp7d%xmP&Y-?;<!-}qCKWHG0pbb&-JdWGN~HoIOz1b$W4X-`{`<?><*Q<
zRLivdOvurpAa$mIf?Z%iSVjE3iIE*%%_d)-&A~8>E4FUE7S;q3zNE5PWTHlE_0AaN
zlr5F0jQK0+RHga(?o-ZvhcgOq$UgBm(^HicT3W|$r><AMDA19#&uM4n<DAS^qqNGe
zitz#MsmrboK38<xZj@5Wh=@e=xt-N_Z8tWz#Hwh_NUj5Wwt1Bbyq|rmRBO(8N<1g-
zd@B{>8C}#p4gr-bEZz+mL2vR6^@Kko*p9}=w)c=-maVZwi**x1U_jP)2Fnu5wc8LJ
z65Qu6o=wmg6SFP0kUf3j(<$X5Bt?dhn{}|XYu840Ol;<sAC5@(pOcHWAm~ztP9qT$
zS~fGl8-xWmc?qB99IJ46y3>eeOhzX{GP;WSCxWMTUn+JF<l9_zBplT4<K15O6gouW
ze8E0{7~3ZxbX<F|J+edZt@E&~qLj~??R6rnU2|C^XV{{h;LVQNqpT>>Dmjnp{kw@#
z(HAl<rd`XOg`CcN11M}N-*OU1G&IO|Sz93u3)Q<tsZ48LY>@G4$KvzNpvcF9Dw*yr
zo${N`bw_<?W1`0_0G1CZ@M=5N>u#nV_8o6M8F8KbRy8V6RFOd$5utO<klgRn)Az58
z$i0J*!;b2!<(5;h$)230jG;oW*JHKi#4HOI8aFy%A8LH-8e<qlO*X9dPCj1i=?eqj
zY}{Dx+!inQB<9V9*ueENiRn%~R}lFlwK@G0GX=GJ^IJR=OjBF=88_01j)``)H()&!
zY2(slVomYbZ4)zoN~v1xvMf{0h>WOm<aVZY@>TW7WP10?iVK4Jgx$?Sf9VmTmKk}(
zIkcHzN@m0?=}RgNCN5SNzqLSalR><!f)2t-<8TB8TK^I^xoBC#;<kQ_GgQvVUdB&N
zj56|Fy!?`Fj_2wtf{w<kr@|3dR0;=5sf-$Sivzm4h4oShy`1$bH72=R>FiK8N2j{N
z>M6I}f{W`{4{&F{Z%$aZ=85ODd}r#K-(KyEACvEasF0;~xFbPm)8M#TiA-BA-lKh!
zOOlm#P`<VGUS5Q!|Hu;|oTZdYk`{gptEn9~UoD6(-K=J=?TP0JaFZPj<MA;7TXM7)
z`<10XM{|aET61Dei4K+cJnkM|m_9T2RP)$8azEr&$|V*25M6sPP{>w2v9VsLf-&6W
z96Po6p%=!s^ysqqbuMOv^5@NNXrmrsGQA@OYN?(}`b~8@HcQs_F?63heT409S+#1m
z6+sIm@2O;z)^+Ag=MLq;ijA!XEILZprN`zi%E!E38jyE&#|p#a>#5S*|IQIZPp%&1
zKF?=lEtv>EGJ6_CUw+9f-s$zzFRm)+<kn!9>(`B3chXgES%**6%t*x_lpjxI%J+&A
z=164tZr?rZ%XEq?OdWE7Ix<uZT3OR1OwTKoN3CrdY1aA;rHb*jtdV12geg}ww0vpb
zjAK&sR|(Kot_Yk-RT!^Z&l!EIl8u>8QkH6Yck9S}LZ4?OS)B*!!n0bC9JdkF)j(LI
z*wcyIY-K1Mn&9c$Y_TGzMyNeCRj8%EBUSJ!UFv?=x>K#O^YLw7QEHzs;>w{%Dlw_V
z1gIT_X6*-il^Qq=I&Ut^v{DBISyXE2W_?=Q>V#NCt*!T}=LqB&80Q4s%dHq5o??$(
zHz}8<9-Xue<7%-Sl`i;RjP>?y`7WY+JnP`IM@*=I7~wXTsRVDc_OrRF^-t;qFUPi5
zCzgwQ^$4=fpwji3Hi?V&+5?Y^%A!PMCSAk0HHyj9rKamtrL=r$ZSJstBYe1)Vd+=H
z6(aKr8Q{AN`|AbqxY`lSYW|rvOU~Qf?yeX44lAa5o#vPGBjao5rmkd$nMUGAioufb
zV$l0IU0OxfQ)1)NnL2o@<;ETAZB$dP+D9xij<2$q_g~b)(TzQ1!u0?jD(MYz-ciOE
zI>yk55Zlrvh1}z3;td;?!E4s{{glrI$46>MJgIaKR%}1e2B;{XGapr~7MzLJ#N1wN
z(iF@KYqV$Uh!kJyn0WVA36EYaAzlXKxB$s*eAQeQ>PcLfi|j!P>zJq0!w&T73ipk#
zuJC=MLIZU;@a$c_3m3R_5{?`2#t7@5N4y(catq!A1vX@nS?HIZvx$_M-#Cry1k8Jf
zNU6%=twASaEAn|P(TD*>0}(!Mc<H<@hmi-}MpOJ|0OJA0o<*$rn6FT9X&>_3faSFL
zeMqqs6n$`nd#!9EbhZDJWV1kx$A*LGrGQ5EbW(xV$d^LFv1OT}j`q>`l6{Fxqr-3b
z|GwlBnizgBx6@}?;T{6Uh??RR5b51;bC%+?g^hp-HWyvN({b2l00GFLvIai~5_ai1
zdX$Rub<C@trOoOIy<RiJu{Ry7C+ZI`ye?Nc!g#S?-LxPo?&zVtvd{Rml|<*}$dVp-
zoU$yc1`h1$3O`RYsO2%DZ4xAXJ!g<N>Z*}P^aa)b-hgfvQ{Ys=Whrtfe5KXY-H~1%
zDj)UuPOx&hE4!L7V<{77Hpa_T;ebnaLg?zI?m&RJ+jHf8t_~z$WqLrlv?$bsbDE3Q
z_~}#ctB)6}aj_mPPmt2EL3M$L`{K2?I?K>h<-dZI?_GERiJ6kiLD0YM&C1SN!(XlV
zWa_8&E{5*er<w$55kgb+T{;k_EXX8U?M+`@6Y=dq4ULL$J#AgFW0f=(`~V?ZuFGU&
z*y`kmQ^AiCnDbp33+hv<_>OfF71z&@vfGC+K8VtIP_m^-9w$yyCy5A_orv_Zs^bM*
z?@=xXxcs2eD+*n?{M^X5mJPYMPih<I(<9UBmMc^~0l#CNmuR<0lPHALkA=c-n)NrZ
zG~Zd-HR$sT-EW^%!os^K1?mBQR@g^<1tCs8qy1lNVivyP351-hzbniP?<}hd)zD<G
ziNYq8)oA0Vrj)i=Xwe_j64Grp+x!sbmMRA)o;f9E7nYwpf1Dg<z8TRQ7<!Q~+F{3u
z9l$<&Wi_-%I^W=`i)%$Hlle%j)A_bdleLPnic{&H{?LcELJ#O%x8TBr9)$cRb1t`y
zA;=ix5-0DoXVSOSvJBQ*69~ff=MztZRN@@MkoZ_g2CqB74#76?&Q(0ro$|AI(LG=n
z+45IV`^`<N=t<wDUG~DPlc!teTSx6Uo7Jfew<SJuK9cD`3vnvcm+RmjVtr&tv@y@N
zFx?|EvDGreP3AeGsET)PstzQ3ieJ9?3bNTP6l()lIO?BaPs3-9zJhLpezkth#4joM
zr4PTb;D0|V9smch_wM=8h5FY#4(n>Aiv!<%&XWa7!qX`M3bc3ve^lppl&~((6;#_?
zxH9Y|UBAWu#B&v5!c#S_m^&s+FL@wt+W~8{2Dj9?DHRN8!0kmyDj5d0rNQ)@ITizx
zuzliS)~tE{LU*=BpL^40y<lQzu(OKKQ0J7w06!?<Q?*5J{5uKZtS}0xIg(Fw1Jo4P
z?QZoBS29Rxe$d+Z+^VXioJ}^-wuYjrkva26s4jX@xm9H1N*cbw*Bwse7}NMUpS$G<
z!5HGs%@>tojJ{tc`MjO%HJ9v6#`(o~B&l6Z41(9|ZhZ;FJ;ou;(#cOPOvo26VYe-h
zlh<qGMrO@CZGk}Ud56=fTLxmU9_H~@uJ76E%-+EM2nyYy7F}8K1|)Y4+o+H+8oz1t
zZuj~X5J7I@p?r9&@`-$OsV%hptR0oRMFwjZomqV96YX<YBMxJxe@;XoHC%DeVXp-w
zt#QdD*e!V42)*9={(U^+uG1=nTVZseevRdN)}pE71)sywDnhz8QQiT`^JOBCa?SmH
z)QhwPdaO>m4MWxv&X;1R(lMaJR&iPF`-^(|uT(Cm(%YRQsuC0DzJhX(jq{(5s8$Xh
zROLM>3nP;aD0x?Kn|G1)eiE(e>s~sCRu3Nv2Iunplgu+*eVnMJW{}zdd=Q|8`ap`x
z6_^;&9~I!fmBHos07(h7=pXayHg|$qW6X{3crDpPMq7I12a$w4b4B;lHy48IkDR(j
zBm_Et1p$#X2<dk6+VC`4*}z6}k-1?F)cfY7u&qRj{(Y%ev;<gJ`N{2H^yi=s^p5n?
z>-8I#<ik}~;p-^8uA!;{x~gGV;gms>hP{Ni08L!-d{B()>V%(f%WkZ$ihkm0a>m8*
znNUpiz1NQN_moO$H;N`6hF&D6R+<YRJ$`6-Y#k$U<$R?>2A%q7tYZ(hSqRJrp2)~F
zL~jjprdbP|9#M>{bu{5xRt%{BX^AFu)yDcgg7>HoHsFI;xrG)u4&0CGOh=w+dk-F(
zJx8G`KSUiX8QZs5St<Qo+I&&gDn=+u>MGx%a)V7xf*_?Z#nnNhaD{emAY!o6Ul;Fg
zZO+u(e8%Ejy}!A?Su|GYa1JLncivsQKM8F-vZZY$Ph^P7$q(tkK2O_CU{00GD~e>Q
z<euRvw9YRt^=ym2S7lmWe`)FcoGpEs$-ahKjVdRp>ArWG)zgo%v>3@>cAtwa;CnyT
z{5Y-{M!6Ns4%M}{jt>Xol%~mf7Hbopy0y2FqEawqpU$fG<c>UDUwaO;q@58hj<9lJ
z78j0oaBE18(??r5tW+t=e;8xXJY%3Pch3~87uxgP1O6V{{k!MdKXa%4NBje@Kfv-r
z(YJoR@k|6{`5EnBcLRX6YD&w)nx-Fn7V$*5OTRC=w_-1b8BUp)_fE3n#ii57Y)g9g
z*AtICv(O<Z{1f;J7LZHyMK_Q$OzE<HhE||BE4XO$QSVJT4wuoZS16p^Q4wf{|KW?@
z5)LB42k4~$GhYIZ@i1Pp^yGxd&zKC*czf}=x`h|m4)AM(65HzmCU1kxY5$&)YysH0
z*NZ@@1J5_+%s_iKUqQ<gUqQ?z@LeF6F5g$s{^nOuq!#!j79<Qf)29G2@5oC8a-&fK
z{vGlhzNn#yy89gOE|9O)vwklZI6ie#3@aS|6(l-yst%lG_Dw?nzy5nSToK^$YlcVm
z%iL1>r`R20N@DAX0>xD^BCl@1vIt|LaDe{JF@nx{j*&Z5C)~h5-X@`MjLhtRgwOWd
zpzb`!E7gbh0u$&hTYusQdh#0i+^ciY6eR%|Ctx1N2#!b+G!dWfL>XS>GJT}lxeYRj
zXC0~L$#4E}{b7de)$;ikHKJZR^qNA|3$sf$|1ul@?Y$HIuMP@7e>)OFf`ty=Bm6#M
zjJ<oU$m*}4-`0#}M&2=V4B{+Oyf>%YjZljSKR7kJ<g@k0AlIL-a%ZR@m(@wxo3)=g
zQU!XgP1uxSH|Z*nW!GmFen4aX6?A;@O(p_8=u?Hmr0OmY_&*f0UC!(b4MlQ;woe|E
zC7$6s%pk9PmyZ8~CVj<Db717BNo^O-j%lNwu{$;D#%&D^=pr}|S3jk>niSM<WDHbu
zi*^InK#UexK)L0!pqnU9Y2X=+{(^pa;{N?}o|y|!Fbaq9FCS12!@C+cM&JjRz$e_P
zYy66*hg8VR@DO*cuOKcK-PLQ^5_f8Mn)qy4tg(5MC>~r7m<{?6o5Ov7$73)h8j@CL
zsmLLx>Lwcj<RHfFhp$Kuz;|eX30b8E|4SS6tNEAN`86AVm6cz!@oP4I0LL$3`2V>u
zNbS51daH}X$zaZEzq@oFNHAPyh88t_tIvk!CiH|@(Ln?+E_J5*{4>VPf3UI9az*`R
zqXk=52SO13gkb|_Xa`x(RV<7b0d`WZYi8&y=lVcV=ww&;4&!&WlAX7bwKm_FB^Nh4
z83tx6Lz@}<+r!(J0TX!$a6u>Vk{PIYmp9XOmv_5yUrQuDFx^`&;mPF!s2dtJEtvfp
z@V#DPM$9VUICBftITk=1ll?%$e+}vX&2ekaR%8(VSJ0@)SJ1)J&zK{pK;Bm%U|ax#
zC+?%*XR}!U@eL9bT}?vcr}6mB+*A9BQ3$KzbElFP8Gd0(X*W>M4hrEqcMpR-(=)R+
zU;tXh=(XWZE@&T;KWLhm)p+9?iU{bPNh_*~>f(wL#^-5y_ENT7DZ?Os$n`_}5UzuT
zub_nIH-b;e0g_PU4tSo;NjQZ-M}YmSI>2IpmyusVhad6&!8>Rr@LZeZ-$pfzCaqZ+
z*56@1N9%UKMM`>_5bZ&e!k&xDn(Q63cgYy3j(U<H-Ttqj!NLnyapd%KflbAx@i;2{
z1AW|9qn*c-c_p%Tv-q|Z3__}(nHogM_*Vp;-~^&ynB)VFG7Q-bvH)C)zdAY?&n_BK
z=kOJ&s{8Op_*^0?npW;TGI~K)*TmpozWA^I_CL3ESyvGyt_eQ`vJf2H6vH<I?_v0_
zfe-M-NJMb~;mo%<J4$pnTB6`ZnI+dMUE+L0EhX)w93*XJigo!pJ+LQdK?i<zP=kVq
zksAZs7T2TxQe0%tLlW5K&b?ewmS9X|h8@KDk=$MBk{N-8Hgy$SihhsDIOwrV3)h-R
zGsryNZZ}h_*T*IxE1l>8RacG-9eU8KoaGe}#!Gmc@(<TpvJ&wu_#q~*7@}P1U-Z~+
zm|Yq{(rE`@Tm$}g;NMD6$dpr^5tUP&1Bs!1fF#nP7T^Om3-B>8va0~j-x-9jXb-}-
zy}#GLkjw%eEtLZ=Gg!aXzwlQE)XNfhslz+@R{sK<C>2N}I1IdaP<^X^LBJ-B^2wJ*
zoq)epzxbc{!}Ng{-K}pgZw`lhn%^ET)91!Lr5^;GQ_<JYd4Yr)z^o)_CZ1pc&gD%M
zJO=F|6AQTq#7aI2)So2oBR}G8@`INnmr-cn++V;|T>`<)fvFQN1n=P5pcnu>y?<RO
z&~9sviEVMG9Qj$0WQ@+AW`u+~0RN?s61p|dl$5)<0g%+fMp>WZ>aqcUTWdN<-qhAJ
z#l>0S)heGe7q=DZ9i3AT?|nBao5FMuABuqDfz$Sh6c5g5ixc_$-Vq@vitm_@lA0Ky
zEq|D1Y0>BLS!f|z6-j+|8#I9$@J6}n!Dq>5kcy|MCs;>uRQnzKUqPU6PB*-F9|ZpI
z7$W+)0^aGOE3o;@9=;1+K7Gy!Q=!4|fo<!)jAI__jN)JInBNCqJiGpSmndm7{7ax1
za^g9oBK3k(($(0C+-wVN<K~#1qomiy9}P+QFBs7c#`hHwLHu)F-7o@*KDo7Yi0Kv+
zR$)F!)jd!}GjIV>?&OPT(Qa9Yu)5WD%Fj>diG7%KseRijsfLAeb<1R*6K2hOuaHtt
z9t7ST??vu=14G{W<}Qm)<pD7SeqeckU6X)g{r}ack{^a|JfWkI{R#>eDp&?j=B=u#
z&3Duwjw^si&+Su_`Up$#eh(fJ9q@VQSj4d=$`enQu~2AJ@LfWXXN?vhhtJ@%6eC|j
z_+r04{51)`B;l7j{KAC))xdZ?VOg^h5r!or(dL6q<SfuYf?5QLVi)G+(NvU+$zANT
zr8jI~ktiC9UH6+;dvW!FjpgkqQyNxlG1jJ1%A47A;cK!<7-hu?B1G<vLDCN8m8$2_
zkD}4d&>{$2M6mXgaHY@5zU^5tUcNZ70qEGjwD1)F`Y`hnd!$9qEsvd?`=H8j5PU`r
zR6iCq4MgW>A0;ByK~%pQzvkeV9Q@LSUwH5f6Mxx-U*_<?ff7J$V7E$2nn<i+U0R(4
z&#+WHfgM&~$CM!G7=$29&=n8}L<6cgFpcTQ1cBOtOk=lzcbskPjP5y@8N-Z!d*xtv
zfmv;6LHx<2Z`5J!QN6C}bn9L*$m8jk*|Qwsoi6tdu2r$j$WJiun;I{_|N2Ry9X3W>
z$b;)ui#H^$b2Kf4Q5hD=#!-c?QOa_$9X18)dSlnTR0r4PpsI7KtGVsJ%w5C?O2=IL
z6cz*((X^$A3mA=|Gia^#yw6&$BP|mVfNzYwRe6nd(qAYM?t~T}jUQBuzURvyEND%)
zxZzR7Ds?}gNr1NzyGx!VIP?`eUZ-JDkhsmG&T_eQ<sf`n9R_iZtXhtDA^1+X5pvF^
z=^kQV^zZoGCQ+^{R5N@aVc0s5b4G9tUulb+X57{wo<m6V<8k`B5*^(mhe<z_eWws{
zhb0a3H6wew2N#G4=+%Hs9=6uT#`2`)Q@zxh;X*Vu>v_y>al_A#*9Sn{w;L2x*)w`f
z*mj~u$r)kV4#)2=+=f0bAB-S&boD*2f51$ax48x;$9#ulpH#ML^W{RXW`yt1Qfb`E
z>dX5`>lw@2E;xRSvKi17znLto+^GVZn^O0nUtlw2yp|A`*UZZ)ERl*v%6ZKzl=BZj
zX3KYE38dLgTwY2&zjlNEO&os>T>$lt38TTK*DU27MJjK;uFbDT9We?9D=P?6-1g0<
zX>P@*RWK=;*Snl=jk~wj>>nMRQ15YY-q{+gFM8%!byP8F9P!GviVy6$-#c(Gz8-$Q
z+Z}GR=@Qynk6O$ffg?}#*V4t;YfK9h!S06_Bk&7k)1_@YhnP21Atcw91x1~#i%EFR
zv_MpQ$Km{MZ?r=k!j+ORm`Ja=QPZM(dcI&RnROd2o2S5C{e<~}yWaH1bnuA|!L=Q2
zLb8t{EoQ;C>j?$f<$D@249T9hqP_FhG!8~kq0ybaC!*e!47`!zuW(}dm@3JA_#66_
z?XCQZB{$e<Uh5vWz2$1S+g}BVqr9ULP9E}rHkLEFlMb_<)e56w<~8hSi(f<vwswBf
z_VCk~*kA^MYGXk3XPuPM4w7c>;7Li&lF&*0olt{kGz|kZ8C|3S#W#50k$L<-?R|w;
zRNEK-AfbS?v~+hPlG0s6cMc7M3@uVp(jn3!-7THcATV?fAYB3ik|Mt`-uL-@Z~gv(
z-|x<vyY9W`d_H^MyY|^<uYJxs$859}#kTk0Or$AHnKsGNPV#`d_qbm)4FxCMT6=`{
z<?$?wIT}@XdYY2HJm*PJq38tyUGPDhWaY<$`pi!Yu_5~i*;bp2?zEgd(6vAn>^k^d
zu35P%BbCyzv9wSbn?rM@F)k8|{lzx(u9_6HlgQJld)0#=hnit6%iiK$2HhuRx#6S{
z7B4v^+$lm!{h>?dG@n`3aA}NlFRv!=ryv-*Rq+|`*x2O)JEyX06B?+@E&PJ@!7}~#
zSf&WiJdK>Y{0?1upKLDO?O!>69nk%4r0(&PNnJ|xWJDyb5H?s~*vD^5Qe+Hl{Ply%
zLy~EYSuN_P-Lziz$nVszFDNR`0?^i`uvFP*CyG4wI}$Vnr3Wl)+L$^WwfPX%ERJ^w
z`JVHtI+-`fvX0iIZkFa>F3<2vZ(WwgKQ6HxVJ2?wmo2ocUX>QRqc5^OroY-*Ac`lk
z(`KmF!s0qdv$7u(%2_C+Z*voCOCSzuOb<YRJnk6EbBP=`<{M;Hsiakb9iF$VCcs1g
z)_J^38)g?cvL?c>B3m*w1yRO+!c7|5Y0rlVN>!329o|P+(r-Noz7pRH_m6*0f!o-l
zgzJX>a?|dJjrMdzC0Kf)GdpjRuzJ))v7%#Ro$`y^;yF+T+X;KzVxvIQcLSzz?i$B6
z>^Q@^V9!!sux9&0ihWTDw~;e)<#_g?D9EIqCyC_r6;qupuK`8Z4D(Beb(GX(ku9PT
zL)cwD8s5DIyo&*(VNaB+ph3;B5cxi`fxIj++M1z;*yps_5AqAfZ~COn57claOSfQ8
z5+V(1r!}8_l^UpJ$$YbMs<SlU$^D*2k2BuQj9tq-Lxf7#ZT6wuq3oK)R_w?n8&b$|
znii;o3&+KAnCoNX%1CKLT={F+G0)|AGIc3y9Q`H6OUpN%ZqJk%>am7hAv#umjVWDf
zk9WH34;F$arPyr=3@LY~-)+Ujcnoamg1nYZ6Y~#-4QGrunz9X6*9j$}_3M(wmAUHF
zKFBEB73gBGzcvW98Y6o;U;o}}vKZZ5OJ{d!I>OQ26N|bXYic)LA*-mff*?9@ie-Qo
zZ+lG3y^$m1F$3ATc+a7?_%N12;%hNRFSiPy-6hPsXz%*VZbyaoRqMPYXL#glab~$l
z5XH4?l@GY0uYL*ER|33V4C?{q@2yl5OdlSU)UJA*V<LPIwwVn1<lQ{OSi1)^8`wM7
z&vPH$PP~eGJ4bPb*gi!r>Y71=an|&u!~VX0o($hT1>>CT?fUt=e4P@vR;zlxqb97R
zx{!>DHl59>7WZ$+0Kn}nBH*8$AjLKnfd~=+fO#JP!1=2agn(RKZJn$k-#fr}+RNYt
zer#Wg%G>v&Cl78aSs5fUsO$FVr57>XLPRvEK{!;>0lE7EUEj{hn8sY-t2ybf_s}0U
zUmti1FYUmt?~cd_QRCx(8HyN@088yknCgSAmrOiZZWl?JqU<7*3kQaTus2%Uzuwe)
zTr1&}i7Q9>XKNF4SQ+QJB_IbdGk3-b;$ajaum-Qob!j^xMz23#xCmas80RgB+?B)*
zHQW8dYra`qJ>rd)IU#{Yr{Ji>t^WEQ<xOTyEXU`C6jtdm7ohzfS8R~@{cLS~$5$3>
zVjoaUH{{&K1iPbo3hHAz2_JfJ(2DA}&<b0O%Bf@Ltpo}{5XQZo(}igSuS5}-Z*bV~
zlV!7vGGs)($i%-!QnK}T?Fo!Liw3Z&dNKNvsd}$xNt)-JZUsK3Qqx`A)ncvsU~lRW
zCxZ{{W9E)rcN15RcD*aQE?1SLseBhUZb&`XI?!h683%K)r&1rGe8`xAv-quF#@d(a
z<tCJU;6-AZuxHXJ4SDcN&hu$(y$;C$6udXj0ubH`OE-?mAxh_ybS8fiK&H7Td{9#+
z_0T&wL6=lXVUqiK=y4PQ2ID8}hYlp6WQLk@*%Ek-wrU6t=<4=N$rD|xHKXX;Lbz|F
z!Dh{|dbQ!DqKnxtY`&mY?+8BRoDAz4%XBTP?dX=8SGYPB;zr?5wQ(WotdB-=wUp;-
z<Y8LgMx<d87zz=MFCp=>OU&=Ec{al#b(8~;a}@Rqpl%f6wUmx^qH+YU4=oLak69__
zJvh$qRbv5fM!u$tTk)6pRF;)q_~2REmVF@S{mw_n==f)k7`sTxmc>(+puy9DCKRb?
zE;sg%Z1=<j*sO`RbQ^ngs7}@S40|Rxi9O;-T%U|H605EqQr&`UQu_v6#;y-rkB1^V
z#qtbN#>qa9m<M>WGm(@HSKg15VL#k@=kBTUmL^Waa$*zn^afMyAy-`>tzZYezjbo4
zmApc;l|5}eBDjL8oh7*Kc&8t9^&pNS&n68Mra0+Jx1*U&yTfK%24?bbnc5_^){?{$
z379AGo0Cr~pc1)O>cU?rcpl+Uq-zAcrr6qaK?PnZsPmqXv-o{(tYD{ql+WEy!l$fb
zddZ*a73su6M$CgDbU#ziJpsH%9>GT7kqmp=`H<k<bq{4k#=aDI-*Qd-wSXRXKfMY+
zv1L(0o{YA*V%+HAVb(NkykGHs@+_W@$O=@-2v;+hq<X&>K{I_D>BdJb$4G|UbQW8Q
z&!?6D0p!%wN;RG2#5irv=~imSRHo5u&~($~w&?{!Z{2SD%bv)hK#5={Oks+As2-s}
z4w|4O;tK`zok}rQ0y<)Xo~V>Oc?Q)rMl#j%CV3p?egBC%uZ~tfx{ZfSfzO6gR0Jpd
z#-*E*{d8r%`M4!s4Y+!JG@;#@j7gd}qD~2UnqK<t({kB$Z2MQN|ME3=zasg>q5}Z9
z5C8yP;b*`NarJTl{qQs`84E580=OZE^>)hvc_lD(S<yjxyL6@n`QGv<o;Up|hLW_F
zW*E5o<mS)b_#(u{Q$7-}dQZMgJDnxwfARUznD9=LNhXl^X5EWQ)16<?vqmi3lb}S(
z^4vP-&?EDFDLOmbQm-?2@M3N|g)qlN?CS|dyKo!Fp!Gn69AfqAbCS#`0#Kum7&+nR
zz=BfGoY%q=6eC)1s)6vO5&D=uP!w+%myXl1^eD-xi^gD`pEKm3B%EgzUK)0P%?U4g
zWt|sAv1MqNJs2LWOTaR3K{1~*m;fp_H-6xqqoH>Kq_5`NTp{uY;%pVGog7CkCFjc$
z<aJ@4eKILp1gJRsO9GO+zoPbeE^zh=j&Fn^^`(?PrhY15mhluI4WvhZu;I*CZh`kf
zq0Y3Ylg`DkKxMjNYcf)uq`kcjsySi>OnA2&N5EcfdQ!3Edy;vRAU1bCOdly~!$HgZ
zt$(XD9%N>`S?_gH?FG8MF0HN(BVVqeCRkPRP^*tegRM+?X@|vq3#!Q$=0JjoeVuHv
z7BxxcsFUfH-f4MGh08^fCKJ(q8Y-3|OiD&xzSPK@G3%{bz3=;l9D3&4>?{P~zp}6L
zxSVR?n^>&D`EZ`UMen@mU~to3)AJ#z!l6r%0DS>7Ey+;m#<Uvz7MR@a;(6@!Wz_)G
zo2iv&M^fc~b<5{|AH|3Pkb#-+rahi{d`XBec4Vo)i!~xs$9A)MzPDglXlVVWW=v=y
z-xo5d@sut;$a;jZCRpww1{vB$C_LA4+h?67lKRM83v_juGx0LxUbUR4NRN-LOWZeJ
z3z>up`X{Mi9rK9>jN4^2_ff_%g7%dm+V$-fx=weBU;$p7;F{V`L%Th73*amgLGQAV
zXp@qxgc&=VV||Q_Hf>3;NeNZNr4T8uUN)rtM$`dC&Eu)ClN3bQN)jS$ECCVL7KaF{
zJb?(~kqv(yvf%CGQN~FJ_O$73E1l6m9S%1SmSlsTdK}_*HZ-3D%obs&Vv)^4?V(RS
z3Qjl=4))1<VHu^L7rl${jqKAv8>UIaiOf7&$A#;vUAC+ftOj}GM?)$xTePI|{zW_n
zH8kW(5h>4GpM~fqgd9Q@HTI?L$cKBLC!Ux)_j#QqDAumyDB=#&AZ@mkx<JE%hIZ1S
zUL!Th8Y&Kgg+)j?r3%(a5Xiv6fUdA&SSVPURvv8+wQO%InCm5F@+4CPgs;UuJZ>YD
zBb!K68wJ-$6X-%ct&_@RrPpPT7(r}IqC0dn*kK+*h!Sn3DLFYBCR3b+gP|))LsIYU
z3yd=Oei0(W>xAKW(Exi1oPTgwE{J(W(cJuMFkOdeF{Y>inrsZXzYOE;Q_3-TU2~nz
zMi}>)6Bg}OPrNV^tnemBDE#?+s#I-xy~a8aT-#s#5wS@+hkGVf*0O>xrr*?l$vFbH
z4XW0AYcw{=GhwG)mpUEqo#H-dsl{Ov+8mYGWj)&q$dopYoqJ2B$oZl@b)z&cf%?SJ
z`*Di}>9n&!>ySb&%sv*W^%b-4Tlp>>sN$Qw>U}fLotk){$Z=4#02@RL$~SWysvU3q
z`t}t&VJUW<_PoUD@>E5+T$t0W%Ml7pfu5TLCi*E)sXxpK`Kg=730;$hqJ~v!Ko){=
zVYMa`am{1giwX*#GjJ;An>}iQXY}*)knAo=0(Yi+?%aIxbW*gfLl06Y=R)<D{4P$E
zs)Vz$V4E#%_X^jgx|tM{zGT1XFc9Pr-Tf+ANmAV+rpG??l!{pc7T1y96(Y@d%@Gq?
z&{V-p{h0gonb!PTjC+xN&W>|e5~@MNM@6KP{3Vg(OU246_#J6;aL)X!;y}2Br)n0;
zNg=;SPtMZP#~za|4dBL!!Z^kXnjC*13*{U(vtFK_cw^6N*@pM8Wm{W=G<uKkVGigm
zfg9!eyDK@F=~yEbP|$P6J38f%iF|{j5lMYhzMsleFlhAe2yhmA$uwOv2!2@WDBMg5
zRv+d;&q=i=kl}+yi^}+j&P13t8AZOxO@&~_JIN*_3L6!tZ$PE`RpXa=0ugihKJn{7
zARb|ZyAchjv71D-w1y5d0?cuKN5pzF_amxJkYuG&UMb*^87%BOJFK78+BbLZXFPn-
z?98G!M5sV7eD07Srakjv;;Jq(304o=cAGtkXfSy-=2|5>e`rpBEkvV(e62lufU?l*
zQ{9xA$F?8BkgcFY7W_y<Df;T}0&y$8Pm7ReP5f-Q@D4HR0(>ou#nSkCYSm{lo&3vZ
zk?%xd;rqv3vaiM7sRT`wU)Gd=7EU;PXHQV}!XWKas@z_G9h2ugUqoFgZbo0#V*|j{
z&K9wskJ!n@8W;Pq2B-V6hWGVg%r^Jzqi-6?B0}`G^JmjKs{Sot!m(EFEsDhAm<4c#
z^RA!9Os%m12suXovFweip(BX+R^UwKk+0NY%bM(|$`fCy3Z-=n**sdYJP)U18gB+B
zu{#-ME93}CWv0UH2JbIo9gvx*=IlC{J7Tt5A0E++$^_qgr+&Be!Pk4Q)Wtnx)v1sj
zHN&2e;#0#*&^go9&4fn^IYqk*V{y$j<-~tJha;%&{HVs~?0ATQ#m$`iHVO^LCPxBk
zzR<l@preqJ+**@}0**lC`n?Q0fS+0FdsW7qz$3~W3AFjbUyt;c?TDN-iDGz|BeCF+
zAR>I=Pt|@2`k~LiRjg$|ze|MQyB3MICK-vwL?sja;D5UPgnYjRX=0OG{!ja`^2@pO
z>{BBvKYy{^omTVq+oF<ujpapyMx#k}zsX`9U+vpxIP9;y!}P(z!qs17#KMy0v-YX%
zptf#F_8c#tWL$i`slLXfND@8DQXFo@K1*lvr#O#7>eGyF&Tk<_4R2X1PdShY)Y^Kg
zbLK|#-sz};Wk=tnoLyn8nFf0?(JFlfQPoqY&b{*^w#SAI19r5tj+OhJj{uJi3x*?F
z?tx3tsXX*)YH0-ra!~osHnz%5P`NE?t$v<`O=Mg4CF=936TGXzZHBj}r{+hUSL!yw
zH-(%<S;O%B7U`5~hXMwwI1o{(VG<jkl}{>I9s`d?+fJ@Sf$UBCO%P=f0==PK7}{%+
z?9SYfX719-SpP&4+)5zUBGoglk3dPyN;r<5&v4N!F9hjVX<#l&vU3p?vo0K%K%zNd
zQ$&Tp!bfYG7xFt_Q*NECdR?vz(|*tzftd=WQ|La@YJ3eIks90Mv4E*~$$O=*-)gfA
zKb?waNzEM95fRI(i1fXqpvr;5QA!c4JsSfj*I}!bCv(yigY%c`A1g$aycW#s)l$p=
zt`*a@Pd3;UDcc#=PSU%1x}4z!=Kd<lQz?Rzn*1n%!|Z<2h&YGt_XH{aMd1st15Epy
z;{VG37mL?l!heVukHW-3it$g)XXT)2+UhZ?GFPwuh{f>r%|?sbF@q}gyz))p{NU%c
zX{ZTt-Hn&%%?&Un#p_NJq!|blL#RWT=P+j2%Piu4pU<Fv^1>9p>2=re&U}+J>AkdY
z!ltqYFZaZPqD|s=dufIHcs3^d`(A2gpZcxtl()g|?^w0$9y&&8TemCnd=$EJz!-Zh
zQ)}%*<}*VZU?ehmlQM9{FmXyTqnC{kGYk!$5>bAm8#SJ3;=%;hy>YBP_0u}`()07K
z1XJi8t)A%JoQi;boF?88axNVx-Be%B@k2dlpljfAiX#>Igb4kR1>+%Z*W5?X4Q{l2
zF2vxW`M(ndhiFVHDhTlX1rP!7Wp{rC?;ap?jo)$w=@TaQot)T!qx1J|k^{~8gAbUr
z&F=RZ_nI!;4cnOtp=*qfC^?uooG*f0n4ibrp0BY}ootNEt>fJxK5Znz(1`P&(Isjh
z=(%37<HSRi-arS9NwS|kF>h>WO?jk;xTg~qrwZ&0FBsY})a05@d6moJ93G<kVrv-p
zg+^R~8BGQn#e(<Su_@-%+A8{k4FTnX)s7(h2k>orJ|cXX4u~;oxo@f`rJ7i@Q^+@C
zgAtOASr`zu_w=j}GI)W<)70#rK8Aq$L15h+li2M+Xw10N`aTEe&fQ23|Dq5hF$;H2
zTG=;3`P*Acs?kg%>9=$c6g8ZP;v{a-r4k1VEzQL2UBe(>d1aNwrCN`5*Ryw@i6-Z(
zyDQ!tb<vS6)*1ROxJk~s?Kz^Fzo)pfXOn&zh#zw8k0BoWsk)jVEon>kO}|*X)n|<V
z{QdzSS5)r+0Hg513*5iHzZKZYRnyGe0rX?2;+3M)0w;FJIkNZNfNR}XmLJM-9?PW@
zF{)Xr=RT?Dm6n&122$?K;CLq+zfB`&nAwhvH_GClFN|OhpZ6!fbrv1|O7i*2ieuOG
z!@G|PR*WQO4>;wuMnEZ9SgIu@#AuOp+zhqxtde)FMu%6wl<dJ;)W%Z*$9XB}sab>b
zR^%Ze+Dh0wK#T-z)>j1Gon`Ej;->}55a~plunl`-$g_JEks6a`r;3cli~^wZa3`dM
z>8;SzsVAD_nk`(i^9OYAGRD1f@Ik##pxI7dfzDo?QfoZ1E1E1hXhMNjP2s|5<23sf
zQa6a4#3*4<02AoiEK;xU9#swW<0Yqx_v%qg-(`)tHy~l5g+%IQOj`(hk}eQO<I772
zUG)UK1Il#Sz>($GK-=o_03@)8KOv!KrI5t!!8*s>(q1|8yKT{6(?>~CPd4KxeaafK
zc%_)TsKXrPGpM%Bf*EGN;I470EaC(^QI&=94~fqQHzcA{dp;K^w(5(rMZ~CoC%9{n
z-B{9uL2N8xYB4P!iDePF7CMDitAI3Rrq(;rtnw08^Yu`<fR+JSDA+r?JxU+*OZo(f
zr`4>W^Je!+5)^9E?nNW#NFgqy?E|&EjKiDbgKPqWG)x(s555r^rRF6WQj?aTq`2-~
zg&lg+)75O)H5tObIRIaM>!qzE#p2z0iEb4rTZg{Hf)H)UPm3anOO#PXk!d+PYXc?Y
z<sXTysY)54_j~FP=+RQTUlCGZ@Yye@iL792Ht%`gC;r>*wh5!;9*_TQ{;VjtI$rSR
ze+@T59KbyUOR$BS3)mULVF7meVSSX@NC=E?0q`pSZy%BI>Z&g|vHO;lzhdU9UIBtt
zh9&&z><BD)xt_|b890JVF%>4<Ew0Z9h?G{zeVX@f4*X{P(uUkYA05R>)dwC(i-!rv
zmaa-{GI<*e-7p<T=EYBB$H!)i5b=H(f#T+yYukE`CEjsFCW11_<MAYBC)P6e&Q{{T
znna3@EpI4wl`m6ti^d1pry|mudA?Are5IlIlxDj`9EXhdF)8ho3}G3-nh1?iT>nK5
zWvA?PAp7t@NZoM+YcPyO;N@9Qz!EW+znjtBFS*jNZ#dsPeZ3tkcG2fNdjKF*>ni*@
zf7bVXqtaF<UoeK;`y^M*^8@A;tYan{uAgD880~A*GJFI@oNe@8@a^v@K-Q#WQo00)
z@`I}n9yjCUAj%R>&RU<hDI$Dz_j8#yDYl)g#2@Au$bL;UzHaJOPvs2N!}sVtOFSQd
z-Izyn<KnK$#;NvGH$^khVs=5T2GnoWD}`B)z&#~9x>bbRJ|uoK`*;7#T`s&Am6?JY
z;|#pJ!v5V}&77UTJInuXu0NY;y2g8Wr*Xd(YgUY?>5<DgHPIdEo>=p3GXunKM`*fI
zU#F#ftY*&KOiUfA&YXLy>S5gE1fG1v!pz76k&wa+SoKD>C9?j8onwr_neOw(tM2gR
zj%m~M9yTE}%lhNByH!{t7IGvO;<)@ydTH^?&g5DVWZlSlY#<UsK{=_exaRyZw8M1o
zol*KW<_~;*GeLE|SA)<%2jJrAj-RxB0gB(}B0;b5gzU}{QaQ$UEQY8R$P-QWfFjpW
zm&W{yp>FbMq7C2?YM3?QF5?W#dj?u}HYBx|sSFh}c`L+(nXQlX#k1=M!TQLAMhW4e
zWA<F>gu)y+>$m>9bla}+w22y@wG5hW^^Z_vs{nm~w&##XJWth3on<+aFp|Rp+wXRZ
zbaGCKY`-_j<QFMkm%#bLk-8U-FGjgKTEVtQWmEZ58H7h%^_dG<Z^u&Z*(S}<2bWSX
zN&;R3>%)QVfTO(T&mkA1qYL9=L+p^6oX`O~D+Ukuwl%D&j6Gr9U5-o~z6)!g)5K;{
z?X|Mdr1uWHP{G=}Sm9PKHTs(;Q0~<y>ZP`CPn0(O8wv=Kc(y0>o^@Xto_Ltg78dM?
z<w+a8I*np+jUJ;O5{v!%zsLdM4jVj5`)j7dL-9g(D|lnjgbxAX{mVTYNW!BCkdv#4
zrk67aV)VUrs44!FfIN<*{tymSfqQ>=0{6Eg6W+c|TtE&G4)*WoKY`d7zN2FBITl_7
zKZlq8Mz+JB{+MwA?@8Po;o|>GE?Xu@9~G{69bC%8zp?NuHu$Ulfd3T!JIQZ~p#8#7
z0g7uWZSCR^0pI^{U4A<};i>2!OpYK+TQd$@M>A^>7rUJ^$okKh`E$a^-y{TCh(9_0
zoIdg=#h=4%e^Zba{-XGO@a<3dpBePO;i08}!T*;}{}cRY1phZ!s^J&-k9huH41dI+
ze=~G9{$lt?MEWQGpFzamXaGR61rhMCkm67HKYjY|@RPRR;J-Y)nj$jX#NgY}z<<ba
L`}y`Begga-$zh(Q

-- 
GitLab