From 85001bffa4e92f560706237e10ce8886ba7f8859 Mon Sep 17 00:00:00 2001
From: XiaoanHe <118478606+XiaoanHe@users.noreply.github.com>
Date: Thu, 2 Feb 2023 18:27:04 +0000
Subject: [PATCH] Finished Adder

---
 .../Core_Arithmetic/Arithmetic.cr.mti         |   15 -
 .../Core_Arithmetic/Posit_Adder_Arithmetic.sv |  129 +-
 Individual_Project/Core_Arithmetic/wlfty19qdr |  Bin 0 -> 49152 bytes
 Reference Code/vsim.wlf                       |  Bin 0 -> 49152 bytes
 posit_adder_verilog/Test.cr.mti               |    9 +-
 posit_adder_verilog/error_8bit.txt            | 9600 -----------------
 posit_adder_verilog/wlftj9xmjx                |  Bin 0 -> 81920 bytes
 7 files changed, 91 insertions(+), 9662 deletions(-)
 create mode 100644 Individual_Project/Core_Arithmetic/wlfty19qdr
 create mode 100644 Reference Code/vsim.wlf
 create mode 100644 posit_adder_verilog/wlftj9xmjx

diff --git a/Individual_Project/Core_Arithmetic/Arithmetic.cr.mti b/Individual_Project/Core_Arithmetic/Arithmetic.cr.mti
index 7b07d7e..8b13789 100644
--- a/Individual_Project/Core_Arithmetic/Arithmetic.cr.mti
+++ b/Individual_Project/Core_Arithmetic/Arithmetic.cr.mti
@@ -1,16 +1 @@
-{H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Leading_Bit_Detector_8b.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Leading_Bit_Detector_8b.sv}
-Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
--- Compiling module Leading_Bit_Detector_8b
 
-Top level modules:
-	Leading_Bit_Detector_8b
-
-} {} {}} {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv} {1 {vlog -work work -sv -stats=none {H:/INDIVIDUAL PROJECT/Posit/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv}
-Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
--- Compiling package Posit_Adder_Arithmetic_sv_unit
--- Compiling module Alignment
-
-Top level modules:
-	Alignment
-
-} {} {}}
diff --git a/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv b/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv
index 1f794cd..8abd459 100644
--- a/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv
+++ b/Individual_Project/Core_Arithmetic/Posit_Adder_Arithmetic.sv
@@ -10,8 +10,8 @@
 //            : 
 // System     : SystemVerilog IEEE 1800-2005
 //            :
-// Author     : Xiaoan He (Jasper)
-//            : xh2g20@ecs.soton.ac.uk
+// Author     : Xiaoan(Jasper) He 
+//            : xh2g20@soton.ac.uk
 //
 // Revision   : Version 1.0 01/12/2022
 /////////////////////////////////////////////////////////////////////
@@ -37,15 +37,17 @@ module Alignment #(parameter N = 8, parameter ES = 3, parameter RS = log2(N))
     output logic signed [ES+RS:0] LE_O,
     output logic [ES-1:0] E_O,
     output logic signed [RS:0] R_O,
-    output logic signed [N-1:0] Result
+    output logic signed [N-1:0] Result,
+    output logic signed [N-1:0] out
 );
     logic Operation;
     // components to corresponding logic, L - Large S - Small
+    logic signed [N-2:0] LIn, SIn;
     logic LS, SS;
     logic [RS:0] LR, SR;
     logic LRC, SRC;
     logic [ES-1:0]LE, SE;
-    logic [N:0]LM, SM, SM_tmp;
+    logic [N-1:0]LM, SM, SM_tmp;
     // logic SS;
     // logic [RS:0]SR;
     // logic SRC;
@@ -59,7 +61,15 @@ module Alignment #(parameter N = 8, parameter ES = 3, parameter RS = log2(N))
     logic [ES+RS:0] LE_ON;
     logic [N-1:0] RegimeBits;
     //Leading_Bit_Detector_8b #(.N(N), .ES(ES)) LBD_Mant (.*);
-    logic [N-1:0] ONEs;
+    //logic [N-1:0] ONEs;
+    logic [(2*N-1)+3:0] tmp_o;
+    logic [(3*N-1)+3:0] sft_tmp_o;
+
+    logic L,G,R,S,ulp;
+    logic [N-1:0] rnd_ulp; 
+    logic [N:0] sft_tmp_o_rnd_ulp;
+    logic [N-1:0] sft_tmp_o_rnd;
+    logic [N-1:0] sft_tmp_oN;
 
 always_comb
 begin
@@ -70,16 +80,20 @@ begin
     // Find the greater input
     Greater_Than = (InRemain1[N-2:0] >  InRemain2[N-2:0])? 1'b1 : 1'b0;
     // Assign components to corresponding logic, L - Large S - Small
+    LIn = Greater_Than ? InRemain1 : InRemain2;
     LS = Greater_Than ? Sign1 : Sign2;
     LR = Greater_Than ? RegimeValue1 : RegimeValue2;
     LRC = Greater_Than? InRemain1[N-2] : InRemain2[N-2];
     LE = Greater_Than ? Exponent1 : Exponent2;
-    LM = Greater_Than ? {1'b0, Mantissa1} : {1'b0,Mantissa2};
-
+    LM = Greater_Than ? Mantissa1 : Mantissa2; // the first bit (0) is reserved for overflow detection
+    
+    SIn = Greater_Than ? InRemain2 : InRemain1;
     SS = Greater_Than ? Sign2 : Sign1;
     SR = Greater_Than ? RegimeValue2 : RegimeValue1;
+    LRC = Greater_Than? InRemain2[N-2] : InRemain1[N-2];
     SE = Greater_Than ? Exponent2 : Exponent1;
-    SM = Greater_Than ? {1'b0, Mantissa2} : {1'b0,Mantissa1};
+    SM = Greater_Than ? Mantissa2 : Mantissa1;
+
 
     // Mantissa Addition
     /*
@@ -95,7 +109,7 @@ begin
     //     R_diff = RegimeValue1 - RegimeValue2;
     // else if (RegimeValue1 < 0 && RegimeValue2 < 0)
     //     R_diff = RegimeValue1 - RegimeValue2;
-    R_diff = RegimeValue1 - RegimeValue2;
+    R_diff = LR - SR;
 
     /*
     after the R_diff found, remember that the regime contributes into the exponent
@@ -130,40 +144,77 @@ begin
     The exponent is mainly based on the larger input
     taking overflow and left shift into account
     */
-    LE_O = {LR, LE} + Mant_Ovf; //- shift;
+    // LE_O = {LR, LE} + Mant_Ovf; //- shift;
+    // LE_ON =  LE_O[RS+ES] ? (-LE_O) : LE_O;
     
+    LE_O = {LR, LE} + Mant_Ovf; //- shift;
     LE_ON =  LE_O[RS+ES] ? (-LE_O) : LE_O;
-    /*
-        if LE_O is -ve and Least Significant ES bits of LE_ON is non zero
-        E_O is 2's complement of Least Significant ES bits of LE_ON
-        if LE_O is positive, E_O = LSB ES bits of LE_O    
-    */
-    if (!LE_O[ES+RS])
-        E_O = LE_O[ES-1:0];
-    else if (|LE_ON[ES-1:0])
-        E_O = LE_O[ES-1:0];
-    else
-        E_O = LE_ON[ES-1:0];
-    /*  
-        if LE_O is +ve OR LE_O is -ve and LSB ES bits of LE_ON is non zero
-        R_O = 
-    */
-    //R_O = LE_O[ES+RS:ES];
-    if (!LE_O[ES+RS])
-        R_O = LE_ON[ES+RS-1 : ES];
-    else if ((LE_O[ES+RS]&(|LE_ON[ES-1 : 0])))
-        R_O =  (LE_ON[ES+RS-1 : ES] +1'b1);
+
+    E_O = LE_O[ES-1:0];
+
+    R_O = (~LE_O[ES+RS] || |(LE_ON[ES-1:0])) ? LE_ON[ES+RS-1:ES] + 1 : LE_ON[ES+RS-1:ES];
+
+    // if(!LE_O[ES+RS])
+    //     begin
+    //     E_O = LE_O[ES-1:0];
+    //     R_O = LE_O[ES+RS:ES];
+    //     end
+    // else 
+    //     begin 
+    //     LE_ON = -LE_O;
+    //     if(|LE_ON[ES-1:0])
+    //         begin
+    //         E_O = LE_ON[ES-1:0];
+    //         R_O = LE_ON[ES+RS:ES]+1;
+    //         end
+    //     else   
+    //         begin
+    //         E_O = LE_O[ES-1:0];
+    //         R_O = LE_ON[ES+RS:ES];
+    //         end
+    //     end
+
+    //tmp_o = { {N{~LE_O[ES+RS]}}, LE_O[ES+RS], E_O, Add_Mant[N-1:((N-1)-(2N-N-1-ES))], 3'b0 };
+    tmp_o = { {N{~LE_O[ES+RS]}}, LE_O[ES+RS], E_O, Add_Mant[(N-1):(N-(2*N-N-1-ES))], 3'b0 };
+    sft_tmp_o = {tmp_o, 8'b0} ;
+    sft_tmp_o = sft_tmp_o>> R_O;
+
+    L = sft_tmp_o[N+4]; 
+    G = sft_tmp_o[N+3]; 
+    R = sft_tmp_o[N+2]; 
+    S = |sft_tmp_o[N+1:0];
+    ulp = ((G & (R | S)) | (L & G & ~(R | S)));
+    
+    rnd_ulp= {{N-1{1'b0}},ulp};
+
     
-    // Post Processing
-    ONEs = '1;
-    if (!R_O[RS])
-    RegimeBits = ONEs << (8-1-R_O);
-    else
-    RegimeBits = ONEs >> (8-R_O);
-
-    // RB_tmp = RegimeBits[N-1:N-(R_O+2)];
+    sft_tmp_o_rnd_ulp = sft_tmp_o[2*N-1+3:N+3] + rnd_ulp;
+
+    
+    sft_tmp_o_rnd = (R_O < N-ES-2) ? sft_tmp_o_rnd_ulp[N-1:0] : sft_tmp_o[2*N-1+3:N+3];
+
+
+    //Final Output
     
-    // Result = {LS, RB_tmp, E_O};
+    sft_tmp_oN = LS ? -sft_tmp_o_rnd : sft_tmp_o_rnd;
+    out = {LS, sft_tmp_oN[N-1:1]};
+    //out = inf|zero|(~DSR_left_out[N-1]) ? {inf,{N-1{1'b0}}} : {ls, sft_tmp_oN[N-1:1]};
+
+    // /*
+    //     if LE_O is -ve and Least Significant ES bits of LE_ON is non zero
+    //     E_O is 2's complement of Least Significant ES bits of LE_ON
+    //     if LE_O is positive, E_O = LSB ES bits of LE_O    
+    // */
+   
+    // /*  
+    //     if LE_O is +ve OR LE_O is -ve and LSB ES bits of LE_ON is non zero
+    //     R_O = 
+    // */
+    // //R_O = LE_O[ES+RS:ES];
+    // if (!LE_O[ES+RS])
+    //     R_O = LE_ON[ES+RS-1 : ES];
+    // else if ((LE_O[ES+RS]&(|LE_ON[ES-1 : 0])))
+    //     R_O =  (LE_ON[ES+RS-1 : ES] +1'b1);
 
 end
 endmodule
\ No newline at end of file
diff --git a/Individual_Project/Core_Arithmetic/wlfty19qdr b/Individual_Project/Core_Arithmetic/wlfty19qdr
new file mode 100644
index 0000000000000000000000000000000000000000..84cf983910af9b7b348620f08c2350267a6cc32d
GIT binary patch
literal 49152
zcmWemVOYap#K6G7%m4z+ybd6Wfq{V&O3N}ZFfc*c77R@GXIKpl4NMGqyc~lAT!Vsj
z{e4~ipweI}`SvdpHX{Qg13g1l2Il`AjLaaCfk6Qz3$X;m8pWd_Fd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0@MqE|Nj{}85qDAG|SKCBF(_eAi%=zqQJ<{$RIL_k(r5`QPF{soq>T>
z(ZzvPk%>jMg^|&jiH%+HMFNYW05b=>VuLGt>VGx{L1xAotP>a+m<$-T81y_Auo^Lz
zDKX?Qur6?9mS^U5U_9l)#>8mI>LbLufLVzlih(VKp;d*IRhpCI6+64_3l6sGWSItr
z(9qCOR(<Muanz*I5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2ct|0)L>j(So|9|EH1_lrY@A7A4
zuw`H^E6&W#X0T;sU|?XgWn$3qu!`|XOo~y+%}+_qVUS>mPb^N2DbLJfFg8le$xqFV
zVPw+LORY$?Wnf~}Eyyn_v1MT5u(C3=vM^^bFt=sm*DWq#NXaiPNz2Sh<;Y9SWl+&|
zX0Xx^Elw>e)@J~pC!p_Gz);|lSdysklh2Txn4=$(np?o29~SH%8j@_ukW!gfY0Jc-
z%aC50Y0J#PsNcxY&%nT~%*e*z?_s6y>Br#W8RqE{>gdCu5D?_=<?0;5pdXN5oLQpp
z$&i<lS(cenn#hn7A5fH^m72^@qVJqvlp62IP?TAck(*kQ$&#$k&J|yh#GzMQ#u&xH
ztI8_Mlv~2)%%0I$o?n#B9zKaPlIe^K!$m1p4kcE01qK05t|}%876un?2?j-0MiB;1
z&%B`2+(eJeJVO>^&V@?Mc5;lST}&)qoUEn|Osv6~>1>8B>`L;C=_<?&HH^$63=Ayk
z%nUq1sp*-ysbLcmb4pWLvzS#~7*{JWTBxuvY-P@4VPRm)V&Qacs3^$KOU)}`HC16$
zW4<q>$Y{!VlwFhQJ&P#=E2nQ_UP)%LN^v5qDdQVK=4(o<N7*j2{WfC%t;DLr&h$!?
zRe|NzMOIf1mYYGW>MS>vSaUhqPH3|Fu$-94I*E&IJ8NMG%XS8CHrM!+%rv1imM!dx
zs)C%ZY#iJiynOsT9F86-De;`bEG9yXs?1z!jJ$k&EIzLBUH<%>>b!ar_?g+|_?tO2
zczp$!g@V{@`EO`?a^B#a$GgZ$tWuC!;@~8fUS=7_SsdDuos1E&@|{Xjo8lQhO-U`1
zSs*(}fhRFXVDF?{fgp+HT>K$26Wci*S;JH~k|kXOWj$qNoRk>3bVVCjcsT@@#`~9b
z@}3fpvld;VA;ddXQLJ87i07FnBfDg#oB@L{Ye{ZFe7+}3u`nlNgNq}hAtwXp5-G0l
zGCB+*oW*G+@wzOgjB0|yvQDx(qAH9&DxC7nO)j#YVvO#rGIHXKRY9yWFC-X)S!Eg}
znM-pDSgqxx*e4X_rNpx<$!l@A%07}7yvTMdo>fOlp21C(e~Jt*s}9Q+7x@NvRf`T;
zE;bE6c2y=1PEO7+=rR^?78@l-Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMniyBApn}!N1Fd<kY->2V~`l5
z3qJ!J!vYpACnk2*|11nijPlT_OjcSsXVl!$5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4FNJj
z05s1J!enS2)iN3aqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFi1jxnSp@;bOr#}IsgANDgOWe
z_dWvy`22r18L%h=0|Or;14Dhi4x^wB<9{8-a$QCShX4OTBvwI?6ytx8N<o;Kavesn
zdXN;zC?`e+_W%Du*ol$-|Ns9W3^ra6PJ*<8l!Huyu|d|_e`8?({{w^-*%=rZ8N<{C
z6rY0}st7)nK;aLA0xJVDsrUyWE6b?B%m7hn&&a_310=&>&&Ui`!oZ-wh=LTsAp<5G
zkV#o4#V-sD3=Rta1r+`>DE<eTqWBL?g4tn*0~Eh8FfdeuZDLgTYoNfoK;cgU)C`b2
z8)O+3IT#oi<P;eh*ncx9GBVU}U{GKbP-J6ZVE6`B2qmGGRV(~=Q23t!woDFW86(7E
zKbU11V9U}K{t76t3Ml+>P+&Cx8*>0^6A#FyUkQqgjQ?gZC@={qFbja?8NuWgWD;s+
zmcoAph5rs<D|x_H9ssi$L&F&u<0r^6NP)aOibq3WGz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^D~<LkNK8
IqT&1h0qQVwq5uE@

literal 0
HcmV?d00001

diff --git a/Reference Code/vsim.wlf b/Reference Code/vsim.wlf
new file mode 100644
index 0000000000000000000000000000000000000000..503e7c7bf950438c5f9c05da3aa25cd58fc37e42
GIT binary patch
literal 49152
zcmWeAV_3sr#K6G7%m4=J0t^fcObiSRtY9t!Ljsf!;_@>v1>9jZG&C?V<neM04sZ<$
z*7f&w^#jSlaPrMc5jG<OBLh7{RtDz(9gNH%l7T@1qyTCOh&hT!Ltr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz6#?0{{OrbTBZ0F=*DG%|)7lnL&Vs-9>?spOHai5+gGcH>08hBRc~F
ztD=hot0EJNY6~NyGZP!T;)?_pMFD0GcEtu)_SFAu41&yzGgv1uGB6o1YBA_}EMPTa
zEK^}+sbY~<WMoqpU_7P5#>8mI>f_0}fK`nxdE$QtS4K}2CRa|5SM2PzFF4q2lVuth
zLPJACS$V1E!BK-oLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(_=W&zt{?2X|NogUGBAKJc-KE8
zgDnGVS#f4=HiIoA0|Nt_Efa%=hgFPEVp5DkZhlH?4ub?kd}48GOnGJ=gRxO!PJU``
z3?q|{UTQ_EEdvv)Zb5!gi7f*Yhn1C~m8mg<fu$`Izix2}LrQ*WNm^!3Do0*oE`y4$
zGlP|WXmM&$u|5O%JOO>j0)_&Y#F9jPpL~Yo#2o#Q)Z79F{jgyF(2!I!2IDNFEL$cP
zU5511Oj~9SM*T*Heg+0^WkxmzI}a;;Pd^41&oEDyP)8pIg@7P`FIVRf2K|8i;>;5L
zAcoYm)S}e9<kS`gXNJxC1&ksL@rfxZ@fHk8nI-WhNqS`q89h0K*(EsQnIst4R#~vd
zvM?3Jhovqk%FM}6XAPRf>B+d&g^`(wl|zY@U4cPVkTZ*&NrI(=fm4EkgO!1&fsr{g
z&yYn|g|nO4LP?NSj)_4o%7|T#Q*H&L8K0aOj~KH@X=w>l7ayBFrzc+#!(~CYORW3Y
z{s_o%uruVwFG)#de$G}X&!565;1r))QBtIkm|PNH#%bouQa+onL{UqGL4cF9lc%JC
zQ$U<4Gmk}uNs)Ce!%7A-Ar1yB&R(vG3{IRZ{EVg@Y%Jm|48k7FoR=AcCNXhvKVS?J
zC`x7tVxGwNbrpk?WP}T25I3ut5Ti4*A`2sf8Cy|mdZtTmDoZbmn1RY_mP|$~B|e5u
zUWVRDJgk+B{>-&3j84p{6-osx_N-zBlh!coWn9Zx)gi=iQjp<nhXBJxe#XoOahBY~
zJnruT3=HhXYdaY}vKosq{Phs!U}Sv3BFs2foVkLN--Kly1B1|d9u>wkIYABsSq>8!
zK@JOP4jZY749t=Y?1G0m#2F36Srr+a;)_^pCdx~1V$k8O>`-IiR%PJ#P+<@jyz8RG
zAg#zCuOw+9&!9emL7Xw0k&VGfh4CIU*Q6~B4xGJ=tsR;SJ{k-G9O{g!;_Qlyg(bPX
z@`3XGJ6VdAB+CsLngtb$^%%N!1sRxi7}&K18Mw6=-8d(T?lfZLRX5U#72R2)Exy9V
zl3|_&!y*@RhGk|9t6WSOm`xbiRg4+9nbw4eGl@@MV#TE{(l*PMA-=<gA=#SAutdDn
z#gU=Ufnk!1Jp;2HlTnp;sgf&$z6*n~k~4$36O*y5c&V=-Ly`{zv$r4vyB7nuryv8r
z2LrSFL<V*@CMS_nEu~NflMn_ArC<h|AO;7eKn9lp1`j2F1|L5r7g6!j2tkI@aE8jT
zl}v>qrOF8mE0p3H*2FPvP>N;P62q`VDVkwV6vF|fNJckK28J-`LK<)$A0<XZU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E1VZ3uwo^^xZP8KfB)z!)UP=)%vy#;|~e%ZZ7d^*;+k5~DnHCJ}ZYz_1OL
zQICy=z-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2CF3IWhOKL`&gM~^yoGz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMneE41eh5Z7(izLfCc~mXE?#Y0LGv-0jw-C3@i+Mj0^${|NrYUu|~XL&tPO_
zUM;A&kh52jk)xS`L6$MbmyJ<_O)!v`O;O|&o8jAl82y;1Y{IkHoLLx*J1#JozhJQL
z&||QF#$fDmo`suHdJ${u8J1`To|ublnUk0qidm;Ka&vrR_74+Q=#XVp{2~~{$awDm
ze_5uCE=G{i{EK+m<TXyQ>36)%&}VTx&*Zs6klnw5Ipbo6E<<t01%`5Cmg+@kShzdx
zv4}G>$g8mSGjJaYW!%ps)xfZ7)v8rou3*oN5~Cq78UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AuxPH
N0CbW+Xs#dZLjd^dN9q6o

literal 0
HcmV?d00001

diff --git a/posit_adder_verilog/Test.cr.mti b/posit_adder_verilog/Test.cr.mti
index 0a5135d..a817a2e 100644
--- a/posit_adder_verilog/Test.cr.mti
+++ b/posit_adder_verilog/Test.cr.mti
@@ -1,11 +1,4 @@
-{H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add_8bit_tb.v} {1 {vlog -work work -stats=none {H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add_8bit_tb.v}
-Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
--- Compiling module posit_add_8bit_tb_v
-
-Top level modules:
-	posit_add_8bit_tb_v
-
-} {} {}} {H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add.v} {1 {vlog -work work -stats=none {H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add.v}
+{H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add.v} {1 {vlog -work work -stats=none {H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add.v}
 Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
 -- Compiling module posit_add
 -- Compiling module data_extract_v1
diff --git a/posit_adder_verilog/error_8bit.txt b/posit_adder_verilog/error_8bit.txt
index 96f4d1d..5c52b21 100644
--- a/posit_adder_verilog/error_8bit.txt
+++ b/posit_adder_verilog/error_8bit.txt
@@ -387,9603 +387,3 @@
   0
   0
   0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
diff --git a/posit_adder_verilog/wlftj9xmjx b/posit_adder_verilog/wlftj9xmjx
new file mode 100644
index 0000000000000000000000000000000000000000..dda1f28f09bfc13c689ee187408171e118f9ceae
GIT binary patch
literal 81920
zcmWemVOYap#K6G7%m4zmoFI~kfq{Vw#9&}x6k}ju0P#UwO9rM(9IS?h1}26)UXH;5
zu0g@N{=Tk$AUPOLzV$+Z&B(yWK+lksf%$(2BQuC(U{C-lfLH=zjpES|7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC70qTXo|Njir85qDAG|SKBBF(_eAi%=zqQJ<{$RIL_k(r5`QPF{s
zoq>T>(ZzvPk%>jMg^|&jiH%+HMFNYW05b=>VuLGt>VGx{L1xAotP>a+m<$-T81y_A
zuo^LzIWgogu&_upF|*lnGBBQ+z{bRA$m*lQx`0(vmo0^%Rfv_<m6L<Ll->3U2Ya<5
zCl|lNqyxNioJkyJ2@H%f4Gf{7p`omz)bsGDNuwb!8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AuxPG
z05sPR_RatQ9G@8&Kp4EspOL|qfwinSGdG*TmXU#hfz_6YLBqo;#wRf;Mj<ypB{hdZ
zf+0S!I5nm`GmpX8C^08LH8+NlNk=cWBGs0GiB-2Czo^8Pfr-P)%FxQxn8Co(mWf}t
zxP&1kzqBMRGbfcJFEN)vMc0|ZN<XwXwWwI10eqf-zGDGHflFdZqP|Z)Lvmt{en@I=
z0fT;6uzzSssu_cEmQj{1GY2D+K0^ls1Gh3GBb&2_mA)r~pNnUhr%R|KgO5T$kiVC!
zGed}eKz?y%i9S;SBWrwON(w`2QG8iyQDzQHemc8wyhTz&W=VWWl3p33`veYQHXT+8
zj(8>s2DV)$tVxdL`9;~ReyW_#os8QUcQLVYxUdQ+FkDb#RA6UP6kuWClwc5GWn^Gx
zWX{Yp^kmUxRAA<E5oDEPVvsX2VwV%~WDsIZX7N*K;1p-(sOV%-XRi@su=L<yuw~3}
zxx(OjnZeWL5`*tWhCr7K49w>l*j>&saG&K=bK)#!wB{?G&y(%ITv}Sf^!OEDv?nLu
zDuzjuIQe;n`2yYKL>M-^Ff(iy;tpiuU}7!FEoh0)XX0aEV3cxU=Bi>4bP?uZ`Od)Z
z@{NJ}D+9mF7Y5<a49qT{7}!5DaJzh9;D67+?DCF*{VfBx%Nqv%*9^=quNc^0GH|=R
zVBmkw!0hsjf&HmqxDsO{3)gv0CKiT9MxRa=K6bG#22&Nr!yHXajEt=e;*9Qd*;E*>
zyD+E(v8lL=GCB!}GX-;JF;p?I<U4ZyW8h%tm^dLmB~>^@>|+%Re~QG`_|%GqlA^@q
zlK3)4FB6{GvpHEVu(D~1cQ9q<u?RDXUC~%6(xb%1u%DBKf1;!i8^d|QB`TbLTstR-
zGZiKCGV=ZMSjF&_$J>K}o0E}KTS)M>unY?$M<ZKNYI>$iZYs+JRxwkR)eM4yLV}W<
zd<?R@f(*<&j85Vcm{ThXc(U2`Jk~HMvFf=9GUy2~Fstyh7Ba5k<o?cB$dc=ln8(}3
zCbm>%ErW?<si$NmXB+2+Nt`zYnS?efh%;C4D?9SMW?)#)BdjF3L5_i2NtS_MhCx_K
znn7HOL0U+XL0(ZxT#?aGoRz^zCBBHoMqWW^6N8NGS2aNf4OIpml}-i&$p=ad>>i2?
z+=~4w@(jWPnUk^^*%-JKRa}_4wlGL2@#<(Ya4Tsr@T)UQsfe>P7D}WEGOIJlPuZ!;
zQY@G$WWd0$d0J19L0p$XT1Sw9S(|}fYa*i?r|4wmn;~M8-L=IhyIV3WbFpApWzN9t
zV#dI3%E0Yn!oY9Lz<h~mh4|!&R$R&=O<78|4B<8m(U+{548@xS9T?i|Co(YGG3kjH
z&U0nZ?{Hx-c4jc|aAL4_WHK)iFI4emNK-th<js)h#ZaW=$x!COP&H9;gBw$oNTHTe
zD8uRyhV??h44V~?1PU_j4q#yR7i3`fV{&1%6)TJoWH1P4FbP}9q$5(OoWPK*6wi<z
z$B?ZQ%a9+#P^=WqP#(ol-4w~_#>v62$?A}pR2;9Yuu7lTlZ)Mr;r1+(C4U$<iLfxc
z>8c#FyDRjM#nf_Yq9)T5wXGA~*#9%g%6539FlcHDsU$HP8mf3|nj1e=Y;)mYz8=q&
zq{I-yZfoG_6r#A!k;Ns0AupYwNF|M-OiN`czl8jxC>{xWcUgXk%vv4^l^hNy!N8y_
zCPN-}ea(+T`3&ZUOLG|+%{e((Qi@j<*()>hx&#Ns7d2$2XOzVI#S8us5s_f@^gYCw
z#h5oCOq`MHC&MlmI|W9?0wv$I6%1E|${B719w_Z(c;Fda%<#fR^KBuQlnbM+JQLqb
zA;q1n4$XobqD>r<je;Dq4IGN~f(*=c4D7Xn4BR!0ZQ??VLY@p<tP?}a85O^oe(RXd
zpfZg?gJUYA4krf_r=)#qN@m(cK`VwhOIFt6(o0D~3w>@bImFn@!tCK8c!%-WBu5d4
zTb|)AygD3lN-9Sf4m(<!id?N0WVm0&@VIg!^DbV-T?v{V@vOCM`^-7lvbeAu(tO6U
zPmnV@Npe1?Cr2u0FUuh%*K?e1lRX0?7*!Y#x%6;M>Skb83e@Xl;8yBj;BRLTR%&Aq
zZ)K34)WRTdv0B{3iQy$nsuCyr6b2Wi$qXKo7<`l_G6YOu2vO>1i0EU8QR-z#U=Vof
z$-!)Rf%jnfk|OcDd>g#PXH@aLRhq}4HJ3wAXby)_VC5`94y&0A%riV0*g2Hs#S|Hi
z@^lD#hAd;ySt`h2u!O;6u^<EUA_n$_f(+aX82INmGB7iUGbj2??>fffq_mDfOK2^F
zUZC=7PX@EVse!j$RxofcXLbrn^0_5)oW*IIAcNUf2CFTC40f9tm^TSBuy17G-r&i=
zAIQY9qRDuDN=kfS+=Tox%?)y;cA0{|<2GOV%#g*x6jH1*QG?+t%QstoOOA<=objxy
z1-`kIo&Uy=DJ1zR@Y?o^jHTl0do>uo^CT<nXPC8*VV=@nhDCcAmMQIKShb5`onT<v
z4#sVqDjZyrR}H=_i7(PTp*C?g7o%fK0%LrBB8!O1G{z`i9$5}emGT75vRK8m2u48;
zmrh+H#ghj)LO2d^Mu;<O6|v}bM*h}KJjzk;qIm2uXS;_uD^n}aBqz1!i~<btmsFqg
z2`Y-SF&*>tN@AF-T&cvs!Pw{}$m)28LGrX91M?{c_LCDCxKA+f3(imxyss#`BBeN|
zTwRUFl6gf=YMKp`YT_w|+(0&_91-S;jEVD14|d#P(7Mf_*Kv!%=q7_%#|;Ln>kM`s
z*BG3xGP*6X-t4|1%67ACo1kY27sGxjPo~43C3Z(txO#V49hoG}@I>&H;6)X|Uy^P@
zUl@K!7Jm}tVED+v@?jza^LqyNmfSq0Ck)1q8O)U)F<3ujuvdD(;C!FKUFjZ!_gw~m
zSCyY!t^%DbJ&XdCE`n<q1p*ux`571(D_ETbA2aeWa4S{#Ff!a!VdP}sc5qN)teC`D
zF-eHAaZ7*|qoBY8MureZPm67n76(pu`NweNF9Wm79|rc{4BRfi82EoOFt_|*U}xZD
zV2FUOEd;f4z%8LsVl)IsLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLtr!nMnhmU1cr17fJVHL=KmR_85qDAB*y5%&%nm8fQ8G6iJkR73qum4Jan9#
zbx8Yp)bXPsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiTdLI5<+55mLEU!(pR4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=CkrV>V3=9mQGXTJT{{L70!T<mN|1&Uv&;MtW0gEy)Fz_)lF#P|o3nnAj
zGZ;tlXc&y9fzdQTjWnS8?=`5HQ3RJlvW&3|b7KC3p(mJxutDU_m>)3@V*bZGjrkwr
z7{dsL^0EK*!3+o&L~5Y&V;QC(3&j2}M&`ya#+*Xqzm3t4(U18Nvky%i&D<E47{-_j
zF^sVcXJZ;-WMco<#W2R`#W2P^kI|1g4`qYYfZPPaXOPU}iMbdP7Q+#<7%avNCL!iS
z*dTMHV;EyrL+pqNjbV&ok710Fi1`Lqs{<yFfJw%fuTa_vN}mAJQect^Og;sZAXk7e
zL=41&VeOd5G5=y1V{A~=F~(d4i@rw_wMXHfMd2~V7$h;G!kCj_706-1nDiYirVb{#
z!6eKrFj^2S`Ywhsi4EDj7^axdU>U|F#u$z;;SO0w)qn3n)e|TM{r@k^l*w=@^S^!u
zBMQ_&;W1|LqVZ3m@!w|XXQ*dzWLyG^p9hnIU=m?2x|z%w7c=}bWHQcy)v<xeR~d{M
z7cv+#T){lXjIU7oGn59Ib_R)E31xqR(ja*dzK6zV%xDISFoQ{mOCW3$un0#6ga+w_
zVU`RXupEpJqECWFSimHR55f>J0kGJ|jN4#Z3QQViFlMNOS*tP_GoEFHf!QEE5PUV`
zOa@CPLn~C66-v*`V9W>xvtc5vng6pgn7}YFLkKJdF@Z4y<d-*K5#fv-U>YLhlJOXc
z#~9AQxORgqqw0U~>P1l8Gcd?9#WGwPxDr7*MkZz|6>>i)k6(yk9L@EJe2<pv)dirr
zo`F%8DTygbB#9%bG-+zm{}`5}M=?rCCNUe5%9EOs!eUsG7-Lq%WW^XIF(&Pd(T)*L
zVvJ!<VvhNm#2h1)#F!)-^CAgSn!?H*#u&z=c_5o(l#-ZZSYwXIcqjdcQA)ZI11>j{
ze#f{&${#5CHHIbTT+*i`t0exIYcY~B!ZEv&{>2!^NQ6OL398+gWSL@^k{H3ZvnMeo
zvBxkbJ&9pV0+s9Ml1!3ZWB;eeWF@I5Nyfa3`4J--!vR+EGlnrnE9O>=O$<{EZ_=lj
z6ERFl{~^ZyH)dd9V1|2MDCt2`R+3)Qk0i+?jwGF=TQQ7D)=B(HyP&E~7#J8>K#qt3
z6`Mj}k_}37#XN}l9TOHK8KW1o2Q20cCcnl=#=HQt#K9zMj84q07{-`iF^)0(F`tqc
zV~&Hwp*9$SE(n9WM-bgTpa4w*1+68TtJpxULIh2WKoVoj{UpYi%p}Gb-6Y1C?@5d?
z5=o3P>`9C<+DVKtH)Cv*7-RTib|o=FZ3cw|J8qj}7?W(!?BoF135vcX#u#ullf)Rq
z3gxpW{R34jF^n;HV-#adW7fnlCjCxgObSh6i~)tin<U1V<uO??`Z0`2%t?$%J7TOM
ztQaO}vI40B$ryr6M8Tk@25S;i5@XV7P<X^Bf)f~P45;e=7b5{y3r=J)dt#VkypuL0
zF(x^K8ycX*c@PwSU^TyDkp0dH_qzbLP{)!IxIq3#3UhvfVQz;d%(-#f8^f4nix%cQ
zAUi?nB?dY5@qtC|fyoRosRJgzfk{wEGR8pCsTNq|MvNVp=8f3}qM<1Ol=gUWy9N|)
z7-@hH<Q|H{fFHN5Si(R6WG6TVLAg)>&Vn|Lk{Dxnz+&HGp2k2Lf*D}`^BCqNMrfNC
zR5>ula6)s2C|I5iO#Vz_j4=SSZp1Kyb15j*@Wy;eVvIQo7H5R#83P6e20^&n`IBBG
z)h2yU3P=)6l0=I)A&{Gq!ig`5G3h}Jds1?eUd)>$9!Pl;GcU;ol%l~QZ5?wpMlk7d
zj9CnS408D+Oq6{hAp1aZi;_-w!6Kk?fh{H(S~9$j;Xx}K=Em5>*e5Z@T!|5kd7Q);
zV~XYiQKDQR266#0K8|5bvcut5aiVOJ0NDhJ87$=xI3*-8#z680YfLgY1R%NN6)2rR
z%OlkMF$WX^V3n6aVE|^CpoM}YQErn0xs8}m0LQs4j&P7B$}Sm@U0}B&mkrd3b6KKX
zAO~^*F}}qU=ki3^qyVxB6z3qE#2CX5qCptcFagmZoWz&}%Kwmj4Ne0wj4`Z9pi&Xk
zWDo<Xi2*lTlJ+FI#=HcjhZu1E6vLSGB1sZbo5g5>)y;{q0hyKrYE3};&6i`|#VE%}
z#<0XN#c(ElgO(0|L40`oLZ5+wK@sjFKBN*t3ax}t0{I9j?0AzHW1c55#?&M+#(Ya+
zjPXxm1lQ`4Sn3dEqHItB*?^Hgct9da55V;Us5E*V!w4^r=7MW|P$|opWE*oihA~C}
z+~hKi0k<7c>Q7ao+@J<>1DU>5C&~s5kPV<1!%`Z6yU5U58q`vOm4UBf7%@u0IpB`G
zLlR@mC5XRco+L5Gm|*d_CQ<Iv0=bJ!pKB9kgAT|BP;8=<`2^!PhB3*WK-}sQWxpQC
zelmTiPm~P?AR9n&3-%?pnx6|U3n}qIZ35&n|0O7<!MZV9MYAC>4wk(Li*qo~7)z`h
z66Inekc-I-6Jw%mFag;Bb|rGDPcYWO^^F~YcsC`=1!f=@km*NrqHM4L*#L@Jy5(t0
zqTFQ#au=CCw<gL48;}j8$1V|h+LkE$?LhXE=|g*>Y;XYC0E$}(2G?$&7B?6tF($oB
z3WL_eOOm8wY+^jY>LBg+12K?6T9DdGP^lNg7-I-&K_f`e@Nx`e%+1*UxnQl(A|Zw`
z#vzF#hB4+bSQJ_?#4yGr#xTaPfIFqo5!jfOU=>l&kz~dg7VyY4V@zQZN6eQb-WW!3
zhn_KJHfS8XGv-^&@gz{s0pu!ka1Q$c?v*}^F@f~7{{3NKU~q(lP7Fs9zE*(~D0Cp<
z4;sseA*EH|Oq2~SAR7=V3^Ys(D<iSC3fPj)Cxyp=S_U;>zu|5XxDsW*8_0e#edtb<
z4IUsHKv9S&J(5^K=_7_QhS(MXYZ7D3xg^Gzuq4J9P|E<+DkIb`@FdE0ULe<z>3?sc
zZ14fuK$95uCCYw3ko{!((4Qz90zfu^Vi@d8c)K8p1r*Dmnl6UCb^#06yt8036ikBJ
z2sL09qJ;n&vV+d`GcYhbVEF%^;Q^Qdng8cdaARO#NM&GFVrAq=W93jvXXVIX6;#q<
zmC|NaR?=bR&}9`=(qom<XH`}*VC67m6;!HZm8xP@R;p&@n9nMxw18D=A*-^|B36#Y
ztb$5QSf!S-Dl08x<=DY0sI-$+Y8R`r(r#9cJ*<LCds(ISu_`MaX5~1-DyVdnRq7b4
zveI!@juWhcN+(&RPO&O0oo3}Y!z!rslvU~(tFqE_R*n~}f=Vx0rCzZrE4^mrc*82F
z^p;iX9jmg^dsdDQtb$4(S*1R)Dl2_v<@mxXsPvUp>Km(a(sxFV(Mt?K6Rx9pGz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr?C04HMppMl~3ANeOxJ{xH5EVk8yfkdrq2m-BZAamtJFi|#y
zfNTJ-X8^Arq~hwIP@?P)1KCfe55tMFAp&FrXl)3cSN}v3<+>=4>&WzfG*LFhfNY>i
z495~>e;mkuGJP0Nlnn_W8$dA(!l-)z5X<;tKpPgJ`_n+`Ks!P}3;kjklfET|f%c@u
zFe2>*cmY`hhh;YaX!#&x(pk{TBJkEy@Up)o`<P!zj4_~nM4(-0pp}1&F^ow};5B=Y
zy=b3f9>p+%F<2%BwgVBghbR&5Pkeibl0g1M3Q25xh}e?UV&)}P<Jd=%Oq4w-AbT)U
z4B>qwpfz%;NsKXbW2*7&9!e$34QU`ZP~_KiqU^~4*#k-sSV{*{_YOf;i>ZJ^Zca=!
zn8v+-D3d7nWr5sBQ8;81Wls*s9<Ym%>j7%Tc`i|I$OE~7BERMnWlsUf9#EWv{flk2
zAb3?MsAPzFn#2g%up9&4I|e$K0we<8M+n|S7K42!A-JT7`JBWEUbU+PwsB69Wenti
ziOVsXF^owpF&yAMir1mbkpCvJK-LKV2bBwjaQ~q0No7k?OOim_KU4(r6;kNo+l>m#
zsp8<()~I`ci%GDv1Y{>T6p>R6@w-uxbBq|+9Lyc5r6jng4CEdvgh4q8c2<Dw1jQ|8
z36jJPKKKK4<OpaVbQt&`63{+qSbg&*#sQ==hB;|xk}LE866P2YsMu5Z4m3zgVov%M
z13u~_>2}O7@Lm;AO~MLa%M9A*_71#L<#W=hn7<%XplJ!Tv$qoNC)8c#ptK~8mX@kO
zenJXIe7nhEX-N!ATB;_&&Ki)N;52}omiQsL5G)R=M>9b=5X=Ml9kQDjbO;J3SPZnY
z4^j(*!W^{2>oZs!yc;G)DTXoWDtP}dU()9oj+p%*IiwJ(g}YV=Wd}GYgv8K7s1D>>
zq!0q_tLKb)kn}qVd;&lcXg}fi7)kIhLiQN87&TCwB{3#Bf?EXNWB8MH!&?BLQw8eb
zc5{Hs9MC>_&`}32Nr=7syh)5nKa(6mry8J~NYEh5l+17$?Z6IDsRK#@Fr35~%dj5C
z0nxGlEn>nzyZ&O9#K^{E$DE7tieZfXUlqd`Qxn4&b07wE;zL-BTMQ#;V@2$L|Cq3(
zgcu!Ay9XJ^T!~?fxfa71^8&nUnllD^tPLbpCV@6fd;_VExe)U%#y)0G3|q`;ko8HG
zpmo`h&<7nn!_Wv00qB+sunxv#hEvJ^ok46Ej$urCn-mta8qAkY$_A~;Phw0uoWuw^
z&mf5rd`M0uNEWnbGKn$ic}!~zV+<(OIDkcYKxEP>5D7lB;Cl>Xl2VccxHSk(Gf97A
z_>w+?OJ_;2I#9l1Oakry2B|m{V+d;5fnot%Gwgux^#sLZ6DVE8h$L~ua3np298HnL
z6vLS05(7T0CrKiP5ximKXN+SKM+`gK`3udUFo<DH5(XVq1UcX$=1ELg%()oTq%$#<
zvH#OzvSKun|K}%V#W=*gjrkFyne-s(M-r%R`5MC!!<O_pi6e<EMiq3{11O~>JxF>G
z!xUp2BN($g$rLROx4_*h6!Rb^D@G4;W(Fv=#)yG-JEP@~R*>sJv6dtRB0*^<i7`na
z=35e|mIR&Skd*}5u?mjE7{(;0q#sHBNmU@VNuV99jLH9Vk{MQkT$r>e$q_6L+I!BJ
zbT`Q@X;X}H(l@Z2S`w%{`V_;M#F2!aN88|T7Q}F~ILOWLz4@T?EZRXXMhZ{RUX}YX
zj7ga>j7hpkF~T0hn8X^xn4}uRm;|ba_)zj!2O&Gb=^1vGgdqb1Lnp{yaN1!^5`@q(
zpwb958UQ{SBZ(skR3pfNbjFw^tw~}8ogI<{I-%oD%vz9WGQ%X$R_3JU7_%7H<o~J3
z3=={8n71IwBsCB_X-|x1jAYWAB+v;gpkkOg=2wzN5@XWF7_FpVNsLKfpj)46K~6{l
zdl9UAT@t8BlS=xZbUFsK1Bx+8E9P_z6L^ynW0FS9?xg?VjjqsRJ)oHsbOK8kJSYS(
zf?on7_`5;DfE4_o!kkd>gSKU&27eDBJJFJ9FUVGK;zCZQd|;7#U@`+t>VQdb!b}1+
zguo|cftqAsaTPELs?->hcu|r=A0hW7!IA<f3HF0rLva{PAY>;fUXj89)Oef-vKbQd
zF^ow9Fc$0}lqAqLZ%~5#1}>;T$Eh$TWq?&Z2N!Ul$_kW~!Nqq{ZxU$R%l8<@<p0IV
z42!_(ASa4JHraqGT2OaE2`sZT#vti9DC;MILhlPWE1ydG3_gJ4Z;~t|Mg13JU|^U8
z_Zff8i<sJ&?=b-}f-#a9X=yUZH%Q^g7sD9yAc;LDIYuw(O$-m{updytl{7D=I_YW>
z=->=Si~?^8Zo81e4%Aqh3bGBH0+7=XX!9Lo5-0_;C4n{-gNl&XNub6Bs8~lXMCK-e
zx_?)aKnG};p%uH+aJvE}<xB^;ffOHu-D`qo+YH>cfzk}zMo_Ue6J#SO{$enStt4>8
z8N-+a$w911psn+aNsv7B3M3oDh%*<>NdgTBFeY8b8XmK7yAbX=P)?c+avdq*5rdfm
z=HRvqEd|U4*#-_#>ZgEtxLtwb<M|*rkm6%d+=1O}gq8{x;I<Kz3P2ccGw8^Qg&><D
zrh(1lN3g-&Rqy~8<aiCxkTF>H9++f}`4$rfN(o8e)RDv()11T@V-e#T`#&p*F{uue
zRFc3+B?;7Kl!UeuA%%zv*oZmcQ>x69e#I~*U5@#f#F!MAq?-gCO-uR`!<_Ue<}J8g
z4C)bxB{3#R$DE2`0v}EWItOGIN{eg}-0OTu1&kC%0kat7Wu(9c6=tA<5LE1e`W615
zLNtakNiv2p3AKV+g4+hPM70!T2RJ~H6BW1(6!QQSkl^C%HTVQ0Nl<$(=6p<e(p>N<
zAeTXHtt7~SXC_JLZdiuf4e*EsWuoOE7m(%46}W9cNj{*0WF^QJP+VduNVuWmpkfrX
z3l~<9z5-Q9F^u3AGOQ>)7sHqo7Q>h{Cy5bU{ak{CLCljRQ;ZN;h1-27A+Q?cHnKus
z4Q?CI;(0B|4oc%0tlt>JpX+eD0>z)}L2e++pBr%70E%fOzk-?>8$tGf;uP#&Y|RWV
zxGbdd0OfV$>f<FS=E1r#n_*|c;-KCzW72F;Dgg5@;z<XaaJwEQd^UsJO;-49!EFOl
z*npZ5TS0b!T}<u#z74k<P<*)^<N~sMxdXQipi}_21(e@+f@}fBG9B~#F5K>eyA4$0
z?FPAxtPt3P+Xl3F-V3sW(s%}iAJ`1g2^Od|%s$*MLGkN;kUPlo>jB(0fMOeh;dbdU
zFfbeh*#&Vkhy>S)pzZ<~$1ujciwR3w9V3-w1D}IC3Xw}<1daWG*<ZmVV=}mDzzE*1
zp9~fP57vNsthi1Uh4yElMMn~2j7HLXuugEF1bRpaxRnyam~<N~11dgjl0b)Y{sHr0
zg~;=mzgVi1Ly!Q8;fTTCY&a|nIysjWI^Pez1ps;eA3XRY90NJ4l=vpa5!|+;CB37N
zXoz8i49b8T5#XL3xPQc!#E90U&;d6mY?2sb?33^}DURWG13V#sGT3pD3m}OOJmf^Y
zFHhjM0hHk2wt$M5lOS6_@rEd3AWg>@#w22!6xz_HgiVq|3}X_$#>6SyE`z%Y<oDAc
zSCQrSGq`O)i{Y~%JHQ14xDp{YhC!yp*dgK=YyxI;;v8;wp!oDW$Q5My^a5@hK(P#R
zCv-dj)SS2ovIi8;VE4kC6X3D|G+M!!L|$`33v3>w3;-SK#+U@l|JWLnmvFloB~&hh
zTuWA{T)}MvQiy;W5m!NWfL%{g{1cJGui<tBiZ8E&TtJpDZ{W586!&mjKso#-$QDq{
z(<O)B!tFA+t3WyYHpo?E`TY)V8_;6-F31kjV;B;GF}BEg9Bc~cly1}p#68?@LGkT<
zkW0w&?E~C4fZPefaGO9Q3=cszfnpnkV;GZ=Mi}4?22lMCI<gnkO$0Y9K%-BfDJAHU
zn4w9GNucgOY@}gNjBCsbNM{1e5Q7%jCP)bY8Yu@&P=HF5i;#mglRyV+vLtcE>`7vd
zF^myR+8M(V^A}n&fl|UFxDWA<R6Yjz3@Hq;ja2F+&5Hrm!FD*uDxcuC4K4LN1=$CV
zcjWSc@K`0NHk_MelVl&mn1p?#@)>S7z~d4WGS5LSAjZcam&e#b{0w$6`e@|~+%}@5
zAW(Vn5@ahlPT`}K@D>57qm_`_VGbxX!0y66QuzwE>rleuHOOVeghvu%4DJ;02DfdX
zaD=8zPz~`GWFOew)J_5KaJvD;$L~QdAjZd_SOmE~#u}Ci!0t9i8?XF;+g_LnQ2&G4
z3?D(ZgHi(6EG%t?7;p<Q3AEJjDWr6X0gqsVCWyg@`Z|Ji$AHJNlNgggiwQsz!=fNj
zP<snB@gBpN1iq6cW=@g~XdWZ!XOa_0J_fwRD~UPDIEE#OJ84&pS&SgWZU5dfFfe?A
z`vi5yOef|RXwn*E81gg7FGwMYZ_W&qgKs8*j&esW?Z4o*6CCz7AX`B!h&%s-($H6s
zz2NXePD8}cnn7~VjU><!_n<i+<Ri<!;dT$!F!&B~3x#3u1Gk-^6qLjmV+{!fq;LS0
zDnCJXgX0yWQ~^!lCNai9dYHFC`eH)BBzQSO40!G==2Z+NRWQbYJGU{6G2j{seDE}=
zwt>__H$cmClE6LQ7{-{tN&jOkL9PNXNaY2wz`fbyV3uhTKO~<1y<=ct_yzYD>I66>
zJ%M8uC1?Hy`3EU1@lAn4(vvN?D~}w1e{kCgav?YjEFqx@w;PnE{(|hrNK<U!WB2bT
zK^8)Rro2Ie`+LCZoIxb`sC|hf&=K6AMLys`LGTSEkc%ro%PAO>et;GbB=IF}jj@hl
zPCA~%6ypjFB~Y&W2X`^*(gsK<fny%-Qcw-{ALLR*D1j#+I6)VBBr(Q-1|KEBmwLFy
zd<S3VAsNFCyTl`jG07q6SdwQ9=yoS2&@tpmJ5Wq!Pz2q`#R$7oCrLj^B<5l)!*)o(
zfymhZK1upXqM*w;VnB-xnUcOGF~uBA(oYIbVv7A=our@Slf)FWE$LCr#hAdDCrOn_
zOv(T2K&EWNGCXoQi7{qL%#WBGG1f6g;1vaDVuWK@Vt&NPCb@%(s2I?CDNxf2hF8RJ
z#2k-Fg&bm<#1dl}a|t{JD;)C@<@y!GB{43bwJG3+*0&_EbCV7xGc<vmRh?9w#1zvG
zx;`f6NixF<keGi=evCV&OJhJTofESXe60*98KAf`_J2(>LqArxUWs9f`52QLGdal}
zoKepvna8liY=~uOjky%_J845q8sz?%m|dXTVv@9D+>>@C#U-tZkp%Mvl5WMQB#FoT
zgQS=Lpz#~hZk7S91xjL!Q3kI8+7`nS^D7CoOiC-}R??Oj?j+D^z0w%a+!Ryn|N0oC
zm>6)pE=oERQ<uaXBM`GXMjWh2Am$<HDw-Jfn2#}xF^Vyu%S&Lc0*xq*UQ7eJnF^FY
zhuFn5G4DpNqyg6okVDu<ucR5hl7@j{^hz2~YX+JUMz5p+Ur$EKHAJIV(u`h7GkPV>
z=#@11u1^@fl14BI{i3PSD{1gwrbY6VG$`l)Gb%9r|0xDqAOY%Evi<+xr3Kz-0vh{)
zZ2<spFQoc4RHIkcsQw3S!5O`>CW#Ttl{HRDBl5BuwGnw$4QLzw@V};pxVRk|m()Ox
z9l&-y4XEZBUPsUn7w^OE02)&A^w2$ghPb#L!AH-;sDLJoKzGfJ9y$ZMa6XAK29z^K
z51j#BOb6O^$Ou`H03LrwzqESv&>8fL*+&nZ0j)n6J#>Z<K5GwJxiEU@4Cvqv&;o(c
zLuVkzhk&+%g2DsTng(6}4q8M3S|`RB1KJ!qA`hL3WoQ96MWDfw<VofcG~fj*vN8TK
zpFnG;VqVAegU+ByVgz6An~rQn?0@5!xR}5s#@PS<u?&l1K0qYFN+Up*`6itNuL$vn
zh=WM*LJP19K|BzS{a+Eoo8%d@I>rOU1=o|HB^!)Mkb}7phXmdQ$tS7CY=*DSVgwy}
z0y-wAGL~TiNH*pvm}HFMin#(^Pom1efc-F=!%6H|kF!Yv9Wb*5<nScWie~mC#-zPT
z{-9MrN#A1r#N<G20L>oW1f9Q71=<G*I-)1Z4Sc#yQ4Bly#28l0(|JHUuwws*K#ssk
zVvO-iVodsw#Fz9t34HHy(s$7QAlTjt@Y*XzkPW3^$3T``gRIp{VvLDQS_j^c#Rv+v
zq&rEBNs>tuA*b%ZRf86{txWn5174Q{6$LGS6Ha1GS_C=UC58_a;7~cxnK8(VCGnh|
z173Q?2ig-JV*y@$1U_3ai8ba^%n8sU8ff5y7K;#fiVnyb$^Ub~C+UDP_3NY`F^n-9
zAmOBYNm5CmYz^Co3_2HvKL&JW3rEt|7{(aTV)b1yj7hM?I-p@kjMH?Iet>)lI#C8n
zvqF}V#xTbGNYaksPXe781v=w~H3noBV`!Lghb)s6149fbRzOEdLQ`AJ&m>0h;Yy4#
zH)22+OM}WE#u#4kI=Q2e)B&%(K<i-zL95x4M3Oj?@Es>91X?2pIl>CO-VNJvk~%T-
zl59ZE2c?Z9YaGW)3KM1D==?t@D~-<ogHkRi!`%n1rHuh?1lLVsjCmacItBr>3=otp
yKr21x#@NKz<2gulbpC&I{(p4-e{}wTbp9W<O4blw5914y(fNN`&i^woFaQ9Ewv@vF

literal 0
HcmV?d00001

-- 
GitLab