From 26bcf4e5fa08efd7e6d2ef9a1768f4f91b6802c5 Mon Sep 17 00:00:00 2001
From: XiaoanHe <118478606+XiaoanHe@users.noreply.github.com>
Date: Tue, 14 Feb 2023 18:46:54 +0000
Subject: [PATCH] Delete posit_adder_verilog directory

---
 posit_adder_verilog/Pin1_8bit.txt             | 65536 ----------------
 posit_adder_verilog/Pin2_8bit.txt             | 65536 ----------------
 posit_adder_verilog/Pout_8bit_ES4.txt         | 65536 ----------------
 posit_adder_verilog/README.txt                |    15 -
 posit_adder_verilog/Test.cr.mti               |    22 -
 posit_adder_verilog/Test.mpf                  |   466 -
 posit_adder_verilog/add.cr.mti                |    29 -
 posit_adder_verilog/add.mpf                   |  2256 -
 posit_adder_verilog/adde.cr.mti               |    29 -
 posit_adder_verilog/adde.mpf                  |  2256 -
 posit_adder_verilog/adder.cr.mti              |    29 -
 posit_adder_verilog/adder.mpf                 |  2256 -
 posit_adder_verilog/error_8bit.txt            | 15726 ----
 posit_adder_verilog/julia_posit8_add.sh       |    30 -
 posit_adder_verilog/posit_add.v               |   386 -
 posit_adder_verilog/posit_add_8bit.sh         |    10 -
 posit_adder_verilog/posit_add_8bit_tb.v       |    80 -
 posit_adder_verilog/transcript                |    54 -
 posit_adder_verilog/vsim.wlf                  |   Bin 753664 -> 0 bytes
 posit_adder_verilog/wlft9icbf8                |   Bin 753664 -> 0 bytes
 posit_adder_verilog/wlftj9xmjx                |   Bin 671744 -> 0 bytes
 posit_adder_verilog/wlftr8iryc                |   Bin 237568 -> 0 bytes
 posit_adder_verilog/wlftshqd8s                |   Bin 49152 -> 0 bytes
 posit_adder_verilog/wlftt2fvyc                |   Bin 253952 -> 0 bytes
 posit_adder_verilog/wlftvg4cxv                |   Bin 49152 -> 0 bytes
 posit_adder_verilog/wlftxicfxy                |   Bin 122880 -> 0 bytes
 .../work/@_opt/_data/exemptckw3mj             |   Bin 84 -> 0 bytes
 .../work/@_opt/_data/exemptzvjv5h             |   Bin 4 -> 0 bytes
 posit_adder_verilog/work/@_opt/_lib.qdb       |   Bin 49152 -> 0 bytes
 posit_adder_verilog/work/@_opt/_lib1_0.qdb    |   Bin 32768 -> 0 bytes
 posit_adder_verilog/work/@_opt/_lib1_0.qpg    |   Bin 8192 -> 0 bytes
 posit_adder_verilog/work/@_opt/_lib1_0.qtl    |   Bin 19831 -> 0 bytes
 posit_adder_verilog/work/@_opt/_lib2_0.qdb    |   Bin 32768 -> 0 bytes
 posit_adder_verilog/work/@_opt/_lib2_0.qpg    |     0
 posit_adder_verilog/work/@_opt/_lib2_0.qtl    |   Bin 19491 -> 0 bytes
 posit_adder_verilog/work/@_opt/_lib3_0.qdb    |   Bin 32768 -> 0 bytes
 posit_adder_verilog/work/@_opt/_lib3_0.qpg    |     0
 posit_adder_verilog/work/@_opt/_lib3_0.qtl    |   Bin 15169 -> 0 bytes
 posit_adder_verilog/work/@_opt/_lib4_0.qdb    |   Bin 32768 -> 0 bytes
 posit_adder_verilog/work/@_opt/_lib4_0.qpg    |   Bin 327680 -> 0 bytes
 posit_adder_verilog/work/@_opt/_lib4_0.qtl    |   Bin 105776 -> 0 bytes
 .../work/@_opt1/_data/exempt1y18z8            |   Bin 4 -> 0 bytes
 .../work/@_opt1/_data/exemptk2g0h9            |   Bin 84 -> 0 bytes
 posit_adder_verilog/work/@_opt1/_lib.qdb      |   Bin 49152 -> 0 bytes
 posit_adder_verilog/work/@_opt1/_lib1_0.qdb   |   Bin 32768 -> 0 bytes
 posit_adder_verilog/work/@_opt1/_lib1_0.qpg   |   Bin 8192 -> 0 bytes
 posit_adder_verilog/work/@_opt1/_lib1_0.qtl   |   Bin 19978 -> 0 bytes
 posit_adder_verilog/work/@_opt1/_lib2_0.qdb   |   Bin 32768 -> 0 bytes
 posit_adder_verilog/work/@_opt1/_lib2_0.qpg   |     0
 posit_adder_verilog/work/@_opt1/_lib2_0.qtl   |   Bin 19491 -> 0 bytes
 posit_adder_verilog/work/@_opt1/_lib3_0.qdb   |   Bin 32768 -> 0 bytes
 posit_adder_verilog/work/@_opt1/_lib3_0.qpg   |     0
 posit_adder_verilog/work/@_opt1/_lib3_0.qtl   |   Bin 15169 -> 0 bytes
 posit_adder_verilog/work/@_opt1/_lib4_0.qdb   |   Bin 32768 -> 0 bytes
 posit_adder_verilog/work/@_opt1/_lib4_0.qpg   |   Bin 327680 -> 0 bytes
 posit_adder_verilog/work/@_opt1/_lib4_0.qtl   |   Bin 105776 -> 0 bytes
 posit_adder_verilog/work/_info                |   408 -
 posit_adder_verilog/work/_lib.qdb             |   Bin 49152 -> 0 bytes
 posit_adder_verilog/work/_lib1_5.qdb          |   Bin 32768 -> 0 bytes
 posit_adder_verilog/work/_lib1_5.qpg          |   Bin 385024 -> 0 bytes
 posit_adder_verilog/work/_lib1_5.qtl          |   Bin 136919 -> 0 bytes
 posit_adder_verilog/work/_opt__lock           |     1 -
 posit_adder_verilog/work/_vmake               |     4 -
 63 files changed, 220665 deletions(-)
 delete mode 100644 posit_adder_verilog/Pin1_8bit.txt
 delete mode 100644 posit_adder_verilog/Pin2_8bit.txt
 delete mode 100644 posit_adder_verilog/Pout_8bit_ES4.txt
 delete mode 100644 posit_adder_verilog/README.txt
 delete mode 100644 posit_adder_verilog/Test.cr.mti
 delete mode 100644 posit_adder_verilog/Test.mpf
 delete mode 100644 posit_adder_verilog/add.cr.mti
 delete mode 100644 posit_adder_verilog/add.mpf
 delete mode 100644 posit_adder_verilog/adde.cr.mti
 delete mode 100644 posit_adder_verilog/adde.mpf
 delete mode 100644 posit_adder_verilog/adder.cr.mti
 delete mode 100644 posit_adder_verilog/adder.mpf
 delete mode 100644 posit_adder_verilog/error_8bit.txt
 delete mode 100644 posit_adder_verilog/julia_posit8_add.sh
 delete mode 100644 posit_adder_verilog/posit_add.v
 delete mode 100644 posit_adder_verilog/posit_add_8bit.sh
 delete mode 100644 posit_adder_verilog/posit_add_8bit_tb.v
 delete mode 100644 posit_adder_verilog/transcript
 delete mode 100644 posit_adder_verilog/vsim.wlf
 delete mode 100644 posit_adder_verilog/wlft9icbf8
 delete mode 100644 posit_adder_verilog/wlftj9xmjx
 delete mode 100644 posit_adder_verilog/wlftr8iryc
 delete mode 100644 posit_adder_verilog/wlftshqd8s
 delete mode 100644 posit_adder_verilog/wlftt2fvyc
 delete mode 100644 posit_adder_verilog/wlftvg4cxv
 delete mode 100644 posit_adder_verilog/wlftxicfxy
 delete mode 100644 posit_adder_verilog/work/@_opt/_data/exemptckw3mj
 delete mode 100644 posit_adder_verilog/work/@_opt/_data/exemptzvjv5h
 delete mode 100644 posit_adder_verilog/work/@_opt/_lib.qdb
 delete mode 100644 posit_adder_verilog/work/@_opt/_lib1_0.qdb
 delete mode 100644 posit_adder_verilog/work/@_opt/_lib1_0.qpg
 delete mode 100644 posit_adder_verilog/work/@_opt/_lib1_0.qtl
 delete mode 100644 posit_adder_verilog/work/@_opt/_lib2_0.qdb
 delete mode 100644 posit_adder_verilog/work/@_opt/_lib2_0.qpg
 delete mode 100644 posit_adder_verilog/work/@_opt/_lib2_0.qtl
 delete mode 100644 posit_adder_verilog/work/@_opt/_lib3_0.qdb
 delete mode 100644 posit_adder_verilog/work/@_opt/_lib3_0.qpg
 delete mode 100644 posit_adder_verilog/work/@_opt/_lib3_0.qtl
 delete mode 100644 posit_adder_verilog/work/@_opt/_lib4_0.qdb
 delete mode 100644 posit_adder_verilog/work/@_opt/_lib4_0.qpg
 delete mode 100644 posit_adder_verilog/work/@_opt/_lib4_0.qtl
 delete mode 100644 posit_adder_verilog/work/@_opt1/_data/exempt1y18z8
 delete mode 100644 posit_adder_verilog/work/@_opt1/_data/exemptk2g0h9
 delete mode 100644 posit_adder_verilog/work/@_opt1/_lib.qdb
 delete mode 100644 posit_adder_verilog/work/@_opt1/_lib1_0.qdb
 delete mode 100644 posit_adder_verilog/work/@_opt1/_lib1_0.qpg
 delete mode 100644 posit_adder_verilog/work/@_opt1/_lib1_0.qtl
 delete mode 100644 posit_adder_verilog/work/@_opt1/_lib2_0.qdb
 delete mode 100644 posit_adder_verilog/work/@_opt1/_lib2_0.qpg
 delete mode 100644 posit_adder_verilog/work/@_opt1/_lib2_0.qtl
 delete mode 100644 posit_adder_verilog/work/@_opt1/_lib3_0.qdb
 delete mode 100644 posit_adder_verilog/work/@_opt1/_lib3_0.qpg
 delete mode 100644 posit_adder_verilog/work/@_opt1/_lib3_0.qtl
 delete mode 100644 posit_adder_verilog/work/@_opt1/_lib4_0.qdb
 delete mode 100644 posit_adder_verilog/work/@_opt1/_lib4_0.qpg
 delete mode 100644 posit_adder_verilog/work/@_opt1/_lib4_0.qtl
 delete mode 100644 posit_adder_verilog/work/_info
 delete mode 100644 posit_adder_verilog/work/_lib.qdb
 delete mode 100644 posit_adder_verilog/work/_lib1_5.qdb
 delete mode 100644 posit_adder_verilog/work/_lib1_5.qpg
 delete mode 100644 posit_adder_verilog/work/_lib1_5.qtl
 delete mode 100644 posit_adder_verilog/work/_opt__lock
 delete mode 100644 posit_adder_verilog/work/_vmake

diff --git a/posit_adder_verilog/Pin1_8bit.txt b/posit_adder_verilog/Pin1_8bit.txt
deleted file mode 100644
index e213ed0..0000000
--- a/posit_adder_verilog/Pin1_8bit.txt
+++ /dev/null
@@ -1,65536 +0,0 @@
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000001
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000001
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
diff --git a/posit_adder_verilog/Pin2_8bit.txt b/posit_adder_verilog/Pin2_8bit.txt
deleted file mode 100644
index 40f3a8b..0000000
--- a/posit_adder_verilog/Pin2_8bit.txt
+++ /dev/null
@@ -1,65536 +0,0 @@
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000000
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000001
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000010
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000011
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000100
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111011
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111100
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111101
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111110
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
-11111111
diff --git a/posit_adder_verilog/Pout_8bit_ES4.txt b/posit_adder_verilog/Pout_8bit_ES4.txt
deleted file mode 100644
index 5b6e4f1..0000000
--- a/posit_adder_verilog/Pout_8bit_ES4.txt
+++ /dev/null
@@ -1,65536 +0,0 @@
-00000000
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
-00000001
-00000001
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-00000000
-00000010
-00000010
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-00000000
-00000010
-00000011
-00000011
-00000011
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000001
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-00000000
-00000011
-00000011
-00000100
-00000100
-00000100
-00000100
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-00000000
-00000100
-00000100
-00000100
-00000101
-00000101
-00000101
-00000101
-00000101
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-00000000
-00000101
-00000101
-00000101
-00000101
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-00000000
-00000110
-00000110
-00000110
-00000110
-00000110
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-00000000
-00000111
-00000111
-00000111
-00000111
-00000111
-00000111
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-00000000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001000
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001010
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-00000000
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001001
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-00000000
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001010
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001100
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-00000000
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001011
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-00000000
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001100
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001110
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-00000000
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001101
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-00000000
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001110
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00010000
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-00000000
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00001111
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010001
-00010010
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11110000
-00000000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010000
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010010
-00010010
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101110
-11101111
-00000000
-00010000
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010001
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010011
-00010100
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101110
-00000000
-00010001
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010010
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010100
-00010100
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101100
-11101101
-00000000
-00010010
-00010010
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010011
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010101
-00010110
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101100
-00000000
-00010011
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010100
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010110
-00010110
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101010
-11101011
-00000000
-00010100
-00010100
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010101
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010111
-00011000
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101010
-00000000
-00010101
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010110
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00011000
-00011000
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11101000
-11101001
-00000000
-00010110
-00010110
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00010111
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011001
-00011010
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11101000
-00000000
-00010111
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011000
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011010
-00011010
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100110
-11100111
-00000000
-00011000
-00011000
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011001
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011011
-00011100
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100110
-00000000
-00011001
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011010
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011100
-00011100
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100100
-11100101
-00000000
-00011010
-00011010
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011011
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011101
-00011110
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100100
-00000000
-00011011
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011100
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011110
-00011110
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100010
-11100011
-00000000
-00011100
-00011100
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011101
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011111
-00100000
-00100000
-00100010
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11100000
-11100000
-11100010
-00000000
-00011101
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011110
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00100000
-00100000
-00100001
-00100010
-00100010
-00100100
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011110
-11011111
-11100000
-11100001
-00000000
-00011110
-00011110
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00011111
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100001
-00100010
-00100010
-00100011
-00100100
-00100100
-00100110
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011100
-11011101
-11011110
-11100000
-11100001
-00000000
-00011111
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100000
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100010
-00100010
-00100010
-00100011
-00100100
-00100100
-00100101
-00100110
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011011
-11011100
-11011110
-11011111
-11100001
-00000000
-00011111
-00100000
-00100000
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100001
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100011
-00100100
-00100100
-00100100
-00100101
-00100110
-00100110
-00101000
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011010
-11011011
-11011100
-11011110
-11100000
-00000000
-00011111
-00100000
-00100001
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100010
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100100
-00100100
-00100100
-00100100
-00100101
-00100110
-00100110
-00100111
-00101000
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011001
-11011010
-11011100
-11011101
-11100000
-00000000
-00100000
-00100001
-00100010
-00100010
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100011
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100101
-00100101
-00100110
-00100110
-00100110
-00100111
-00101000
-00101000
-00101010
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11011000
-11011001
-11011010
-11011100
-11011110
-00000000
-00100000
-00100010
-00100010
-00100011
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100100
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100110
-00100110
-00100110
-00100110
-00100110
-00100111
-00101000
-00101000
-00101001
-00101010
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010111
-11011000
-11011010
-11011011
-11011110
-00000000
-00100010
-00100011
-00100100
-00100100
-00100100
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100101
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100111
-00100111
-00101000
-00101000
-00101000
-00101001
-00101010
-00101010
-00101100
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010110
-11010111
-11011000
-11011010
-11011100
-00000000
-00100010
-00100100
-00100100
-00100101
-00100101
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100110
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00101000
-00101000
-00101000
-00101000
-00101000
-00101001
-00101010
-00101010
-00101011
-00101100
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010101
-11010110
-11011000
-11011001
-11011100
-00000000
-00100100
-00100101
-00100110
-00100110
-00100110
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00100111
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101001
-00101001
-00101010
-00101010
-00101010
-00101011
-00101100
-00101100
-00101110
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010100
-11010101
-11010110
-11011000
-11011010
-00000000
-00100100
-00100110
-00100110
-00100111
-00100111
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101000
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101010
-00101010
-00101010
-00101010
-00101010
-00101011
-00101100
-00101100
-00101101
-00101110
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010011
-11010100
-11010110
-11010111
-11011010
-00000000
-00100110
-00100111
-00101000
-00101000
-00101000
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101001
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101011
-00101011
-00101100
-00101100
-00101100
-00101101
-00101110
-00101110
-00110000
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010010
-11010011
-11010100
-11010110
-11011000
-00000000
-00100110
-00101000
-00101000
-00101001
-00101001
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101010
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101100
-00101100
-00101100
-00101100
-00101100
-00101101
-00101110
-00101110
-00101111
-00110000
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010001
-11010010
-11010100
-11010101
-11011000
-00000000
-00101000
-00101001
-00101010
-00101010
-00101010
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101011
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101101
-00101101
-00101110
-00101110
-00101110
-00101111
-00110000
-00110000
-00110010
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11010000
-11010001
-11010010
-11010100
-11010110
-00000000
-00101000
-00101010
-00101010
-00101011
-00101011
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101100
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101110
-00101110
-00101110
-00101110
-00101110
-00101111
-00110000
-00110000
-00110001
-00110010
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001111
-11010000
-11010010
-11010011
-11010110
-00000000
-00101010
-00101011
-00101100
-00101100
-00101100
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101101
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101111
-00101111
-00110000
-00110000
-00110000
-00110001
-00110010
-00110010
-00110100
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001110
-11001111
-11010000
-11010010
-11010100
-00000000
-00101010
-00101100
-00101100
-00101101
-00101101
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101110
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00110000
-00110000
-00110000
-00110000
-00110000
-00110001
-00110010
-00110010
-00110011
-00110100
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001101
-11001110
-11010000
-11010001
-11010100
-00000000
-00101100
-00101101
-00101110
-00101110
-00101110
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00101111
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110001
-00110001
-00110010
-00110010
-00110010
-00110011
-00110100
-00110100
-00110110
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001100
-11001101
-11001110
-11010000
-11010010
-00000000
-00101100
-00101110
-00101110
-00101111
-00101111
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110000
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110010
-00110010
-00110010
-00110010
-00110010
-00110011
-00110100
-00110100
-00110101
-00110110
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001011
-11001100
-11001110
-11001111
-11010010
-00000000
-00101110
-00101111
-00110000
-00110000
-00110000
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110001
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110011
-00110011
-00110100
-00110100
-00110100
-00110101
-00110110
-00110110
-00111000
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001010
-11001011
-11001100
-11001110
-11010000
-00000000
-00101110
-00110000
-00110000
-00110001
-00110001
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110010
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110100
-00110100
-00110100
-00110100
-00110100
-00110101
-00110110
-00110110
-00110111
-00111000
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001001
-11001010
-11001100
-11001101
-11010000
-00000000
-00110000
-00110001
-00110010
-00110010
-00110010
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110011
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110101
-00110101
-00110110
-00110110
-00110110
-00110111
-00111000
-00111000
-00111010
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11001000
-11001001
-11001010
-11001100
-11001110
-00000000
-00110000
-00110010
-00110010
-00110011
-00110011
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110100
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110110
-00110110
-00110110
-00110110
-00110110
-00110111
-00111000
-00111000
-00111001
-00111010
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000111
-11001000
-11001010
-11001011
-11001110
-00000000
-00110010
-00110011
-00110100
-00110100
-00110100
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110101
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110111
-00110111
-00111000
-00111000
-00111000
-00111001
-00111010
-00111010
-00111100
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000110
-11000111
-11001000
-11001010
-11001100
-00000000
-00110010
-00110100
-00110100
-00110101
-00110101
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110110
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00111000
-00111000
-00111000
-00111000
-00111000
-00111001
-00111010
-00111010
-00111011
-00111100
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000101
-11000110
-11001000
-11001001
-11001100
-00000000
-00110100
-00110101
-00110110
-00110110
-00110110
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00110111
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111001
-00111001
-00111010
-00111010
-00111010
-00111011
-00111100
-00111100
-00111110
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000100
-11000101
-11000110
-11001000
-11001010
-00000000
-00110100
-00110110
-00110110
-00110111
-00110111
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111000
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111010
-00111010
-00111010
-00111010
-00111010
-00111011
-00111100
-00111100
-00111101
-00111110
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000011
-11000100
-11000110
-11000111
-11001010
-00000000
-00110110
-00110111
-00111000
-00111000
-00111000
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111001
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111011
-00111011
-00111100
-00111100
-00111100
-00111101
-00111110
-00111110
-01000000
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000010
-11000011
-11000100
-11000110
-11001000
-00000000
-00110110
-00111000
-00111000
-00111001
-00111001
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111010
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111100
-00111100
-00111100
-00111100
-00111100
-00111101
-00111110
-00111110
-00111111
-01000000
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000001
-11000010
-11000100
-11000101
-11001000
-00000000
-00111000
-00111001
-00111010
-00111010
-00111010
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111011
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111101
-00111101
-00111110
-00111110
-00111110
-00111111
-01000000
-01000000
-01000010
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-11000000
-11000001
-11000010
-11000100
-11000110
-00000000
-00111000
-00111010
-00111010
-00111011
-00111011
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111100
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111110
-00111110
-00111110
-00111110
-00111110
-00111111
-01000000
-01000000
-01000001
-01000010
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111111
-11000000
-11000010
-11000011
-11000110
-00000000
-00111010
-00111011
-00111100
-00111100
-00111100
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111101
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111111
-00111111
-01000000
-01000000
-01000000
-01000001
-01000010
-01000010
-01000100
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111110
-10111111
-11000000
-11000010
-11000100
-00000000
-00111010
-00111100
-00111100
-00111101
-00111101
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111110
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-01000000
-01000000
-01000000
-01000000
-01000000
-01000001
-01000010
-01000010
-01000011
-01000100
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111101
-10111110
-11000000
-11000001
-11000100
-00000000
-00111100
-00111101
-00111110
-00111110
-00111110
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-00111111
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000001
-01000001
-01000010
-01000010
-01000010
-01000011
-01000100
-01000100
-01000110
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111100
-10111101
-10111110
-11000000
-11000010
-00000000
-00111100
-00111110
-00111110
-00111111
-00111111
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000000
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000010
-01000010
-01000010
-01000010
-01000010
-01000011
-01000100
-01000100
-01000101
-01000110
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111011
-10111100
-10111110
-10111111
-11000010
-00000000
-00111110
-00111111
-01000000
-01000000
-01000000
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000001
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000011
-01000011
-01000100
-01000100
-01000100
-01000101
-01000110
-01000110
-01001000
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111010
-10111011
-10111100
-10111110
-11000000
-00000000
-00111110
-01000000
-01000000
-01000001
-01000001
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000010
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000100
-01000100
-01000100
-01000100
-01000100
-01000101
-01000110
-01000110
-01000111
-01001000
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111001
-10111010
-10111100
-10111101
-11000000
-00000000
-01000000
-01000001
-01000010
-01000010
-01000010
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000011
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000101
-01000101
-01000110
-01000110
-01000110
-01000111
-01001000
-01001000
-01001010
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10111000
-10111001
-10111010
-10111100
-10111110
-00000000
-01000000
-01000010
-01000010
-01000011
-01000011
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000100
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000110
-01000110
-01000110
-01000110
-01000110
-01000111
-01001000
-01001000
-01001001
-01001010
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110111
-10111000
-10111010
-10111011
-10111110
-00000000
-01000010
-01000011
-01000100
-01000100
-01000100
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000101
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000111
-01000111
-01001000
-01001000
-01001000
-01001001
-01001010
-01001010
-01001100
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110110
-10110111
-10111000
-10111010
-10111100
-00000000
-01000010
-01000100
-01000100
-01000101
-01000101
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000110
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01001000
-01001000
-01001000
-01001000
-01001000
-01001001
-01001010
-01001010
-01001011
-01001100
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110101
-10110110
-10111000
-10111001
-10111100
-00000000
-01000100
-01000101
-01000110
-01000110
-01000110
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01000111
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001001
-01001001
-01001010
-01001010
-01001010
-01001011
-01001100
-01001100
-01001110
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110100
-10110101
-10110110
-10111000
-10111010
-00000000
-01000100
-01000110
-01000110
-01000111
-01000111
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001000
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001010
-01001010
-01001010
-01001010
-01001010
-01001011
-01001100
-01001100
-01001101
-01001110
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110011
-10110100
-10110110
-10110111
-10111010
-00000000
-01000110
-01000111
-01001000
-01001000
-01001000
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001001
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001011
-01001011
-01001100
-01001100
-01001100
-01001101
-01001110
-01001110
-01010000
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110010
-10110011
-10110100
-10110110
-10111000
-00000000
-01000110
-01001000
-01001000
-01001001
-01001001
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001010
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001100
-01001100
-01001100
-01001100
-01001100
-01001101
-01001110
-01001110
-01001111
-01010000
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110001
-10110010
-10110100
-10110101
-10111000
-00000000
-01001000
-01001001
-01001010
-01001010
-01001010
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001011
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001101
-01001101
-01001110
-01001110
-01001110
-01001111
-01010000
-01010000
-01010010
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10110000
-10110001
-10110010
-10110100
-10110110
-00000000
-01001000
-01001010
-01001010
-01001011
-01001011
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001100
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001110
-01001110
-01001110
-01001110
-01001110
-01001111
-01010000
-01010000
-01010001
-01010010
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101111
-10110000
-10110010
-10110011
-10110110
-00000000
-01001010
-01001011
-01001100
-01001100
-01001100
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001101
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001111
-01001111
-01010000
-01010000
-01010000
-01010001
-01010010
-01010010
-01010100
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101110
-10101111
-10110000
-10110010
-10110100
-00000000
-01001010
-01001100
-01001100
-01001101
-01001101
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001110
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01010000
-01010000
-01010000
-01010000
-01010000
-01010001
-01010010
-01010010
-01010011
-01010100
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101101
-10101110
-10110000
-10110001
-10110100
-00000000
-01001100
-01001101
-01001110
-01001110
-01001110
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01001111
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010001
-01010001
-01010010
-01010010
-01010010
-01010011
-01010100
-01010100
-01010110
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101100
-10101101
-10101110
-10110000
-10110010
-00000000
-01001100
-01001110
-01001110
-01001111
-01001111
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010000
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010010
-01010010
-01010010
-01010010
-01010010
-01010011
-01010100
-01010100
-01010101
-01010110
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101011
-10101100
-10101110
-10101111
-10110010
-00000000
-01001110
-01001111
-01010000
-01010000
-01010000
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010001
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010011
-01010011
-01010100
-01010100
-01010100
-01010101
-01010110
-01010110
-01011000
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101010
-10101011
-10101100
-10101110
-10110000
-00000000
-01001110
-01010000
-01010000
-01010001
-01010001
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010010
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010100
-01010100
-01010100
-01010100
-01010100
-01010101
-01010110
-01010110
-01010111
-01011000
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101001
-10101010
-10101100
-10101101
-10110000
-00000000
-01010000
-01010001
-01010010
-01010010
-01010010
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010011
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010101
-01010101
-01010110
-01010110
-01010110
-01010111
-01011000
-01011000
-01011010
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10101000
-10101001
-10101010
-10101100
-10101110
-00000000
-01010000
-01010010
-01010010
-01010011
-01010011
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010100
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010110
-01010110
-01010110
-01010110
-01010110
-01010111
-01011000
-01011000
-01011001
-01011010
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100111
-10101000
-10101010
-10101011
-10101110
-00000000
-01010010
-01010011
-01010100
-01010100
-01010100
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010101
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010111
-01010111
-01011000
-01011000
-01011000
-01011001
-01011010
-01011010
-01011100
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100110
-10100111
-10101000
-10101010
-10101100
-00000000
-01010010
-01010100
-01010100
-01010101
-01010101
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010110
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01011000
-01011000
-01011000
-01011000
-01011000
-01011001
-01011010
-01011010
-01011011
-01011100
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100101
-10100110
-10101000
-10101001
-10101100
-00000000
-01010100
-01010101
-01010110
-01010110
-01010110
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01010111
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011001
-01011001
-01011010
-01011010
-01011010
-01011011
-01011100
-01011100
-01011110
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100100
-10100101
-10100110
-10101000
-10101010
-00000000
-01010100
-01010110
-01010110
-01010111
-01010111
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011000
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011010
-01011010
-01011010
-01011010
-01011010
-01011011
-01011100
-01011100
-01011101
-01011110
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100011
-10100100
-10100110
-10100111
-10101010
-00000000
-01010110
-01010111
-01011000
-01011000
-01011000
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011001
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011011
-01011011
-01011100
-01011100
-01011100
-01011101
-01011110
-01011110
-01100000
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100010
-10100011
-10100100
-10100110
-10101000
-00000000
-01010110
-01011000
-01011000
-01011001
-01011001
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011010
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011100
-01011100
-01011100
-01011100
-01011100
-01011101
-01011110
-01011110
-01011111
-01100000
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100001
-10100010
-10100100
-10100101
-10101000
-00000000
-01011000
-01011001
-01011010
-01011010
-01011010
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011011
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011101
-01011101
-01011110
-01011110
-01011110
-01011111
-01100000
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100001
-10100010
-10100100
-10100110
-00000000
-01011000
-01011010
-01011010
-01011011
-01011011
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011100
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011110
-01011110
-01011110
-01011110
-01011110
-01011111
-01100000
-01100000
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100010
-10100011
-10100110
-00000000
-01011010
-01011011
-01011100
-01011100
-01011100
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011101
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011111
-01011111
-01100000
-01100000
-01100000
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10100000
-10100010
-10100100
-00000000
-01011010
-01011100
-01011100
-01011101
-01011101
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011110
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100001
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10100000
-10100100
-00000000
-01011100
-01011101
-01011110
-01011110
-01011110
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01011111
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100001
-01100001
-01100010
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10100000
-00000000
-01011100
-01011110
-01011110
-01011111
-01011111
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100000
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100010
-01100010
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011110
-10011111
-00000000
-01100000
-01100000
-01100000
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100001
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100011
-01100100
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011110
-00000000
-01100001
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100010
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100100
-01100100
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011100
-10011101
-00000000
-01100010
-01100010
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100011
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100101
-01100110
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011100
-00000000
-01100011
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100100
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100110
-01100110
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011010
-10011011
-00000000
-01100100
-01100100
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100101
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100111
-01101000
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011010
-00000000
-01100101
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100110
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01101000
-01101000
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10011000
-10011001
-00000000
-01100110
-01100110
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01100111
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101001
-01101010
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10011000
-00000000
-01100111
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101000
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101010
-01101010
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010110
-10010111
-00000000
-01101000
-01101000
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101001
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101011
-01101100
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010110
-00000000
-01101001
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101010
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101100
-01101100
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010100
-10010101
-00000000
-01101010
-01101010
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101011
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101101
-01101110
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010100
-00000000
-01101011
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101100
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101110
-01101110
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010010
-10010011
-00000000
-01101100
-01101100
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101101
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101111
-01110000
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010010
-00000000
-01101101
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101110
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01110000
-01110000
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010001
-00000000
-01101110
-01101110
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01101111
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-00000000
-01101111
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110000
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110010
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-00000000
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110001
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-00000000
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110010
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110100
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-00000000
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110011
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-00000000
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110100
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110110
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-00000000
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110101
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-00000000
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110110
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01111000
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-00000000
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01110111
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-00000000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111000
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-00000000
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111001
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-00000000
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111010
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-00000000
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111011
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-00000000
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111100
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-00000000
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111101
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111111
-10000000
-10000001
-00000000
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111110
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-10000000
-00000000
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-01111111
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000000
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-00000000
-10000000
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000001
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-00000000
-01111111
-10000000
-10000001
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000010
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-00000000
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000011
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-00000000
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000100
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-00000000
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000101
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-00000000
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000110
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-00000000
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10000111
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-00000000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001000
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-00000000
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001000
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001001
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-00000000
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001010
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-00000000
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001010
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001011
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-00000000
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001100
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-00000000
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001100
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001101
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-00000000
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001110
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-00000000
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001110
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10001111
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010001
-00000000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010000
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010010
-10010010
-00000000
-01101111
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010000
-10010000
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010001
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010011
-00000000
-01101110
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010000
-10010001
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010010
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010100
-10010100
-00000000
-01101101
-01101110
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010010
-10010010
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010011
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010101
-00000000
-01101100
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010010
-10010011
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010100
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010110
-10010110
-00000000
-01101011
-01101100
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010100
-10010100
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010101
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010111
-00000000
-01101010
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010100
-10010101
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010110
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10011000
-10011000
-00000000
-01101001
-01101010
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010110
-10010110
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10010111
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011001
-00000000
-01101000
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010110
-10010111
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011000
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011010
-10011010
-00000000
-01100111
-01101000
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011000
-10011000
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011001
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011011
-00000000
-01100110
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011000
-10011001
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011010
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011100
-10011100
-00000000
-01100101
-01100110
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011010
-10011010
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011011
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011101
-00000000
-01100100
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011010
-10011011
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011100
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011110
-10011110
-00000000
-01100011
-01100100
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011100
-10011100
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011101
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011111
-00000000
-01100010
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011100
-10011101
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011110
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10100000
-10100000
-10100000
-00000000
-01100001
-01100010
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011110
-10011110
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10011111
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100001
-10100001
-10100010
-10100010
-10100100
-00000000
-01100000
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011110
-10011111
-10011111
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100010
-10100010
-10100010
-10100011
-10100100
-00000000
-01011100
-01100000
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10011111
-10100000
-10100000
-10100000
-10100000
-10100000
-10100000
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100001
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100011
-10100011
-10100100
-10100100
-10100110
-00000000
-01011100
-01011110
-01100000
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100000
-10100000
-10100000
-10100001
-10100001
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100010
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100100
-10100100
-10100100
-10100101
-10100110
-00000000
-01011010
-01011101
-01011110
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100000
-10100000
-10100001
-10100010
-10100010
-10100010
-10100010
-10100010
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100011
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100101
-10100101
-10100110
-10100110
-10101000
-00000000
-01011010
-01011100
-01011110
-01011111
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100000
-10100001
-10100010
-10100010
-10100010
-10100011
-10100011
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100100
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100110
-10100110
-10100110
-10100111
-10101000
-00000000
-01011000
-01011011
-01011100
-01011110
-01011111
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100000
-10100001
-10100010
-10100010
-10100011
-10100100
-10100100
-10100100
-10100100
-10100100
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100101
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100111
-10100111
-10101000
-10101000
-10101010
-00000000
-01011000
-01011010
-01011100
-01011101
-01011110
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100000
-10100010
-10100010
-10100011
-10100100
-10100100
-10100100
-10100101
-10100101
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100110
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10101000
-10101000
-10101000
-10101001
-10101010
-00000000
-01010110
-01011001
-01011010
-01011100
-01011101
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100010
-10100011
-10100100
-10100100
-10100101
-10100110
-10100110
-10100110
-10100110
-10100110
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10100111
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101001
-10101001
-10101010
-10101010
-10101100
-00000000
-01010110
-01011000
-01011010
-01011011
-01011100
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100010
-10100100
-10100100
-10100101
-10100110
-10100110
-10100110
-10100111
-10100111
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101000
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101010
-10101010
-10101010
-10101011
-10101100
-00000000
-01010100
-01010111
-01011000
-01011010
-01011011
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100100
-10100101
-10100110
-10100110
-10100111
-10101000
-10101000
-10101000
-10101000
-10101000
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101001
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101011
-10101011
-10101100
-10101100
-10101110
-00000000
-01010100
-01010110
-01011000
-01011001
-01011010
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100100
-10100110
-10100110
-10100111
-10101000
-10101000
-10101000
-10101001
-10101001
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101010
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101100
-10101100
-10101100
-10101101
-10101110
-00000000
-01010010
-01010101
-01010110
-01011000
-01011001
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100110
-10100111
-10101000
-10101000
-10101001
-10101010
-10101010
-10101010
-10101010
-10101010
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101011
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101101
-10101101
-10101110
-10101110
-10110000
-00000000
-01010010
-01010100
-01010110
-01010111
-01011000
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100110
-10101000
-10101000
-10101001
-10101010
-10101010
-10101010
-10101011
-10101011
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101100
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101110
-10101110
-10101110
-10101111
-10110000
-00000000
-01010000
-01010011
-01010100
-01010110
-01010111
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101000
-10101001
-10101010
-10101010
-10101011
-10101100
-10101100
-10101100
-10101100
-10101100
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101101
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101111
-10101111
-10110000
-10110000
-10110010
-00000000
-01010000
-01010010
-01010100
-01010101
-01010110
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101000
-10101010
-10101010
-10101011
-10101100
-10101100
-10101100
-10101101
-10101101
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101110
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10110000
-10110000
-10110000
-10110001
-10110010
-00000000
-01001110
-01010001
-01010010
-01010100
-01010101
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101010
-10101011
-10101100
-10101100
-10101101
-10101110
-10101110
-10101110
-10101110
-10101110
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10101111
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110001
-10110001
-10110010
-10110010
-10110100
-00000000
-01001110
-01010000
-01010010
-01010011
-01010100
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101010
-10101100
-10101100
-10101101
-10101110
-10101110
-10101110
-10101111
-10101111
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110000
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110010
-10110010
-10110010
-10110011
-10110100
-00000000
-01001100
-01001111
-01010000
-01010010
-01010011
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101100
-10101101
-10101110
-10101110
-10101111
-10110000
-10110000
-10110000
-10110000
-10110000
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110001
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110011
-10110011
-10110100
-10110100
-10110110
-00000000
-01001100
-01001110
-01010000
-01010001
-01010010
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101100
-10101110
-10101110
-10101111
-10110000
-10110000
-10110000
-10110001
-10110001
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110010
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110100
-10110100
-10110100
-10110101
-10110110
-00000000
-01001010
-01001101
-01001110
-01010000
-01010001
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101110
-10101111
-10110000
-10110000
-10110001
-10110010
-10110010
-10110010
-10110010
-10110010
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110011
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110101
-10110101
-10110110
-10110110
-10111000
-00000000
-01001010
-01001100
-01001110
-01001111
-01010000
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101110
-10110000
-10110000
-10110001
-10110010
-10110010
-10110010
-10110011
-10110011
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110100
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110110
-10110110
-10110110
-10110111
-10111000
-00000000
-01001000
-01001011
-01001100
-01001110
-01001111
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110000
-10110001
-10110010
-10110010
-10110011
-10110100
-10110100
-10110100
-10110100
-10110100
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110101
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110111
-10110111
-10111000
-10111000
-10111010
-00000000
-01001000
-01001010
-01001100
-01001101
-01001110
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110000
-10110010
-10110010
-10110011
-10110100
-10110100
-10110100
-10110101
-10110101
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110110
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10111000
-10111000
-10111000
-10111001
-10111010
-00000000
-01000110
-01001001
-01001010
-01001100
-01001101
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110010
-10110011
-10110100
-10110100
-10110101
-10110110
-10110110
-10110110
-10110110
-10110110
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10110111
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111001
-10111001
-10111010
-10111010
-10111100
-00000000
-01000110
-01001000
-01001010
-01001011
-01001100
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110010
-10110100
-10110100
-10110101
-10110110
-10110110
-10110110
-10110111
-10110111
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111000
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111010
-10111010
-10111010
-10111011
-10111100
-00000000
-01000100
-01000111
-01001000
-01001010
-01001011
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110100
-10110101
-10110110
-10110110
-10110111
-10111000
-10111000
-10111000
-10111000
-10111000
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111001
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111011
-10111011
-10111100
-10111100
-10111110
-00000000
-01000100
-01000110
-01001000
-01001001
-01001010
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110100
-10110110
-10110110
-10110111
-10111000
-10111000
-10111000
-10111001
-10111001
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111010
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111100
-10111100
-10111100
-10111101
-10111110
-00000000
-01000010
-01000101
-01000110
-01001000
-01001001
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110110
-10110111
-10111000
-10111000
-10111001
-10111010
-10111010
-10111010
-10111010
-10111010
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111011
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111101
-10111101
-10111110
-10111110
-11000000
-00000000
-01000010
-01000100
-01000110
-01000111
-01001000
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110110
-10111000
-10111000
-10111001
-10111010
-10111010
-10111010
-10111011
-10111011
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111100
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111110
-10111110
-10111110
-10111111
-11000000
-00000000
-01000000
-01000011
-01000100
-01000110
-01000111
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111000
-10111001
-10111010
-10111010
-10111011
-10111100
-10111100
-10111100
-10111100
-10111100
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111101
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111111
-10111111
-11000000
-11000000
-11000010
-00000000
-01000000
-01000010
-01000100
-01000101
-01000110
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111000
-10111010
-10111010
-10111011
-10111100
-10111100
-10111100
-10111101
-10111101
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111110
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-11000000
-11000000
-11000000
-11000001
-11000010
-00000000
-00111110
-01000001
-01000010
-01000100
-01000101
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111010
-10111011
-10111100
-10111100
-10111101
-10111110
-10111110
-10111110
-10111110
-10111110
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-10111111
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000001
-11000001
-11000010
-11000010
-11000100
-00000000
-00111110
-01000000
-01000010
-01000011
-01000100
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111010
-10111100
-10111100
-10111101
-10111110
-10111110
-10111110
-10111111
-10111111
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000000
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000010
-11000010
-11000010
-11000011
-11000100
-00000000
-00111100
-00111111
-01000000
-01000010
-01000011
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111100
-10111101
-10111110
-10111110
-10111111
-11000000
-11000000
-11000000
-11000000
-11000000
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000001
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000011
-11000011
-11000100
-11000100
-11000110
-00000000
-00111100
-00111110
-01000000
-01000001
-01000010
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111100
-10111110
-10111110
-10111111
-11000000
-11000000
-11000000
-11000001
-11000001
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000010
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000100
-11000100
-11000100
-11000101
-11000110
-00000000
-00111010
-00111101
-00111110
-01000000
-01000001
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111110
-10111111
-11000000
-11000000
-11000001
-11000010
-11000010
-11000010
-11000010
-11000010
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000011
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000101
-11000101
-11000110
-11000110
-11001000
-00000000
-00111010
-00111100
-00111110
-00111111
-01000000
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111110
-11000000
-11000000
-11000001
-11000010
-11000010
-11000010
-11000011
-11000011
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000100
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000110
-11000110
-11000110
-11000111
-11001000
-00000000
-00111000
-00111011
-00111100
-00111110
-00111111
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000000
-11000001
-11000010
-11000010
-11000011
-11000100
-11000100
-11000100
-11000100
-11000100
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000101
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000111
-11000111
-11001000
-11001000
-11001010
-00000000
-00111000
-00111010
-00111100
-00111101
-00111110
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000000
-11000010
-11000010
-11000011
-11000100
-11000100
-11000100
-11000101
-11000101
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000110
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11001000
-11001000
-11001000
-11001001
-11001010
-00000000
-00110110
-00111001
-00111010
-00111100
-00111101
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000010
-11000011
-11000100
-11000100
-11000101
-11000110
-11000110
-11000110
-11000110
-11000110
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11000111
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001001
-11001001
-11001010
-11001010
-11001100
-00000000
-00110110
-00111000
-00111010
-00111011
-00111100
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000010
-11000100
-11000100
-11000101
-11000110
-11000110
-11000110
-11000111
-11000111
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001000
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001010
-11001010
-11001010
-11001011
-11001100
-00000000
-00110100
-00110111
-00111000
-00111010
-00111011
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000100
-11000101
-11000110
-11000110
-11000111
-11001000
-11001000
-11001000
-11001000
-11001000
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001001
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001011
-11001011
-11001100
-11001100
-11001110
-00000000
-00110100
-00110110
-00111000
-00111001
-00111010
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000100
-11000110
-11000110
-11000111
-11001000
-11001000
-11001000
-11001001
-11001001
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001010
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001100
-11001100
-11001100
-11001101
-11001110
-00000000
-00110010
-00110101
-00110110
-00111000
-00111001
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000110
-11000111
-11001000
-11001000
-11001001
-11001010
-11001010
-11001010
-11001010
-11001010
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001011
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001101
-11001101
-11001110
-11001110
-11010000
-00000000
-00110010
-00110100
-00110110
-00110111
-00111000
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000110
-11001000
-11001000
-11001001
-11001010
-11001010
-11001010
-11001011
-11001011
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001100
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001110
-11001110
-11001110
-11001111
-11010000
-00000000
-00110000
-00110011
-00110100
-00110110
-00110111
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001000
-11001001
-11001010
-11001010
-11001011
-11001100
-11001100
-11001100
-11001100
-11001100
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001101
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001111
-11001111
-11010000
-11010000
-11010010
-00000000
-00110000
-00110010
-00110100
-00110101
-00110110
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001000
-11001010
-11001010
-11001011
-11001100
-11001100
-11001100
-11001101
-11001101
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001110
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11010000
-11010000
-11010000
-11010001
-11010010
-00000000
-00101110
-00110001
-00110010
-00110100
-00110101
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001010
-11001011
-11001100
-11001100
-11001101
-11001110
-11001110
-11001110
-11001110
-11001110
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11001111
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010001
-11010001
-11010010
-11010010
-11010100
-00000000
-00101110
-00110000
-00110010
-00110011
-00110100
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001010
-11001100
-11001100
-11001101
-11001110
-11001110
-11001110
-11001111
-11001111
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010000
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010010
-11010010
-11010010
-11010011
-11010100
-00000000
-00101100
-00101111
-00110000
-00110010
-00110011
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001100
-11001101
-11001110
-11001110
-11001111
-11010000
-11010000
-11010000
-11010000
-11010000
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010001
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010011
-11010011
-11010100
-11010100
-11010110
-00000000
-00101100
-00101110
-00110000
-00110001
-00110010
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001100
-11001110
-11001110
-11001111
-11010000
-11010000
-11010000
-11010001
-11010001
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010010
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010100
-11010100
-11010100
-11010101
-11010110
-00000000
-00101010
-00101101
-00101110
-00110000
-00110001
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001110
-11001111
-11010000
-11010000
-11010001
-11010010
-11010010
-11010010
-11010010
-11010010
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010011
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010101
-11010101
-11010110
-11010110
-11011000
-00000000
-00101010
-00101100
-00101110
-00101111
-00110000
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001110
-11010000
-11010000
-11010001
-11010010
-11010010
-11010010
-11010011
-11010011
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010100
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010110
-11010110
-11010110
-11010111
-11011000
-00000000
-00101000
-00101011
-00101100
-00101110
-00101111
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010000
-11010001
-11010010
-11010010
-11010011
-11010100
-11010100
-11010100
-11010100
-11010100
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010101
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010111
-11010111
-11011000
-11011000
-11011010
-00000000
-00101000
-00101010
-00101100
-00101101
-00101110
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010000
-11010010
-11010010
-11010011
-11010100
-11010100
-11010100
-11010101
-11010101
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010110
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11011000
-11011000
-11011000
-11011001
-11011010
-00000000
-00100110
-00101001
-00101010
-00101100
-00101101
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010010
-11010011
-11010100
-11010100
-11010101
-11010110
-11010110
-11010110
-11010110
-11010110
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11010111
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011001
-11011001
-11011010
-11011010
-11011100
-00000000
-00100110
-00101000
-00101010
-00101011
-00101100
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010010
-11010100
-11010100
-11010101
-11010110
-11010110
-11010110
-11010111
-11010111
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011000
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011010
-11011010
-11011010
-11011011
-11011100
-00000000
-00100100
-00100111
-00101000
-00101010
-00101011
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010100
-11010101
-11010110
-11010110
-11010111
-11011000
-11011000
-11011000
-11011000
-11011000
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011001
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011011
-11011011
-11011100
-11011100
-11011110
-00000000
-00100100
-00100110
-00101000
-00101001
-00101010
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010100
-11010110
-11010110
-11010111
-11011000
-11011000
-11011000
-11011001
-11011001
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011010
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011100
-11011100
-11011100
-11011101
-11011110
-00000000
-00100010
-00100101
-00100110
-00101000
-00101001
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010110
-11010111
-11011000
-11011000
-11011001
-11011010
-11011010
-11011010
-11011010
-11011010
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011011
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011101
-11011110
-11011110
-11100000
-00000000
-00100010
-00100100
-00100110
-00100111
-00101000
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010110
-11011000
-11011000
-11011001
-11011010
-11011010
-11011010
-11011011
-11011011
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011100
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011110
-11011110
-11011111
-11100000
-00000000
-00100000
-00100011
-00100100
-00100110
-00100111
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011000
-11011001
-11011010
-11011010
-11011011
-11011100
-11011100
-11011100
-11011100
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011101
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011111
-11100000
-11100001
-00000000
-00100000
-00100010
-00100100
-00100101
-00100110
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011000
-11011010
-11011010
-11011011
-11011100
-11011100
-11011100
-11011101
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011110
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11100000
-11100000
-11100001
-00000000
-00011111
-00100001
-00100010
-00100100
-00100101
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011010
-11011011
-11011100
-11011100
-11011101
-11011110
-11011110
-11011110
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11011111
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100001
-00000000
-00011111
-00100000
-00100010
-00100011
-00100100
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011010
-11011100
-11011100
-11011101
-11011110
-11011110
-11011111
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100000
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100010
-11100010
-00000000
-00011111
-00100000
-00100001
-00100010
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011100
-11011110
-11011110
-11011111
-11100000
-11100000
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100001
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100011
-00000000
-00011110
-00100000
-00100000
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011110
-11100000
-11100000
-11100001
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100010
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100100
-11100100
-00000000
-00011101
-00011110
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100010
-11100010
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100011
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100101
-00000000
-00011100
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100010
-11100011
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100100
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100110
-11100110
-00000000
-00011011
-00011100
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100100
-11100100
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100101
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100111
-00000000
-00011010
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100100
-11100101
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100110
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11101000
-11101000
-00000000
-00011001
-00011010
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100110
-11100110
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11100111
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101001
-00000000
-00011000
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100110
-11100111
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101000
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101010
-11101010
-00000000
-00010111
-00011000
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101000
-11101000
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101001
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101011
-00000000
-00010110
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101000
-11101001
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101010
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101100
-11101100
-00000000
-00010101
-00010110
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101010
-11101010
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101011
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101101
-00000000
-00010100
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101010
-11101011
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101100
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101110
-11101110
-00000000
-00010011
-00010100
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101100
-11101100
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101101
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101111
-00000000
-00010010
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101100
-11101101
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101110
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11110000
-00000000
-00010001
-00010010
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101110
-11101110
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11101111
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-00000000
-00010000
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101110
-11101111
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110000
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-00000000
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110000
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110001
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-00000000
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110010
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-00000000
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110010
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110011
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-00000000
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110100
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-00000000
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110100
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110101
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-00000000
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110110
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-00000000
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110110
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11110111
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-00000000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111000
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-00000000
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111001
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-00000000
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111010
-11111010
-11111010
-11111010
-11111010
-11111011
-11111011
-11111011
-11111011
-11111011
-00000000
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111011
-11111011
-11111011
-11111011
-11111100
-11111100
-11111100
-11111100
-00000000
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111100
-11111100
-11111100
-11111101
-11111101
-11111101
-00000000
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111101
-11111101
-11111110
-11111110
-00000000
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111110
-11111111
-00000000
-00000010
-00000011
-00000100
-00000101
-00000110
-00000111
-00001000
-00001001
-00001010
-00001011
-00001100
-00001101
-00001110
-00001111
-00010000
-00010001
-00010010
-00010011
-00010100
-00010101
-00010110
-00010111
-00011000
-00011001
-00011010
-00011011
-00011100
-00011101
-00011110
-00011111
-00100000
-00100001
-00100010
-00100011
-00100100
-00100101
-00100110
-00100111
-00101000
-00101001
-00101010
-00101011
-00101100
-00101101
-00101110
-00101111
-00110000
-00110001
-00110010
-00110011
-00110100
-00110101
-00110110
-00110111
-00111000
-00111001
-00111010
-00111011
-00111100
-00111101
-00111110
-00111111
-01000000
-01000001
-01000010
-01000011
-01000100
-01000101
-01000110
-01000111
-01001000
-01001001
-01001010
-01001011
-01001100
-01001101
-01001110
-01001111
-01010000
-01010001
-01010010
-01010011
-01010100
-01010101
-01010110
-01010111
-01011000
-01011001
-01011010
-01011011
-01011100
-01011101
-01011110
-01011111
-01100000
-01100001
-01100010
-01100011
-01100100
-01100101
-01100110
-01100111
-01101000
-01101001
-01101010
-01101011
-01101100
-01101101
-01101110
-01101111
-01110000
-01110001
-01110010
-01110011
-01110100
-01110101
-01110110
-01110111
-01111000
-01111001
-01111010
-01111011
-01111100
-01111101
-01111110
-01111111
-10000000
-10000001
-10000010
-10000011
-10000100
-10000101
-10000110
-10000111
-10001000
-10001001
-10001010
-10001011
-10001100
-10001101
-10001110
-10001111
-10010000
-10010001
-10010010
-10010011
-10010100
-10010101
-10010110
-10010111
-10011000
-10011001
-10011010
-10011011
-10011100
-10011101
-10011110
-10011111
-10100000
-10100001
-10100010
-10100011
-10100100
-10100101
-10100110
-10100111
-10101000
-10101001
-10101010
-10101011
-10101100
-10101101
-10101110
-10101111
-10110000
-10110001
-10110010
-10110011
-10110100
-10110101
-10110110
-10110111
-10111000
-10111001
-10111010
-10111011
-10111100
-10111101
-10111110
-10111111
-11000000
-11000001
-11000010
-11000011
-11000100
-11000101
-11000110
-11000111
-11001000
-11001001
-11001010
-11001011
-11001100
-11001101
-11001110
-11001111
-11010000
-11010001
-11010010
-11010011
-11010100
-11010101
-11010110
-11010111
-11011000
-11011001
-11011010
-11011011
-11011100
-11011101
-11011110
-11011111
-11100000
-11100001
-11100010
-11100011
-11100100
-11100101
-11100110
-11100111
-11101000
-11101001
-11101010
-11101011
-11101100
-11101101
-11101110
-11101111
-11110000
-11110001
-11110010
-11110011
-11110100
-11110101
-11110110
-11110111
-11111000
-11111001
-11111010
-11111011
-11111100
-11111101
-11111110
-11111111
diff --git a/posit_adder_verilog/README.txt b/posit_adder_verilog/README.txt
deleted file mode 100644
index f5acdfb..0000000
--- a/posit_adder_verilog/README.txt
+++ /dev/null
@@ -1,15 +0,0 @@
-File description:
-1. posit_add.v 			: Top-module which takes N (posit word size) and es (posit exponent size). It also contains all the required sub-module. 
-
-Below are the files for test-module for posit adder with N=8, ES=4 (User can test for other options). It is an all exhaustive test for 8-bit operands.
-
-2. posit_add_8bit_tb.v		: Test-bench module. 	
-3. posit_add_8bit.sh		: A ModelSim bash script to invoke and run modelsim simulator to run the test-bench.
-4. Pin1_8bit.txt		: Input-1 8-bit 	 
-5. Pin2_8bit.txt 		: Input-2 8-bit
-6. Pout_8bit_ES4.txt		: Pre-stored posit addition results for comparison purpose. 
-
-**. error_8bit.txt		: File will be generated during simulation which contains the difference of result produce by the Verilog module with pre-stored posit addition results. 
-
-
-7. julia_posit8_add.sh		: This is a bash shell script for posit addition using julia posit package. It is currently using 8-bit inputs. Julia posit package can be downloaded from https://github.com/interplanetary-robot/SigmoidNumbers
diff --git a/posit_adder_verilog/Test.cr.mti b/posit_adder_verilog/Test.cr.mti
deleted file mode 100644
index a817a2e..0000000
--- a/posit_adder_verilog/Test.cr.mti
+++ /dev/null
@@ -1,22 +0,0 @@
-{H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add.v} {1 {vlog -work work -stats=none {H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add.v}
-Model Technology ModelSim - Intel FPGA Edition vlog 2020.1 Compiler 2020.02 Feb 28 2020
--- Compiling module posit_add
--- Compiling module data_extract_v1
--- Compiling module sub_N
--- Compiling module add_N
--- Compiling module sub_N_in
--- Compiling module add_N_in
--- Compiling module add_sub_N
--- Compiling module add_1
--- Compiling module abs_regime
--- Compiling module conv_2c
--- Compiling module reg_exp_op
--- Compiling module DSR_left_N_S
--- Compiling module DSR_right_N_S
--- Compiling module LOD_N
--- Compiling module LOD
-
-Top level modules:
-	posit_add
-
-} {} {}}
diff --git a/posit_adder_verilog/Test.mpf b/posit_adder_verilog/Test.mpf
deleted file mode 100644
index d30b39e..0000000
--- a/posit_adder_verilog/Test.mpf
+++ /dev/null
@@ -1,466 +0,0 @@
-; Copyright 1991-2009 Mentor Graphics Corporation
-;
-; All Rights Reserved.
-;
-; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF 
-; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
-;   
-
-[Library]
-std = $MODEL_TECH/../std
-ieee = $MODEL_TECH/../ieee
-verilog = $MODEL_TECH/../verilog
-vital2000 = $MODEL_TECH/../vital2000
-std_developerskit = $MODEL_TECH/../std_developerskit
-synopsys = $MODEL_TECH/../synopsys
-modelsim_lib = $MODEL_TECH/../modelsim_lib
-sv_std = $MODEL_TECH/../sv_std
-
-; Altera Primitive libraries
-;
-; VHDL Section
-;
-altera_mf = $MODEL_TECH/../altera/vhdl/altera_mf
-altera = $MODEL_TECH/../altera/vhdl/altera
-altera_lnsim = $MODEL_TECH/../altera/vhdl/altera_lnsim
-lpm = $MODEL_TECH/../altera/vhdl/220model
-220model = $MODEL_TECH/../altera/vhdl/220model
-maxii = $MODEL_TECH/../altera/vhdl/maxii
-maxv = $MODEL_TECH/../altera/vhdl/maxv
-fiftyfivenm = $MODEL_TECH/../altera/vhdl/fiftyfivenm
-sgate = $MODEL_TECH/../altera/vhdl/sgate
-arriaii = $MODEL_TECH/../altera/vhdl/arriaii
-arriaii_hssi = $MODEL_TECH/../altera/vhdl/arriaii_hssi
-arriaii_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaii_pcie_hip
-arriaiigz = $MODEL_TECH/../altera/vhdl/arriaiigz
-arriaiigz_hssi = $MODEL_TECH/../altera/vhdl/arriaiigz_hssi
-arriaiigz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriaiigz_pcie_hip
-stratixiv = $MODEL_TECH/../altera/vhdl/stratixiv
-stratixiv_hssi = $MODEL_TECH/../altera/vhdl/stratixiv_hssi
-stratixiv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixiv_pcie_hip
-cycloneiv = $MODEL_TECH/../altera/vhdl/cycloneiv
-cycloneiv_hssi = $MODEL_TECH/../altera/vhdl/cycloneiv_hssi
-cycloneiv_pcie_hip = $MODEL_TECH/../altera/vhdl/cycloneiv_pcie_hip
-cycloneive = $MODEL_TECH/../altera/vhdl/cycloneive
-stratixv = $MODEL_TECH/../altera/vhdl/stratixv
-stratixv_hssi = $MODEL_TECH/../altera/vhdl/stratixv_hssi
-stratixv_pcie_hip = $MODEL_TECH/../altera/vhdl/stratixv_pcie_hip
-arriavgz = $MODEL_TECH/../altera/vhdl/arriavgz
-arriavgz_hssi = $MODEL_TECH/../altera/vhdl/arriavgz_hssi
-arriavgz_pcie_hip = $MODEL_TECH/../altera/vhdl/arriavgz_pcie_hip
-arriav = $MODEL_TECH/../altera/vhdl/arriav
-cyclonev = $MODEL_TECH/../altera/vhdl/cyclonev
-twentynm = $MODEL_TECH/../altera/vhdl/twentynm
-twentynm_hssi = $MODEL_TECH/../altera/vhdl/twentynm_hssi
-twentynm_hip = $MODEL_TECH/../altera/vhdl/twentynm_hip
-cyclone10lp = $MODEL_TECH/../altera/vhdl/cyclone10lp
-;
-; Verilog Section
-;
-altera_mf_ver = $MODEL_TECH/../altera/verilog/altera_mf
-altera_ver = $MODEL_TECH/../altera/verilog/altera
-altera_lnsim_ver = $MODEL_TECH/../altera/verilog/altera_lnsim
-lpm_ver = $MODEL_TECH/../altera/verilog/220model
-220model_ver = $MODEL_TECH/../altera/verilog/220model
-maxii_ver = $MODEL_TECH/../altera/verilog/maxii
-maxv_ver = $MODEL_TECH/../altera/verilog/maxv
-fiftyfivenm_ver = $MODEL_TECH/../altera/verilog/fiftyfivenm
-sgate_ver = $MODEL_TECH/../altera/verilog/sgate
-arriaii_ver = $MODEL_TECH/../altera/verilog/arriaii
-arriaii_hssi_ver = $MODEL_TECH/../altera/verilog/arriaii_hssi
-arriaii_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaii_pcie_hip
-arriaiigz_ver = $MODEL_TECH/../altera/verilog/arriaiigz
-arriaiigz_hssi_ver = $MODEL_TECH/../altera/verilog/arriaiigz_hssi
-arriaiigz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriaiigz_pcie_hip
-stratixiv_ver = $MODEL_TECH/../altera/verilog/stratixiv
-stratixiv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixiv_hssi
-stratixiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixiv_pcie_hip
-stratixv_ver = $MODEL_TECH/../altera/verilog/stratixv
-stratixv_hssi_ver = $MODEL_TECH/../altera/verilog/stratixv_hssi
-stratixv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/stratixv_pcie_hip
-arriavgz_ver = $MODEL_TECH/../altera/verilog/arriavgz
-arriavgz_hssi_ver = $MODEL_TECH/../altera/verilog/arriavgz_hssi
-arriavgz_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriavgz_pcie_hip
-arriav_ver = $MODEL_TECH/../altera/verilog/arriav
-arriav_hssi_ver = $MODEL_TECH/../altera/verilog/arriav_hssi
-arriav_pcie_hip_ver = $MODEL_TECH/../altera/verilog/arriav_pcie_hip
-cyclonev_ver = $MODEL_TECH/../altera/verilog/cyclonev
-cyclonev_hssi_ver = $MODEL_TECH/../altera/verilog/cyclonev_hssi
-cyclonev_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cyclonev_pcie_hip
-cycloneiv_ver = $MODEL_TECH/../altera/verilog/cycloneiv
-cycloneiv_hssi_ver = $MODEL_TECH/../altera/verilog/cycloneiv_hssi
-cycloneiv_pcie_hip_ver = $MODEL_TECH/../altera/verilog/cycloneiv_pcie_hip
-cycloneive_ver = $MODEL_TECH/../altera/verilog/cycloneive
-twentynm_ver = $MODEL_TECH/../altera/verilog/twentynm
-twentynm_hssi_ver = $MODEL_TECH/../altera/verilog/twentynm_hssi
-twentynm_hip_ver = $MODEL_TECH/../altera/verilog/twentynm_hip
-cyclone10lp_ver = $MODEL_TECH/../altera/verilog/cyclone10lp
-
-work = work
-[vcom]
-; VHDL93 variable selects language version as the default. 
-; Default is VHDL-2002.
-; Value of 0 or 1987 for VHDL-1987.
-; Value of 1 or 1993 for VHDL-1993.
-; Default or value of 2 or 2002 for VHDL-2002.
-; Default or value of 3 or 2008 for VHDL-2008.
-VHDL93 = 2002
-
-; Show source line containing error. Default is off.
-; Show_source = 1
-
-; Turn off unbound-component warnings. Default is on.
-; Show_Warning1 = 0
-
-; Turn off process-without-a-wait-statement warnings. Default is on.
-; Show_Warning2 = 0
-
-; Turn off null-range warnings. Default is on.
-; Show_Warning3 = 0
-
-; Turn off no-space-in-time-literal warnings. Default is on.
-; Show_Warning4 = 0
-
-; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
-; Show_Warning5 = 0
-
-; Turn off optimization for IEEE std_logic_1164 package. Default is on.
-; Optimize_1164 = 0
-
-; Turn on resolving of ambiguous function overloading in favor of the
-; "explicit" function declaration (not the one automatically created by
-; the compiler for each type declaration). Default is off.
-; The .ini file has Explicit enabled so that std_logic_signed/unsigned
-; will match the behavior of synthesis tools.
-Explicit = 1
-
-; Turn off acceleration of the VITAL packages. Default is to accelerate.
-; NoVital = 1
-
-; Turn off VITAL compliance checking. Default is checking on.
-; NoVitalCheck = 1
-
-; Ignore VITAL compliance checking errors. Default is to not ignore.
-; IgnoreVitalErrors = 1
-
-; Turn off VITAL compliance checking warnings. Default is to show warnings.
-; Show_VitalChecksWarnings = 0
-
-; Keep silent about case statement static warnings.
-; Default is to give a warning.
-; NoCaseStaticError = 1
-
-; Keep silent about warnings caused by aggregates that are not locally static.
-; Default is to give a warning.
-; NoOthersStaticError = 1
-
-; Turn off inclusion of debugging info within design units.
-; Default is to include debugging info.
-; NoDebug = 1
-
-; Turn off "Loading..." messages. Default is messages on.
-; Quiet = 1
-
-; Turn on some limited synthesis rule compliance checking. Checks only:
-;    -- signals used (read) by a process must be in the sensitivity list
-; CheckSynthesis = 1
-
-; Activate optimizations on expressions that do not involve signals,
-; waits, or function/procedure/task invocations. Default is off.
-; ScalarOpts = 1
-
-; Require the user to specify a configuration for all bindings,
-; and do not generate a compile time default binding for the
-; component. This will result in an elaboration error of
-; 'component not bound' if the user fails to do so. Avoids the rare
-; issue of a false dependency upon the unused default binding.
-; RequireConfigForAllDefaultBinding = 1
-
-; Inhibit range checking on subscripts of arrays. Range checking on
-; scalars defined with subtypes is inhibited by default.
-; NoIndexCheck = 1
-
-; Inhibit range checks on all (implicit and explicit) assignments to
-; scalar objects defined with subtypes.
-; NoRangeCheck = 1
-
-[vlog]
-
-; Turn off inclusion of debugging info within design units.
-; Default is to include debugging info.
-; NoDebug = 1
-
-; Turn off "loading..." messages. Default is messages on.
-; Quiet = 1
-
-; Turn on Verilog hazard checking (order-dependent accessing of global vars).
-; Default is off.
-; Hazard = 1
-
-; Turn on converting regular Verilog identifiers to uppercase. Allows case
-; insensitivity for module names. Default is no conversion.
-; UpCase = 1
-
-; Turn on incremental compilation of modules. Default is off.
-; Incremental = 1
-
-; Turns on lint-style checking.
-; Show_Lint = 1
-
-[vsim]
-; Simulator resolution
-; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
-Resolution = ps
-
-; User time unit for run commands
-; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
-; unit specified for Resolution. For example, if Resolution is 100ps,
-; then UserTimeUnit defaults to ps.
-; Should generally be set to default.
-UserTimeUnit = default
-
-; Default run length
-RunLength = 157378800 ps
-
-; Maximum iterations that can be run without advancing simulation time
-IterationLimit = 5000
-
-; Directive to license manager:
-; vhdl          Immediately reserve a VHDL license
-; vlog          Immediately reserve a Verilog license
-; plus          Immediately reserve a VHDL and Verilog license
-; nomgc         Do not look for Mentor Graphics Licenses
-; nomti         Do not look for Model Technology Licenses
-; noqueue       Do not wait in the license queue when a license isn't available
-; viewsim	Try for viewer license but accept simulator license(s) instead
-;		of queuing for viewer license
-; License = plus
-
-; Stop the simulator after a VHDL/Verilog assertion message
-; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-BreakOnAssertion = 3
-
-; Assertion Message Format
-; %S - Severity Level 
-; %R - Report Message
-; %T - Time of assertion
-; %D - Delta
-; %I - Instance or Region pathname (if available)
-; %% - print '%' character
-; AssertionFormat = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
-
-; Assertion File - alternate file for storing VHDL/Verilog assertion messages
-; AssertFile = assert.log
-
-; Default radix for all windows and commands...
-; Set to symbolic, ascii, binary, octal, decimal, hex, unsigned
-DefaultRadix = symbolic
-
-; VSIM Startup command
-; Startup = do startup.do
-
-; File for saving command transcript
-TranscriptFile = transcript
-
-; File for saving command history
-; CommandHistory = cmdhist.log
-
-; Specify whether paths in simulator commands should be described
-; in VHDL or Verilog format.
-; For VHDL, PathSeparator = /
-; For Verilog, PathSeparator = .
-; Must not be the same character as DatasetSeparator.
-PathSeparator = /
-
-; Specify the dataset separator for fully rooted contexts.
-; The default is ':'. For example, sim:/top
-; Must not be the same character as PathSeparator.
-DatasetSeparator = :
-
-; Disable VHDL assertion messages
-; IgnoreNote = 1
-; IgnoreWarning = 1
-; IgnoreError = 1
-; IgnoreFailure = 1
-
-; Default force kind. May be freeze, drive, deposit, or default
-; or in other terms, fixed, wired, or charged.
-; A value of "default" will use the signal kind to determine the
-; force kind, drive for resolved signals, freeze for unresolved signals
-; DefaultForceKind = freeze
-
-; If zero, open files when elaborated; otherwise, open files on
-; first read or write.  Default is 0.
-; DelayFileOpen = 1
-
-; Control VHDL files opened for write.
-;   0 = Buffered, 1 = Unbuffered
-UnbufferedOutput = 0
-
-; Control the number of VHDL files open concurrently.
-; This number should always be less than the current ulimit
-; setting for max file descriptors.
-;   0 = unlimited
-ConcurrentFileLimit = 40
-
-; Control the number of hierarchical regions displayed as
-; part of a signal name shown in the Wave window.
-; A value of zero tells VSIM to display the full name.
-; The default is 0.
-; WaveSignalNameWidth = 0
-
-; Turn off warnings from the std_logic_arith, std_logic_unsigned
-; and std_logic_signed packages.
-; StdArithNoWarnings = 1
-
-; Turn off warnings from the IEEE numeric_std and numeric_bit packages.
-; NumericStdNoWarnings = 1
-
-; Control the format of the (VHDL) FOR generate statement label
-; for each iteration.  Do not quote it.
-; The format string here must contain the conversion codes %s and %d,
-; in that order, and no other conversion codes.  The %s represents
-; the generate_label; the %d represents the generate parameter value
-; at a particular generate iteration (this is the position number if
-; the generate parameter is of an enumeration type).  Embedded whitespace
-; is allowed (but discouraged); leading and trailing whitespace is ignored.
-; Application of the format must result in a unique scope name over all
-; such names in the design so that name lookup can function properly.
-; GenerateFormat = %s__%d
-
-; Specify whether checkpoint files should be compressed.
-; The default is 1 (compressed).
-; CheckpointCompressMode = 0
-
-; List of dynamically loaded objects for Verilog PLI applications
-; Veriuser = veriuser.sl
-
-; Specify default options for the restart command. Options can be one
-; or more of: -force -nobreakpoint -nolist -nolog -nowave
-; DefaultRestartOptions = -force
-
-; HP-UX 10.20 ONLY - Enable memory locking to speed up large designs
-; (> 500 megabyte memory footprint). Default is disabled.
-; Specify number of megabytes to lock.
-; LockedMemory = 1000
-
-; Turn on (1) or off (0) WLF file compression.
-; The default is 1 (compress WLF file).
-; WLFCompress = 0
-
-; Specify whether to save all design hierarchy (1) in the WLF file
-; or only regions containing logged signals (0).
-; The default is 0 (save only regions with logged signals).
-; WLFSaveAllRegions = 1
-
-; WLF file time limit.  Limit WLF file by time, as closely as possible,
-; to the specified amount of simulation time.  When the limit is exceeded
-; the earliest times get truncated from the file.
-; If both time and size limits are specified the most restrictive is used.
-; UserTimeUnits are used if time units are not specified.
-; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
-; WLFTimeLimit = 0
-
-; WLF file size limit.  Limit WLF file size, as closely as possible,
-; to the specified number of megabytes.  If both time and size limits
-; are specified then the most restrictive is used.
-; The default is 0 (no limit).
-; WLFSizeLimit = 1000
-
-; Specify whether or not a WLF file should be deleted when the
-; simulation ends.  A value of 1 will cause the WLF file to be deleted.
-; The default is 0 (do not delete WLF file when simulation ends).
-; WLFDeleteOnQuit = 1
-
-; Automatic SDF compilation
-; Disables automatic compilation of SDF files in flows that support it.
-; Default is on, uncomment to turn off.
-; NoAutoSDFCompile = 1
-
-[lmc]
-
-[msg_system]
-; Change a message severity or suppress a message.
-; The format is: <msg directive> = <msg number>[,<msg number>...]
-; Examples:
-;   note = 3009
-;   warning = 3033
-;   error = 3010,3016
-;   fatal = 3016,3033
-;   suppress = 3009,3016,3043
-; The command verror <msg number> can be used to get the complete
-; description of a message.
-
-; Control transcripting of elaboration/runtime messages.
-; The default is to have messages appear in the transcript and 
-; recorded in the wlf file (messages that are recorded in the
-; wlf file can be viewed in the MsgViewer).  The other settings
-; are to send messages only to the transcript or only to the 
-; wlf file.  The valid values are
-;    both  {default}
-;    tran  {transcript only}
-;    wlf   {wlf file only}
-; msgmode = both
-[Project]
-** Warning: ; Warning -- Do not edit the project properties directly.
-;            Property names are dynamic in nature and property
-;            values have special syntax.  Changing property data directly
-;            can result in a corrupt MPF file.  All project properties
-;            can be modified through project window dialogs.
-Project_Version = 6
-Project_DefaultLib = work
-Project_SortMethod = unused
-Project_Files_Count = 2
-Project_File_0 = H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add_8bit_tb.v
-Project_File_P_0 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 vlog_noload 0 folder {Top Level} last_compile 1675798714 cover_fsm 0 cover_branch 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 cover_optlevel 3 vlog_hazard 0 vlog_showsource 0 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 1 dont_compile 0 cover_expr 0 cover_stmt 0
-Project_File_1 = H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add.v
-Project_File_P_1 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1675621212 cover_fsm 0 vlog_enable0In 0 cover_excludedefault 0 vlog_disableopt 0 cover_covercells 0 cover_optlevel 3 vlog_hazard 0 vlog_showsource 0 voptflow 1 ood 0 vlog_0InOptions {} toggle - vlog_options {} compile_to work vlog_upper 0 cover_noshort 0 compile_order 0 dont_compile 0 cover_expr 0 cover_stmt 0
-Project_Sim_Count = 0
-Project_Folder_Count = 0
-Echo_Compile_Output = 0
-Save_Compile_Report = 1
-Project_Opt_Count = 0
-ForceSoftPaths = 0
-ProjectStatusDelay = 5000
-VERILOG_DoubleClick = Edit
-VERILOG_CustomDoubleClick = 
-SYSTEMVERILOG_DoubleClick = Edit
-SYSTEMVERILOG_CustomDoubleClick = 
-VHDL_DoubleClick = Edit
-VHDL_CustomDoubleClick = 
-PSL_DoubleClick = Edit
-PSL_CustomDoubleClick = 
-TEXT_DoubleClick = Edit
-TEXT_CustomDoubleClick = 
-SYSTEMC_DoubleClick = Edit
-SYSTEMC_CustomDoubleClick = 
-TCL_DoubleClick = Edit
-TCL_CustomDoubleClick = 
-MACRO_DoubleClick = Edit
-MACRO_CustomDoubleClick = 
-VCD_DoubleClick = Edit
-VCD_CustomDoubleClick = 
-SDF_DoubleClick = Edit
-SDF_CustomDoubleClick = 
-XML_DoubleClick = Edit
-XML_CustomDoubleClick = 
-LOGFILE_DoubleClick = Edit
-LOGFILE_CustomDoubleClick = 
-UCDB_DoubleClick = Edit
-UCDB_CustomDoubleClick = 
-TDB_DoubleClick = Edit
-TDB_CustomDoubleClick = 
-UPF_DoubleClick = Edit
-UPF_CustomDoubleClick = 
-PCF_DoubleClick = Edit
-PCF_CustomDoubleClick = 
-PROJECT_DoubleClick = Edit
-PROJECT_CustomDoubleClick = 
-VRM_DoubleClick = Edit
-VRM_CustomDoubleClick = 
-DEBUGDATABASE_DoubleClick = Edit
-DEBUGDATABASE_CustomDoubleClick = 
-DEBUGARCHIVE_DoubleClick = Edit
-DEBUGARCHIVE_CustomDoubleClick = 
-Project_Major_Version = 2020
-Project_Minor_Version = 1
diff --git a/posit_adder_verilog/add.cr.mti b/posit_adder_verilog/add.cr.mti
deleted file mode 100644
index 66598df..0000000
--- a/posit_adder_verilog/add.cr.mti
+++ /dev/null
@@ -1,29 +0,0 @@
-{H:/Msc project/add/posit_add_8bit_tb.v} {1 {vlog -work work -vopt -stats=none {H:/Msc project/add/posit_add_8bit_tb.v}
-Model Technology ModelSim SE-64 vlog 2020.1 Compiler 2020.01 Jan 28 2020
--- Compiling module posit_add_8bit_tb_v
-
-Top level modules:
-	posit_add_8bit_tb_v
-
-} {} {}} {H:/Msc project/add/posit_add.v} {1 {vlog -work work -vopt -stats=none {H:/Msc project/add/posit_add.v}
-Model Technology ModelSim SE-64 vlog 2020.1 Compiler 2020.01 Jan 28 2020
--- Compiling module posit_add
--- Compiling module data_extract_v1
--- Compiling module sub_N
--- Compiling module add_N
--- Compiling module sub_N_in
--- Compiling module add_N_in
--- Compiling module add_sub_N
--- Compiling module add_1
--- Compiling module abs_regime
--- Compiling module conv_2c
--- Compiling module reg_exp_op
--- Compiling module DSR_left_N_S
--- Compiling module DSR_right_N_S
--- Compiling module LOD_N
--- Compiling module LOD
-
-Top level modules:
-	posit_add
-
-} {} {}}
diff --git a/posit_adder_verilog/add.mpf b/posit_adder_verilog/add.mpf
deleted file mode 100644
index d3aab4c..0000000
--- a/posit_adder_verilog/add.mpf
+++ /dev/null
@@ -1,2256 +0,0 @@
-; vsim modelsim.ini file
-[Version]
-INIVersion = "2020.1"
-
-; Copyright 1991-2020 Mentor Graphics Corporation
-;
-; All Rights Reserved.
-;
-; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF 
-; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
-;   
-
-[Library]
-std = $MODEL_TECH/../std
-ieee = $MODEL_TECH/../ieee
-vital2000 = $MODEL_TECH/../vital2000
-;
-; VITAL concerns:
-;
-; The library ieee contains (among other packages) the packages of the
-; VITAL 2000 standard.  When a design uses VITAL 2000 exclusively, it should use
-; the physical library ieee (recommended), or use the physical library
-; vital2000, but not both.  The design can use logical library ieee and/or
-; vital2000 as long as each of these maps to the same physical library, either
-; ieee or vital2000.
-;
-; A design using the 1995 version of the VITAL packages, whether or not
-; it also uses the 2000 version of the VITAL packages, must have logical library
-; name ieee mapped to physical library vital1995.  (A design cannot use library
-; vital1995 directly because some packages in this library use logical name ieee
-; when referring to the other packages in the library.)  The design source
-; should use logical name ieee when referring to any packages there except the
-; VITAL 2000 packages.  Any VITAL 2000 present in the design must use logical
-; name vital2000 (mapped to physical library vital2000) to refer to those
-; packages.
-; ieee = $MODEL_TECH/../vital1995
-;
-; For compatiblity with previous releases, logical library name vital2000 maps
-; to library vital2000 (a different library than library ieee, containing the
-; same packages).
-; A design should not reference VITAL from both the ieee library and the
-; vital2000 library because the vital packages are effectively different.
-; A design that references both the ieee and vital2000 libraries must have
-; both logical names ieee and vital2000 mapped to the same library, either of
-; these:
-;   $MODEL_TECH/../ieee
-;   $MODEL_TECH/../vital2000
-;
-verilog = $MODEL_TECH/../verilog
-std_developerskit = $MODEL_TECH/../std_developerskit
-synopsys = $MODEL_TECH/../synopsys
-modelsim_lib = $MODEL_TECH/../modelsim_lib
-sv_std = $MODEL_TECH/../sv_std
-mtiAvm = $MODEL_TECH/../avm
-mtiRnm = $MODEL_TECH/../rnm
-mtiOvm = $MODEL_TECH/../ovm-2.1.2
-mtiUvm = $MODEL_TECH/../uvm-1.1d
-mtiUPF = $MODEL_TECH/../upf_lib
-mtiPA  = $MODEL_TECH/../pa_lib
-floatfixlib = $MODEL_TECH/../floatfixlib
-mc2_lib = $MODEL_TECH/../mc2_lib
-flps_lib = $MODEL_TECH/../flps_lib
-osvvm = $MODEL_TECH/../osvvm
-
-; added mapping for ADMS
-mgc_ams = $MODEL_TECH/../mgc_ams
-ieee_env = $MODEL_TECH/../ieee_env
-
-;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
-;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
-;mvc_lib = $MODEL_TECH/../mvc_lib
-infact = $MODEL_TECH/../infact
-vhdlopt_lib = $MODEL_TECH/../vhdlopt_lib
-vh_ux01v_lib = $MODEL_TECH/../vh_ux01v_lib
-
-; Automatically perform logical->physical mapping for physical libraries that
-; appear in -L/-Lf options with filesystem path delimiters (e.g. '.' or '/').
-; The tail of the filesystem path name is chosen as the logical library name.
-; For example, in the command "vopt -L ./path/to/lib1 -o opttop top",
-; vopt automatically performs the mapping "lib1 -> ./path/to/lib1".
-; See the User Manual for more details.
-;
-; AutoLibMapping = 0
-
-work = work
-[DefineOptionset]
-; Define optionset entries for the various compilers, vmake, and vsim.
-; These option sets can be used with the "-optionset <optionsetname>" syntax.
-; i.e.
-;  vlog -optionset COMPILEDEBUG top.sv
-;  vsim -optionset UVMDEBUG my_top
-;
-; Following are some useful examples.
-
-; define a vsim optionset for uvm debugging
-UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop
-
-; define a vopt optionset for debugging
-VOPTDEBUG = +acc -debugdb
-
-[encryption]
-; For vencrypt and vhencrypt.
-
-; Controls whether to encrypt whole files by ignoring all protect directives
-; (except "viewport" and "interface_viewport") that are present in the input.
-; The default is 0, use embedded protect directives to control the encryption.
-; Set this to 1 to encrypt whole files by ignoring embedded protect directives.
-; wholefile = 0
-
-; Sets the data_method to use for the symmetric session key.
-; The session key is a symmetric key that is randomly generated for each
-; protected region (envelope) and is the heart of all encryption.  This is used
-; to set the length of the session key to generate and use when encrypting the
-; HDL text.  Supported values are aes128, aes192, and aes256.
-; data_method = aes128
-
-; The following 2 are for specifying an IEEE Std. 1735 Version 2 (V2) encryption
-; "recipe" comprising an optional common block, at least one tool block (which
-; contains the key public key), and the text to be encrypted.  The common block
-; and any of the tool blocks may contain rights in the form of the "control"
-; directive.  The text to be encrypted is specified either by setting
-; "wholefile" to 1 or by embedding protect "begin" and "end" directives in
-; the input HDL files.
-
-; Common recipe specification file.  This file is optional.  Its presence will
-; require at least one "toolblock" to be specified.
-; Directives such as "author" "author_info" and "data_method",
-; as well as the common block license specification, go in this file.
-; common = <file name>
-
-; Tool block specification recipe(s).  Public key file with optional tool block
-; file name.  May be multiply-defined; at least one tool block is required if
-; a recipe is being specified.
-; Key file is a file name with no extension (.deprecated or .active will be
-; supplied by the encryption tool).
-; Rights file name is optional.
-; toolblock = <key file name>[,<rights file name>]{:<key file name>[,<rights file name>]}
-
-; Location of directory containing recipe files.
-; The default location is in the product installation directory.
-; keyring = $MODEL_TECH/../keyring
-
-; Enable encryption statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list]
-; Add '-' to disable specific statistics. Default is [cmd,msg].
-Stats = cmd,msg
-
-[vcom]
-; VHDL93 variable selects language version as the default. 
-; Default is VHDL-2002.
-; Value of 0 or 1987 for VHDL-1987.
-; Value of 1 or 1993 for VHDL-1993.
-; Default or value of 2 or 2002 for VHDL-2002.
-; Value of 3 or 2008 for VHDL-2008
-; Value of 4 or ams99 for VHDL-AMS-1999
-; Value of 5 or ams07 for VHDL-AMS-2007
-VHDL93 = 2002
-
-; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off.
-; ignoreStandardRealVector = 1
-
-; Show source line containing error. Default is off.
-; Show_source = 1
-
-; Turn off unbound-component warnings. Default is on.
-; Show_Warning1 = 0
-
-; Turn off process-without-a-wait-statement warnings. Default is on.
-; Show_Warning2 = 0
-
-; Turn off null-range warnings. Default is on.
-; Show_Warning3 = 0
-
-; Turn off no-space-in-time-literal warnings. Default is on.
-; Show_Warning4 = 0
-
-; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
-; Show_Warning5 = 0
-
-; Turn off optimization for IEEE std_logic_1164 package. Default is on.
-; Optimize_1164 = 0
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Turn on resolving of ambiguous function overloading in favor of the
-; "explicit" function declaration (not the one automatically created by
-; the compiler for each type declaration). Default is off.
-; The .ini file has Explicit enabled so that std_logic_signed/unsigned
-; will match the behavior of synthesis tools.
-Explicit = 1
-
-; Turn off acceleration of the VITAL packages. Default is to accelerate.
-; NoVital = 1
-
-; Turn off VITAL compliance checking. Default is checking on.
-; NoVitalCheck = 1
-
-; Ignore VITAL compliance checking errors. Default is to not ignore.
-; IgnoreVitalErrors = 1
-
-; Turn off VITAL compliance checking warnings. Default is to show warnings.
-; Show_VitalChecksWarnings = 0
-
-; Turn off PSL assertion warning messages. Default is to show warnings.
-; Show_PslChecksWarnings = 0
-
-; Enable parsing of embedded PSL assertions. Default is enabled.
-; EmbeddedPsl = 0
-
-; Keep silent about case statement static warnings.
-; Default is to give a warning.
-; NoCaseStaticError = 1
-
-; Keep silent about warnings caused by aggregates that are not locally static.
-; Default is to give a warning.
-; NoOthersStaticError = 1
-
-; Treat as errors:
-;   case statement static warnings
-;   warnings caused by aggregates that are not locally static
-; Overrides NoCaseStaticError, NoOthersStaticError settings.
-; PedanticErrors = 1
-
-; Turn off inclusion of debugging info within design units.
-; Default is to include debugging info.
-; NoDebug = 1
-
-; Turn off "Loading..." messages. Default is messages on.
-; Quiet = 1
-
-; Turn on some limited synthesis rule compliance checking. Checks only:
-;    -- signals used (read) by a process must be in the sensitivity list
-; CheckSynthesis = 1
-
-; Activate optimizations on expressions that do not involve signals,
-; waits, or function/procedure/task invocations. Default is off.
-; ScalarOpts = 1
-
-; Turns on lint-style checking.
-; Show_Lint = 1
-
-; Require the user to specify a configuration for all bindings,
-; and do not generate a compile time default binding for the
-; component. This will result in an elaboration error of
-; 'component not bound' if the user fails to do so. Avoids the rare
-; issue of a false dependency upon the unused default binding.
-; RequireConfigForAllDefaultBinding = 1
-
-; Perform default binding at compile time.
-; Default is to do default binding at load time.
-; BindAtCompile = 1;
-
-; Inhibit range checking on subscripts of arrays. Range checking on
-; scalars defined with subtypes is inhibited by default.
-; NoIndexCheck = 1
-
-; Inhibit range checks on all (implicit and explicit) assignments to
-; scalar objects defined with subtypes.
-; NoRangeCheck = 1
-
-; Set the prefix to be honored for synthesis/coverage pragma recognition.
-; Default is "".
-; AddPragmaPrefix = ""
-
-; Ignore synthesis and coverage pragmas with this prefix.
-; Default is "".
-; IgnorePragmaPrefix = ""
-
-; Turn on code coverage in VHDL design units. Default is off.
-; Coverage = sbceft
-
-; Turn off code coverage in VHDL subprograms. Default is on.
-; CoverSub = 0
-
-; Automatically exclude VHDL case statement OTHERS choice branches.
-; This includes OTHERS choices in selected signal assigment statements.
-; Default is to not exclude.
-; CoverExcludeDefault = 1
-
-; Control compiler and VOPT optimizations that are allowed when
-; code coverage is on.  Refer to the comment for this in the [vlog] area. 
-; CoverOpt = 3
-
-; Turn on or off clkOpt optimization for code coverage. Default is on.
-; CoverClkOpt = 1
-
-; Turn on or off clkOpt optimization builtins for code coverage. Default is on.
-; CoverClkOptBuiltins = 0
-
-; Inform code coverage optimizations to respect VHDL 'H' and 'L'
-; values on signals in conditions and expressions, and to not automatically
-; convert them to '1' and '0'. Default is to not convert.
-; CoverRespectHandL = 0
-
-; Increase or decrease the maximum number of rows allowed in a UDP table
-; implementing a VHDL condition coverage or expression coverage expression.
-; More rows leads to a longer compile time, but more expressions covered.
-; CoverMaxUDPRows = 192
-
-; Increase or decrease the maximum number of input patterns that are present
-; in FEC table. This leads to a longer compile time with more expressions
-; covered with FEC metric.
-; CoverMaxFECRows = 192
-
-; Increase or decrease the limit on the size of expressions and conditions
-; considered for expression and condition coverages. Higher FecUdpEffort leads 
-; to higher compile, optimize and simulation time, but more expressions and 
-; conditions are considered for coverage in the design. FecUdpEffort can
-; be set to a number ranging from 1 (low) to 3 (high), defined as:
-;   1 - (low) Only small expressions and conditions considered for coverage.
-;   2 - (medium) Bigger expressions and conditions considered for coverage.
-;   3 - (high) Very large expressions and conditions considered for coverage.
-; The default setting is 1 (low).
-; FecUdpEffort = 1
-
-; Enable or disable Focused Expression Coverage analysis for conditions and
-; expressions. Focused Expression Coverage data is provided by default when
-; expression and/or condition coverage is active.
-; CoverFEC = 0
-
-; Enable or disable UDP Coverage analysis for conditions and expressions.
-; UDP Coverage data is disabled by default when expression and/or condition
-; coverage is active.
-; CoverUDP = 1
-
-; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
-; Disabling this would convert non-masking conditions in FEC tables to matching
-; input patterns. 
-; CoverREC = 1
-
-; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
-; for expression/condition coverage.
-; NOTE: Enabling this may have a negative impact on simulation performance.
-; CoverExpandReductionPrefix = 0
-
-; Enable or disable short circuit evaluation of conditions and expressions when
-; condition or expression coverage is active. Short circuit evaluation is enabled
-; by default.
-; CoverShortCircuit = 0
-
-; Enable code coverage reporting of code that has been optimized away.
-; The default is not to report.
-; CoverReportCancelled = 1
-
-; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
-; Default is no deglitching.
-; CoverDeglitchOn = 1
-
-; Control the code coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
-; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; CoverDeglitchPeriod = 0
-
-; Use this directory for compiler temporary files instead of "work/_temp"
-; CompilerTempDir = /tmp
-
-; Set this to cause the compilers to force data to be committed to disk
-; when the files are closed.
-; SyncCompilerFiles = 1
-
-; Add VHDL-AMS declarations to package STANDARD
-; Default is not to add
-; AmsStandard = 1
-
-; Range and length checking will be performed on array indices and discrete
-; ranges, and when violations are found within subprograms, errors will be
-; reported. Default is to issue warnings for violations, because subprograms
-; may not be invoked.
-; NoDeferSubpgmCheck = 0
-
-; Turn ON detection of FSMs having single bit current state variable.
-; FsmSingle = 1
-
-; Turn off reset state transitions in FSM.
-; FsmResetTrans = 0
-
-; Turn ON detection of FSM Implicit Transitions.
-; FsmImplicitTrans = 1
-
-; Controls whether or not to show immediate assertions with constant expressions
-; in GUI/report/UCDB etc. By default, immediate assertions with constant
-; expressions are shown in GUI/report/UCDB etc. This does not affect
-; evaluation of immediate assertions.
-; ShowConstantImmediateAsserts = 0
-
-; Controls how VHDL basic identifiers are stored with the design unit.
-; Does not make the language case-sensitive, affects only how declarations
-; declared with basic identifiers have their names stored and printed
-; (in the GUI, examine, etc.).
-; Default is to preserve the case as originally depicted in the VHDL source.
-; Value of 0 indicates to change all basic identifiers to lower case.
-; PreserveCase = 0
-
-; For Configuration Declarations, controls the effect that USE clauses have
-; on visibility inside the configuration items being configured.  If 1
-; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance,
-; extend the visibility of objects made visible through USE clauses into nested
-; component configurations.
-; OldVHDLConfigurationVisibility = 0
-
-; Allows VHDL configuration declarations to be in a different library from
-; the corresponding configured entity. Default is to not allow this for
-; stricter LRM-compliance.
-; SeparateConfigLibrary = 1;
-
-; Determine how mode OUT subprogram parameters of type array and record are treated.
-; If 0 (the default), then only VHDL 2008 will do this initialization.
-; If 1, always initialize the mode OUT parameter to its default value.
-; If 2, do not initialize the mode OUT out parameter.
-; Note that prior to release 10.1, all language versions did not initialize mode
-; OUT array and record type parameters, unless overridden here via this mechanism.
-; In release 10.1 and later, only files compiled with VHDL 2008 will cause this
-; initialization, unless overridden here.
-; InitOutCompositeParam = 0
-
-; Generate symbols debugging database in only some special cases to save on
-; the number of files in the library. For other design-units, this database is
-; generated on-demand in vsim. 
-; Default is to to generate debugging database for all design-units.
-; SmartDbgSym = 1
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-; Describe compilation options according to matching file patterns.
-; File pattern * matches all printing characters other than '/'.
-; File pattern **/x matches all paths containing file/directory x.
-; File pattern x/** matches all paths beginning at directory x.
-; FileOptMap = (**/*.vhd => -2008);
-
-; Describe library targets of compilation according to matching file patterns.
-; LibMap = (**/*.vhd => work);
-
-[vlog]
-; Turn off inclusion of debugging info within design units.
-; Default is to include debugging info.
-; NoDebug = 1
-
-; Turn off "Loading..." messages. Default is messages on.
-; Quiet = 1
-
-; Turn on Verilog hazard checking (order-dependent accessing of global vars).
-; Default is off.
-; Hazard = 1
-
-; Turn on converting regular Verilog identifiers to uppercase. Allows case
-; insensitivity for module names. Default is no conversion.
-; UpCase = 1
-
-; Activate optimizations on expressions that do not involve signals,
-; waits, or function/procedure/task invocations. Default is off.
-; ScalarOpts = 1
-
-; Turns on lint-style checking.
-; Show_Lint = 1
-
-; Show source line containing error. Default is off.
-; Show_source = 1
-
-; Turn on bad option warning. Default is off.
-; Show_BadOptionWarning = 1
-
-; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
-; vlog95compat = 1
-
-; Turn off PSL warning messages. Default is to show warnings.
-; Show_PslChecksWarnings = 0
-
-; Enable parsing of embedded PSL assertions. Default is enabled.
-; EmbeddedPsl = 0
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Set the threshold for automatically identifying sparse Verilog memories.
-; A memory with total size in bytes equal to or more than the sparse memory
-; threshold gets marked as sparse automatically, unless specified otherwise
-; in source code or by the +nosparse commandline option of vlog or vopt.
-; The default is 1M.  (i.e. memories with total size equal
-; to or greater than 1Mb are marked as sparse)
-; SparseMemThreshold = 1048576 
-
-; Set the prefix to be honored for synthesis and coverage pragma recognition.
-; Default is "".
-; AddPragmaPrefix = ""
-
-; Ignore synthesis and coverage pragmas with this prefix.
-; Default is "".
-; IgnorePragmaPrefix = ""
-
-; Set the option to treat all files specified in a vlog invocation as a
-; single compilation unit. The default value is set to 0 which will treat
-; each file as a separate compilation unit as specified in the P1800 draft standard.
-; MultiFileCompilationUnit = 1
-
-; Turn on code coverage in Verilog design units. Default is off.
-; Coverage = sbceft
-
-; Automatically exclude Verilog case statement default branches. 
-; Default is to not automatically exclude defaults.
-; CoverExcludeDefault = 1
-
-; Increase or decrease the maximum number of rows allowed in a UDP table
-; implementing a VHDL condition coverage or expression coverage expression.
-; More rows leads to a longer compile time, but more expressions covered.
-; CoverMaxUDPRows = 192
-
-; Increase or decrease the maximum number of input patterns that are present
-; in FEC table. This leads to a longer compile time with more expressions
-; covered with FEC metric.
-; CoverMaxFECRows = 192
-
-; Enable Multi Bit Expression Coverage in a Design, If design has expression with
-; multi bit operands, this option enables its Expression Coverage.
-; The default value is 0.
-; CoverFecMultiBit = 1
-
-; Increase or decrease the limit on the size of expressions and conditions
-; considered for expression and condition coverages. Higher FecUdpEffort leads 
-; to higher compile, optimize and simulation time, but more expressions and 
-; conditions are considered for coverage in the design. FecUdpEffort can
-; be set to a number ranging from 1 (low) to 3 (high), defined as:
-;   1 - (low) Only small expressions and conditions considered for coverage.
-;   2 - (medium) Bigger expressions and conditions considered for coverage.
-;   3 - (high) Very large expressions and conditions considered for coverage.
-; The default setting is 1 (low).
-; FecUdpEffort = 1
-
-; Enable or disable Focused Expression Coverage analysis for conditions and
-; expressions. Focused Expression Coverage data is provided by default when
-; expression and/or condition coverage is active.
-; CoverFEC = 0
-
-; Enable or disable UDP Coverage analysis for conditions and expressions.
-; UDP Coverage data is disabled by default when expression and/or condition
-; coverage is active.
-; CoverUDP = 1
-
-; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
-; Disabling this would convert non-masking conditions in FEC tables to matching
-; input patterns. 
-; CoverREC = 1
-
-; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
-; for expression/condition coverage.
-; NOTE: Enabling this may have a negative impact on simulation performance.
-; CoverExpandReductionPrefix = 0
-
-; Enable or disable short circuit evaluation of conditions and expressions when
-; condition or expression coverage is active. Short circuit evaluation is enabled
-; by default.
-; CoverShortCircuit = 0
-
-; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
-; Default is no deglitching.
-; CoverDeglitchOn = 1
-
-; Control the code coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
-; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; CoverDeglitchPeriod = 0
-
-; Turn on code coverage in VLOG `celldefine modules, modules containing
-; specify blocks, and modules included using vlog -v and -y. Default is off.
-; CoverCells = 1
-
-; Enable code coverage reporting of code that has been optimized away.
-; The default is not to report.
-; CoverReportCancelled = 1
-
-; Control compiler and VOPT optimizations that are allowed when
-; code coverage is on. This is a number from 0 to 5, with the following
-; meanings (the default is 3):
-;    5 -- All allowable optimizations are on.
-;    4 -- Turn off removing unreferenced code.
-;    3 -- Turn off process, always block and if statement merging.
-;    2 -- Turn off expression optimization, converting primitives
-;         to continuous assignments, VHDL subprogram inlining.
-;         and VHDL clkOpt (converting FF's to builtins).
-;    1 -- Turn off continuous assignment optimizations and clock suppression.
-;    0 -- Turn off Verilog module inlining and VHDL arch inlining.
-; HOWEVER, if fsm coverage is turned on, optimizations will be forced to
-; level 3, with also turning off converting primitives to continuous assigns.
-; CoverOpt = 3
-
-; Specify the override for the default value of "cross_num_print_missing"
-; option for the Cross in Covergroups. If not specified then LRM default
-; value of 0 (zero) is used. This is a compile time option.
-; SVCrossNumPrintMissingDefault = 0
-
-; Setting following to 1 would cause creation of variables which
-; would represent the value of Coverpoint expressions. This is used
-; in conjunction with "SVCoverpointExprVariablePrefix" option
-; in the modelsim.ini
-; EnableSVCoverpointExprVariable = 0
-
-; Specify the override for the prefix used in forming the variable names
-; which represent the Coverpoint expressions. This is used in conjunction with 
-; "EnableSVCoverpointExprVariable" option of the modelsim.ini
-; The default prefix is "expr".
-; The variable name is
-;    variable name => <prefix>_<coverpoint name>
-; SVCoverpointExprVariablePrefix = expr
-
-; Override for the default value of the SystemVerilog covergroup,
-; coverpoint, and cross option.goal (defined to be 100 in the LRM).
-; NOTE: It does not override specific assignments in SystemVerilog
-; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
-; in the [vsim] section can override this value.
-; SVCovergroupGoalDefault = 100
-
-; Override for the default value of the SystemVerilog covergroup,
-; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
-; NOTE: It does not override specific assignments in SystemVerilog
-; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
-; in the [vsim] section can override this value.
-; SVCovergroupTypeGoalDefault = 100
-
-; Specify the override for the default value of "strobe" option for the
-; Covergroup Type. This is a compile time option which forces "strobe" to
-; a user specified default value and supersedes SystemVerilog specified
-; default value of '0'(zero). NOTE: This can be overriden by a runtime
-; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
-; SVCovergroupStrobeDefault = 0
-
-; Specify the override for the default value of "per_instance" option for the
-; Covergroup variables. This is a compile time option which forces "per_instance"
-; to a user specified default value and supersedes SystemVerilog specified
-; default value of '0'(zero).
-; SVCovergroupPerInstanceDefault = 0
-
-; Specify the override for the default value of "get_inst_coverage" option for the
-; Covergroup variables. This is a compile time option which forces 
-; "get_inst_coverage" to a user specified default value and supersedes 
-; SystemVerilog specified default value of '0'(zero).
-; SVCovergroupGetInstCoverageDefault = 0
-
-;
-; A space separated list of resource libraries that contain precompiled
-; packages.  The behavior is identical to using the "-L" switch.
-; 
-; LibrarySearchPath = <path/lib> [<path/lib> ...]
-LibrarySearchPath = mtiAvm mtiRnm mtiOvm mtiUvm mtiUPF infact
-
-; The behavior is identical to the "-mixedansiports" switch.  Default is off.
-; MixedAnsiPorts = 1
-
-; Enable SystemVerilog 3.1a $typeof() function. Default is off.
-; EnableTypeOf = 1
-
-; Only allow lower case pragmas. Default is disabled.
-; AcceptLowerCasePragmaOnly = 1
-
-; Set the maximum depth permitted for a recursive include file nesting.
-; IncludeRecursionDepthMax = 5
-
-; Turn ON detection of FSMs having single bit current state variable.
-; FsmSingle = 1
-
-; Turn off reset state transitions in FSM.
-; FsmResetTrans = 0
-
-; Turn off detections of FSMs having x-assignment.
-; FsmXAssign = 0
-
-; Turn ON detection of FSM Implicit Transitions.
-; FsmImplicitTrans = 1
-
-; List of file suffixes which will be read as SystemVerilog.  White space
-; in extensions can be specified with a back-slash: "\ ".  Back-slashes
-; can be specified with two consecutive back-slashes: "\\";
-; SvFileSuffixes = sv svp svh
-
-; This setting is the same as the vlog -sv command line switch.
-; Enables SystemVerilog features and keywords when true (1).
-; When false (0), the rules of IEEE Std 1364-2005 are followed and 
-; SystemVerilog keywords are ignored. 
-; Svlog = 0
-
-; Prints attribute placed upon SV packages during package import
-; when true (1).  The attribute will be ignored when this
-; entry is false (0). The attribute name is "package_load_message".
-; The value of this attribute is a string literal.
-; Default is true (1).
-; PrintSVPackageLoadingAttribute = 1
-
-; Do not show immediate assertions with constant expressions in 
-; GUI/reports/UCDB etc. By default immediate assertions with constant 
-; expressions are shown in GUI/reports/UCDB etc. This does not affect 
-; evaluation of immediate assertions.
-; ShowConstantImmediateAsserts = 0
-
-; Controls if untyped parameters that are initialized with values greater
-; than 2147483647 are mapped to generics of type INTEGER or ignored.
-; If mapped to VHDL Integers, values greater than 2147483647
-; are mapped to negative values.
-; Default is to map these parameter to generic of type INTEGER
-; ForceUnsignedToVHDLInteger = 1
-
-; Enable AMS wreal (wired real) extensions.  Default is 0.
-; WrealType = 1
-
-; Controls SystemVerilog Language Extensions.  These options enable
-; some non-LRM compliant behavior.
-; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Generate symbols debugging database in only some special cases to save on
-; the number of files in the library. For other design-units, this database is
-; generated on-demand in vsim. 
-; Default is to to generate debugging database for all design-units.
-; SmartDbgSym = 1
-
-; Controls how $unit library entries are named.  Valid options are:
-; "file" (generate name based on the first file on the command line)
-; "du" (generate name based on first design unit following an item
-; found in $unit scope)
-; CUAutoName = file
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-[sccom]
-; Enable use of SCV include files and library.  Default is off.
-; UseScv = 1
-
-; Add C++ compiler options to the sccom command line by using this variable.
-; CppOptions = -g
-
-; Use custom C++ compiler located at this path rather than the default path.
-; The path should point directly at a compiler executable.
-; CppPath = /usr/bin/g++
-
-; Specify the compiler version from the list of support GNU compilers.
-; examples 4.7.4, 5.3.0
-; CppInstall = 5.3.0
-
-; Enable verbose messages from sccom.  Default is off.
-; SccomVerbose = 1
-
-; sccom logfile.  Default is no logfile.
-; SccomLogfile = sccom.log
-
-; Enable use of SC_MS include files and library.  Default is off.
-; UseScMs = 1
-
-; Use SystemC-2.2 instead of the default SystemC-2.3. Default is off.
-; Sc22Mode = 1
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-; Enable use of UVMC library.  Default is off.
-; UseUvmc = 1
-
-[vopt]
-; Turn on code coverage in vopt.  Default is off. 
-; Coverage = sbceft
-
-; enable or disable param saving in UCDB.
-; CoverageSaveParam = 0
-
-; Control compiler optimizations that are allowed when
-; code coverage is on.  Refer to the comment for this in the [vlog] area. 
-; CoverOpt = 3
-
-; Controls set of CoverConstructs that are being considered for Coverage 
-; Collection.
-; Some of Valid options are: default,set1,set2
-; Covermode = default
-
-; Controls set of HDL cover constructs that would be considered(or not considered)
-; for Coverage Collection. (Default corresponds to covermode default). 
-; Some of Valid options are: "ca", "citf", "cifl", "tcint", "fsmqs".
-; Coverconstruct = noca,nocitf,nofsmtf,nofsmds,noctes,nocicl,nocprc,nocfl,nofsmup,nocifl,nocpm,notcint,nocpkg,nocsva
-
-; Increase or decrease the maximum number of rows allowed in a UDP table
-; implementing a VHDL condition coverage or expression coverage expression.
-; More rows leads to a longer compile time, but more expressions covered.
-; CoverMaxUDPRows = 192
-
-; Increase or decrease the maximum number of input patterns that are present
-; in FEC table. This leads to a longer compile time with more expressions
-; covered with FEC metric.
-; CoverMaxFECRows = 192
-
-; Enable Multi Bit Expression Coverage in a Design, If design has expression with
-; multi bit operands, this option enables its Expression Coverage.
-; The default value is 0.
-; CoverFecMultiBit = 1
-
-; Increase or decrease the limit on the size of expressions and conditions
-; considered for expression and condition coverages. Higher FecUdpEffort leads 
-; to higher compile, optimize and simulation time, but more expressions and 
-; conditions are considered for coverage in the design. FecUdpEffort can
-; be set to a number ranging from 1 (low) to 3 (high), defined as:
-;   1 - (low) Only small expressions and conditions considered for coverage.
-;   2 - (medium) Bigger expressions and conditions considered for coverage.
-;   3 - (high) Very large expressions and conditions considered for coverage.
-; The default setting is 1 (low).
-; FecUdpEffort = 1
-
-; Enable code coverage reporting of code that has been optimized away.
-; The default is not to report.
-; CoverReportCancelled = 1
-
-; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
-; Default is no deglitching.
-; CoverDeglitchOn = 1
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Control the code coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
-; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; CoverDeglitchPeriod = 0
-
-; Do not show immediate assertions with constant expressions in 
-; GUI/reports/UCDB etc. By default immediate assertions with constant 
-; expressions are shown in GUI/reports/UCDB etc. This does not affect 
-; evaluation of immediate assertions.
-; ShowConstantImmediateAsserts = 0
-
-; Set the maximum number of iterations permitted for a generate loop.
-; Restricting this permits the implementation to recognize infinite
-; generate loops.
-; GenerateLoopIterationMax = 100000
-
-; Set the maximum depth permitted for a recursive generate instantiation.
-; Restricting this permits the implementation to recognize infinite
-; recursions.
-; GenerateRecursionDepthMax = 200
-
-; Set the number of processes created during the code generation phase.
-; By default a heuristic is used to set this value.  This may be set to 0
-; to disable this feature completely.
-; ParallelJobs = 0 
-
-; Controls SystemVerilog Language Extensions.  These options enable
-; some non-LRM compliant behavior.
-; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Load the specified shared objects with the RTLD_GLOBAL flag.
-; This gives global visibility to all symbols in the shared objects,
-; meaning that subsequently loaded shared objects can bind to symbols
-; in the global shared objects.  The list of shared objects should
-; be whitespace delimited.  This option is not supported on the
-; Windows or AIX platforms.
-; GlobalSharedObjectList = example1.so example2.so example3.so
-
-; Disable SystemVerilog elaboration system task messages
-; IgnoreSVAInfo = 1 
-; IgnoreSVAWarning = 1
-; IgnoreSVAError = 1
-; IgnoreSVAFatal = 1
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-[vsim]
-; vopt flow
-; Set to turn on automatic optimization of a design.
-; Default is on
-VoptFlow = 1
-
-; Simulator resolution
-; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
-Resolution = ns
-
-; Disable certain code coverage exclusions automatically. 
-; Assertions and FSM are exluded from the code coverage by default
-; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
-; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
-; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
-; Or specify comma or space separated list
-;AutoExclusionsDisable = fsm,assertions
-
-; User time unit for run commands
-; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
-; unit specified for Resolution. For example, if Resolution is 100ps,
-; then UserTimeUnit defaults to ps.
-; Should generally be set to default.
-UserTimeUnit = default
-
-; Default run length
-RunLength = 100 ps
-
-; Maximum iterations that can be run without advancing simulation time
-IterationLimit = 10000000
-
-; Specify libraries to be searched for precompiled modules
-; LibrarySearchPath = <path/lib> [<path/lib> ...]
-
-; Set XPROP assertion fail limit. Default is 5.
-; Any positive integer, -1 for infinity.
-; XpropAssertionLimit = 5
-
-; Control PSL and Verilog Assume directives during simulation
-; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
-; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
-; SimulateAssumeDirectives = 1 
-
-; Control the simulation of PSL and SVA
-; These switches can be overridden by the vsim command line switches:
-;    -psl, -nopsl, -sva, -nosva.
-; Set SimulatePSL = 0 to disable PSL simulation
-; Set SimulatePSL = 1 to enable PSL simulation (default)
-; SimulatePSL = 1 
-; Set SimulateSVA = 0 to disable SVA simulation
-; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
-; SimulateSVA = 1 
-
-; Control SVA and VHDL immediate assertion directives during simulation
-; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts 
-; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts
-; SimulateImmedAsserts = 1 
-
-; License feature mappings for Verilog and VHDL
-; qhsimvh       Single language VHDL license
-; qhsimvl       Single language Verilog license
-; msimhdlsim    Language neutral license for either Verilog or VHDL
-; msimhdlmix    Second language only, language neutral license for either 
-;               Verilog or VHDL
-;
-; Directives to license manager can be set either as single value or as
-; space separated multi-values:
-; vhdl          Immediately checkout and hold a VHDL license (i.e., one of
-;               qhsimvh, msimhdlsim, or msimhdlmix)
-; vlog          Immediately checkout and hold a Verilog license (i.e., one of
-;               qhsimvl, msimhdlsim, or msimhdlmix)
-; plus          Immediately checkout and hold a VHDL license and a Verilog license
-; noqueue       Do not wait in the license queue when a license is not available
-; viewsim       Try for viewer license but accept simulator license(s) instead
-;               of queuing for viewer license (PE ONLY)
-; noviewer      Disable checkout of msimviewer license feature (PE ONLY)
-; noslvhdl      Disable checkout of qhsimvh license feature
-; noslvlog      Disable checkout of qhsimvl license feature
-; nomix         Disable checkout of msimhdlmix license feature
-; nolnl         Disable checkout of msimhdlsim license feature
-; mixedonly     Disable checkout of qhsimvh and qhsimvl license features
-; lnlonly       Disable checkout of qhsimvh,qhsimvl, and msimhdlmix license features
-;
-; Examples (remove ";" comment character to activate licensing directives):
-; Single directive:
-; License = plus
-; Multi-directive (Note: space delimited directives):
-; License = noqueue plus
-
-; Severity level of a VHDL assertion message or of a SystemVerilog severity system task
-; which will cause a running simulation to stop.
-; VHDL assertions and SystemVerilog severity system task that occur with the
-; given severity or higher will cause a running simulation to stop.
-; This value is ignored during elaboration.
-; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-BreakOnAssertion = 3
-
-; Severity level of a tool message which will cause a running simulation to 
-; stop. This value is ignored during elaboration. Default is to not break.
-; 0 = Note  1 = Warning  2 = Error  3 = Fatal
-;BreakOnMessage = 2
-
-; The class debug feature enables more visibility and tracking of class instances
-; during simulation.  By default this feature is disabled (0).  To enable this 
-; feature set ClassDebug to 1.
-; ClassDebug = 1
-
-; Message Format conversion specifications:
-; %S - Severity Level of message/assertion
-; %R - Text of message
-; %T - Time of message
-; %D - Delta value (iteration number) of Time
-; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected
-; %i - Instance/Region/Signal pathname with Process name (if available)
-; %I - shorthand for one of these:
-;      "  %K: %i"
-;      "  %K: %i File: %F" (when path is not Process or Signal)
-;      except that the %i in this case does not report the Process name
-; %O - Process name
-; %P - Instance/Region path without leaf process
-; %F - File name
-; %L - Line number; if assertion message, then line number of assertion or, if
-;      assertion is in a subprogram, line from which the call is made
-; %u - Design unit name in form library.primary
-; %U - Design unit name in form library.primary(secondary)
-; %% - The '%' character itself
-;
-; If specific format for Severity Level is defined, use that format.
-; Else, for a message that occurs during elaboration:
-;   -- Failure/Fatal message in VHDL region that is not a Process, and in
-;      certain non-VHDL regions, uses MessageFormatBreakLine;
-;   -- Failure/Fatal message otherwise uses MessageFormatBreak;
-;   -- Note/Warning/Error message uses MessageFormat.
-; Else, for a message that occurs during runtime and triggers a breakpoint because
-; of the BreakOnAssertion setting:
-;   -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine;
-;   -- otherwise uses MessageFormatBreak.
-; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat.
-;
-; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
-; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
-; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
-; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
-
-; Error File - alternate file for storing error messages
-; ErrorFile = error.log
-
-; Simulation Breakpoint messages
-; This flag controls the display of function names when reporting the location
-; where the simulator stops because of a breakpoint or fatal error.
-; Example with function name:    # Break in Process ctr at counter.vhd line 44
-; Example without function name: # Break at counter.vhd line 44
-; Default value is 1.
-ShowFunctions = 1
-
-; Default radix for all windows and commands.
-; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned
-; Flags may be one of: enumnumeric, showbase, wreal
-DefaultRadix = hexadecimal
-DefaultRadixFlags = showbase
-; Set to 1 for make the signal_force VHDL and Verilog functions use the 
-; default radix when processing the force value. Prior to 10.2 signal_force
-; used the default radix, now it always uses symbolic unless value explicitly indicates base
-;SignalForceFunctionUseDefaultRadix = 0
-
-; VSIM Startup command
-; Startup = do startup.do
-
-; VSIM Shutdown file
-; Filename to save u/i formats and configurations.
-; ShutdownFile = restart.do
-; To explicitly disable auto save:
-; ShutdownFile = --disable-auto-save
-
-; Run simulator in batch mode as if -batch were specified on the command line if none of -c, -gui, or -i specified.
-; Simulator runs in interactive mode as if -i were specified if this option is 0. Default is 0.
-; BatchMode = 1
-
-; File for saving command transcript when -batch option used
-; This option is ignored when -c, -gui, or -i options are used or if BatchMode above is zero
-; default is unset so command transcript only goes to stdout for better performance
-; BatchTranscriptFile = transcript
-
-; File for saving command transcript, this option is ignored when -batch option is used
-TranscriptFile = transcript
-
-; Transcript file long line wrapping mode(s)
-;   mode == 0 :: no wrapping, line recorded as is
-;   mode == 1 :: wrap at first whitespace after WSColumn
-;                or at Column.
-;   mode == 2 :: wrap as above, but add continuation 
-;                character ('\') at end of each wrapped line
-;
-; WrapMode = 0
-; WrapColumn = 30000
-; WrapWSColumn = 27000
-
-; File for saving command history
-; CommandHistory = cmdhist.log
-
-; Specify whether paths in simulator commands should be described
-; in VHDL or Verilog format.
-; For VHDL, PathSeparator = /
-; For Verilog, PathSeparator = .
-; Must not be the same character as DatasetSeparator.
-PathSeparator = /
-
-; Specify the dataset separator for fully rooted contexts.
-; The default is ':'. For example: sim:/top
-; Must not be the same character as PathSeparator.
-DatasetSeparator = :
-
-; Specify a unique path separator for the Signal Spy set of functions. 
-; The default will be to use the PathSeparator variable.
-; Must not be the same character as DatasetSeparator.
-; SignalSpyPathSeparator = /
-
-; Used to control parsing of HDL identifiers input to the tool.
-; This includes CLI commands, vsim/vopt/vlog/vcom options,
-; string arguments to FLI/VPI/DPI calls, etc.
-; If set to 1, accept either Verilog escaped Id syntax or
-; VHDL extended id syntax, regardless of source language.
-; If set to 0, the syntax of the source language must be used.
-; Each identifier in a hierarchical name may need different syntax,
-; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
-;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
-; GenerousIdentifierParsing = 1
-
-; Disable VHDL assertion messages
-; IgnoreNote = 1
-; IgnoreWarning = 1
-; IgnoreError = 1
-; IgnoreFailure = 1
-
-; Disable SystemVerilog assertion messages
-; IgnoreSVAInfo = 1 
-; IgnoreSVAWarning = 1
-; IgnoreSVAError = 1
-; IgnoreSVAFatal = 1
-
-; Do not print any additional information from Severity System tasks.
-; Only the message provided by the user is printed along with severity
-; information.
-; SVAPrintOnlyUserMessage = 1;
-
-; Default force kind. May be freeze, drive, deposit, or default
-; or in other terms, fixed, wired, or charged.
-; A value of "default" will use the signal kind to determine the
-; force kind, drive for resolved signals, freeze for unresolved signals
-; DefaultForceKind = freeze
-
-; Control the iteration of events when a VHDL signal is forced to a value
-; This flag can be set to honour the signal update event in next iteration,
-; the default is to update and propagate in the same iteration.
-; ForceSigNextIter = 1
-
-; Enable simulation statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb,eor]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; If zero, open files when elaborated; otherwise, open files on
-; first read or write.  Default is 0.
-; DelayFileOpen = 1
-
-; Control VHDL files opened for write.
-;   0 = Buffered, 1 = Unbuffered
-UnbufferedOutput = 0
-
-; Control the number of VHDL files open concurrently.
-; This number should always be less than the current ulimit
-; setting for max file descriptors.
-;   0 = unlimited
-ConcurrentFileLimit = 40
-
-; If nonzero, close files as soon as there is either an explicit call to
-; file_close, or when the file variable's scope is closed. When zero, a
-; file opened in append mode is not closed in case it is immediately
-; reopened in append mode; otherwise, the file will be closed at the
-; point it is reopened.
-; AppendClose = 1
-
-; Control the number of hierarchical regions displayed as
-; part of a signal name shown in the Wave window.
-; A value of zero tells VSIM to display the full name.
-; The default is 0.
-; WaveSignalNameWidth = 0
-
-; Turn off warnings when changing VHDL constants and generics
-; Default is 1 to generate warning messages
-; WarnConstantChange = 0
-
-; Turn off warnings from accelerated versions of the std_logic_arith,
-; std_logic_unsigned, and std_logic_signed packages.
-; StdArithNoWarnings = 1
-
-; Turn off warnings from accelerated versions of the IEEE numeric_std
-; and numeric_bit packages.
-; NumericStdNoWarnings = 1
-
-; Use old-style (pre-6.6) VHDL FOR GENERATE statement iteration names
-; in the design hierarchy.
-; This style is controlled by the value of the GenerateFormat
-; value described next.  Default is to use new-style names, which
-; comprise the generate statement label, '(', the value of the generate
-; parameter, and a closing ')'.
-; Set this to 1 to use old-style names.
-; OldVhdlForGenNames = 1
-
-; Control the format of the old-style VHDL FOR generate statement region
-; name for each iteration.  Do not quote the value.
-; The format string here must contain the conversion codes %s and %d,
-; in that order, and no other conversion codes.  The %s represents
-; the generate statement label; the %d represents the generate parameter value
-; at a particular iteration (this is the position number if the generate parameter
-; is of an enumeration type).  Embedded whitespace is allowed (but discouraged);
-; leading and trailing whitespace is ignored.
-; Application of the format must result in a unique region name over all
-; loop iterations for a particular immediately enclosing scope so that name
-; lookup can function properly.  The default is %s__%d.
-; GenerateFormat = %s__%d
-
-; Enable more efficient logging of VHDL Variables.
-; Logging VHDL variables without this enabled, while possible, is very
-; inefficient.  Enabling this will provide a more efficient logging methodology
-; at the expense of more memory usage.  By default this feature is disabled (0).
-; To enabled this feature, set this variable to 1.
-; VhdlVariableLogging = 1
-
-; Enable logging of VHDL access type variables and their designated objects.
-; This setting will allow both variables of an access type ("access variables")
-; and their designated objects ("access objects") to be logged.  Logging a
-; variable of an access type will automatically also cause the designated
-; object(s) of that variable to be logged as the simulation progresses.
-; Further, enabling this allows access objects to be logged by name.  By default
-; this feature is disabled (0).  To enable this feature, set this variable to 1.
-; Enabling this will automatically enable the VhdlVariableLogging feature also.
-; AccessObjDebug = 1
-
-; Make each VHDL package in a PDU has its own separate copy of the package instead
-; of sharing the package between PDUs. The default is to share packages.
-; To ensure that each PDU has its own set of packages, set this variable to 1.
-; VhdlSeparatePduPackage = 1
-
-; Specify whether checkpoint files should be compressed.
-; The default is 1 (compressed).
-; CheckpointCompressMode = 0
-
-; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper.
-; Use custom gcc compiler located at this path rather than the default path.
-; The path should point directly at a compiler executable.
-; DpiCppPath = <your-gcc-installation>/bin/gcc
-;
-; Specify the compiler version from the list of support GNU compilers.
-; examples 4.7.4, 5.3.0
-; DpiCppInstall = 5.3.0
-
-; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
-; The term "out-of-the-blue" refers to SystemVerilog export function calls
-; made from C functions that don't have the proper context setup
-; (as is the case when running under "DPI-C" import functions).
-; When this is enabled, one can call a DPI export function
-; (but not task) from any C code.
-; the setting of this variable can be one of the following values:
-; 0 : dpioutoftheblue call is disabled (default)
-; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
-; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
-; DpiOutOfTheBlue = 1
-
-; Specify whether continuous assignments are run before other normal priority
-; processes scheduled in the same iteration. This event ordering minimizes race
-; differences between optimized and non-optimized designs, and is the default
-; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
-; ImmediateContinuousAssign to 0.
-; The default is 1 (enabled).
-; ImmediateContinuousAssign = 0
-
-; List of dynamically loaded objects for Verilog PLI applications
-; Veriuser = veriuser.sl
-
-; Which default VPI object model should the tool conform to?
-; The 1364 modes are Verilog-only, for backwards compatibility with older
-; libraries, and SystemVerilog objects are not available in these modes.
-; 
-; In the absence of a user-specified default, the tool default is the
-; latest available LRM behavior.
-; Options for PliCompatDefault are:
-;  VPI_COMPATIBILITY_VERSION_1364v1995
-;  VPI_COMPATIBILITY_VERSION_1364v2001
-;  VPI_COMPATIBILITY_VERSION_1364v2005
-;  VPI_COMPATIBILITY_VERSION_1800v2005
-;  VPI_COMPATIBILITY_VERSION_1800v2008
-;
-; Synonyms for each string are also recognized:
-;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
-;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
-;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
-;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
-;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
-
-
-; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
-
-; Specify whether the Verilog system task $fopen or vpi_mcd_open()
-; will create directories that do not exist when opening the file
-; in "a" or "w" mode.
-; The default is 0 (do not create non-existent directories)
-; CreateDirForFileAccess = 1
-
-; Specify default options for the restart command. Options can be one
-; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
-; DefaultRestartOptions = -force
-
-
-; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used.
-; Valid options include: all, none, verbose, disable, struct, reseed, msglog, trlog, certe.
-; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-".
-; The list of options must be delimited by commas, without spaces or tabs.
-;
-; Some examples
-; To turn on all available UVM-aware debug features:
-; UVMControl = all
-; To turn on the struct window, mesage logging, and transaction logging:
-; UVMControl = struct,msglog,trlog
-; To turn on all options except certe:
-; UVMControl = all,-certe
-; To completely disable all UVM-aware debug functionality:
-; UVMControl = disable
-
-; Specify the WildcardFilter setting.
-; A space separated list of object types to be excluded when performing
-; wildcard matches with log, wave, etc commands.  The default value for this variable is:
-;   "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile"
-; See "Using the WildcardFilter Preference Variable" in the documentation for
-; details on how to use this variable and for descriptions of the filter types.
-WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile
-
-; Specify the WildcardSizeThreshold setting.
-; This integer setting specifies the size at which objects will be excluded when 
-; performing wildcard matches with log, wave, etc commands.  Objects of size equal
-; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard
-; matches.  The size is a simple calculation of number of bits or items in the object.  
-; The default value is 8k (8192).  Setting this value to 0 will disable the checking 
-; of object size against this threshold and allow all objects of any size to be logged.
-WildcardSizeThreshold = 8192
-
-; Specify whether warning messages are output when objects are filtered out due to the
-; WildcardSizeThreshold.  The default is 0 (no messages generated).
-WildcardSizeThresholdVerbose = 0
-
-; Turn on (1) or off (0) WLF file compression.
-; The default is 1 (compress WLF file).
-; WLFCompress = 0
-
-; Specify whether to save all design hierarchy (1) in the WLF file
-; or only regions containing logged signals (0).
-; The default is 0 (save only regions with logged signals).
-; WLFSaveAllRegions = 1
-
-; WLF file time limit.  Limit WLF file by time, as closely as possible,
-; to the specified amount of simulation time.  When the limit is exceeded
-; the earliest times get truncated from the file.
-; If both time and size limits are specified the most restrictive is used.
-; UserTimeUnits are used if time units are not specified.
-; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
-; WLFTimeLimit = 0
-
-; WLF file size limit.  Limit WLF file size, as closely as possible,
-; to the specified number of megabytes.  If both time and size limits
-; are specified then the most restrictive is used.
-; The default is 0 (no limit).
-; WLFSizeLimit = 1000
-
-; Specify whether or not a WLF file should be deleted when the
-; simulation ends.  A value of 1 will cause the WLF file to be deleted.
-; The default is 0 (do not delete WLF file when simulation ends).
-; WLFDeleteOnQuit = 1
-
-; Specify whether or not a WLF file should be optimized during 
-; simulation.  If set to 0, the WLF file will not be optimized.
-; The default is 1, optimize the WLF file.
-; WLFOptimize = 0
-
-; Specify the name of the WLF file.
-; The default is vsim.wlf
-; WLFFilename = vsim.wlf
-
-; Specify whether to lock the WLF file.
-; Locking the file prevents other invocations of ModelSim/Questa tools from
-; inadvertently overwriting the WLF file.
-; The default is 1, lock the WLF file.
-; WLFFileLock = 0
-
-; Specify the update interval for the WLF file in live simulation.
-; The interval is given in seconds.
-; The value is the smallest interval between WLF file updates.  The WLF file
-; will be flushed (updated) after (at least) the interval has elapsed, ensuring
-; that the data is correct when viewed from a separate viewer.
-; A value of 0 means that no updating will occur.
-; The default value is 10 seconds.
-; WLFUpdateInterval = 10
-
-; Specify the WLF cache size limit for WLF files.
-; The value is given in megabytes.  A value of 0 turns off the cache.
-; On non-Windows platforms the default WLFCacheSize setting is 2000 (megabytes).
-; On Windows, the default value is 1000 (megabytes) to help to avoid filling
-; process memory.
-; WLFSimCacheSize allows a different cache size to be set for a live simulation
-; WLF file, independent of post-simulation WLF file viewing.  If WLFSimCacheSize
-; is not set, it defaults to the WLFCacheSize value.
-; WLFCacheSize = 2000
-; WLFSimCacheSize = 500
-
-; Specify the WLF file event collapse mode.
-; 0 = Preserve all events and event order. (same as -wlfnocollapse)
-; 1 = Only record values of logged objects at the end of a simulator iteration. 
-;     (same as -wlfcollapsedelta)
-; 2 = Only record values of logged objects at the end of a simulator time step. 
-;     (same as -wlfcollapsetime)
-; The default is 1.
-; WLFCollapseMode = 0
-
-; Specify whether WLF file logging can use threads on multi-processor machines.
-; If 0, no threads will be used; if 1, threads will be used if the system has
-; more than one processor.
-; WLFUseThreads = 1
-
-; Specify the size of objects that will trigger "large object" messages
-; at log/wave/list time.  The size calculation of the object is the same as that
-; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000.
-; Setting LargeObjectSize to 0 will disable these messages.
-; LargeObjectSize = 500000
-
-; Specify the depth of stack frames returned by $stacktrace([level]).
-; This depth will be picked up when the optional 'level' argument
-; is not specified or its value is not a positive integer. 
-; StackTraceDepth = 100
-
-; Turn on/off undebuggable SystemC type warnings. Default is on.
-; ShowUndebuggableScTypeWarning = 0
-
-; Turn on/off unassociated SystemC name warnings. Default is off.
-; ShowUnassociatedScNameWarning = 1
-
-; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
-; ScShowIeeeDeprecationWarnings = 1
-
-; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
-; For SystemC-2.3.2 the valid values are 0,1 and 2
-;     0 = SC_SIGNAL_WRITE_CHECK_DISABLE_
-;     1 = SC_SIGNAL_WRITE_CHECK_DEFAULT_
-;     2 = SC_SIGNAL_WRITE_CHECK_CONFLICT_
-; For SystemC-2.2 the valid values are 0 and 1
-;     0 = DISABLE
-;     1 = ENABLE
-; ScEnableScSignalWriteCheck = 1
-
-; Set SystemC default time unit.
-; Set to fs, ps, ns, us, ms, or sec with optional 
-; prefix of 1, 10, or 100.  The default is 1 ns.
-; The ScTimeUnit value is honored if it is coarser than Resolution.
-; If ScTimeUnit is finer than Resolution, it is set to the value
-; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
-; then the default time unit will be 1 ns.  However if Resolution 
-; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
-ScTimeUnit = ns
-
-; Set SystemC sc_main stack size. The stack size is set as an integer
-; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
-; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
-; on the amount of data on the sc_main() stack and the memory required
-; to succesfully execute the longest function call chain of sc_main().
-ScMainStackSize = 10 Mb
-
-; Set SystemC thread stack size. The stack size is set as an integer
-; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
-; Gb(Giga-byte). The stack size for sc_thread depends
-; on the amount of data on the sc_thread stack and the memory required
-; to succesfully execute the thread.
-; ScStackSize = 1 Mb
-
-; Turn on/off execution of remainder of sc_main upon quitting the current
-; simulation session. If the cumulative length of sc_main() in terms of 
-; simulation time units is less than the length of the current simulation
-; run upon quit or restart, sc_main() will be in the middle of execution.
-; This switch gives the option to execute the remainder of sc_main upon
-; quitting simulation. The drawback of not running sc_main till the end
-; is memory leaks for objects created by sc_main. If on, the remainder of
-; sc_main will be executed ignoring all delays. This may cause the simulator
-; to crash if the code in sc_main is dependent on some simulation state.
-; Default is on.
-ScMainFinishOnQuit = 1
-
-; Enable calling of the DPI export taks/functions from the
-; SystemC start_of_simulation() callback.
-; The default is off.
-; EnableDpiSosCb = 1
-
-
-; Set the SCV relationship name that will be used to identify phase
-; relations.  If the name given to a transactor relation matches this
-; name, the transactions involved will be treated as phase transactions
-ScvPhaseRelationName = mti_phase
-
-; Customize the vsim kernel shutdown behavior at the end of the simulation.
-; Some common causes of the end of simulation are $finish (implicit or explicit), 
-; sc_stop(), tf_dofinish(), and assertion failures. 
-; This should be set to "ask", "exit", or "stop". The default is "ask".
-; "ask"   -- In batch mode, the vsim kernel will abruptly exit.  
-;            In GUI mode, a dialog box will pop up and ask for user confirmation 
-;            whether or not to quit the simulation.
-; "stop"  -- Cause the simulation to stay loaded in memory. This can make some 
-;            post-simulation tasks easier.
-; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
-; "final" -- Run SystemVerilog final blocks then behave as "stop".
-; Note: This variable can be overridden with the vsim "-onfinish" command line switch.
-OnFinish = ask
-
-; Print pending deferred assertion messages. 
-; Deferred assertion messages may be scheduled after the $finish in the same 
-; time step. Deferred assertions scheduled to print after the $finish are 
-; printed before exiting with severity level NOTE since it's not known whether
-; the assertion is still valid due to being printed in the active region
-; instead of the reactive region where they are normally printed.
-; OnFinishPendingAssert = 1;
-
-; Print "simstats" result. Default is 0.
-; 0 == do not print simstats
-; 1 == print at end of simulation
-; 2 == print at end of each run command and end of simulation
-; PrintSimStats = 1
-
-; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
-; AssertFile = assert.log
-
-; Enable assertion counts. Default is off.
-; AssertionCounts = 1
-
-; Run simulator in assertion debug mode. Default is off.
-; AssertionDebug = 1
-
-; Turn on/off PSL/SVA/VHDL assertion enable. Default is on.
-; AssertionEnable = 0
-
-; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1.
-; Any positive integer, -1 for infinity.
-; AssertionLimit = 1
-
-; Turn on/off concurrent assertion pass log. Default is off. 
-; Assertion pass logging is only enabled when assertion is browseable 
-; and assertion debug is enabled.
-; AssertionPassLog = 1
-
-; Turn on/off PSL concurrent assertion fail log. Default is on.
-; The flag does not affect SVA
-; AssertionFailLog = 0
-
-; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
-; AssertionFailLocalVarLog = 0
-
-; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
-; 0 = Continue  1 = Break  2 = Exit
-; AssertionFailAction = 1
-
-; Enable the active thread monitor in the waveform display when assertion debug is enabled.
-; AssertionActiveThreadMonitor = 1
-
-; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
-; AssertionActiveThreadMonitorLimit = 5
-
-; Assertion thread limit after which assertion would be killed/switched off. 
-; The default is -1 (unlimited). If the number of threads for an assertion go 
-; beyond this limit, the assertion would be either switched off or killed. This
-; limit applies to only assert directives.
-;AssertionThreadLimit = -1
-
-; Action to be taken once the assertion thread limit is reached. Default 
-; is kill. It can have a value of off or kill. In case of kill, all the existing
-; threads are terminated and no new attempts are started. In case of off, the 
-; existing attempts keep on evaluating but no new attempts are started. This 
-; variable applies to only assert directives.
-;AssertionThreadLimitAction = kill
-
-; Cover thread limit after which cover would be killed/switched off. 
-; The default is -1 (unlimited). If the number of threads for a cover go 
-; beyond this limit, the cover would be either switched off or killed. This
-; limit applies to only cover directives.
-;CoverThreadLimit = -1
-
-; Action to be taken once the cover thread limit is reached. Default 
-; is kill. It can have a value of off or kill. In case of kill, all the existing
-; threads are terminated and no new attempts are started. In case of off, the 
-; existing attempts keep on evaluating but no new attempts are started. This 
-; variable applies to only cover directives.
-;CoverThreadLimitAction = kill
-
-
-; By default immediate assertions do not participate in Assertion Coverage calculations
-; unless they are executed.  This switch causes all immediate assertions in the design
-; to participate in Assertion Coverage calculations, whether attempted or not.
-; UnattemptedImmediateAssertions = 0
-
-; By default immediate covers participate in Coverage calculations 
-; whether they are attempted or not. This switch causes all unattempted 
-; immediate covers in the design to stop participating in Coverage 
-; calculations.
-; UnattemptedImmediateCovers = 0
-
-; By default pass action block is not executed for assertions on vacuous 
-; success. The following variable is provided to enable execution of 
-; pass action block on vacuous success. The following variable is only effective
-; if the user does not disable pass action block execution by using either 
-; system tasks or CLI. Also there is a performance penalty for enabling 
-; the following variable. 
-;AssertionEnableVacuousPassActionBlock = 1
-
-; As per strict 1850-2005 PSL LRM, an always property can either pass
-; or fail. However, by default, Questa reports multiple passes and
-; multiple fails on top always/never property (always/never operator
-; is the top operator under Verification Directive). The reason
-; being that Questa reports passes and fails on per attempt of the
-; top always/never property. Use the following flag to instruct
-; Questa to strictly follow LRM. With this flag, all assert/never
-; directives will start an attempt once at start of simulation.
-; The attempt can either fail, match or match vacuously.
-; For e.g. if always is the top operator under assert, the always will
-; keep on checking the property at every clock. If the property under
-; always fails, the directive will be considered failed and no more 
-; checking will be done for that directive. A top always property,
-; if it does not fail, will show a pass at end of simulation.
-; The default value is '0' (i.e. zero is off). For example:
-; PslOneAttempt = 1
-
-; Specify the number of clock ticks to represent infinite clock ticks.
-; This affects eventually!, until! and until_!. If at End of Simulation
-; (EOS) an active strong-property has not clocked this number of
-; clock ticks then neither pass or fail (vacuous match) is returned
-; else respective fail/pass is returned. The default value is '0' (zero)
-; which effectively does not check for clock tick condition. For example:
-; PslInfinityThreshold = 5000
-
-; Control how many thread start times will be preserved for ATV viewing for a given assertion
-; instance.  Default is -1 (ALL).
-; ATVStartTimeKeepCount = -1
-
-; Turn on/off code coverage
-; CodeCoverage = 0
-
-; This option applies to condition and expression coverage UDP tables. It
-; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp.
-; If this option is used and a match occurs in more than one row in the UDP table,
-; none of the counts for all matching rows is incremented. By default, counts are
-; incremented for all matching rows.
-; CoverCountAll = 1
-
-; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
-; is to include them.
-; ToggleNoIntegers = 1
-
-; Set the maximum number of values that are collected for toggle coverage of
-; VHDL integers. Default is 100;
-; ToggleMaxIntValues = 100
-
-; Set the maximum number of values that are collected for toggle coverage of
-; Verilog real. Default is 100;
-; ToggleMaxRealValues = 100
-
-; Turn on automatic inclusion of Verilog integers in toggle coverage, except
-; for enumeration types. Default is to include them.
-; ToggleVlogIntegers = 0
-
-; Turn on automatic inclusion of Verilog real type in toggle coverage, except
-; for shortreal types. Default is to not include them.
-; ToggleVlogReal = 1
-
-; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays
-; and VHDL arrays-of-arrays in toggle coverage.
-; Default is to not include them.
-; ToggleFixedSizeArray = 1
-
-; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays,
-; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage.
-; This leads to a longer simulation time with bigger arrays covered with toggle coverage.
-; Default is 1024.
-; ToggleMaxFixedSizeArray = 1024
-
-; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized
-; one-dimensional packed vectors for toggle coverage. Default is 0.
-; TogglePackedAsVec = 0
-
-; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for
-; toggle coverage. Default is 0.
-; ToggleVlogEnumBits = 0
-
-; Turn off automatic inclusion of VHDL records in toggle coverage.
-; Default is to include them.
-; ToggleVHDLRecords = 0
-
-; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
-; For unlimited width, set to 0.
-; ToggleWidthLimit = 128
-
-; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
-; reached this count, further activity on the bit is ignored. Default is 1.
-; For unlimited counts, set to 0.
-; ToggleCountLimit = 1
-
-; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3.
-; Following is the toggle coverage calculation criteria based on extended toggle mode:
-; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z').
-; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'.
-; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions.
-; ExtendedToggleMode = 3
-
-; Enable toggle statistics collection only for ports. Default is 0.
-; TogglePortsOnly = 1
-
-; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has
-; reached this count, further tracking of the input patterns linked to it is ignored.
-; Default is 1. For unlimited counts, set to 0.
-; NOTE: Changing this value from its default value may affect simulation performance.
-; FecCountLimit = 1
-
-; Limit the counts that are tracked for UDP Coverage. When a bin has
-; reached this count, further tracking of the input patterns linked to it is ignored.
-; Default is 1. For unlimited counts, set to 0.
-; NOTE: Changing this value from its default value may affect simulation performance.
-; UdpCountLimit = 1
-
-; Control toggle coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either 
-; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; ToggleDeglitchPeriod = 10.0ps
-
-; Turn on/off all PSL/SVA cover directive enables.  Default is on.
-; CoverEnable = 0
-
-; Turn on/off PSL/SVA cover log.  Default is off "0".
-; CoverLog = 1
-
-; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
-; CoverAtLeast = 2
-
-; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
-; Any positive integer, -1 for infinity.
-; CoverLimit = 1
-
-; Specify the coverage database filename.
-; Default is "" (i.e. database is NOT automatically saved on close). 
-; UCDBFilename = vsim.ucdb
-
-; Specify the maximum limit for the number of Cross (bin) products reported
-; in XML and UCDB report against a Cross. A warning is issued if the limit
-; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this
-; setting.
-; MaxReportRhsSVCrossProducts = 1000
-
-; Specify the override for the "auto_bin_max" option for the Covergroups.
-; If not specified then value from Covergroup "option" is used.
-; SVCoverpointAutoBinMax = 64
-
-; Specify the override for the value of "cross_num_print_missing"
-; option for the Cross in Covergroups. If not specified then value
-; specified in the "option.cross_num_print_missing" is used. This
-; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
-; value specified by user in source file and any SVCrossNumPrintMissingDefault
-; specified in modelsim.ini.
-; SVCrossNumPrintMissing = 0
-
-; Specify whether to use the value of "cross_num_print_missing"
-; option in report and GUI for the Cross in Covergroups. If not specified then 
-; cross_num_print_missing is ignored for creating reports and displaying 
-; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
-; UseSVCrossNumPrintMissing = 0
-
-; Specify the threshold of Coverpoint wildcard bin value range size, above which 
-; a warning will be triggered. The default is 4K -- 12 wildcard bits.
-; SVCoverpointWildCardBinValueSizeWarn = 4096
-
-; Specify the override for the value of "strobe" option for the
-; Covergroup Type. If not specified then value in "type_option.strobe"
-; will be used. This is runtime option which forces "strobe" to
-; user specified value and supersedes user specified values in the
-; SystemVerilog Code. NOTE: This also overrides the compile time
-; default value override specified using "SVCovergroupStrobeDefault"
-; SVCovergroupStrobe = 0
-
-; Override for explicit assignments in source code to "option.goal" of
-; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
-; default value of "option.goal" (defined to be 100 in the SystemVerilog
-; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
-; SVCovergroupGoal = 100
-
-; Override for explicit assignments in source code to "type_option.goal" of
-; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
-; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
-; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
-; SVCovergroupTypeGoal = 100
-
-; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
-; builtin functions, and report. This setting changes the default values of
-; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
-; behavior if explicit assignments are not made on option.get_inst_coverage and
-; type_option.merge_instances by the user. There are two vsim command line
-; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
-; The default value of this variable from release 6.6 onwards is 0. This default
-; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
-; SVCovergroup63Compatibility = 0
-
-; Enforce the default behavior of covergroup get_coverage() builtin function, GUI
-; and report. This variable sets the default value of type_option.merge_instances.
-; There are two vsim command line options, -cvgmergeinstances and 
-; -nocvgmergeinstances to override this setting from vsim command line.
-; The default value of this variable, -1 (don't care), allows the tool to determine
-; the effective value, based on factors related to capacity and optimization. 
-; The type_option.merge_instances appears in the GUI and coverage reports as either 
-; auto(1) or auto(0), depending on whether the effective value was determined to 
-; be a 1 or a 0.
-; SVCovergroupMergeInstancesDefault = -1
-
-; Enable or disable generation of more detailed information about the sampling
-; of covergroup, cross, and coverpoints. It provides the details of the number
-; of times the covergroup instance and type were sampled, as well as details
-; about why covergroup, cross and coverpoint were not covered. A non-zero value
-; is to enable this feature. 0 is to disable this feature. Default is 0
-; SVCovergroupSampleInfo = 0
-
-; Specify the maximum number of Coverpoint bins in whole design for
-; all Covergroups.
-; MaxSVCoverpointBinsDesign = 2147483648 
-
-; Specify maximum number of Coverpoint bins in any instance of a Covergroup, default is 2^10 bins
-; MaxSVCoverpointBinsInst = 1048576
-
-; Specify the maximum number of Cross bins in whole design for
-; all Covergroups.
-; MaxSVCrossBinsDesign = 2147483648 
-
-; Specify maximum number of Cross bins in any instance of a Covergroup, default is 2^16 bins
-; MaxSVCrossBinsInst = 67108864
-
-; Specify whether vsim will collect the coverage data of zero-weight coverage items or not.
-; By default, this variable is set 0, in which case option.no_collect setting will take effect.
-; If this variable is set to 1, all zero-weight coverage items will not be saved.
-; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting 
-; of this variable.
-; CvgZWNoCollect = 1
-
-; Specify a space delimited list of double quoted TCL style
-; regular expressions which will be matched against the text of all messages.
-; If any regular expression is found to be contained within any message, the 
-; status for that message will not be propagated to the UCDB TESTSTATUS. 
-; If no match is detected, then the status will be propagated to the
-; UCDB TESTSTATUS. More than one such regular expression text is allowed, 
-; and each message text is compared for each regular expression in the list.
-; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message" 
-
-; Set weight for all PSL/SVA cover directives.  Default is 1.
-; CoverWeight = 2
-
-; Check vsim plusargs.  Default is 0 (off).
-; 0 = Don't check plusargs
-; 1 = Warning on unrecognized plusarg
-; 2 = Error and exit on unrecognized plusarg
-; CheckPlusargs = 1
-
-; Load the specified shared objects with the RTLD_GLOBAL flag.
-; This gives global visibility to all symbols in the shared objects,
-; meaning that subsequently loaded shared objects can bind to symbols
-; in the global shared objects.  The list of shared objects should
-; be whitespace delimited.  This option is not supported on the
-; Windows or AIX platforms.
-; GlobalSharedObjectList = example1.so example2.so example3.so
-
-; Generate the stub definitions for the undefined symbols in the shared libraries being
-; loaded in the simulation. When this flow is turned on, the undefined symbols will not
-; prevent vsim from loading. Calling undefined symbols at runtime will cause fatal error.
-; The valid arguments are: on, off, verbose. 
-;     on : turn on the automatic generation of stub definitions.
-;     off: turn off the flow. The undefined symbols will trigger an immediate load failure.
-;     verbose: Turn on the flow and report the undefined symbols for each shared library.
-; NOTE: This variable can be overriden with vsim switch "-undefsyms".
-; The default is on.
-;
-; UndefSyms = off
-
-; Enable the support for checkpointing foreign C++ libraries.
-; The valid arguments are: 1 and 0. 
-;     1 : turn on the support
-;     0 : turn off the support (default)
-; This option is not supported on the Windows platforms.
-;
-; AllowCheckpointCpp = 1
-
-; Initial seed for the random number generator of the root thread (SystemVerilog).
-; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch.
-; The default value is 0.
-; Sv_Seed = 0
-
-; Specify the solver "engine" that vsim will select for constrained random
-; generation.
-; Valid values are:
-;    "auto" - automatically select the best engine for the current
-;             constraint scenario
-;    "bdd"  - evaluate all constraint scenarios using the BDD solver engine
-;    "act"  - evaluate all constraint scenarios using the ACT solver engine
-; While the BDD solver engine is generally efficient with constraint scenarios
-; involving bitwise logical relationships, the ACT solver engine can exhibit
-; superior performance with constraint scenarios involving large numbers of
-; random variables related via arithmetic operators (+, *, etc).
-; NOTE: This variable can be overridden with the vsim "-solveengine" command
-; line switch.
-; The default value is "auto".
-; SolveEngine = auto
-
-; Specifies the maximum size that a dynamic array may be resized to by the
-; solver. If the solver attempts to resize a dynamic array to a size greater
-; than the specified limit, the solver will abort with an error.
-; The default value is 10000. A value of 0 indicates no limit.
-; SolveArrayResizeMax = 10000
-
-; Specify error message severity when randomize() and randomize(null) failures
-; are detected.
-;
-; Integer value up to two digits are allowed with each digit having the following legal values:
-; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-;
-; 1) When a value with two digits is used, the digit at tenth place (leftmost digit) represents
-;    the severtity setting for normal randomize() calls. The digit at ones place (rightmost digit)
-;    represents the setting for randomize(null) calls.
-;
-; 2) When a single digit value is used, the setting is applied to both normal randomize() call 
-;    and randomize(null) call.
-;
-; Example: Fatal error for randomize() failures and NO error for randomize(null) failures
-;   -solvefailseverity=40
-;
-; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is
-; enabled, a constraint contradiction report will be displayed for randomize() calls that
-; have a message severity >= warning (i.e. constraint contradiction reports will not be
-; generated for randomize() calls having a "no error" severity level)
-;
-; NOTE: This variable can be overridden with the vsim "-solvefailseverity" command
-; line switch.
-;
-; The default is 1 (warning).
-; SolveFailSeverity = 1
-
-; Error message severity for suppressible errors that are detected in a
-; solve/before constraint.
-; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity"
-; command line switch.
-; The default is 3 (failure).
-; SolveBeforeErrorSeverity = 3
-
-; Error message severity for suppressible errors that are related to
-; solve engine capacity limits
-; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-; NOTE: This variable can be overridden with the vsim "-solveengineerrorseverity"
-; command line switch.
-; The default is 3 (failure).
-; SolveEngineErrorSeverity = 3
-
-; Enable/disable constraint conflicts on randomize() failure
-; Valid values:
-;     0 - disable solvefaildebug
-;     1 - basic debug (no performance penalty)
-;     2 - enhanced debug (runtime performance penalty)
-;
-; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is
-; enabled, a constraint contradiction report will be displayed for randomize() calls that
-; have a message severity >= warning (i.e. constraint contradiction reports will not be
-; generated for randomize() calls having a "no error" severity level)
-;
-; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command
-; line switch.
-;
-; The default is 1 (basic debug).
-; SolveFailDebug = 1
-
-; Upon encountering a randomize() failure, generate a simplified testcase that
-; will reproduce the failure. Optionally output the testcase to a file.
-; Testcases for 'no-solution' failures will only be produced if SolveFailDebug
-; is enabled (see above).
-; NOTE: This variable can be overridden with the vsim "-solvefailtestcase"
-; command line switch.
-; The default is OFF (do not generate a testcase). To enable testcase
-; generation, uncomment this variable. To redirect testcase generation to a
-; file, specify the name of the output file.
-; SolveFailTestcase = 
-
-; Specify solver timeout threshold (in seconds). randomize() will fail if the
-; CPU time required to evaluate any randset exceeds the specified timeout.
-; The default value is 500. A value of 0 will disable timeout failures. 
-; SolveTimeout = 500
-
-; Specify the maximum size of the solution graph generated by the BDD solver.
-; This value can be used to force the BDD solver to abort the evaluation of a
-; complex constraint scenario that cannot be evaluated with finite memory.
-; This value is specified in 1000s of nodes.
-; The default value is 10000. A value of 0 indicates no limit.
-; SolveGraphMaxSize = 10000
-
-; Specify the maximum number of evaluations that may be performed on the
-; solution graph by the BDD solver. This value can be used to force the BDD
-; solver to abort the evaluation of a complex constraint scenario that cannot
-; be evaluated in finite time. This value is specified in 10000s of evaluations.
-; The default value is 10000. A value of 0 indicates no limit.
-; SolveGraphMaxEval = 10000
-
-; Specify random sequence compatiblity with a prior release. This 
-; option is used to get the same random sequences during simulation as
-; as a prior release. Only prior releases with the same major version
-; as the current release are allowed.
-; NOTE: Only those random sequence changes due to solver optimizations are
-; reverted by this variable. Random sequence changes due to solver bugfixes
-; cannot be un-done.
-; NOTE: This variable can be overridden with the vsim "-solverev" command
-; line switch.
-; Default value set to "" (no compatibility).
-; SolveRev =
-
-; Environment variable expansion of command line arguments has been depricated 
-; in favor shell level expansion.  Universal environment variable expansion 
-; inside -f files is support and continued support for MGC Location Maps provide
-; alternative methods for handling flexible pathnames.
-; The following line may be uncommented and the value set to 1 to re-enable this 
-; deprecated behavior.  The default value is 0.
-; DeprecatedEnvironmentVariableExpansion = 0
-
-; Specify the memory threshold for the System Verilog garbage collector.
-; The value is the number of megabytes of class objects that must accumulate
-; before the garbage collector is run.
-; The GCThreshold setting is used when class debug mode is disabled to allow
-; less frequent garbage collection and better simulation performance.
-; The GCThresholdClassDebug setting is used when class debug mode is enabled
-; to allow for more frequent garbage collection.
-; GCThreshold = 100
-; GCThresholdClassDebug = 5
-
-; Turn on/off collapsing of bus ports in VCD dumpports output
-DumpportsCollapse = 1
-
-; Location of Multi-Level Verification Component (MVC) installation. 
-; The default location is the product installation directory.
-MvcHome = $MODEL_TECH/..
-
-; Location of InFact installation. The default is $MODEL_TECH/../../infact
-;
-; InFactHome = $MODEL_TECH/../../infact
-
-; Initialize SystemVerilog enums using the base type's default value
-; instead of the leftmost value.
-; EnumBaseInit = 1
-
-; Suppress file type registration.  
-; SuppressFileTypeReg = 1
-
-; Enable/disable non-LRM compliant SystemVerilog language extensions.
-; Valid extensions are:
-;   altdpiheader - Alternative style function signature generated in DPI header",
-;   cfce         - generate an error if $cast fails as a function
-;   cfmt         - C like formatting for specifiers with '#' prefix ('%#x', '%#h')
-;   dfsp         - sets default format specifier as %p, if no format specifier is given for unpacked array in $display and related systasks
-;   expdfmt      - enable format string extensions for $display/$sformatf
-;   extscan      - support values greater than 32 bit for string builtin methods (atohex, atobin, atooct, atoi)
-;   fmtcap       - prints capital hex digits with %X/%H in display calls
-;   iddp         - ignore DPI disable protocol check
-;   lfmt         - zero-pad data if '0' prefixes width in format specifier (e.g. "%04h")
-;   noexptc      - ignore DPI export type name overloading check
-;   realrand     - support randomize() with real variables and constraints (Default)
-; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Enable/disable non-LRM compliant SystemVerilog constrained-random language extensions.
-; Valid extensions are:
-;   arraymode    - consider rand_mode of unpacked array field independently from its elements
-;   deepcheck    - allow randomize(null) to recursively consider constraints from member rand class handles (Default)
-;   funcback     - enable function backtracking (ACT only)
-;   genmodseedfix - enable LRM-compliant seeding of module/interface instances under for-generate blocks (Default)
-;   nodist       - interpret 'dist' constraint as 'inside' (ACT only)
-;   noorder      - ignore solve/before ordering constraints (ACT only)
-;   pathseed     - enable unique seeding of module instances based on hierarchical path name
-;   promotedist  - promote priority of 'dist' constraint if LHS has no solve/before
-;   randindex    - allow random index in constraint (Default)
-;   randstruct   - consider all fields of unpacked structs as 'rand'
-;   skew         - skew randomize results (ACT only)
-;   strictstab   - strict random stability
-; SvRandExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Controls the formatting of '%p' and '%P' conversion specification, used in $display
-; and similar system tasks.
-; 1. SVPrettyPrintFlags=I<n><S|T> use <n> spaces(S) or tabs(T) per indentation level. 
-;    The 'I' flag when present causes relevant data types to be expanded and indented into
-;    a more readable format.
-;    (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level).
-; 2. SVPrettyPrintFlags=L<numLines> limits the output to <numLines> lines.
-;    (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines).
-; 3. SVPrettyPrintFlags=C<numChars> limits the output to <numChars> characters.
-;    (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters).
-; 4. SVPrettyPrintFlags=F<numFields> limits the output to <numFields> of relevant datatypes
-;    (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure).
-; 5. SVPrettyPrintFlags=E<numElements> limits the output to <numElements> of relevant datatypes
-;    (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array).
-; 6. SVPrettyPrintFlags=D<depth> suppresses the output of sub-elements below <depth>.
-;    (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5).
-; 7. SVPrettyPrintFlags=R<specifier> shows the output of specifier %p as per the specifed radix.
-;    It changes the output in $display and similar systasks. It does not affect formatted output functions ($displayh etc)).
-;    (e.g. SVPrettyPrintFlags=Rb will show the output of %p specifier in binary format.
-; 8. Items 1-7 above can be combined as a comma separated list.
-;    (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5,Rb)
-; SVPrettyPrintFlags=I4S
-
-[lmc]
-; The simulator's interface to Logic Modeling's SmartModel SWIFT software
-libsm = $MODEL_TECH/libsm.sl
-; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
-; libsm = $MODEL_TECH/libsm.dll
-;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
-; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
-;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
-; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
-;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
-; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
-;  Logic Modeling's SmartModel SWIFT software (Windows NT)
-; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
-;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
-; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
-;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
-; libswift = $LMC_HOME/lib/linux.lib/libswift.so
-
-; The simulator's interface to Logic Modeling's hardware modeler SFI software
-libhm = $MODEL_TECH/libhm.sl
-; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
-; libhm = $MODEL_TECH/libhm.dll
-;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
-; libsfi = <sfi_dir>/lib/hp700/libsfi.sl
-;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
-; libsfi = <sfi_dir>/lib/rs6000/libsfi.a
-;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
-; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so
-;  Logic Modeling's hardware modeler SFI software (Windows NT)
-; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll
-;  Logic Modeling's hardware modeler SFI software (Linux)
-; libsfi = <sfi_dir>/lib/linux/libsfi.so
-
-[msg_system]
-; Change a message severity or suppress a message.
-; The format is: <msg directive> = <msg number>[,<msg number>...]
-; suppress can be used to achieve +nowarn<CODE> functionality
-; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...]
-; Examples:
-suppress = 8780 ;an explanation can be had by running: verror 8780 
-;   note = 3009
-;   warning = 3033
-;   error = 3010,3016
-;   fatal = 3016,3033
-;   suppress = 3009,3016,3601
-;   suppress = 3009,CNNODP,3601,TFMPC
-;   suppress = 8683,8684
-; The command verror <msg number> can be used to get the complete
-; description of a message.
-
-; Control transcripting of Verilog display system task messages and
-; PLI/FLI print function call messages.  The system tasks include
-; $display[bho], $strobe[bho], $monitor[bho], and $write[bho].  They
-; also include the analogous file I/O tasks that write to STDOUT 
-; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
-; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
-; is to have messages appear only in the transcript.  The other 
-; settings are to send messages to the wlf file only (messages that
-; are recorded in the wlf file can be viewed in the MsgViewer) or 
-; to both the transcript and the wlf file.  The valid values are
-;    tran  {transcript only (default)}
-;    wlf   {wlf file only}
-;    both  {transcript and wlf file}
-; displaymsgmode = tran
-
-; Control transcripting of elaboration/runtime messages not
-; addressed by the displaymsgmode setting.  The default is to 
-; have messages appear only in the transcript.  The other settings
-; are to send messages to the wlf file only (messages that are
-; recorded in the wlf file can be viewed in the MsgViewer) or to both
-; the transcript and the wlf file. The valid values are
-;    tran  {transcript only (default)}
-;    wlf   {wlf file only}
-;    both  {transcript and wlf file}
-; msgmode = tran
-
-; Controls number of displays of a particluar message
-; default value is 5
-; MsgLimitCount = 5
-
-[utils]
-; Default Library Type (while creating a library with "vlib")
-;  0 - legacy library using subdirectories for design units
-;  2 - flat library
-; DefaultLibType = 2
-
-; Flat Library Page Size (while creating a library with "vlib")
-; Set the size in bytes for flat library file pages.  Libraries containing
-; very large files may benefit from a larger value.
-; FlatLibPageSize = 8192
-
-; Flat Library Page Cleanup Percentage (while creating a library with "vlib")
-; Set the percentage of total pages deleted before library cleanup can occur.
-; This setting is applied together with FlatLibPageDeleteThreshold.
-; FlatLibPageDeletePercentage = 50
-
-; Flat Library Page Cleanup Threshold (while creating a library with "vlib")
-; Set the number of pages deleted before library cleanup can occur.
-; This setting is applied together with FlatLibPageDeletePercentage.
-; FlatLibPageDeleteThreshold = 1000
-
-[Project]
-** Warning: ; Warning -- Do not edit the project properties directly.
-;            Property names are dynamic in nature and property
-;            values have special syntax.  Changing property data directly
-;            can result in a corrupt MPF file.  All project properties
-;            can be modified through project window dialogs.
-Project_Version = 6
-Project_DefaultLib = work
-Project_SortMethod = unused
-Project_Files_Count = 2
-Project_File_0 = H:/Msc project/add/posit_add_8bit_tb.v
-Project_File_P_0 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1658844880 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0
-Project_File_1 = H:/Msc project/add/posit_add.v
-Project_File_P_1 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1658844880 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
-Project_Sim_Count = 0
-Project_Folder_Count = 0
-Echo_Compile_Output = 0
-Save_Compile_Report = 1
-Project_Opt_Count = 0
-ForceSoftPaths = 0
-ProjectStatusDelay = 5000
-VERILOG_DoubleClick = Edit
-VERILOG_CustomDoubleClick = 
-SYSTEMVERILOG_DoubleClick = Edit
-SYSTEMVERILOG_CustomDoubleClick = 
-VHDL_DoubleClick = Edit
-VHDL_CustomDoubleClick = 
-PSL_DoubleClick = Edit
-PSL_CustomDoubleClick = 
-TEXT_DoubleClick = Edit
-TEXT_CustomDoubleClick = 
-SYSTEMC_DoubleClick = Edit
-SYSTEMC_CustomDoubleClick = 
-TCL_DoubleClick = Edit
-TCL_CustomDoubleClick = 
-MACRO_DoubleClick = Edit
-MACRO_CustomDoubleClick = 
-VCD_DoubleClick = Edit
-VCD_CustomDoubleClick = 
-SDF_DoubleClick = Edit
-SDF_CustomDoubleClick = 
-XML_DoubleClick = Edit
-XML_CustomDoubleClick = 
-LOGFILE_DoubleClick = Edit
-LOGFILE_CustomDoubleClick = 
-UCDB_DoubleClick = Edit
-UCDB_CustomDoubleClick = 
-TDB_DoubleClick = Edit
-TDB_CustomDoubleClick = 
-UPF_DoubleClick = Edit
-UPF_CustomDoubleClick = 
-PCF_DoubleClick = Edit
-PCF_CustomDoubleClick = 
-PROJECT_DoubleClick = Edit
-PROJECT_CustomDoubleClick = 
-VRM_DoubleClick = Edit
-VRM_CustomDoubleClick = 
-DEBUGDATABASE_DoubleClick = Edit
-DEBUGDATABASE_CustomDoubleClick = 
-DEBUGARCHIVE_DoubleClick = Edit
-DEBUGARCHIVE_CustomDoubleClick = 
-Project_Major_Version = 2020
-Project_Minor_Version = 1
diff --git a/posit_adder_verilog/adde.cr.mti b/posit_adder_verilog/adde.cr.mti
deleted file mode 100644
index 66598df..0000000
--- a/posit_adder_verilog/adde.cr.mti
+++ /dev/null
@@ -1,29 +0,0 @@
-{H:/Msc project/add/posit_add_8bit_tb.v} {1 {vlog -work work -vopt -stats=none {H:/Msc project/add/posit_add_8bit_tb.v}
-Model Technology ModelSim SE-64 vlog 2020.1 Compiler 2020.01 Jan 28 2020
--- Compiling module posit_add_8bit_tb_v
-
-Top level modules:
-	posit_add_8bit_tb_v
-
-} {} {}} {H:/Msc project/add/posit_add.v} {1 {vlog -work work -vopt -stats=none {H:/Msc project/add/posit_add.v}
-Model Technology ModelSim SE-64 vlog 2020.1 Compiler 2020.01 Jan 28 2020
--- Compiling module posit_add
--- Compiling module data_extract_v1
--- Compiling module sub_N
--- Compiling module add_N
--- Compiling module sub_N_in
--- Compiling module add_N_in
--- Compiling module add_sub_N
--- Compiling module add_1
--- Compiling module abs_regime
--- Compiling module conv_2c
--- Compiling module reg_exp_op
--- Compiling module DSR_left_N_S
--- Compiling module DSR_right_N_S
--- Compiling module LOD_N
--- Compiling module LOD
-
-Top level modules:
-	posit_add
-
-} {} {}}
diff --git a/posit_adder_verilog/adde.mpf b/posit_adder_verilog/adde.mpf
deleted file mode 100644
index d3aab4c..0000000
--- a/posit_adder_verilog/adde.mpf
+++ /dev/null
@@ -1,2256 +0,0 @@
-; vsim modelsim.ini file
-[Version]
-INIVersion = "2020.1"
-
-; Copyright 1991-2020 Mentor Graphics Corporation
-;
-; All Rights Reserved.
-;
-; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF 
-; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
-;   
-
-[Library]
-std = $MODEL_TECH/../std
-ieee = $MODEL_TECH/../ieee
-vital2000 = $MODEL_TECH/../vital2000
-;
-; VITAL concerns:
-;
-; The library ieee contains (among other packages) the packages of the
-; VITAL 2000 standard.  When a design uses VITAL 2000 exclusively, it should use
-; the physical library ieee (recommended), or use the physical library
-; vital2000, but not both.  The design can use logical library ieee and/or
-; vital2000 as long as each of these maps to the same physical library, either
-; ieee or vital2000.
-;
-; A design using the 1995 version of the VITAL packages, whether or not
-; it also uses the 2000 version of the VITAL packages, must have logical library
-; name ieee mapped to physical library vital1995.  (A design cannot use library
-; vital1995 directly because some packages in this library use logical name ieee
-; when referring to the other packages in the library.)  The design source
-; should use logical name ieee when referring to any packages there except the
-; VITAL 2000 packages.  Any VITAL 2000 present in the design must use logical
-; name vital2000 (mapped to physical library vital2000) to refer to those
-; packages.
-; ieee = $MODEL_TECH/../vital1995
-;
-; For compatiblity with previous releases, logical library name vital2000 maps
-; to library vital2000 (a different library than library ieee, containing the
-; same packages).
-; A design should not reference VITAL from both the ieee library and the
-; vital2000 library because the vital packages are effectively different.
-; A design that references both the ieee and vital2000 libraries must have
-; both logical names ieee and vital2000 mapped to the same library, either of
-; these:
-;   $MODEL_TECH/../ieee
-;   $MODEL_TECH/../vital2000
-;
-verilog = $MODEL_TECH/../verilog
-std_developerskit = $MODEL_TECH/../std_developerskit
-synopsys = $MODEL_TECH/../synopsys
-modelsim_lib = $MODEL_TECH/../modelsim_lib
-sv_std = $MODEL_TECH/../sv_std
-mtiAvm = $MODEL_TECH/../avm
-mtiRnm = $MODEL_TECH/../rnm
-mtiOvm = $MODEL_TECH/../ovm-2.1.2
-mtiUvm = $MODEL_TECH/../uvm-1.1d
-mtiUPF = $MODEL_TECH/../upf_lib
-mtiPA  = $MODEL_TECH/../pa_lib
-floatfixlib = $MODEL_TECH/../floatfixlib
-mc2_lib = $MODEL_TECH/../mc2_lib
-flps_lib = $MODEL_TECH/../flps_lib
-osvvm = $MODEL_TECH/../osvvm
-
-; added mapping for ADMS
-mgc_ams = $MODEL_TECH/../mgc_ams
-ieee_env = $MODEL_TECH/../ieee_env
-
-;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
-;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
-;mvc_lib = $MODEL_TECH/../mvc_lib
-infact = $MODEL_TECH/../infact
-vhdlopt_lib = $MODEL_TECH/../vhdlopt_lib
-vh_ux01v_lib = $MODEL_TECH/../vh_ux01v_lib
-
-; Automatically perform logical->physical mapping for physical libraries that
-; appear in -L/-Lf options with filesystem path delimiters (e.g. '.' or '/').
-; The tail of the filesystem path name is chosen as the logical library name.
-; For example, in the command "vopt -L ./path/to/lib1 -o opttop top",
-; vopt automatically performs the mapping "lib1 -> ./path/to/lib1".
-; See the User Manual for more details.
-;
-; AutoLibMapping = 0
-
-work = work
-[DefineOptionset]
-; Define optionset entries for the various compilers, vmake, and vsim.
-; These option sets can be used with the "-optionset <optionsetname>" syntax.
-; i.e.
-;  vlog -optionset COMPILEDEBUG top.sv
-;  vsim -optionset UVMDEBUG my_top
-;
-; Following are some useful examples.
-
-; define a vsim optionset for uvm debugging
-UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop
-
-; define a vopt optionset for debugging
-VOPTDEBUG = +acc -debugdb
-
-[encryption]
-; For vencrypt and vhencrypt.
-
-; Controls whether to encrypt whole files by ignoring all protect directives
-; (except "viewport" and "interface_viewport") that are present in the input.
-; The default is 0, use embedded protect directives to control the encryption.
-; Set this to 1 to encrypt whole files by ignoring embedded protect directives.
-; wholefile = 0
-
-; Sets the data_method to use for the symmetric session key.
-; The session key is a symmetric key that is randomly generated for each
-; protected region (envelope) and is the heart of all encryption.  This is used
-; to set the length of the session key to generate and use when encrypting the
-; HDL text.  Supported values are aes128, aes192, and aes256.
-; data_method = aes128
-
-; The following 2 are for specifying an IEEE Std. 1735 Version 2 (V2) encryption
-; "recipe" comprising an optional common block, at least one tool block (which
-; contains the key public key), and the text to be encrypted.  The common block
-; and any of the tool blocks may contain rights in the form of the "control"
-; directive.  The text to be encrypted is specified either by setting
-; "wholefile" to 1 or by embedding protect "begin" and "end" directives in
-; the input HDL files.
-
-; Common recipe specification file.  This file is optional.  Its presence will
-; require at least one "toolblock" to be specified.
-; Directives such as "author" "author_info" and "data_method",
-; as well as the common block license specification, go in this file.
-; common = <file name>
-
-; Tool block specification recipe(s).  Public key file with optional tool block
-; file name.  May be multiply-defined; at least one tool block is required if
-; a recipe is being specified.
-; Key file is a file name with no extension (.deprecated or .active will be
-; supplied by the encryption tool).
-; Rights file name is optional.
-; toolblock = <key file name>[,<rights file name>]{:<key file name>[,<rights file name>]}
-
-; Location of directory containing recipe files.
-; The default location is in the product installation directory.
-; keyring = $MODEL_TECH/../keyring
-
-; Enable encryption statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list]
-; Add '-' to disable specific statistics. Default is [cmd,msg].
-Stats = cmd,msg
-
-[vcom]
-; VHDL93 variable selects language version as the default. 
-; Default is VHDL-2002.
-; Value of 0 or 1987 for VHDL-1987.
-; Value of 1 or 1993 for VHDL-1993.
-; Default or value of 2 or 2002 for VHDL-2002.
-; Value of 3 or 2008 for VHDL-2008
-; Value of 4 or ams99 for VHDL-AMS-1999
-; Value of 5 or ams07 for VHDL-AMS-2007
-VHDL93 = 2002
-
-; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off.
-; ignoreStandardRealVector = 1
-
-; Show source line containing error. Default is off.
-; Show_source = 1
-
-; Turn off unbound-component warnings. Default is on.
-; Show_Warning1 = 0
-
-; Turn off process-without-a-wait-statement warnings. Default is on.
-; Show_Warning2 = 0
-
-; Turn off null-range warnings. Default is on.
-; Show_Warning3 = 0
-
-; Turn off no-space-in-time-literal warnings. Default is on.
-; Show_Warning4 = 0
-
-; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
-; Show_Warning5 = 0
-
-; Turn off optimization for IEEE std_logic_1164 package. Default is on.
-; Optimize_1164 = 0
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Turn on resolving of ambiguous function overloading in favor of the
-; "explicit" function declaration (not the one automatically created by
-; the compiler for each type declaration). Default is off.
-; The .ini file has Explicit enabled so that std_logic_signed/unsigned
-; will match the behavior of synthesis tools.
-Explicit = 1
-
-; Turn off acceleration of the VITAL packages. Default is to accelerate.
-; NoVital = 1
-
-; Turn off VITAL compliance checking. Default is checking on.
-; NoVitalCheck = 1
-
-; Ignore VITAL compliance checking errors. Default is to not ignore.
-; IgnoreVitalErrors = 1
-
-; Turn off VITAL compliance checking warnings. Default is to show warnings.
-; Show_VitalChecksWarnings = 0
-
-; Turn off PSL assertion warning messages. Default is to show warnings.
-; Show_PslChecksWarnings = 0
-
-; Enable parsing of embedded PSL assertions. Default is enabled.
-; EmbeddedPsl = 0
-
-; Keep silent about case statement static warnings.
-; Default is to give a warning.
-; NoCaseStaticError = 1
-
-; Keep silent about warnings caused by aggregates that are not locally static.
-; Default is to give a warning.
-; NoOthersStaticError = 1
-
-; Treat as errors:
-;   case statement static warnings
-;   warnings caused by aggregates that are not locally static
-; Overrides NoCaseStaticError, NoOthersStaticError settings.
-; PedanticErrors = 1
-
-; Turn off inclusion of debugging info within design units.
-; Default is to include debugging info.
-; NoDebug = 1
-
-; Turn off "Loading..." messages. Default is messages on.
-; Quiet = 1
-
-; Turn on some limited synthesis rule compliance checking. Checks only:
-;    -- signals used (read) by a process must be in the sensitivity list
-; CheckSynthesis = 1
-
-; Activate optimizations on expressions that do not involve signals,
-; waits, or function/procedure/task invocations. Default is off.
-; ScalarOpts = 1
-
-; Turns on lint-style checking.
-; Show_Lint = 1
-
-; Require the user to specify a configuration for all bindings,
-; and do not generate a compile time default binding for the
-; component. This will result in an elaboration error of
-; 'component not bound' if the user fails to do so. Avoids the rare
-; issue of a false dependency upon the unused default binding.
-; RequireConfigForAllDefaultBinding = 1
-
-; Perform default binding at compile time.
-; Default is to do default binding at load time.
-; BindAtCompile = 1;
-
-; Inhibit range checking on subscripts of arrays. Range checking on
-; scalars defined with subtypes is inhibited by default.
-; NoIndexCheck = 1
-
-; Inhibit range checks on all (implicit and explicit) assignments to
-; scalar objects defined with subtypes.
-; NoRangeCheck = 1
-
-; Set the prefix to be honored for synthesis/coverage pragma recognition.
-; Default is "".
-; AddPragmaPrefix = ""
-
-; Ignore synthesis and coverage pragmas with this prefix.
-; Default is "".
-; IgnorePragmaPrefix = ""
-
-; Turn on code coverage in VHDL design units. Default is off.
-; Coverage = sbceft
-
-; Turn off code coverage in VHDL subprograms. Default is on.
-; CoverSub = 0
-
-; Automatically exclude VHDL case statement OTHERS choice branches.
-; This includes OTHERS choices in selected signal assigment statements.
-; Default is to not exclude.
-; CoverExcludeDefault = 1
-
-; Control compiler and VOPT optimizations that are allowed when
-; code coverage is on.  Refer to the comment for this in the [vlog] area. 
-; CoverOpt = 3
-
-; Turn on or off clkOpt optimization for code coverage. Default is on.
-; CoverClkOpt = 1
-
-; Turn on or off clkOpt optimization builtins for code coverage. Default is on.
-; CoverClkOptBuiltins = 0
-
-; Inform code coverage optimizations to respect VHDL 'H' and 'L'
-; values on signals in conditions and expressions, and to not automatically
-; convert them to '1' and '0'. Default is to not convert.
-; CoverRespectHandL = 0
-
-; Increase or decrease the maximum number of rows allowed in a UDP table
-; implementing a VHDL condition coverage or expression coverage expression.
-; More rows leads to a longer compile time, but more expressions covered.
-; CoverMaxUDPRows = 192
-
-; Increase or decrease the maximum number of input patterns that are present
-; in FEC table. This leads to a longer compile time with more expressions
-; covered with FEC metric.
-; CoverMaxFECRows = 192
-
-; Increase or decrease the limit on the size of expressions and conditions
-; considered for expression and condition coverages. Higher FecUdpEffort leads 
-; to higher compile, optimize and simulation time, but more expressions and 
-; conditions are considered for coverage in the design. FecUdpEffort can
-; be set to a number ranging from 1 (low) to 3 (high), defined as:
-;   1 - (low) Only small expressions and conditions considered for coverage.
-;   2 - (medium) Bigger expressions and conditions considered for coverage.
-;   3 - (high) Very large expressions and conditions considered for coverage.
-; The default setting is 1 (low).
-; FecUdpEffort = 1
-
-; Enable or disable Focused Expression Coverage analysis for conditions and
-; expressions. Focused Expression Coverage data is provided by default when
-; expression and/or condition coverage is active.
-; CoverFEC = 0
-
-; Enable or disable UDP Coverage analysis for conditions and expressions.
-; UDP Coverage data is disabled by default when expression and/or condition
-; coverage is active.
-; CoverUDP = 1
-
-; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
-; Disabling this would convert non-masking conditions in FEC tables to matching
-; input patterns. 
-; CoverREC = 1
-
-; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
-; for expression/condition coverage.
-; NOTE: Enabling this may have a negative impact on simulation performance.
-; CoverExpandReductionPrefix = 0
-
-; Enable or disable short circuit evaluation of conditions and expressions when
-; condition or expression coverage is active. Short circuit evaluation is enabled
-; by default.
-; CoverShortCircuit = 0
-
-; Enable code coverage reporting of code that has been optimized away.
-; The default is not to report.
-; CoverReportCancelled = 1
-
-; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
-; Default is no deglitching.
-; CoverDeglitchOn = 1
-
-; Control the code coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
-; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; CoverDeglitchPeriod = 0
-
-; Use this directory for compiler temporary files instead of "work/_temp"
-; CompilerTempDir = /tmp
-
-; Set this to cause the compilers to force data to be committed to disk
-; when the files are closed.
-; SyncCompilerFiles = 1
-
-; Add VHDL-AMS declarations to package STANDARD
-; Default is not to add
-; AmsStandard = 1
-
-; Range and length checking will be performed on array indices and discrete
-; ranges, and when violations are found within subprograms, errors will be
-; reported. Default is to issue warnings for violations, because subprograms
-; may not be invoked.
-; NoDeferSubpgmCheck = 0
-
-; Turn ON detection of FSMs having single bit current state variable.
-; FsmSingle = 1
-
-; Turn off reset state transitions in FSM.
-; FsmResetTrans = 0
-
-; Turn ON detection of FSM Implicit Transitions.
-; FsmImplicitTrans = 1
-
-; Controls whether or not to show immediate assertions with constant expressions
-; in GUI/report/UCDB etc. By default, immediate assertions with constant
-; expressions are shown in GUI/report/UCDB etc. This does not affect
-; evaluation of immediate assertions.
-; ShowConstantImmediateAsserts = 0
-
-; Controls how VHDL basic identifiers are stored with the design unit.
-; Does not make the language case-sensitive, affects only how declarations
-; declared with basic identifiers have their names stored and printed
-; (in the GUI, examine, etc.).
-; Default is to preserve the case as originally depicted in the VHDL source.
-; Value of 0 indicates to change all basic identifiers to lower case.
-; PreserveCase = 0
-
-; For Configuration Declarations, controls the effect that USE clauses have
-; on visibility inside the configuration items being configured.  If 1
-; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance,
-; extend the visibility of objects made visible through USE clauses into nested
-; component configurations.
-; OldVHDLConfigurationVisibility = 0
-
-; Allows VHDL configuration declarations to be in a different library from
-; the corresponding configured entity. Default is to not allow this for
-; stricter LRM-compliance.
-; SeparateConfigLibrary = 1;
-
-; Determine how mode OUT subprogram parameters of type array and record are treated.
-; If 0 (the default), then only VHDL 2008 will do this initialization.
-; If 1, always initialize the mode OUT parameter to its default value.
-; If 2, do not initialize the mode OUT out parameter.
-; Note that prior to release 10.1, all language versions did not initialize mode
-; OUT array and record type parameters, unless overridden here via this mechanism.
-; In release 10.1 and later, only files compiled with VHDL 2008 will cause this
-; initialization, unless overridden here.
-; InitOutCompositeParam = 0
-
-; Generate symbols debugging database in only some special cases to save on
-; the number of files in the library. For other design-units, this database is
-; generated on-demand in vsim. 
-; Default is to to generate debugging database for all design-units.
-; SmartDbgSym = 1
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-; Describe compilation options according to matching file patterns.
-; File pattern * matches all printing characters other than '/'.
-; File pattern **/x matches all paths containing file/directory x.
-; File pattern x/** matches all paths beginning at directory x.
-; FileOptMap = (**/*.vhd => -2008);
-
-; Describe library targets of compilation according to matching file patterns.
-; LibMap = (**/*.vhd => work);
-
-[vlog]
-; Turn off inclusion of debugging info within design units.
-; Default is to include debugging info.
-; NoDebug = 1
-
-; Turn off "Loading..." messages. Default is messages on.
-; Quiet = 1
-
-; Turn on Verilog hazard checking (order-dependent accessing of global vars).
-; Default is off.
-; Hazard = 1
-
-; Turn on converting regular Verilog identifiers to uppercase. Allows case
-; insensitivity for module names. Default is no conversion.
-; UpCase = 1
-
-; Activate optimizations on expressions that do not involve signals,
-; waits, or function/procedure/task invocations. Default is off.
-; ScalarOpts = 1
-
-; Turns on lint-style checking.
-; Show_Lint = 1
-
-; Show source line containing error. Default is off.
-; Show_source = 1
-
-; Turn on bad option warning. Default is off.
-; Show_BadOptionWarning = 1
-
-; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
-; vlog95compat = 1
-
-; Turn off PSL warning messages. Default is to show warnings.
-; Show_PslChecksWarnings = 0
-
-; Enable parsing of embedded PSL assertions. Default is enabled.
-; EmbeddedPsl = 0
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Set the threshold for automatically identifying sparse Verilog memories.
-; A memory with total size in bytes equal to or more than the sparse memory
-; threshold gets marked as sparse automatically, unless specified otherwise
-; in source code or by the +nosparse commandline option of vlog or vopt.
-; The default is 1M.  (i.e. memories with total size equal
-; to or greater than 1Mb are marked as sparse)
-; SparseMemThreshold = 1048576 
-
-; Set the prefix to be honored for synthesis and coverage pragma recognition.
-; Default is "".
-; AddPragmaPrefix = ""
-
-; Ignore synthesis and coverage pragmas with this prefix.
-; Default is "".
-; IgnorePragmaPrefix = ""
-
-; Set the option to treat all files specified in a vlog invocation as a
-; single compilation unit. The default value is set to 0 which will treat
-; each file as a separate compilation unit as specified in the P1800 draft standard.
-; MultiFileCompilationUnit = 1
-
-; Turn on code coverage in Verilog design units. Default is off.
-; Coverage = sbceft
-
-; Automatically exclude Verilog case statement default branches. 
-; Default is to not automatically exclude defaults.
-; CoverExcludeDefault = 1
-
-; Increase or decrease the maximum number of rows allowed in a UDP table
-; implementing a VHDL condition coverage or expression coverage expression.
-; More rows leads to a longer compile time, but more expressions covered.
-; CoverMaxUDPRows = 192
-
-; Increase or decrease the maximum number of input patterns that are present
-; in FEC table. This leads to a longer compile time with more expressions
-; covered with FEC metric.
-; CoverMaxFECRows = 192
-
-; Enable Multi Bit Expression Coverage in a Design, If design has expression with
-; multi bit operands, this option enables its Expression Coverage.
-; The default value is 0.
-; CoverFecMultiBit = 1
-
-; Increase or decrease the limit on the size of expressions and conditions
-; considered for expression and condition coverages. Higher FecUdpEffort leads 
-; to higher compile, optimize and simulation time, but more expressions and 
-; conditions are considered for coverage in the design. FecUdpEffort can
-; be set to a number ranging from 1 (low) to 3 (high), defined as:
-;   1 - (low) Only small expressions and conditions considered for coverage.
-;   2 - (medium) Bigger expressions and conditions considered for coverage.
-;   3 - (high) Very large expressions and conditions considered for coverage.
-; The default setting is 1 (low).
-; FecUdpEffort = 1
-
-; Enable or disable Focused Expression Coverage analysis for conditions and
-; expressions. Focused Expression Coverage data is provided by default when
-; expression and/or condition coverage is active.
-; CoverFEC = 0
-
-; Enable or disable UDP Coverage analysis for conditions and expressions.
-; UDP Coverage data is disabled by default when expression and/or condition
-; coverage is active.
-; CoverUDP = 1
-
-; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
-; Disabling this would convert non-masking conditions in FEC tables to matching
-; input patterns. 
-; CoverREC = 1
-
-; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
-; for expression/condition coverage.
-; NOTE: Enabling this may have a negative impact on simulation performance.
-; CoverExpandReductionPrefix = 0
-
-; Enable or disable short circuit evaluation of conditions and expressions when
-; condition or expression coverage is active. Short circuit evaluation is enabled
-; by default.
-; CoverShortCircuit = 0
-
-; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
-; Default is no deglitching.
-; CoverDeglitchOn = 1
-
-; Control the code coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
-; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; CoverDeglitchPeriod = 0
-
-; Turn on code coverage in VLOG `celldefine modules, modules containing
-; specify blocks, and modules included using vlog -v and -y. Default is off.
-; CoverCells = 1
-
-; Enable code coverage reporting of code that has been optimized away.
-; The default is not to report.
-; CoverReportCancelled = 1
-
-; Control compiler and VOPT optimizations that are allowed when
-; code coverage is on. This is a number from 0 to 5, with the following
-; meanings (the default is 3):
-;    5 -- All allowable optimizations are on.
-;    4 -- Turn off removing unreferenced code.
-;    3 -- Turn off process, always block and if statement merging.
-;    2 -- Turn off expression optimization, converting primitives
-;         to continuous assignments, VHDL subprogram inlining.
-;         and VHDL clkOpt (converting FF's to builtins).
-;    1 -- Turn off continuous assignment optimizations and clock suppression.
-;    0 -- Turn off Verilog module inlining and VHDL arch inlining.
-; HOWEVER, if fsm coverage is turned on, optimizations will be forced to
-; level 3, with also turning off converting primitives to continuous assigns.
-; CoverOpt = 3
-
-; Specify the override for the default value of "cross_num_print_missing"
-; option for the Cross in Covergroups. If not specified then LRM default
-; value of 0 (zero) is used. This is a compile time option.
-; SVCrossNumPrintMissingDefault = 0
-
-; Setting following to 1 would cause creation of variables which
-; would represent the value of Coverpoint expressions. This is used
-; in conjunction with "SVCoverpointExprVariablePrefix" option
-; in the modelsim.ini
-; EnableSVCoverpointExprVariable = 0
-
-; Specify the override for the prefix used in forming the variable names
-; which represent the Coverpoint expressions. This is used in conjunction with 
-; "EnableSVCoverpointExprVariable" option of the modelsim.ini
-; The default prefix is "expr".
-; The variable name is
-;    variable name => <prefix>_<coverpoint name>
-; SVCoverpointExprVariablePrefix = expr
-
-; Override for the default value of the SystemVerilog covergroup,
-; coverpoint, and cross option.goal (defined to be 100 in the LRM).
-; NOTE: It does not override specific assignments in SystemVerilog
-; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
-; in the [vsim] section can override this value.
-; SVCovergroupGoalDefault = 100
-
-; Override for the default value of the SystemVerilog covergroup,
-; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
-; NOTE: It does not override specific assignments in SystemVerilog
-; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
-; in the [vsim] section can override this value.
-; SVCovergroupTypeGoalDefault = 100
-
-; Specify the override for the default value of "strobe" option for the
-; Covergroup Type. This is a compile time option which forces "strobe" to
-; a user specified default value and supersedes SystemVerilog specified
-; default value of '0'(zero). NOTE: This can be overriden by a runtime
-; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
-; SVCovergroupStrobeDefault = 0
-
-; Specify the override for the default value of "per_instance" option for the
-; Covergroup variables. This is a compile time option which forces "per_instance"
-; to a user specified default value and supersedes SystemVerilog specified
-; default value of '0'(zero).
-; SVCovergroupPerInstanceDefault = 0
-
-; Specify the override for the default value of "get_inst_coverage" option for the
-; Covergroup variables. This is a compile time option which forces 
-; "get_inst_coverage" to a user specified default value and supersedes 
-; SystemVerilog specified default value of '0'(zero).
-; SVCovergroupGetInstCoverageDefault = 0
-
-;
-; A space separated list of resource libraries that contain precompiled
-; packages.  The behavior is identical to using the "-L" switch.
-; 
-; LibrarySearchPath = <path/lib> [<path/lib> ...]
-LibrarySearchPath = mtiAvm mtiRnm mtiOvm mtiUvm mtiUPF infact
-
-; The behavior is identical to the "-mixedansiports" switch.  Default is off.
-; MixedAnsiPorts = 1
-
-; Enable SystemVerilog 3.1a $typeof() function. Default is off.
-; EnableTypeOf = 1
-
-; Only allow lower case pragmas. Default is disabled.
-; AcceptLowerCasePragmaOnly = 1
-
-; Set the maximum depth permitted for a recursive include file nesting.
-; IncludeRecursionDepthMax = 5
-
-; Turn ON detection of FSMs having single bit current state variable.
-; FsmSingle = 1
-
-; Turn off reset state transitions in FSM.
-; FsmResetTrans = 0
-
-; Turn off detections of FSMs having x-assignment.
-; FsmXAssign = 0
-
-; Turn ON detection of FSM Implicit Transitions.
-; FsmImplicitTrans = 1
-
-; List of file suffixes which will be read as SystemVerilog.  White space
-; in extensions can be specified with a back-slash: "\ ".  Back-slashes
-; can be specified with two consecutive back-slashes: "\\";
-; SvFileSuffixes = sv svp svh
-
-; This setting is the same as the vlog -sv command line switch.
-; Enables SystemVerilog features and keywords when true (1).
-; When false (0), the rules of IEEE Std 1364-2005 are followed and 
-; SystemVerilog keywords are ignored. 
-; Svlog = 0
-
-; Prints attribute placed upon SV packages during package import
-; when true (1).  The attribute will be ignored when this
-; entry is false (0). The attribute name is "package_load_message".
-; The value of this attribute is a string literal.
-; Default is true (1).
-; PrintSVPackageLoadingAttribute = 1
-
-; Do not show immediate assertions with constant expressions in 
-; GUI/reports/UCDB etc. By default immediate assertions with constant 
-; expressions are shown in GUI/reports/UCDB etc. This does not affect 
-; evaluation of immediate assertions.
-; ShowConstantImmediateAsserts = 0
-
-; Controls if untyped parameters that are initialized with values greater
-; than 2147483647 are mapped to generics of type INTEGER or ignored.
-; If mapped to VHDL Integers, values greater than 2147483647
-; are mapped to negative values.
-; Default is to map these parameter to generic of type INTEGER
-; ForceUnsignedToVHDLInteger = 1
-
-; Enable AMS wreal (wired real) extensions.  Default is 0.
-; WrealType = 1
-
-; Controls SystemVerilog Language Extensions.  These options enable
-; some non-LRM compliant behavior.
-; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Generate symbols debugging database in only some special cases to save on
-; the number of files in the library. For other design-units, this database is
-; generated on-demand in vsim. 
-; Default is to to generate debugging database for all design-units.
-; SmartDbgSym = 1
-
-; Controls how $unit library entries are named.  Valid options are:
-; "file" (generate name based on the first file on the command line)
-; "du" (generate name based on first design unit following an item
-; found in $unit scope)
-; CUAutoName = file
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-[sccom]
-; Enable use of SCV include files and library.  Default is off.
-; UseScv = 1
-
-; Add C++ compiler options to the sccom command line by using this variable.
-; CppOptions = -g
-
-; Use custom C++ compiler located at this path rather than the default path.
-; The path should point directly at a compiler executable.
-; CppPath = /usr/bin/g++
-
-; Specify the compiler version from the list of support GNU compilers.
-; examples 4.7.4, 5.3.0
-; CppInstall = 5.3.0
-
-; Enable verbose messages from sccom.  Default is off.
-; SccomVerbose = 1
-
-; sccom logfile.  Default is no logfile.
-; SccomLogfile = sccom.log
-
-; Enable use of SC_MS include files and library.  Default is off.
-; UseScMs = 1
-
-; Use SystemC-2.2 instead of the default SystemC-2.3. Default is off.
-; Sc22Mode = 1
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-; Enable use of UVMC library.  Default is off.
-; UseUvmc = 1
-
-[vopt]
-; Turn on code coverage in vopt.  Default is off. 
-; Coverage = sbceft
-
-; enable or disable param saving in UCDB.
-; CoverageSaveParam = 0
-
-; Control compiler optimizations that are allowed when
-; code coverage is on.  Refer to the comment for this in the [vlog] area. 
-; CoverOpt = 3
-
-; Controls set of CoverConstructs that are being considered for Coverage 
-; Collection.
-; Some of Valid options are: default,set1,set2
-; Covermode = default
-
-; Controls set of HDL cover constructs that would be considered(or not considered)
-; for Coverage Collection. (Default corresponds to covermode default). 
-; Some of Valid options are: "ca", "citf", "cifl", "tcint", "fsmqs".
-; Coverconstruct = noca,nocitf,nofsmtf,nofsmds,noctes,nocicl,nocprc,nocfl,nofsmup,nocifl,nocpm,notcint,nocpkg,nocsva
-
-; Increase or decrease the maximum number of rows allowed in a UDP table
-; implementing a VHDL condition coverage or expression coverage expression.
-; More rows leads to a longer compile time, but more expressions covered.
-; CoverMaxUDPRows = 192
-
-; Increase or decrease the maximum number of input patterns that are present
-; in FEC table. This leads to a longer compile time with more expressions
-; covered with FEC metric.
-; CoverMaxFECRows = 192
-
-; Enable Multi Bit Expression Coverage in a Design, If design has expression with
-; multi bit operands, this option enables its Expression Coverage.
-; The default value is 0.
-; CoverFecMultiBit = 1
-
-; Increase or decrease the limit on the size of expressions and conditions
-; considered for expression and condition coverages. Higher FecUdpEffort leads 
-; to higher compile, optimize and simulation time, but more expressions and 
-; conditions are considered for coverage in the design. FecUdpEffort can
-; be set to a number ranging from 1 (low) to 3 (high), defined as:
-;   1 - (low) Only small expressions and conditions considered for coverage.
-;   2 - (medium) Bigger expressions and conditions considered for coverage.
-;   3 - (high) Very large expressions and conditions considered for coverage.
-; The default setting is 1 (low).
-; FecUdpEffort = 1
-
-; Enable code coverage reporting of code that has been optimized away.
-; The default is not to report.
-; CoverReportCancelled = 1
-
-; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
-; Default is no deglitching.
-; CoverDeglitchOn = 1
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Control the code coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
-; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; CoverDeglitchPeriod = 0
-
-; Do not show immediate assertions with constant expressions in 
-; GUI/reports/UCDB etc. By default immediate assertions with constant 
-; expressions are shown in GUI/reports/UCDB etc. This does not affect 
-; evaluation of immediate assertions.
-; ShowConstantImmediateAsserts = 0
-
-; Set the maximum number of iterations permitted for a generate loop.
-; Restricting this permits the implementation to recognize infinite
-; generate loops.
-; GenerateLoopIterationMax = 100000
-
-; Set the maximum depth permitted for a recursive generate instantiation.
-; Restricting this permits the implementation to recognize infinite
-; recursions.
-; GenerateRecursionDepthMax = 200
-
-; Set the number of processes created during the code generation phase.
-; By default a heuristic is used to set this value.  This may be set to 0
-; to disable this feature completely.
-; ParallelJobs = 0 
-
-; Controls SystemVerilog Language Extensions.  These options enable
-; some non-LRM compliant behavior.
-; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Load the specified shared objects with the RTLD_GLOBAL flag.
-; This gives global visibility to all symbols in the shared objects,
-; meaning that subsequently loaded shared objects can bind to symbols
-; in the global shared objects.  The list of shared objects should
-; be whitespace delimited.  This option is not supported on the
-; Windows or AIX platforms.
-; GlobalSharedObjectList = example1.so example2.so example3.so
-
-; Disable SystemVerilog elaboration system task messages
-; IgnoreSVAInfo = 1 
-; IgnoreSVAWarning = 1
-; IgnoreSVAError = 1
-; IgnoreSVAFatal = 1
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-[vsim]
-; vopt flow
-; Set to turn on automatic optimization of a design.
-; Default is on
-VoptFlow = 1
-
-; Simulator resolution
-; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
-Resolution = ns
-
-; Disable certain code coverage exclusions automatically. 
-; Assertions and FSM are exluded from the code coverage by default
-; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
-; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
-; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
-; Or specify comma or space separated list
-;AutoExclusionsDisable = fsm,assertions
-
-; User time unit for run commands
-; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
-; unit specified for Resolution. For example, if Resolution is 100ps,
-; then UserTimeUnit defaults to ps.
-; Should generally be set to default.
-UserTimeUnit = default
-
-; Default run length
-RunLength = 100 ps
-
-; Maximum iterations that can be run without advancing simulation time
-IterationLimit = 10000000
-
-; Specify libraries to be searched for precompiled modules
-; LibrarySearchPath = <path/lib> [<path/lib> ...]
-
-; Set XPROP assertion fail limit. Default is 5.
-; Any positive integer, -1 for infinity.
-; XpropAssertionLimit = 5
-
-; Control PSL and Verilog Assume directives during simulation
-; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
-; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
-; SimulateAssumeDirectives = 1 
-
-; Control the simulation of PSL and SVA
-; These switches can be overridden by the vsim command line switches:
-;    -psl, -nopsl, -sva, -nosva.
-; Set SimulatePSL = 0 to disable PSL simulation
-; Set SimulatePSL = 1 to enable PSL simulation (default)
-; SimulatePSL = 1 
-; Set SimulateSVA = 0 to disable SVA simulation
-; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
-; SimulateSVA = 1 
-
-; Control SVA and VHDL immediate assertion directives during simulation
-; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts 
-; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts
-; SimulateImmedAsserts = 1 
-
-; License feature mappings for Verilog and VHDL
-; qhsimvh       Single language VHDL license
-; qhsimvl       Single language Verilog license
-; msimhdlsim    Language neutral license for either Verilog or VHDL
-; msimhdlmix    Second language only, language neutral license for either 
-;               Verilog or VHDL
-;
-; Directives to license manager can be set either as single value or as
-; space separated multi-values:
-; vhdl          Immediately checkout and hold a VHDL license (i.e., one of
-;               qhsimvh, msimhdlsim, or msimhdlmix)
-; vlog          Immediately checkout and hold a Verilog license (i.e., one of
-;               qhsimvl, msimhdlsim, or msimhdlmix)
-; plus          Immediately checkout and hold a VHDL license and a Verilog license
-; noqueue       Do not wait in the license queue when a license is not available
-; viewsim       Try for viewer license but accept simulator license(s) instead
-;               of queuing for viewer license (PE ONLY)
-; noviewer      Disable checkout of msimviewer license feature (PE ONLY)
-; noslvhdl      Disable checkout of qhsimvh license feature
-; noslvlog      Disable checkout of qhsimvl license feature
-; nomix         Disable checkout of msimhdlmix license feature
-; nolnl         Disable checkout of msimhdlsim license feature
-; mixedonly     Disable checkout of qhsimvh and qhsimvl license features
-; lnlonly       Disable checkout of qhsimvh,qhsimvl, and msimhdlmix license features
-;
-; Examples (remove ";" comment character to activate licensing directives):
-; Single directive:
-; License = plus
-; Multi-directive (Note: space delimited directives):
-; License = noqueue plus
-
-; Severity level of a VHDL assertion message or of a SystemVerilog severity system task
-; which will cause a running simulation to stop.
-; VHDL assertions and SystemVerilog severity system task that occur with the
-; given severity or higher will cause a running simulation to stop.
-; This value is ignored during elaboration.
-; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-BreakOnAssertion = 3
-
-; Severity level of a tool message which will cause a running simulation to 
-; stop. This value is ignored during elaboration. Default is to not break.
-; 0 = Note  1 = Warning  2 = Error  3 = Fatal
-;BreakOnMessage = 2
-
-; The class debug feature enables more visibility and tracking of class instances
-; during simulation.  By default this feature is disabled (0).  To enable this 
-; feature set ClassDebug to 1.
-; ClassDebug = 1
-
-; Message Format conversion specifications:
-; %S - Severity Level of message/assertion
-; %R - Text of message
-; %T - Time of message
-; %D - Delta value (iteration number) of Time
-; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected
-; %i - Instance/Region/Signal pathname with Process name (if available)
-; %I - shorthand for one of these:
-;      "  %K: %i"
-;      "  %K: %i File: %F" (when path is not Process or Signal)
-;      except that the %i in this case does not report the Process name
-; %O - Process name
-; %P - Instance/Region path without leaf process
-; %F - File name
-; %L - Line number; if assertion message, then line number of assertion or, if
-;      assertion is in a subprogram, line from which the call is made
-; %u - Design unit name in form library.primary
-; %U - Design unit name in form library.primary(secondary)
-; %% - The '%' character itself
-;
-; If specific format for Severity Level is defined, use that format.
-; Else, for a message that occurs during elaboration:
-;   -- Failure/Fatal message in VHDL region that is not a Process, and in
-;      certain non-VHDL regions, uses MessageFormatBreakLine;
-;   -- Failure/Fatal message otherwise uses MessageFormatBreak;
-;   -- Note/Warning/Error message uses MessageFormat.
-; Else, for a message that occurs during runtime and triggers a breakpoint because
-; of the BreakOnAssertion setting:
-;   -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine;
-;   -- otherwise uses MessageFormatBreak.
-; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat.
-;
-; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
-; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
-; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
-; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
-
-; Error File - alternate file for storing error messages
-; ErrorFile = error.log
-
-; Simulation Breakpoint messages
-; This flag controls the display of function names when reporting the location
-; where the simulator stops because of a breakpoint or fatal error.
-; Example with function name:    # Break in Process ctr at counter.vhd line 44
-; Example without function name: # Break at counter.vhd line 44
-; Default value is 1.
-ShowFunctions = 1
-
-; Default radix for all windows and commands.
-; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned
-; Flags may be one of: enumnumeric, showbase, wreal
-DefaultRadix = hexadecimal
-DefaultRadixFlags = showbase
-; Set to 1 for make the signal_force VHDL and Verilog functions use the 
-; default radix when processing the force value. Prior to 10.2 signal_force
-; used the default radix, now it always uses symbolic unless value explicitly indicates base
-;SignalForceFunctionUseDefaultRadix = 0
-
-; VSIM Startup command
-; Startup = do startup.do
-
-; VSIM Shutdown file
-; Filename to save u/i formats and configurations.
-; ShutdownFile = restart.do
-; To explicitly disable auto save:
-; ShutdownFile = --disable-auto-save
-
-; Run simulator in batch mode as if -batch were specified on the command line if none of -c, -gui, or -i specified.
-; Simulator runs in interactive mode as if -i were specified if this option is 0. Default is 0.
-; BatchMode = 1
-
-; File for saving command transcript when -batch option used
-; This option is ignored when -c, -gui, or -i options are used or if BatchMode above is zero
-; default is unset so command transcript only goes to stdout for better performance
-; BatchTranscriptFile = transcript
-
-; File for saving command transcript, this option is ignored when -batch option is used
-TranscriptFile = transcript
-
-; Transcript file long line wrapping mode(s)
-;   mode == 0 :: no wrapping, line recorded as is
-;   mode == 1 :: wrap at first whitespace after WSColumn
-;                or at Column.
-;   mode == 2 :: wrap as above, but add continuation 
-;                character ('\') at end of each wrapped line
-;
-; WrapMode = 0
-; WrapColumn = 30000
-; WrapWSColumn = 27000
-
-; File for saving command history
-; CommandHistory = cmdhist.log
-
-; Specify whether paths in simulator commands should be described
-; in VHDL or Verilog format.
-; For VHDL, PathSeparator = /
-; For Verilog, PathSeparator = .
-; Must not be the same character as DatasetSeparator.
-PathSeparator = /
-
-; Specify the dataset separator for fully rooted contexts.
-; The default is ':'. For example: sim:/top
-; Must not be the same character as PathSeparator.
-DatasetSeparator = :
-
-; Specify a unique path separator for the Signal Spy set of functions. 
-; The default will be to use the PathSeparator variable.
-; Must not be the same character as DatasetSeparator.
-; SignalSpyPathSeparator = /
-
-; Used to control parsing of HDL identifiers input to the tool.
-; This includes CLI commands, vsim/vopt/vlog/vcom options,
-; string arguments to FLI/VPI/DPI calls, etc.
-; If set to 1, accept either Verilog escaped Id syntax or
-; VHDL extended id syntax, regardless of source language.
-; If set to 0, the syntax of the source language must be used.
-; Each identifier in a hierarchical name may need different syntax,
-; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
-;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
-; GenerousIdentifierParsing = 1
-
-; Disable VHDL assertion messages
-; IgnoreNote = 1
-; IgnoreWarning = 1
-; IgnoreError = 1
-; IgnoreFailure = 1
-
-; Disable SystemVerilog assertion messages
-; IgnoreSVAInfo = 1 
-; IgnoreSVAWarning = 1
-; IgnoreSVAError = 1
-; IgnoreSVAFatal = 1
-
-; Do not print any additional information from Severity System tasks.
-; Only the message provided by the user is printed along with severity
-; information.
-; SVAPrintOnlyUserMessage = 1;
-
-; Default force kind. May be freeze, drive, deposit, or default
-; or in other terms, fixed, wired, or charged.
-; A value of "default" will use the signal kind to determine the
-; force kind, drive for resolved signals, freeze for unresolved signals
-; DefaultForceKind = freeze
-
-; Control the iteration of events when a VHDL signal is forced to a value
-; This flag can be set to honour the signal update event in next iteration,
-; the default is to update and propagate in the same iteration.
-; ForceSigNextIter = 1
-
-; Enable simulation statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb,eor]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; If zero, open files when elaborated; otherwise, open files on
-; first read or write.  Default is 0.
-; DelayFileOpen = 1
-
-; Control VHDL files opened for write.
-;   0 = Buffered, 1 = Unbuffered
-UnbufferedOutput = 0
-
-; Control the number of VHDL files open concurrently.
-; This number should always be less than the current ulimit
-; setting for max file descriptors.
-;   0 = unlimited
-ConcurrentFileLimit = 40
-
-; If nonzero, close files as soon as there is either an explicit call to
-; file_close, or when the file variable's scope is closed. When zero, a
-; file opened in append mode is not closed in case it is immediately
-; reopened in append mode; otherwise, the file will be closed at the
-; point it is reopened.
-; AppendClose = 1
-
-; Control the number of hierarchical regions displayed as
-; part of a signal name shown in the Wave window.
-; A value of zero tells VSIM to display the full name.
-; The default is 0.
-; WaveSignalNameWidth = 0
-
-; Turn off warnings when changing VHDL constants and generics
-; Default is 1 to generate warning messages
-; WarnConstantChange = 0
-
-; Turn off warnings from accelerated versions of the std_logic_arith,
-; std_logic_unsigned, and std_logic_signed packages.
-; StdArithNoWarnings = 1
-
-; Turn off warnings from accelerated versions of the IEEE numeric_std
-; and numeric_bit packages.
-; NumericStdNoWarnings = 1
-
-; Use old-style (pre-6.6) VHDL FOR GENERATE statement iteration names
-; in the design hierarchy.
-; This style is controlled by the value of the GenerateFormat
-; value described next.  Default is to use new-style names, which
-; comprise the generate statement label, '(', the value of the generate
-; parameter, and a closing ')'.
-; Set this to 1 to use old-style names.
-; OldVhdlForGenNames = 1
-
-; Control the format of the old-style VHDL FOR generate statement region
-; name for each iteration.  Do not quote the value.
-; The format string here must contain the conversion codes %s and %d,
-; in that order, and no other conversion codes.  The %s represents
-; the generate statement label; the %d represents the generate parameter value
-; at a particular iteration (this is the position number if the generate parameter
-; is of an enumeration type).  Embedded whitespace is allowed (but discouraged);
-; leading and trailing whitespace is ignored.
-; Application of the format must result in a unique region name over all
-; loop iterations for a particular immediately enclosing scope so that name
-; lookup can function properly.  The default is %s__%d.
-; GenerateFormat = %s__%d
-
-; Enable more efficient logging of VHDL Variables.
-; Logging VHDL variables without this enabled, while possible, is very
-; inefficient.  Enabling this will provide a more efficient logging methodology
-; at the expense of more memory usage.  By default this feature is disabled (0).
-; To enabled this feature, set this variable to 1.
-; VhdlVariableLogging = 1
-
-; Enable logging of VHDL access type variables and their designated objects.
-; This setting will allow both variables of an access type ("access variables")
-; and their designated objects ("access objects") to be logged.  Logging a
-; variable of an access type will automatically also cause the designated
-; object(s) of that variable to be logged as the simulation progresses.
-; Further, enabling this allows access objects to be logged by name.  By default
-; this feature is disabled (0).  To enable this feature, set this variable to 1.
-; Enabling this will automatically enable the VhdlVariableLogging feature also.
-; AccessObjDebug = 1
-
-; Make each VHDL package in a PDU has its own separate copy of the package instead
-; of sharing the package between PDUs. The default is to share packages.
-; To ensure that each PDU has its own set of packages, set this variable to 1.
-; VhdlSeparatePduPackage = 1
-
-; Specify whether checkpoint files should be compressed.
-; The default is 1 (compressed).
-; CheckpointCompressMode = 0
-
-; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper.
-; Use custom gcc compiler located at this path rather than the default path.
-; The path should point directly at a compiler executable.
-; DpiCppPath = <your-gcc-installation>/bin/gcc
-;
-; Specify the compiler version from the list of support GNU compilers.
-; examples 4.7.4, 5.3.0
-; DpiCppInstall = 5.3.0
-
-; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
-; The term "out-of-the-blue" refers to SystemVerilog export function calls
-; made from C functions that don't have the proper context setup
-; (as is the case when running under "DPI-C" import functions).
-; When this is enabled, one can call a DPI export function
-; (but not task) from any C code.
-; the setting of this variable can be one of the following values:
-; 0 : dpioutoftheblue call is disabled (default)
-; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
-; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
-; DpiOutOfTheBlue = 1
-
-; Specify whether continuous assignments are run before other normal priority
-; processes scheduled in the same iteration. This event ordering minimizes race
-; differences between optimized and non-optimized designs, and is the default
-; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
-; ImmediateContinuousAssign to 0.
-; The default is 1 (enabled).
-; ImmediateContinuousAssign = 0
-
-; List of dynamically loaded objects for Verilog PLI applications
-; Veriuser = veriuser.sl
-
-; Which default VPI object model should the tool conform to?
-; The 1364 modes are Verilog-only, for backwards compatibility with older
-; libraries, and SystemVerilog objects are not available in these modes.
-; 
-; In the absence of a user-specified default, the tool default is the
-; latest available LRM behavior.
-; Options for PliCompatDefault are:
-;  VPI_COMPATIBILITY_VERSION_1364v1995
-;  VPI_COMPATIBILITY_VERSION_1364v2001
-;  VPI_COMPATIBILITY_VERSION_1364v2005
-;  VPI_COMPATIBILITY_VERSION_1800v2005
-;  VPI_COMPATIBILITY_VERSION_1800v2008
-;
-; Synonyms for each string are also recognized:
-;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
-;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
-;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
-;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
-;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
-
-
-; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
-
-; Specify whether the Verilog system task $fopen or vpi_mcd_open()
-; will create directories that do not exist when opening the file
-; in "a" or "w" mode.
-; The default is 0 (do not create non-existent directories)
-; CreateDirForFileAccess = 1
-
-; Specify default options for the restart command. Options can be one
-; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
-; DefaultRestartOptions = -force
-
-
-; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used.
-; Valid options include: all, none, verbose, disable, struct, reseed, msglog, trlog, certe.
-; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-".
-; The list of options must be delimited by commas, without spaces or tabs.
-;
-; Some examples
-; To turn on all available UVM-aware debug features:
-; UVMControl = all
-; To turn on the struct window, mesage logging, and transaction logging:
-; UVMControl = struct,msglog,trlog
-; To turn on all options except certe:
-; UVMControl = all,-certe
-; To completely disable all UVM-aware debug functionality:
-; UVMControl = disable
-
-; Specify the WildcardFilter setting.
-; A space separated list of object types to be excluded when performing
-; wildcard matches with log, wave, etc commands.  The default value for this variable is:
-;   "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile"
-; See "Using the WildcardFilter Preference Variable" in the documentation for
-; details on how to use this variable and for descriptions of the filter types.
-WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile
-
-; Specify the WildcardSizeThreshold setting.
-; This integer setting specifies the size at which objects will be excluded when 
-; performing wildcard matches with log, wave, etc commands.  Objects of size equal
-; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard
-; matches.  The size is a simple calculation of number of bits or items in the object.  
-; The default value is 8k (8192).  Setting this value to 0 will disable the checking 
-; of object size against this threshold and allow all objects of any size to be logged.
-WildcardSizeThreshold = 8192
-
-; Specify whether warning messages are output when objects are filtered out due to the
-; WildcardSizeThreshold.  The default is 0 (no messages generated).
-WildcardSizeThresholdVerbose = 0
-
-; Turn on (1) or off (0) WLF file compression.
-; The default is 1 (compress WLF file).
-; WLFCompress = 0
-
-; Specify whether to save all design hierarchy (1) in the WLF file
-; or only regions containing logged signals (0).
-; The default is 0 (save only regions with logged signals).
-; WLFSaveAllRegions = 1
-
-; WLF file time limit.  Limit WLF file by time, as closely as possible,
-; to the specified amount of simulation time.  When the limit is exceeded
-; the earliest times get truncated from the file.
-; If both time and size limits are specified the most restrictive is used.
-; UserTimeUnits are used if time units are not specified.
-; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
-; WLFTimeLimit = 0
-
-; WLF file size limit.  Limit WLF file size, as closely as possible,
-; to the specified number of megabytes.  If both time and size limits
-; are specified then the most restrictive is used.
-; The default is 0 (no limit).
-; WLFSizeLimit = 1000
-
-; Specify whether or not a WLF file should be deleted when the
-; simulation ends.  A value of 1 will cause the WLF file to be deleted.
-; The default is 0 (do not delete WLF file when simulation ends).
-; WLFDeleteOnQuit = 1
-
-; Specify whether or not a WLF file should be optimized during 
-; simulation.  If set to 0, the WLF file will not be optimized.
-; The default is 1, optimize the WLF file.
-; WLFOptimize = 0
-
-; Specify the name of the WLF file.
-; The default is vsim.wlf
-; WLFFilename = vsim.wlf
-
-; Specify whether to lock the WLF file.
-; Locking the file prevents other invocations of ModelSim/Questa tools from
-; inadvertently overwriting the WLF file.
-; The default is 1, lock the WLF file.
-; WLFFileLock = 0
-
-; Specify the update interval for the WLF file in live simulation.
-; The interval is given in seconds.
-; The value is the smallest interval between WLF file updates.  The WLF file
-; will be flushed (updated) after (at least) the interval has elapsed, ensuring
-; that the data is correct when viewed from a separate viewer.
-; A value of 0 means that no updating will occur.
-; The default value is 10 seconds.
-; WLFUpdateInterval = 10
-
-; Specify the WLF cache size limit for WLF files.
-; The value is given in megabytes.  A value of 0 turns off the cache.
-; On non-Windows platforms the default WLFCacheSize setting is 2000 (megabytes).
-; On Windows, the default value is 1000 (megabytes) to help to avoid filling
-; process memory.
-; WLFSimCacheSize allows a different cache size to be set for a live simulation
-; WLF file, independent of post-simulation WLF file viewing.  If WLFSimCacheSize
-; is not set, it defaults to the WLFCacheSize value.
-; WLFCacheSize = 2000
-; WLFSimCacheSize = 500
-
-; Specify the WLF file event collapse mode.
-; 0 = Preserve all events and event order. (same as -wlfnocollapse)
-; 1 = Only record values of logged objects at the end of a simulator iteration. 
-;     (same as -wlfcollapsedelta)
-; 2 = Only record values of logged objects at the end of a simulator time step. 
-;     (same as -wlfcollapsetime)
-; The default is 1.
-; WLFCollapseMode = 0
-
-; Specify whether WLF file logging can use threads on multi-processor machines.
-; If 0, no threads will be used; if 1, threads will be used if the system has
-; more than one processor.
-; WLFUseThreads = 1
-
-; Specify the size of objects that will trigger "large object" messages
-; at log/wave/list time.  The size calculation of the object is the same as that
-; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000.
-; Setting LargeObjectSize to 0 will disable these messages.
-; LargeObjectSize = 500000
-
-; Specify the depth of stack frames returned by $stacktrace([level]).
-; This depth will be picked up when the optional 'level' argument
-; is not specified or its value is not a positive integer. 
-; StackTraceDepth = 100
-
-; Turn on/off undebuggable SystemC type warnings. Default is on.
-; ShowUndebuggableScTypeWarning = 0
-
-; Turn on/off unassociated SystemC name warnings. Default is off.
-; ShowUnassociatedScNameWarning = 1
-
-; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
-; ScShowIeeeDeprecationWarnings = 1
-
-; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
-; For SystemC-2.3.2 the valid values are 0,1 and 2
-;     0 = SC_SIGNAL_WRITE_CHECK_DISABLE_
-;     1 = SC_SIGNAL_WRITE_CHECK_DEFAULT_
-;     2 = SC_SIGNAL_WRITE_CHECK_CONFLICT_
-; For SystemC-2.2 the valid values are 0 and 1
-;     0 = DISABLE
-;     1 = ENABLE
-; ScEnableScSignalWriteCheck = 1
-
-; Set SystemC default time unit.
-; Set to fs, ps, ns, us, ms, or sec with optional 
-; prefix of 1, 10, or 100.  The default is 1 ns.
-; The ScTimeUnit value is honored if it is coarser than Resolution.
-; If ScTimeUnit is finer than Resolution, it is set to the value
-; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
-; then the default time unit will be 1 ns.  However if Resolution 
-; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
-ScTimeUnit = ns
-
-; Set SystemC sc_main stack size. The stack size is set as an integer
-; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
-; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
-; on the amount of data on the sc_main() stack and the memory required
-; to succesfully execute the longest function call chain of sc_main().
-ScMainStackSize = 10 Mb
-
-; Set SystemC thread stack size. The stack size is set as an integer
-; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
-; Gb(Giga-byte). The stack size for sc_thread depends
-; on the amount of data on the sc_thread stack and the memory required
-; to succesfully execute the thread.
-; ScStackSize = 1 Mb
-
-; Turn on/off execution of remainder of sc_main upon quitting the current
-; simulation session. If the cumulative length of sc_main() in terms of 
-; simulation time units is less than the length of the current simulation
-; run upon quit or restart, sc_main() will be in the middle of execution.
-; This switch gives the option to execute the remainder of sc_main upon
-; quitting simulation. The drawback of not running sc_main till the end
-; is memory leaks for objects created by sc_main. If on, the remainder of
-; sc_main will be executed ignoring all delays. This may cause the simulator
-; to crash if the code in sc_main is dependent on some simulation state.
-; Default is on.
-ScMainFinishOnQuit = 1
-
-; Enable calling of the DPI export taks/functions from the
-; SystemC start_of_simulation() callback.
-; The default is off.
-; EnableDpiSosCb = 1
-
-
-; Set the SCV relationship name that will be used to identify phase
-; relations.  If the name given to a transactor relation matches this
-; name, the transactions involved will be treated as phase transactions
-ScvPhaseRelationName = mti_phase
-
-; Customize the vsim kernel shutdown behavior at the end of the simulation.
-; Some common causes of the end of simulation are $finish (implicit or explicit), 
-; sc_stop(), tf_dofinish(), and assertion failures. 
-; This should be set to "ask", "exit", or "stop". The default is "ask".
-; "ask"   -- In batch mode, the vsim kernel will abruptly exit.  
-;            In GUI mode, a dialog box will pop up and ask for user confirmation 
-;            whether or not to quit the simulation.
-; "stop"  -- Cause the simulation to stay loaded in memory. This can make some 
-;            post-simulation tasks easier.
-; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
-; "final" -- Run SystemVerilog final blocks then behave as "stop".
-; Note: This variable can be overridden with the vsim "-onfinish" command line switch.
-OnFinish = ask
-
-; Print pending deferred assertion messages. 
-; Deferred assertion messages may be scheduled after the $finish in the same 
-; time step. Deferred assertions scheduled to print after the $finish are 
-; printed before exiting with severity level NOTE since it's not known whether
-; the assertion is still valid due to being printed in the active region
-; instead of the reactive region where they are normally printed.
-; OnFinishPendingAssert = 1;
-
-; Print "simstats" result. Default is 0.
-; 0 == do not print simstats
-; 1 == print at end of simulation
-; 2 == print at end of each run command and end of simulation
-; PrintSimStats = 1
-
-; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
-; AssertFile = assert.log
-
-; Enable assertion counts. Default is off.
-; AssertionCounts = 1
-
-; Run simulator in assertion debug mode. Default is off.
-; AssertionDebug = 1
-
-; Turn on/off PSL/SVA/VHDL assertion enable. Default is on.
-; AssertionEnable = 0
-
-; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1.
-; Any positive integer, -1 for infinity.
-; AssertionLimit = 1
-
-; Turn on/off concurrent assertion pass log. Default is off. 
-; Assertion pass logging is only enabled when assertion is browseable 
-; and assertion debug is enabled.
-; AssertionPassLog = 1
-
-; Turn on/off PSL concurrent assertion fail log. Default is on.
-; The flag does not affect SVA
-; AssertionFailLog = 0
-
-; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
-; AssertionFailLocalVarLog = 0
-
-; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
-; 0 = Continue  1 = Break  2 = Exit
-; AssertionFailAction = 1
-
-; Enable the active thread monitor in the waveform display when assertion debug is enabled.
-; AssertionActiveThreadMonitor = 1
-
-; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
-; AssertionActiveThreadMonitorLimit = 5
-
-; Assertion thread limit after which assertion would be killed/switched off. 
-; The default is -1 (unlimited). If the number of threads for an assertion go 
-; beyond this limit, the assertion would be either switched off or killed. This
-; limit applies to only assert directives.
-;AssertionThreadLimit = -1
-
-; Action to be taken once the assertion thread limit is reached. Default 
-; is kill. It can have a value of off or kill. In case of kill, all the existing
-; threads are terminated and no new attempts are started. In case of off, the 
-; existing attempts keep on evaluating but no new attempts are started. This 
-; variable applies to only assert directives.
-;AssertionThreadLimitAction = kill
-
-; Cover thread limit after which cover would be killed/switched off. 
-; The default is -1 (unlimited). If the number of threads for a cover go 
-; beyond this limit, the cover would be either switched off or killed. This
-; limit applies to only cover directives.
-;CoverThreadLimit = -1
-
-; Action to be taken once the cover thread limit is reached. Default 
-; is kill. It can have a value of off or kill. In case of kill, all the existing
-; threads are terminated and no new attempts are started. In case of off, the 
-; existing attempts keep on evaluating but no new attempts are started. This 
-; variable applies to only cover directives.
-;CoverThreadLimitAction = kill
-
-
-; By default immediate assertions do not participate in Assertion Coverage calculations
-; unless they are executed.  This switch causes all immediate assertions in the design
-; to participate in Assertion Coverage calculations, whether attempted or not.
-; UnattemptedImmediateAssertions = 0
-
-; By default immediate covers participate in Coverage calculations 
-; whether they are attempted or not. This switch causes all unattempted 
-; immediate covers in the design to stop participating in Coverage 
-; calculations.
-; UnattemptedImmediateCovers = 0
-
-; By default pass action block is not executed for assertions on vacuous 
-; success. The following variable is provided to enable execution of 
-; pass action block on vacuous success. The following variable is only effective
-; if the user does not disable pass action block execution by using either 
-; system tasks or CLI. Also there is a performance penalty for enabling 
-; the following variable. 
-;AssertionEnableVacuousPassActionBlock = 1
-
-; As per strict 1850-2005 PSL LRM, an always property can either pass
-; or fail. However, by default, Questa reports multiple passes and
-; multiple fails on top always/never property (always/never operator
-; is the top operator under Verification Directive). The reason
-; being that Questa reports passes and fails on per attempt of the
-; top always/never property. Use the following flag to instruct
-; Questa to strictly follow LRM. With this flag, all assert/never
-; directives will start an attempt once at start of simulation.
-; The attempt can either fail, match or match vacuously.
-; For e.g. if always is the top operator under assert, the always will
-; keep on checking the property at every clock. If the property under
-; always fails, the directive will be considered failed and no more 
-; checking will be done for that directive. A top always property,
-; if it does not fail, will show a pass at end of simulation.
-; The default value is '0' (i.e. zero is off). For example:
-; PslOneAttempt = 1
-
-; Specify the number of clock ticks to represent infinite clock ticks.
-; This affects eventually!, until! and until_!. If at End of Simulation
-; (EOS) an active strong-property has not clocked this number of
-; clock ticks then neither pass or fail (vacuous match) is returned
-; else respective fail/pass is returned. The default value is '0' (zero)
-; which effectively does not check for clock tick condition. For example:
-; PslInfinityThreshold = 5000
-
-; Control how many thread start times will be preserved for ATV viewing for a given assertion
-; instance.  Default is -1 (ALL).
-; ATVStartTimeKeepCount = -1
-
-; Turn on/off code coverage
-; CodeCoverage = 0
-
-; This option applies to condition and expression coverage UDP tables. It
-; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp.
-; If this option is used and a match occurs in more than one row in the UDP table,
-; none of the counts for all matching rows is incremented. By default, counts are
-; incremented for all matching rows.
-; CoverCountAll = 1
-
-; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
-; is to include them.
-; ToggleNoIntegers = 1
-
-; Set the maximum number of values that are collected for toggle coverage of
-; VHDL integers. Default is 100;
-; ToggleMaxIntValues = 100
-
-; Set the maximum number of values that are collected for toggle coverage of
-; Verilog real. Default is 100;
-; ToggleMaxRealValues = 100
-
-; Turn on automatic inclusion of Verilog integers in toggle coverage, except
-; for enumeration types. Default is to include them.
-; ToggleVlogIntegers = 0
-
-; Turn on automatic inclusion of Verilog real type in toggle coverage, except
-; for shortreal types. Default is to not include them.
-; ToggleVlogReal = 1
-
-; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays
-; and VHDL arrays-of-arrays in toggle coverage.
-; Default is to not include them.
-; ToggleFixedSizeArray = 1
-
-; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays,
-; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage.
-; This leads to a longer simulation time with bigger arrays covered with toggle coverage.
-; Default is 1024.
-; ToggleMaxFixedSizeArray = 1024
-
-; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized
-; one-dimensional packed vectors for toggle coverage. Default is 0.
-; TogglePackedAsVec = 0
-
-; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for
-; toggle coverage. Default is 0.
-; ToggleVlogEnumBits = 0
-
-; Turn off automatic inclusion of VHDL records in toggle coverage.
-; Default is to include them.
-; ToggleVHDLRecords = 0
-
-; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
-; For unlimited width, set to 0.
-; ToggleWidthLimit = 128
-
-; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
-; reached this count, further activity on the bit is ignored. Default is 1.
-; For unlimited counts, set to 0.
-; ToggleCountLimit = 1
-
-; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3.
-; Following is the toggle coverage calculation criteria based on extended toggle mode:
-; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z').
-; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'.
-; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions.
-; ExtendedToggleMode = 3
-
-; Enable toggle statistics collection only for ports. Default is 0.
-; TogglePortsOnly = 1
-
-; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has
-; reached this count, further tracking of the input patterns linked to it is ignored.
-; Default is 1. For unlimited counts, set to 0.
-; NOTE: Changing this value from its default value may affect simulation performance.
-; FecCountLimit = 1
-
-; Limit the counts that are tracked for UDP Coverage. When a bin has
-; reached this count, further tracking of the input patterns linked to it is ignored.
-; Default is 1. For unlimited counts, set to 0.
-; NOTE: Changing this value from its default value may affect simulation performance.
-; UdpCountLimit = 1
-
-; Control toggle coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either 
-; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; ToggleDeglitchPeriod = 10.0ps
-
-; Turn on/off all PSL/SVA cover directive enables.  Default is on.
-; CoverEnable = 0
-
-; Turn on/off PSL/SVA cover log.  Default is off "0".
-; CoverLog = 1
-
-; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
-; CoverAtLeast = 2
-
-; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
-; Any positive integer, -1 for infinity.
-; CoverLimit = 1
-
-; Specify the coverage database filename.
-; Default is "" (i.e. database is NOT automatically saved on close). 
-; UCDBFilename = vsim.ucdb
-
-; Specify the maximum limit for the number of Cross (bin) products reported
-; in XML and UCDB report against a Cross. A warning is issued if the limit
-; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this
-; setting.
-; MaxReportRhsSVCrossProducts = 1000
-
-; Specify the override for the "auto_bin_max" option for the Covergroups.
-; If not specified then value from Covergroup "option" is used.
-; SVCoverpointAutoBinMax = 64
-
-; Specify the override for the value of "cross_num_print_missing"
-; option for the Cross in Covergroups. If not specified then value
-; specified in the "option.cross_num_print_missing" is used. This
-; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
-; value specified by user in source file and any SVCrossNumPrintMissingDefault
-; specified in modelsim.ini.
-; SVCrossNumPrintMissing = 0
-
-; Specify whether to use the value of "cross_num_print_missing"
-; option in report and GUI for the Cross in Covergroups. If not specified then 
-; cross_num_print_missing is ignored for creating reports and displaying 
-; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
-; UseSVCrossNumPrintMissing = 0
-
-; Specify the threshold of Coverpoint wildcard bin value range size, above which 
-; a warning will be triggered. The default is 4K -- 12 wildcard bits.
-; SVCoverpointWildCardBinValueSizeWarn = 4096
-
-; Specify the override for the value of "strobe" option for the
-; Covergroup Type. If not specified then value in "type_option.strobe"
-; will be used. This is runtime option which forces "strobe" to
-; user specified value and supersedes user specified values in the
-; SystemVerilog Code. NOTE: This also overrides the compile time
-; default value override specified using "SVCovergroupStrobeDefault"
-; SVCovergroupStrobe = 0
-
-; Override for explicit assignments in source code to "option.goal" of
-; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
-; default value of "option.goal" (defined to be 100 in the SystemVerilog
-; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
-; SVCovergroupGoal = 100
-
-; Override for explicit assignments in source code to "type_option.goal" of
-; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
-; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
-; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
-; SVCovergroupTypeGoal = 100
-
-; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
-; builtin functions, and report. This setting changes the default values of
-; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
-; behavior if explicit assignments are not made on option.get_inst_coverage and
-; type_option.merge_instances by the user. There are two vsim command line
-; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
-; The default value of this variable from release 6.6 onwards is 0. This default
-; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
-; SVCovergroup63Compatibility = 0
-
-; Enforce the default behavior of covergroup get_coverage() builtin function, GUI
-; and report. This variable sets the default value of type_option.merge_instances.
-; There are two vsim command line options, -cvgmergeinstances and 
-; -nocvgmergeinstances to override this setting from vsim command line.
-; The default value of this variable, -1 (don't care), allows the tool to determine
-; the effective value, based on factors related to capacity and optimization. 
-; The type_option.merge_instances appears in the GUI and coverage reports as either 
-; auto(1) or auto(0), depending on whether the effective value was determined to 
-; be a 1 or a 0.
-; SVCovergroupMergeInstancesDefault = -1
-
-; Enable or disable generation of more detailed information about the sampling
-; of covergroup, cross, and coverpoints. It provides the details of the number
-; of times the covergroup instance and type were sampled, as well as details
-; about why covergroup, cross and coverpoint were not covered. A non-zero value
-; is to enable this feature. 0 is to disable this feature. Default is 0
-; SVCovergroupSampleInfo = 0
-
-; Specify the maximum number of Coverpoint bins in whole design for
-; all Covergroups.
-; MaxSVCoverpointBinsDesign = 2147483648 
-
-; Specify maximum number of Coverpoint bins in any instance of a Covergroup, default is 2^10 bins
-; MaxSVCoverpointBinsInst = 1048576
-
-; Specify the maximum number of Cross bins in whole design for
-; all Covergroups.
-; MaxSVCrossBinsDesign = 2147483648 
-
-; Specify maximum number of Cross bins in any instance of a Covergroup, default is 2^16 bins
-; MaxSVCrossBinsInst = 67108864
-
-; Specify whether vsim will collect the coverage data of zero-weight coverage items or not.
-; By default, this variable is set 0, in which case option.no_collect setting will take effect.
-; If this variable is set to 1, all zero-weight coverage items will not be saved.
-; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting 
-; of this variable.
-; CvgZWNoCollect = 1
-
-; Specify a space delimited list of double quoted TCL style
-; regular expressions which will be matched against the text of all messages.
-; If any regular expression is found to be contained within any message, the 
-; status for that message will not be propagated to the UCDB TESTSTATUS. 
-; If no match is detected, then the status will be propagated to the
-; UCDB TESTSTATUS. More than one such regular expression text is allowed, 
-; and each message text is compared for each regular expression in the list.
-; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message" 
-
-; Set weight for all PSL/SVA cover directives.  Default is 1.
-; CoverWeight = 2
-
-; Check vsim plusargs.  Default is 0 (off).
-; 0 = Don't check plusargs
-; 1 = Warning on unrecognized plusarg
-; 2 = Error and exit on unrecognized plusarg
-; CheckPlusargs = 1
-
-; Load the specified shared objects with the RTLD_GLOBAL flag.
-; This gives global visibility to all symbols in the shared objects,
-; meaning that subsequently loaded shared objects can bind to symbols
-; in the global shared objects.  The list of shared objects should
-; be whitespace delimited.  This option is not supported on the
-; Windows or AIX platforms.
-; GlobalSharedObjectList = example1.so example2.so example3.so
-
-; Generate the stub definitions for the undefined symbols in the shared libraries being
-; loaded in the simulation. When this flow is turned on, the undefined symbols will not
-; prevent vsim from loading. Calling undefined symbols at runtime will cause fatal error.
-; The valid arguments are: on, off, verbose. 
-;     on : turn on the automatic generation of stub definitions.
-;     off: turn off the flow. The undefined symbols will trigger an immediate load failure.
-;     verbose: Turn on the flow and report the undefined symbols for each shared library.
-; NOTE: This variable can be overriden with vsim switch "-undefsyms".
-; The default is on.
-;
-; UndefSyms = off
-
-; Enable the support for checkpointing foreign C++ libraries.
-; The valid arguments are: 1 and 0. 
-;     1 : turn on the support
-;     0 : turn off the support (default)
-; This option is not supported on the Windows platforms.
-;
-; AllowCheckpointCpp = 1
-
-; Initial seed for the random number generator of the root thread (SystemVerilog).
-; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch.
-; The default value is 0.
-; Sv_Seed = 0
-
-; Specify the solver "engine" that vsim will select for constrained random
-; generation.
-; Valid values are:
-;    "auto" - automatically select the best engine for the current
-;             constraint scenario
-;    "bdd"  - evaluate all constraint scenarios using the BDD solver engine
-;    "act"  - evaluate all constraint scenarios using the ACT solver engine
-; While the BDD solver engine is generally efficient with constraint scenarios
-; involving bitwise logical relationships, the ACT solver engine can exhibit
-; superior performance with constraint scenarios involving large numbers of
-; random variables related via arithmetic operators (+, *, etc).
-; NOTE: This variable can be overridden with the vsim "-solveengine" command
-; line switch.
-; The default value is "auto".
-; SolveEngine = auto
-
-; Specifies the maximum size that a dynamic array may be resized to by the
-; solver. If the solver attempts to resize a dynamic array to a size greater
-; than the specified limit, the solver will abort with an error.
-; The default value is 10000. A value of 0 indicates no limit.
-; SolveArrayResizeMax = 10000
-
-; Specify error message severity when randomize() and randomize(null) failures
-; are detected.
-;
-; Integer value up to two digits are allowed with each digit having the following legal values:
-; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-;
-; 1) When a value with two digits is used, the digit at tenth place (leftmost digit) represents
-;    the severtity setting for normal randomize() calls. The digit at ones place (rightmost digit)
-;    represents the setting for randomize(null) calls.
-;
-; 2) When a single digit value is used, the setting is applied to both normal randomize() call 
-;    and randomize(null) call.
-;
-; Example: Fatal error for randomize() failures and NO error for randomize(null) failures
-;   -solvefailseverity=40
-;
-; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is
-; enabled, a constraint contradiction report will be displayed for randomize() calls that
-; have a message severity >= warning (i.e. constraint contradiction reports will not be
-; generated for randomize() calls having a "no error" severity level)
-;
-; NOTE: This variable can be overridden with the vsim "-solvefailseverity" command
-; line switch.
-;
-; The default is 1 (warning).
-; SolveFailSeverity = 1
-
-; Error message severity for suppressible errors that are detected in a
-; solve/before constraint.
-; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity"
-; command line switch.
-; The default is 3 (failure).
-; SolveBeforeErrorSeverity = 3
-
-; Error message severity for suppressible errors that are related to
-; solve engine capacity limits
-; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-; NOTE: This variable can be overridden with the vsim "-solveengineerrorseverity"
-; command line switch.
-; The default is 3 (failure).
-; SolveEngineErrorSeverity = 3
-
-; Enable/disable constraint conflicts on randomize() failure
-; Valid values:
-;     0 - disable solvefaildebug
-;     1 - basic debug (no performance penalty)
-;     2 - enhanced debug (runtime performance penalty)
-;
-; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is
-; enabled, a constraint contradiction report will be displayed for randomize() calls that
-; have a message severity >= warning (i.e. constraint contradiction reports will not be
-; generated for randomize() calls having a "no error" severity level)
-;
-; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command
-; line switch.
-;
-; The default is 1 (basic debug).
-; SolveFailDebug = 1
-
-; Upon encountering a randomize() failure, generate a simplified testcase that
-; will reproduce the failure. Optionally output the testcase to a file.
-; Testcases for 'no-solution' failures will only be produced if SolveFailDebug
-; is enabled (see above).
-; NOTE: This variable can be overridden with the vsim "-solvefailtestcase"
-; command line switch.
-; The default is OFF (do not generate a testcase). To enable testcase
-; generation, uncomment this variable. To redirect testcase generation to a
-; file, specify the name of the output file.
-; SolveFailTestcase = 
-
-; Specify solver timeout threshold (in seconds). randomize() will fail if the
-; CPU time required to evaluate any randset exceeds the specified timeout.
-; The default value is 500. A value of 0 will disable timeout failures. 
-; SolveTimeout = 500
-
-; Specify the maximum size of the solution graph generated by the BDD solver.
-; This value can be used to force the BDD solver to abort the evaluation of a
-; complex constraint scenario that cannot be evaluated with finite memory.
-; This value is specified in 1000s of nodes.
-; The default value is 10000. A value of 0 indicates no limit.
-; SolveGraphMaxSize = 10000
-
-; Specify the maximum number of evaluations that may be performed on the
-; solution graph by the BDD solver. This value can be used to force the BDD
-; solver to abort the evaluation of a complex constraint scenario that cannot
-; be evaluated in finite time. This value is specified in 10000s of evaluations.
-; The default value is 10000. A value of 0 indicates no limit.
-; SolveGraphMaxEval = 10000
-
-; Specify random sequence compatiblity with a prior release. This 
-; option is used to get the same random sequences during simulation as
-; as a prior release. Only prior releases with the same major version
-; as the current release are allowed.
-; NOTE: Only those random sequence changes due to solver optimizations are
-; reverted by this variable. Random sequence changes due to solver bugfixes
-; cannot be un-done.
-; NOTE: This variable can be overridden with the vsim "-solverev" command
-; line switch.
-; Default value set to "" (no compatibility).
-; SolveRev =
-
-; Environment variable expansion of command line arguments has been depricated 
-; in favor shell level expansion.  Universal environment variable expansion 
-; inside -f files is support and continued support for MGC Location Maps provide
-; alternative methods for handling flexible pathnames.
-; The following line may be uncommented and the value set to 1 to re-enable this 
-; deprecated behavior.  The default value is 0.
-; DeprecatedEnvironmentVariableExpansion = 0
-
-; Specify the memory threshold for the System Verilog garbage collector.
-; The value is the number of megabytes of class objects that must accumulate
-; before the garbage collector is run.
-; The GCThreshold setting is used when class debug mode is disabled to allow
-; less frequent garbage collection and better simulation performance.
-; The GCThresholdClassDebug setting is used when class debug mode is enabled
-; to allow for more frequent garbage collection.
-; GCThreshold = 100
-; GCThresholdClassDebug = 5
-
-; Turn on/off collapsing of bus ports in VCD dumpports output
-DumpportsCollapse = 1
-
-; Location of Multi-Level Verification Component (MVC) installation. 
-; The default location is the product installation directory.
-MvcHome = $MODEL_TECH/..
-
-; Location of InFact installation. The default is $MODEL_TECH/../../infact
-;
-; InFactHome = $MODEL_TECH/../../infact
-
-; Initialize SystemVerilog enums using the base type's default value
-; instead of the leftmost value.
-; EnumBaseInit = 1
-
-; Suppress file type registration.  
-; SuppressFileTypeReg = 1
-
-; Enable/disable non-LRM compliant SystemVerilog language extensions.
-; Valid extensions are:
-;   altdpiheader - Alternative style function signature generated in DPI header",
-;   cfce         - generate an error if $cast fails as a function
-;   cfmt         - C like formatting for specifiers with '#' prefix ('%#x', '%#h')
-;   dfsp         - sets default format specifier as %p, if no format specifier is given for unpacked array in $display and related systasks
-;   expdfmt      - enable format string extensions for $display/$sformatf
-;   extscan      - support values greater than 32 bit for string builtin methods (atohex, atobin, atooct, atoi)
-;   fmtcap       - prints capital hex digits with %X/%H in display calls
-;   iddp         - ignore DPI disable protocol check
-;   lfmt         - zero-pad data if '0' prefixes width in format specifier (e.g. "%04h")
-;   noexptc      - ignore DPI export type name overloading check
-;   realrand     - support randomize() with real variables and constraints (Default)
-; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Enable/disable non-LRM compliant SystemVerilog constrained-random language extensions.
-; Valid extensions are:
-;   arraymode    - consider rand_mode of unpacked array field independently from its elements
-;   deepcheck    - allow randomize(null) to recursively consider constraints from member rand class handles (Default)
-;   funcback     - enable function backtracking (ACT only)
-;   genmodseedfix - enable LRM-compliant seeding of module/interface instances under for-generate blocks (Default)
-;   nodist       - interpret 'dist' constraint as 'inside' (ACT only)
-;   noorder      - ignore solve/before ordering constraints (ACT only)
-;   pathseed     - enable unique seeding of module instances based on hierarchical path name
-;   promotedist  - promote priority of 'dist' constraint if LHS has no solve/before
-;   randindex    - allow random index in constraint (Default)
-;   randstruct   - consider all fields of unpacked structs as 'rand'
-;   skew         - skew randomize results (ACT only)
-;   strictstab   - strict random stability
-; SvRandExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Controls the formatting of '%p' and '%P' conversion specification, used in $display
-; and similar system tasks.
-; 1. SVPrettyPrintFlags=I<n><S|T> use <n> spaces(S) or tabs(T) per indentation level. 
-;    The 'I' flag when present causes relevant data types to be expanded and indented into
-;    a more readable format.
-;    (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level).
-; 2. SVPrettyPrintFlags=L<numLines> limits the output to <numLines> lines.
-;    (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines).
-; 3. SVPrettyPrintFlags=C<numChars> limits the output to <numChars> characters.
-;    (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters).
-; 4. SVPrettyPrintFlags=F<numFields> limits the output to <numFields> of relevant datatypes
-;    (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure).
-; 5. SVPrettyPrintFlags=E<numElements> limits the output to <numElements> of relevant datatypes
-;    (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array).
-; 6. SVPrettyPrintFlags=D<depth> suppresses the output of sub-elements below <depth>.
-;    (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5).
-; 7. SVPrettyPrintFlags=R<specifier> shows the output of specifier %p as per the specifed radix.
-;    It changes the output in $display and similar systasks. It does not affect formatted output functions ($displayh etc)).
-;    (e.g. SVPrettyPrintFlags=Rb will show the output of %p specifier in binary format.
-; 8. Items 1-7 above can be combined as a comma separated list.
-;    (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5,Rb)
-; SVPrettyPrintFlags=I4S
-
-[lmc]
-; The simulator's interface to Logic Modeling's SmartModel SWIFT software
-libsm = $MODEL_TECH/libsm.sl
-; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
-; libsm = $MODEL_TECH/libsm.dll
-;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
-; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
-;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
-; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
-;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
-; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
-;  Logic Modeling's SmartModel SWIFT software (Windows NT)
-; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
-;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
-; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
-;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
-; libswift = $LMC_HOME/lib/linux.lib/libswift.so
-
-; The simulator's interface to Logic Modeling's hardware modeler SFI software
-libhm = $MODEL_TECH/libhm.sl
-; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
-; libhm = $MODEL_TECH/libhm.dll
-;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
-; libsfi = <sfi_dir>/lib/hp700/libsfi.sl
-;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
-; libsfi = <sfi_dir>/lib/rs6000/libsfi.a
-;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
-; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so
-;  Logic Modeling's hardware modeler SFI software (Windows NT)
-; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll
-;  Logic Modeling's hardware modeler SFI software (Linux)
-; libsfi = <sfi_dir>/lib/linux/libsfi.so
-
-[msg_system]
-; Change a message severity or suppress a message.
-; The format is: <msg directive> = <msg number>[,<msg number>...]
-; suppress can be used to achieve +nowarn<CODE> functionality
-; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...]
-; Examples:
-suppress = 8780 ;an explanation can be had by running: verror 8780 
-;   note = 3009
-;   warning = 3033
-;   error = 3010,3016
-;   fatal = 3016,3033
-;   suppress = 3009,3016,3601
-;   suppress = 3009,CNNODP,3601,TFMPC
-;   suppress = 8683,8684
-; The command verror <msg number> can be used to get the complete
-; description of a message.
-
-; Control transcripting of Verilog display system task messages and
-; PLI/FLI print function call messages.  The system tasks include
-; $display[bho], $strobe[bho], $monitor[bho], and $write[bho].  They
-; also include the analogous file I/O tasks that write to STDOUT 
-; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
-; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
-; is to have messages appear only in the transcript.  The other 
-; settings are to send messages to the wlf file only (messages that
-; are recorded in the wlf file can be viewed in the MsgViewer) or 
-; to both the transcript and the wlf file.  The valid values are
-;    tran  {transcript only (default)}
-;    wlf   {wlf file only}
-;    both  {transcript and wlf file}
-; displaymsgmode = tran
-
-; Control transcripting of elaboration/runtime messages not
-; addressed by the displaymsgmode setting.  The default is to 
-; have messages appear only in the transcript.  The other settings
-; are to send messages to the wlf file only (messages that are
-; recorded in the wlf file can be viewed in the MsgViewer) or to both
-; the transcript and the wlf file. The valid values are
-;    tran  {transcript only (default)}
-;    wlf   {wlf file only}
-;    both  {transcript and wlf file}
-; msgmode = tran
-
-; Controls number of displays of a particluar message
-; default value is 5
-; MsgLimitCount = 5
-
-[utils]
-; Default Library Type (while creating a library with "vlib")
-;  0 - legacy library using subdirectories for design units
-;  2 - flat library
-; DefaultLibType = 2
-
-; Flat Library Page Size (while creating a library with "vlib")
-; Set the size in bytes for flat library file pages.  Libraries containing
-; very large files may benefit from a larger value.
-; FlatLibPageSize = 8192
-
-; Flat Library Page Cleanup Percentage (while creating a library with "vlib")
-; Set the percentage of total pages deleted before library cleanup can occur.
-; This setting is applied together with FlatLibPageDeleteThreshold.
-; FlatLibPageDeletePercentage = 50
-
-; Flat Library Page Cleanup Threshold (while creating a library with "vlib")
-; Set the number of pages deleted before library cleanup can occur.
-; This setting is applied together with FlatLibPageDeletePercentage.
-; FlatLibPageDeleteThreshold = 1000
-
-[Project]
-** Warning: ; Warning -- Do not edit the project properties directly.
-;            Property names are dynamic in nature and property
-;            values have special syntax.  Changing property data directly
-;            can result in a corrupt MPF file.  All project properties
-;            can be modified through project window dialogs.
-Project_Version = 6
-Project_DefaultLib = work
-Project_SortMethod = unused
-Project_Files_Count = 2
-Project_File_0 = H:/Msc project/add/posit_add_8bit_tb.v
-Project_File_P_0 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1658844880 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0
-Project_File_1 = H:/Msc project/add/posit_add.v
-Project_File_P_1 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1658844880 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
-Project_Sim_Count = 0
-Project_Folder_Count = 0
-Echo_Compile_Output = 0
-Save_Compile_Report = 1
-Project_Opt_Count = 0
-ForceSoftPaths = 0
-ProjectStatusDelay = 5000
-VERILOG_DoubleClick = Edit
-VERILOG_CustomDoubleClick = 
-SYSTEMVERILOG_DoubleClick = Edit
-SYSTEMVERILOG_CustomDoubleClick = 
-VHDL_DoubleClick = Edit
-VHDL_CustomDoubleClick = 
-PSL_DoubleClick = Edit
-PSL_CustomDoubleClick = 
-TEXT_DoubleClick = Edit
-TEXT_CustomDoubleClick = 
-SYSTEMC_DoubleClick = Edit
-SYSTEMC_CustomDoubleClick = 
-TCL_DoubleClick = Edit
-TCL_CustomDoubleClick = 
-MACRO_DoubleClick = Edit
-MACRO_CustomDoubleClick = 
-VCD_DoubleClick = Edit
-VCD_CustomDoubleClick = 
-SDF_DoubleClick = Edit
-SDF_CustomDoubleClick = 
-XML_DoubleClick = Edit
-XML_CustomDoubleClick = 
-LOGFILE_DoubleClick = Edit
-LOGFILE_CustomDoubleClick = 
-UCDB_DoubleClick = Edit
-UCDB_CustomDoubleClick = 
-TDB_DoubleClick = Edit
-TDB_CustomDoubleClick = 
-UPF_DoubleClick = Edit
-UPF_CustomDoubleClick = 
-PCF_DoubleClick = Edit
-PCF_CustomDoubleClick = 
-PROJECT_DoubleClick = Edit
-PROJECT_CustomDoubleClick = 
-VRM_DoubleClick = Edit
-VRM_CustomDoubleClick = 
-DEBUGDATABASE_DoubleClick = Edit
-DEBUGDATABASE_CustomDoubleClick = 
-DEBUGARCHIVE_DoubleClick = Edit
-DEBUGARCHIVE_CustomDoubleClick = 
-Project_Major_Version = 2020
-Project_Minor_Version = 1
diff --git a/posit_adder_verilog/adder.cr.mti b/posit_adder_verilog/adder.cr.mti
deleted file mode 100644
index 66598df..0000000
--- a/posit_adder_verilog/adder.cr.mti
+++ /dev/null
@@ -1,29 +0,0 @@
-{H:/Msc project/add/posit_add_8bit_tb.v} {1 {vlog -work work -vopt -stats=none {H:/Msc project/add/posit_add_8bit_tb.v}
-Model Technology ModelSim SE-64 vlog 2020.1 Compiler 2020.01 Jan 28 2020
--- Compiling module posit_add_8bit_tb_v
-
-Top level modules:
-	posit_add_8bit_tb_v
-
-} {} {}} {H:/Msc project/add/posit_add.v} {1 {vlog -work work -vopt -stats=none {H:/Msc project/add/posit_add.v}
-Model Technology ModelSim SE-64 vlog 2020.1 Compiler 2020.01 Jan 28 2020
--- Compiling module posit_add
--- Compiling module data_extract_v1
--- Compiling module sub_N
--- Compiling module add_N
--- Compiling module sub_N_in
--- Compiling module add_N_in
--- Compiling module add_sub_N
--- Compiling module add_1
--- Compiling module abs_regime
--- Compiling module conv_2c
--- Compiling module reg_exp_op
--- Compiling module DSR_left_N_S
--- Compiling module DSR_right_N_S
--- Compiling module LOD_N
--- Compiling module LOD
-
-Top level modules:
-	posit_add
-
-} {} {}}
diff --git a/posit_adder_verilog/adder.mpf b/posit_adder_verilog/adder.mpf
deleted file mode 100644
index d3aab4c..0000000
--- a/posit_adder_verilog/adder.mpf
+++ /dev/null
@@ -1,2256 +0,0 @@
-; vsim modelsim.ini file
-[Version]
-INIVersion = "2020.1"
-
-; Copyright 1991-2020 Mentor Graphics Corporation
-;
-; All Rights Reserved.
-;
-; THIS WORK CONTAINS TRADE SECRET AND PROPRIETARY INFORMATION WHICH IS THE PROPERTY OF 
-; MENTOR GRAPHICS CORPORATION OR ITS LICENSORS AND IS SUBJECT TO LICENSE TERMS.
-;   
-
-[Library]
-std = $MODEL_TECH/../std
-ieee = $MODEL_TECH/../ieee
-vital2000 = $MODEL_TECH/../vital2000
-;
-; VITAL concerns:
-;
-; The library ieee contains (among other packages) the packages of the
-; VITAL 2000 standard.  When a design uses VITAL 2000 exclusively, it should use
-; the physical library ieee (recommended), or use the physical library
-; vital2000, but not both.  The design can use logical library ieee and/or
-; vital2000 as long as each of these maps to the same physical library, either
-; ieee or vital2000.
-;
-; A design using the 1995 version of the VITAL packages, whether or not
-; it also uses the 2000 version of the VITAL packages, must have logical library
-; name ieee mapped to physical library vital1995.  (A design cannot use library
-; vital1995 directly because some packages in this library use logical name ieee
-; when referring to the other packages in the library.)  The design source
-; should use logical name ieee when referring to any packages there except the
-; VITAL 2000 packages.  Any VITAL 2000 present in the design must use logical
-; name vital2000 (mapped to physical library vital2000) to refer to those
-; packages.
-; ieee = $MODEL_TECH/../vital1995
-;
-; For compatiblity with previous releases, logical library name vital2000 maps
-; to library vital2000 (a different library than library ieee, containing the
-; same packages).
-; A design should not reference VITAL from both the ieee library and the
-; vital2000 library because the vital packages are effectively different.
-; A design that references both the ieee and vital2000 libraries must have
-; both logical names ieee and vital2000 mapped to the same library, either of
-; these:
-;   $MODEL_TECH/../ieee
-;   $MODEL_TECH/../vital2000
-;
-verilog = $MODEL_TECH/../verilog
-std_developerskit = $MODEL_TECH/../std_developerskit
-synopsys = $MODEL_TECH/../synopsys
-modelsim_lib = $MODEL_TECH/../modelsim_lib
-sv_std = $MODEL_TECH/../sv_std
-mtiAvm = $MODEL_TECH/../avm
-mtiRnm = $MODEL_TECH/../rnm
-mtiOvm = $MODEL_TECH/../ovm-2.1.2
-mtiUvm = $MODEL_TECH/../uvm-1.1d
-mtiUPF = $MODEL_TECH/../upf_lib
-mtiPA  = $MODEL_TECH/../pa_lib
-floatfixlib = $MODEL_TECH/../floatfixlib
-mc2_lib = $MODEL_TECH/../mc2_lib
-flps_lib = $MODEL_TECH/../flps_lib
-osvvm = $MODEL_TECH/../osvvm
-
-; added mapping for ADMS
-mgc_ams = $MODEL_TECH/../mgc_ams
-ieee_env = $MODEL_TECH/../ieee_env
-
-;vhdl_psl_checkers = $MODEL_TECH/../vhdl_psl_checkers       // Source files only for this release
-;verilog_psl_checkers = $MODEL_TECH/../verilog_psl_checkers // Source files only for this release
-;mvc_lib = $MODEL_TECH/../mvc_lib
-infact = $MODEL_TECH/../infact
-vhdlopt_lib = $MODEL_TECH/../vhdlopt_lib
-vh_ux01v_lib = $MODEL_TECH/../vh_ux01v_lib
-
-; Automatically perform logical->physical mapping for physical libraries that
-; appear in -L/-Lf options with filesystem path delimiters (e.g. '.' or '/').
-; The tail of the filesystem path name is chosen as the logical library name.
-; For example, in the command "vopt -L ./path/to/lib1 -o opttop top",
-; vopt automatically performs the mapping "lib1 -> ./path/to/lib1".
-; See the User Manual for more details.
-;
-; AutoLibMapping = 0
-
-work = work
-[DefineOptionset]
-; Define optionset entries for the various compilers, vmake, and vsim.
-; These option sets can be used with the "-optionset <optionsetname>" syntax.
-; i.e.
-;  vlog -optionset COMPILEDEBUG top.sv
-;  vsim -optionset UVMDEBUG my_top
-;
-; Following are some useful examples.
-
-; define a vsim optionset for uvm debugging
-UVMDEBUG = -uvmcontrol=all -msgmode both -displaymsgmode both -classdebug -onfinish stop
-
-; define a vopt optionset for debugging
-VOPTDEBUG = +acc -debugdb
-
-[encryption]
-; For vencrypt and vhencrypt.
-
-; Controls whether to encrypt whole files by ignoring all protect directives
-; (except "viewport" and "interface_viewport") that are present in the input.
-; The default is 0, use embedded protect directives to control the encryption.
-; Set this to 1 to encrypt whole files by ignoring embedded protect directives.
-; wholefile = 0
-
-; Sets the data_method to use for the symmetric session key.
-; The session key is a symmetric key that is randomly generated for each
-; protected region (envelope) and is the heart of all encryption.  This is used
-; to set the length of the session key to generate and use when encrypting the
-; HDL text.  Supported values are aes128, aes192, and aes256.
-; data_method = aes128
-
-; The following 2 are for specifying an IEEE Std. 1735 Version 2 (V2) encryption
-; "recipe" comprising an optional common block, at least one tool block (which
-; contains the key public key), and the text to be encrypted.  The common block
-; and any of the tool blocks may contain rights in the form of the "control"
-; directive.  The text to be encrypted is specified either by setting
-; "wholefile" to 1 or by embedding protect "begin" and "end" directives in
-; the input HDL files.
-
-; Common recipe specification file.  This file is optional.  Its presence will
-; require at least one "toolblock" to be specified.
-; Directives such as "author" "author_info" and "data_method",
-; as well as the common block license specification, go in this file.
-; common = <file name>
-
-; Tool block specification recipe(s).  Public key file with optional tool block
-; file name.  May be multiply-defined; at least one tool block is required if
-; a recipe is being specified.
-; Key file is a file name with no extension (.deprecated or .active will be
-; supplied by the encryption tool).
-; Rights file name is optional.
-; toolblock = <key file name>[,<rights file name>]{:<key file name>[,<rights file name>]}
-
-; Location of directory containing recipe files.
-; The default location is in the product installation directory.
-; keyring = $MODEL_TECH/../keyring
-
-; Enable encryption statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list]
-; Add '-' to disable specific statistics. Default is [cmd,msg].
-Stats = cmd,msg
-
-[vcom]
-; VHDL93 variable selects language version as the default. 
-; Default is VHDL-2002.
-; Value of 0 or 1987 for VHDL-1987.
-; Value of 1 or 1993 for VHDL-1993.
-; Default or value of 2 or 2002 for VHDL-2002.
-; Value of 3 or 2008 for VHDL-2008
-; Value of 4 or ams99 for VHDL-AMS-1999
-; Value of 5 or ams07 for VHDL-AMS-2007
-VHDL93 = 2002
-
-; Ignore VHDL-2008 declaration of REAL_VECTOR in package STANDARD. Default is off.
-; ignoreStandardRealVector = 1
-
-; Show source line containing error. Default is off.
-; Show_source = 1
-
-; Turn off unbound-component warnings. Default is on.
-; Show_Warning1 = 0
-
-; Turn off process-without-a-wait-statement warnings. Default is on.
-; Show_Warning2 = 0
-
-; Turn off null-range warnings. Default is on.
-; Show_Warning3 = 0
-
-; Turn off no-space-in-time-literal warnings. Default is on.
-; Show_Warning4 = 0
-
-; Turn off multiple-drivers-on-unresolved-signal warnings. Default is on.
-; Show_Warning5 = 0
-
-; Turn off optimization for IEEE std_logic_1164 package. Default is on.
-; Optimize_1164 = 0
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Turn on resolving of ambiguous function overloading in favor of the
-; "explicit" function declaration (not the one automatically created by
-; the compiler for each type declaration). Default is off.
-; The .ini file has Explicit enabled so that std_logic_signed/unsigned
-; will match the behavior of synthesis tools.
-Explicit = 1
-
-; Turn off acceleration of the VITAL packages. Default is to accelerate.
-; NoVital = 1
-
-; Turn off VITAL compliance checking. Default is checking on.
-; NoVitalCheck = 1
-
-; Ignore VITAL compliance checking errors. Default is to not ignore.
-; IgnoreVitalErrors = 1
-
-; Turn off VITAL compliance checking warnings. Default is to show warnings.
-; Show_VitalChecksWarnings = 0
-
-; Turn off PSL assertion warning messages. Default is to show warnings.
-; Show_PslChecksWarnings = 0
-
-; Enable parsing of embedded PSL assertions. Default is enabled.
-; EmbeddedPsl = 0
-
-; Keep silent about case statement static warnings.
-; Default is to give a warning.
-; NoCaseStaticError = 1
-
-; Keep silent about warnings caused by aggregates that are not locally static.
-; Default is to give a warning.
-; NoOthersStaticError = 1
-
-; Treat as errors:
-;   case statement static warnings
-;   warnings caused by aggregates that are not locally static
-; Overrides NoCaseStaticError, NoOthersStaticError settings.
-; PedanticErrors = 1
-
-; Turn off inclusion of debugging info within design units.
-; Default is to include debugging info.
-; NoDebug = 1
-
-; Turn off "Loading..." messages. Default is messages on.
-; Quiet = 1
-
-; Turn on some limited synthesis rule compliance checking. Checks only:
-;    -- signals used (read) by a process must be in the sensitivity list
-; CheckSynthesis = 1
-
-; Activate optimizations on expressions that do not involve signals,
-; waits, or function/procedure/task invocations. Default is off.
-; ScalarOpts = 1
-
-; Turns on lint-style checking.
-; Show_Lint = 1
-
-; Require the user to specify a configuration for all bindings,
-; and do not generate a compile time default binding for the
-; component. This will result in an elaboration error of
-; 'component not bound' if the user fails to do so. Avoids the rare
-; issue of a false dependency upon the unused default binding.
-; RequireConfigForAllDefaultBinding = 1
-
-; Perform default binding at compile time.
-; Default is to do default binding at load time.
-; BindAtCompile = 1;
-
-; Inhibit range checking on subscripts of arrays. Range checking on
-; scalars defined with subtypes is inhibited by default.
-; NoIndexCheck = 1
-
-; Inhibit range checks on all (implicit and explicit) assignments to
-; scalar objects defined with subtypes.
-; NoRangeCheck = 1
-
-; Set the prefix to be honored for synthesis/coverage pragma recognition.
-; Default is "".
-; AddPragmaPrefix = ""
-
-; Ignore synthesis and coverage pragmas with this prefix.
-; Default is "".
-; IgnorePragmaPrefix = ""
-
-; Turn on code coverage in VHDL design units. Default is off.
-; Coverage = sbceft
-
-; Turn off code coverage in VHDL subprograms. Default is on.
-; CoverSub = 0
-
-; Automatically exclude VHDL case statement OTHERS choice branches.
-; This includes OTHERS choices in selected signal assigment statements.
-; Default is to not exclude.
-; CoverExcludeDefault = 1
-
-; Control compiler and VOPT optimizations that are allowed when
-; code coverage is on.  Refer to the comment for this in the [vlog] area. 
-; CoverOpt = 3
-
-; Turn on or off clkOpt optimization for code coverage. Default is on.
-; CoverClkOpt = 1
-
-; Turn on or off clkOpt optimization builtins for code coverage. Default is on.
-; CoverClkOptBuiltins = 0
-
-; Inform code coverage optimizations to respect VHDL 'H' and 'L'
-; values on signals in conditions and expressions, and to not automatically
-; convert them to '1' and '0'. Default is to not convert.
-; CoverRespectHandL = 0
-
-; Increase or decrease the maximum number of rows allowed in a UDP table
-; implementing a VHDL condition coverage or expression coverage expression.
-; More rows leads to a longer compile time, but more expressions covered.
-; CoverMaxUDPRows = 192
-
-; Increase or decrease the maximum number of input patterns that are present
-; in FEC table. This leads to a longer compile time with more expressions
-; covered with FEC metric.
-; CoverMaxFECRows = 192
-
-; Increase or decrease the limit on the size of expressions and conditions
-; considered for expression and condition coverages. Higher FecUdpEffort leads 
-; to higher compile, optimize and simulation time, but more expressions and 
-; conditions are considered for coverage in the design. FecUdpEffort can
-; be set to a number ranging from 1 (low) to 3 (high), defined as:
-;   1 - (low) Only small expressions and conditions considered for coverage.
-;   2 - (medium) Bigger expressions and conditions considered for coverage.
-;   3 - (high) Very large expressions and conditions considered for coverage.
-; The default setting is 1 (low).
-; FecUdpEffort = 1
-
-; Enable or disable Focused Expression Coverage analysis for conditions and
-; expressions. Focused Expression Coverage data is provided by default when
-; expression and/or condition coverage is active.
-; CoverFEC = 0
-
-; Enable or disable UDP Coverage analysis for conditions and expressions.
-; UDP Coverage data is disabled by default when expression and/or condition
-; coverage is active.
-; CoverUDP = 1
-
-; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
-; Disabling this would convert non-masking conditions in FEC tables to matching
-; input patterns. 
-; CoverREC = 1
-
-; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
-; for expression/condition coverage.
-; NOTE: Enabling this may have a negative impact on simulation performance.
-; CoverExpandReductionPrefix = 0
-
-; Enable or disable short circuit evaluation of conditions and expressions when
-; condition or expression coverage is active. Short circuit evaluation is enabled
-; by default.
-; CoverShortCircuit = 0
-
-; Enable code coverage reporting of code that has been optimized away.
-; The default is not to report.
-; CoverReportCancelled = 1
-
-; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
-; Default is no deglitching.
-; CoverDeglitchOn = 1
-
-; Control the code coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
-; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; CoverDeglitchPeriod = 0
-
-; Use this directory for compiler temporary files instead of "work/_temp"
-; CompilerTempDir = /tmp
-
-; Set this to cause the compilers to force data to be committed to disk
-; when the files are closed.
-; SyncCompilerFiles = 1
-
-; Add VHDL-AMS declarations to package STANDARD
-; Default is not to add
-; AmsStandard = 1
-
-; Range and length checking will be performed on array indices and discrete
-; ranges, and when violations are found within subprograms, errors will be
-; reported. Default is to issue warnings for violations, because subprograms
-; may not be invoked.
-; NoDeferSubpgmCheck = 0
-
-; Turn ON detection of FSMs having single bit current state variable.
-; FsmSingle = 1
-
-; Turn off reset state transitions in FSM.
-; FsmResetTrans = 0
-
-; Turn ON detection of FSM Implicit Transitions.
-; FsmImplicitTrans = 1
-
-; Controls whether or not to show immediate assertions with constant expressions
-; in GUI/report/UCDB etc. By default, immediate assertions with constant
-; expressions are shown in GUI/report/UCDB etc. This does not affect
-; evaluation of immediate assertions.
-; ShowConstantImmediateAsserts = 0
-
-; Controls how VHDL basic identifiers are stored with the design unit.
-; Does not make the language case-sensitive, affects only how declarations
-; declared with basic identifiers have their names stored and printed
-; (in the GUI, examine, etc.).
-; Default is to preserve the case as originally depicted in the VHDL source.
-; Value of 0 indicates to change all basic identifiers to lower case.
-; PreserveCase = 0
-
-; For Configuration Declarations, controls the effect that USE clauses have
-; on visibility inside the configuration items being configured.  If 1
-; (the default), then use pre-10.0 behavior. If 0, then for stricter LRM-compliance,
-; extend the visibility of objects made visible through USE clauses into nested
-; component configurations.
-; OldVHDLConfigurationVisibility = 0
-
-; Allows VHDL configuration declarations to be in a different library from
-; the corresponding configured entity. Default is to not allow this for
-; stricter LRM-compliance.
-; SeparateConfigLibrary = 1;
-
-; Determine how mode OUT subprogram parameters of type array and record are treated.
-; If 0 (the default), then only VHDL 2008 will do this initialization.
-; If 1, always initialize the mode OUT parameter to its default value.
-; If 2, do not initialize the mode OUT out parameter.
-; Note that prior to release 10.1, all language versions did not initialize mode
-; OUT array and record type parameters, unless overridden here via this mechanism.
-; In release 10.1 and later, only files compiled with VHDL 2008 will cause this
-; initialization, unless overridden here.
-; InitOutCompositeParam = 0
-
-; Generate symbols debugging database in only some special cases to save on
-; the number of files in the library. For other design-units, this database is
-; generated on-demand in vsim. 
-; Default is to to generate debugging database for all design-units.
-; SmartDbgSym = 1
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-; Describe compilation options according to matching file patterns.
-; File pattern * matches all printing characters other than '/'.
-; File pattern **/x matches all paths containing file/directory x.
-; File pattern x/** matches all paths beginning at directory x.
-; FileOptMap = (**/*.vhd => -2008);
-
-; Describe library targets of compilation according to matching file patterns.
-; LibMap = (**/*.vhd => work);
-
-[vlog]
-; Turn off inclusion of debugging info within design units.
-; Default is to include debugging info.
-; NoDebug = 1
-
-; Turn off "Loading..." messages. Default is messages on.
-; Quiet = 1
-
-; Turn on Verilog hazard checking (order-dependent accessing of global vars).
-; Default is off.
-; Hazard = 1
-
-; Turn on converting regular Verilog identifiers to uppercase. Allows case
-; insensitivity for module names. Default is no conversion.
-; UpCase = 1
-
-; Activate optimizations on expressions that do not involve signals,
-; waits, or function/procedure/task invocations. Default is off.
-; ScalarOpts = 1
-
-; Turns on lint-style checking.
-; Show_Lint = 1
-
-; Show source line containing error. Default is off.
-; Show_source = 1
-
-; Turn on bad option warning. Default is off.
-; Show_BadOptionWarning = 1
-
-; Revert back to IEEE 1364-1995 syntax, default is 0 (off).
-; vlog95compat = 1
-
-; Turn off PSL warning messages. Default is to show warnings.
-; Show_PslChecksWarnings = 0
-
-; Enable parsing of embedded PSL assertions. Default is enabled.
-; EmbeddedPsl = 0
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Set the threshold for automatically identifying sparse Verilog memories.
-; A memory with total size in bytes equal to or more than the sparse memory
-; threshold gets marked as sparse automatically, unless specified otherwise
-; in source code or by the +nosparse commandline option of vlog or vopt.
-; The default is 1M.  (i.e. memories with total size equal
-; to or greater than 1Mb are marked as sparse)
-; SparseMemThreshold = 1048576 
-
-; Set the prefix to be honored for synthesis and coverage pragma recognition.
-; Default is "".
-; AddPragmaPrefix = ""
-
-; Ignore synthesis and coverage pragmas with this prefix.
-; Default is "".
-; IgnorePragmaPrefix = ""
-
-; Set the option to treat all files specified in a vlog invocation as a
-; single compilation unit. The default value is set to 0 which will treat
-; each file as a separate compilation unit as specified in the P1800 draft standard.
-; MultiFileCompilationUnit = 1
-
-; Turn on code coverage in Verilog design units. Default is off.
-; Coverage = sbceft
-
-; Automatically exclude Verilog case statement default branches. 
-; Default is to not automatically exclude defaults.
-; CoverExcludeDefault = 1
-
-; Increase or decrease the maximum number of rows allowed in a UDP table
-; implementing a VHDL condition coverage or expression coverage expression.
-; More rows leads to a longer compile time, but more expressions covered.
-; CoverMaxUDPRows = 192
-
-; Increase or decrease the maximum number of input patterns that are present
-; in FEC table. This leads to a longer compile time with more expressions
-; covered with FEC metric.
-; CoverMaxFECRows = 192
-
-; Enable Multi Bit Expression Coverage in a Design, If design has expression with
-; multi bit operands, this option enables its Expression Coverage.
-; The default value is 0.
-; CoverFecMultiBit = 1
-
-; Increase or decrease the limit on the size of expressions and conditions
-; considered for expression and condition coverages. Higher FecUdpEffort leads 
-; to higher compile, optimize and simulation time, but more expressions and 
-; conditions are considered for coverage in the design. FecUdpEffort can
-; be set to a number ranging from 1 (low) to 3 (high), defined as:
-;   1 - (low) Only small expressions and conditions considered for coverage.
-;   2 - (medium) Bigger expressions and conditions considered for coverage.
-;   3 - (high) Very large expressions and conditions considered for coverage.
-; The default setting is 1 (low).
-; FecUdpEffort = 1
-
-; Enable or disable Focused Expression Coverage analysis for conditions and
-; expressions. Focused Expression Coverage data is provided by default when
-; expression and/or condition coverage is active.
-; CoverFEC = 0
-
-; Enable or disable UDP Coverage analysis for conditions and expressions.
-; UDP Coverage data is disabled by default when expression and/or condition
-; coverage is active.
-; CoverUDP = 1
-
-; Enable or disable Rapid Expression Coverage mode for conditions and expressions.
-; Disabling this would convert non-masking conditions in FEC tables to matching
-; input patterns. 
-; CoverREC = 1
-
-; Enable or disable bit-blasting multi-bit operands of reduction prefix expressions
-; for expression/condition coverage.
-; NOTE: Enabling this may have a negative impact on simulation performance.
-; CoverExpandReductionPrefix = 0
-
-; Enable or disable short circuit evaluation of conditions and expressions when
-; condition or expression coverage is active. Short circuit evaluation is enabled
-; by default.
-; CoverShortCircuit = 0
-
-; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
-; Default is no deglitching.
-; CoverDeglitchOn = 1
-
-; Control the code coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
-; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; CoverDeglitchPeriod = 0
-
-; Turn on code coverage in VLOG `celldefine modules, modules containing
-; specify blocks, and modules included using vlog -v and -y. Default is off.
-; CoverCells = 1
-
-; Enable code coverage reporting of code that has been optimized away.
-; The default is not to report.
-; CoverReportCancelled = 1
-
-; Control compiler and VOPT optimizations that are allowed when
-; code coverage is on. This is a number from 0 to 5, with the following
-; meanings (the default is 3):
-;    5 -- All allowable optimizations are on.
-;    4 -- Turn off removing unreferenced code.
-;    3 -- Turn off process, always block and if statement merging.
-;    2 -- Turn off expression optimization, converting primitives
-;         to continuous assignments, VHDL subprogram inlining.
-;         and VHDL clkOpt (converting FF's to builtins).
-;    1 -- Turn off continuous assignment optimizations and clock suppression.
-;    0 -- Turn off Verilog module inlining and VHDL arch inlining.
-; HOWEVER, if fsm coverage is turned on, optimizations will be forced to
-; level 3, with also turning off converting primitives to continuous assigns.
-; CoverOpt = 3
-
-; Specify the override for the default value of "cross_num_print_missing"
-; option for the Cross in Covergroups. If not specified then LRM default
-; value of 0 (zero) is used. This is a compile time option.
-; SVCrossNumPrintMissingDefault = 0
-
-; Setting following to 1 would cause creation of variables which
-; would represent the value of Coverpoint expressions. This is used
-; in conjunction with "SVCoverpointExprVariablePrefix" option
-; in the modelsim.ini
-; EnableSVCoverpointExprVariable = 0
-
-; Specify the override for the prefix used in forming the variable names
-; which represent the Coverpoint expressions. This is used in conjunction with 
-; "EnableSVCoverpointExprVariable" option of the modelsim.ini
-; The default prefix is "expr".
-; The variable name is
-;    variable name => <prefix>_<coverpoint name>
-; SVCoverpointExprVariablePrefix = expr
-
-; Override for the default value of the SystemVerilog covergroup,
-; coverpoint, and cross option.goal (defined to be 100 in the LRM).
-; NOTE: It does not override specific assignments in SystemVerilog
-; source code. NOTE: The modelsim.ini variable "SVCovergroupGoal"
-; in the [vsim] section can override this value.
-; SVCovergroupGoalDefault = 100
-
-; Override for the default value of the SystemVerilog covergroup,
-; coverpoint, and cross type_option.goal (defined to be 100 in the LRM)
-; NOTE: It does not override specific assignments in SystemVerilog
-; source code. NOTE: The modelsim.ini variable "SVCovergroupTypeGoal"
-; in the [vsim] section can override this value.
-; SVCovergroupTypeGoalDefault = 100
-
-; Specify the override for the default value of "strobe" option for the
-; Covergroup Type. This is a compile time option which forces "strobe" to
-; a user specified default value and supersedes SystemVerilog specified
-; default value of '0'(zero). NOTE: This can be overriden by a runtime
-; modelsim.ini variable "SVCovergroupStrobe" in the [vsim] section.
-; SVCovergroupStrobeDefault = 0
-
-; Specify the override for the default value of "per_instance" option for the
-; Covergroup variables. This is a compile time option which forces "per_instance"
-; to a user specified default value and supersedes SystemVerilog specified
-; default value of '0'(zero).
-; SVCovergroupPerInstanceDefault = 0
-
-; Specify the override for the default value of "get_inst_coverage" option for the
-; Covergroup variables. This is a compile time option which forces 
-; "get_inst_coverage" to a user specified default value and supersedes 
-; SystemVerilog specified default value of '0'(zero).
-; SVCovergroupGetInstCoverageDefault = 0
-
-;
-; A space separated list of resource libraries that contain precompiled
-; packages.  The behavior is identical to using the "-L" switch.
-; 
-; LibrarySearchPath = <path/lib> [<path/lib> ...]
-LibrarySearchPath = mtiAvm mtiRnm mtiOvm mtiUvm mtiUPF infact
-
-; The behavior is identical to the "-mixedansiports" switch.  Default is off.
-; MixedAnsiPorts = 1
-
-; Enable SystemVerilog 3.1a $typeof() function. Default is off.
-; EnableTypeOf = 1
-
-; Only allow lower case pragmas. Default is disabled.
-; AcceptLowerCasePragmaOnly = 1
-
-; Set the maximum depth permitted for a recursive include file nesting.
-; IncludeRecursionDepthMax = 5
-
-; Turn ON detection of FSMs having single bit current state variable.
-; FsmSingle = 1
-
-; Turn off reset state transitions in FSM.
-; FsmResetTrans = 0
-
-; Turn off detections of FSMs having x-assignment.
-; FsmXAssign = 0
-
-; Turn ON detection of FSM Implicit Transitions.
-; FsmImplicitTrans = 1
-
-; List of file suffixes which will be read as SystemVerilog.  White space
-; in extensions can be specified with a back-slash: "\ ".  Back-slashes
-; can be specified with two consecutive back-slashes: "\\";
-; SvFileSuffixes = sv svp svh
-
-; This setting is the same as the vlog -sv command line switch.
-; Enables SystemVerilog features and keywords when true (1).
-; When false (0), the rules of IEEE Std 1364-2005 are followed and 
-; SystemVerilog keywords are ignored. 
-; Svlog = 0
-
-; Prints attribute placed upon SV packages during package import
-; when true (1).  The attribute will be ignored when this
-; entry is false (0). The attribute name is "package_load_message".
-; The value of this attribute is a string literal.
-; Default is true (1).
-; PrintSVPackageLoadingAttribute = 1
-
-; Do not show immediate assertions with constant expressions in 
-; GUI/reports/UCDB etc. By default immediate assertions with constant 
-; expressions are shown in GUI/reports/UCDB etc. This does not affect 
-; evaluation of immediate assertions.
-; ShowConstantImmediateAsserts = 0
-
-; Controls if untyped parameters that are initialized with values greater
-; than 2147483647 are mapped to generics of type INTEGER or ignored.
-; If mapped to VHDL Integers, values greater than 2147483647
-; are mapped to negative values.
-; Default is to map these parameter to generic of type INTEGER
-; ForceUnsignedToVHDLInteger = 1
-
-; Enable AMS wreal (wired real) extensions.  Default is 0.
-; WrealType = 1
-
-; Controls SystemVerilog Language Extensions.  These options enable
-; some non-LRM compliant behavior.
-; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Generate symbols debugging database in only some special cases to save on
-; the number of files in the library. For other design-units, this database is
-; generated on-demand in vsim. 
-; Default is to to generate debugging database for all design-units.
-; SmartDbgSym = 1
-
-; Controls how $unit library entries are named.  Valid options are:
-; "file" (generate name based on the first file on the command line)
-; "du" (generate name based on first design unit following an item
-; found in $unit scope)
-; CUAutoName = file
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-[sccom]
-; Enable use of SCV include files and library.  Default is off.
-; UseScv = 1
-
-; Add C++ compiler options to the sccom command line by using this variable.
-; CppOptions = -g
-
-; Use custom C++ compiler located at this path rather than the default path.
-; The path should point directly at a compiler executable.
-; CppPath = /usr/bin/g++
-
-; Specify the compiler version from the list of support GNU compilers.
-; examples 4.7.4, 5.3.0
-; CppInstall = 5.3.0
-
-; Enable verbose messages from sccom.  Default is off.
-; SccomVerbose = 1
-
-; sccom logfile.  Default is no logfile.
-; SccomLogfile = sccom.log
-
-; Enable use of SC_MS include files and library.  Default is off.
-; UseScMs = 1
-
-; Use SystemC-2.2 instead of the default SystemC-2.3. Default is off.
-; Sc22Mode = 1
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-; Enable use of UVMC library.  Default is off.
-; UseUvmc = 1
-
-[vopt]
-; Turn on code coverage in vopt.  Default is off. 
-; Coverage = sbceft
-
-; enable or disable param saving in UCDB.
-; CoverageSaveParam = 0
-
-; Control compiler optimizations that are allowed when
-; code coverage is on.  Refer to the comment for this in the [vlog] area. 
-; CoverOpt = 3
-
-; Controls set of CoverConstructs that are being considered for Coverage 
-; Collection.
-; Some of Valid options are: default,set1,set2
-; Covermode = default
-
-; Controls set of HDL cover constructs that would be considered(or not considered)
-; for Coverage Collection. (Default corresponds to covermode default). 
-; Some of Valid options are: "ca", "citf", "cifl", "tcint", "fsmqs".
-; Coverconstruct = noca,nocitf,nofsmtf,nofsmds,noctes,nocicl,nocprc,nocfl,nofsmup,nocifl,nocpm,notcint,nocpkg,nocsva
-
-; Increase or decrease the maximum number of rows allowed in a UDP table
-; implementing a VHDL condition coverage or expression coverage expression.
-; More rows leads to a longer compile time, but more expressions covered.
-; CoverMaxUDPRows = 192
-
-; Increase or decrease the maximum number of input patterns that are present
-; in FEC table. This leads to a longer compile time with more expressions
-; covered with FEC metric.
-; CoverMaxFECRows = 192
-
-; Enable Multi Bit Expression Coverage in a Design, If design has expression with
-; multi bit operands, this option enables its Expression Coverage.
-; The default value is 0.
-; CoverFecMultiBit = 1
-
-; Increase or decrease the limit on the size of expressions and conditions
-; considered for expression and condition coverages. Higher FecUdpEffort leads 
-; to higher compile, optimize and simulation time, but more expressions and 
-; conditions are considered for coverage in the design. FecUdpEffort can
-; be set to a number ranging from 1 (low) to 3 (high), defined as:
-;   1 - (low) Only small expressions and conditions considered for coverage.
-;   2 - (medium) Bigger expressions and conditions considered for coverage.
-;   3 - (high) Very large expressions and conditions considered for coverage.
-; The default setting is 1 (low).
-; FecUdpEffort = 1
-
-; Enable code coverage reporting of code that has been optimized away.
-; The default is not to report.
-; CoverReportCancelled = 1
-
-; Enable deglitching of code coverage in combinatorial, non-clocked, processes.
-; Default is no deglitching.
-; CoverDeglitchOn = 1
-
-; Enable compiler statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; Control the code coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. The value of CoverDeglitchPeriod needs to be either be 0 or a
-; time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; CoverDeglitchPeriod = 0
-
-; Do not show immediate assertions with constant expressions in 
-; GUI/reports/UCDB etc. By default immediate assertions with constant 
-; expressions are shown in GUI/reports/UCDB etc. This does not affect 
-; evaluation of immediate assertions.
-; ShowConstantImmediateAsserts = 0
-
-; Set the maximum number of iterations permitted for a generate loop.
-; Restricting this permits the implementation to recognize infinite
-; generate loops.
-; GenerateLoopIterationMax = 100000
-
-; Set the maximum depth permitted for a recursive generate instantiation.
-; Restricting this permits the implementation to recognize infinite
-; recursions.
-; GenerateRecursionDepthMax = 200
-
-; Set the number of processes created during the code generation phase.
-; By default a heuristic is used to set this value.  This may be set to 0
-; to disable this feature completely.
-; ParallelJobs = 0 
-
-; Controls SystemVerilog Language Extensions.  These options enable
-; some non-LRM compliant behavior.
-; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Load the specified shared objects with the RTLD_GLOBAL flag.
-; This gives global visibility to all symbols in the shared objects,
-; meaning that subsequently loaded shared objects can bind to symbols
-; in the global shared objects.  The list of shared objects should
-; be whitespace delimited.  This option is not supported on the
-; Windows or AIX platforms.
-; GlobalSharedObjectList = example1.so example2.so example3.so
-
-; Disable SystemVerilog elaboration system task messages
-; IgnoreSVAInfo = 1 
-; IgnoreSVAWarning = 1
-; IgnoreSVAError = 1
-; IgnoreSVAFatal = 1
-
-; Enable or disable automatic creation of missing libraries.
-; Default is 1 (enabled)  
-; CreateLib = 1
-
-[vsim]
-; vopt flow
-; Set to turn on automatic optimization of a design.
-; Default is on
-VoptFlow = 1
-
-; Simulator resolution
-; Set to fs, ps, ns, us, ms, or sec with optional prefix of 1, 10, or 100.
-Resolution = ns
-
-; Disable certain code coverage exclusions automatically. 
-; Assertions and FSM are exluded from the code coverage by default
-; Set AutoExclusionsDisable = fsm to enable code coverage for fsm
-; Set AutoExclusionsDisable = assertions to enable code coverage for assertions
-; Set AutoExclusionsDisable = all to enable code coverage for all the automatic exclusions
-; Or specify comma or space separated list
-;AutoExclusionsDisable = fsm,assertions
-
-; User time unit for run commands
-; Set to default, fs, ps, ns, us, ms, or sec. The default is to use the
-; unit specified for Resolution. For example, if Resolution is 100ps,
-; then UserTimeUnit defaults to ps.
-; Should generally be set to default.
-UserTimeUnit = default
-
-; Default run length
-RunLength = 100 ps
-
-; Maximum iterations that can be run without advancing simulation time
-IterationLimit = 10000000
-
-; Specify libraries to be searched for precompiled modules
-; LibrarySearchPath = <path/lib> [<path/lib> ...]
-
-; Set XPROP assertion fail limit. Default is 5.
-; Any positive integer, -1 for infinity.
-; XpropAssertionLimit = 5
-
-; Control PSL and Verilog Assume directives during simulation
-; Set SimulateAssumeDirectives = 0 to disable assume being simulated as asserts
-; Set SimulateAssumeDirectives = 1 to enable assume simulation as asserts
-; SimulateAssumeDirectives = 1 
-
-; Control the simulation of PSL and SVA
-; These switches can be overridden by the vsim command line switches:
-;    -psl, -nopsl, -sva, -nosva.
-; Set SimulatePSL = 0 to disable PSL simulation
-; Set SimulatePSL = 1 to enable PSL simulation (default)
-; SimulatePSL = 1 
-; Set SimulateSVA = 0 to disable SVA simulation
-; Set SimulateSVA = 1 to enable concurrent SVA simulation (default)
-; SimulateSVA = 1 
-
-; Control SVA and VHDL immediate assertion directives during simulation
-; Set SimulateImmedAsserts = 0 to disable simulation of immediate asserts 
-; Set SimulateImmedAsserts = 1 to enable simulation of immediate asserts
-; SimulateImmedAsserts = 1 
-
-; License feature mappings for Verilog and VHDL
-; qhsimvh       Single language VHDL license
-; qhsimvl       Single language Verilog license
-; msimhdlsim    Language neutral license for either Verilog or VHDL
-; msimhdlmix    Second language only, language neutral license for either 
-;               Verilog or VHDL
-;
-; Directives to license manager can be set either as single value or as
-; space separated multi-values:
-; vhdl          Immediately checkout and hold a VHDL license (i.e., one of
-;               qhsimvh, msimhdlsim, or msimhdlmix)
-; vlog          Immediately checkout and hold a Verilog license (i.e., one of
-;               qhsimvl, msimhdlsim, or msimhdlmix)
-; plus          Immediately checkout and hold a VHDL license and a Verilog license
-; noqueue       Do not wait in the license queue when a license is not available
-; viewsim       Try for viewer license but accept simulator license(s) instead
-;               of queuing for viewer license (PE ONLY)
-; noviewer      Disable checkout of msimviewer license feature (PE ONLY)
-; noslvhdl      Disable checkout of qhsimvh license feature
-; noslvlog      Disable checkout of qhsimvl license feature
-; nomix         Disable checkout of msimhdlmix license feature
-; nolnl         Disable checkout of msimhdlsim license feature
-; mixedonly     Disable checkout of qhsimvh and qhsimvl license features
-; lnlonly       Disable checkout of qhsimvh,qhsimvl, and msimhdlmix license features
-;
-; Examples (remove ";" comment character to activate licensing directives):
-; Single directive:
-; License = plus
-; Multi-directive (Note: space delimited directives):
-; License = noqueue plus
-
-; Severity level of a VHDL assertion message or of a SystemVerilog severity system task
-; which will cause a running simulation to stop.
-; VHDL assertions and SystemVerilog severity system task that occur with the
-; given severity or higher will cause a running simulation to stop.
-; This value is ignored during elaboration.
-; 0 = Note  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-BreakOnAssertion = 3
-
-; Severity level of a tool message which will cause a running simulation to 
-; stop. This value is ignored during elaboration. Default is to not break.
-; 0 = Note  1 = Warning  2 = Error  3 = Fatal
-;BreakOnMessage = 2
-
-; The class debug feature enables more visibility and tracking of class instances
-; during simulation.  By default this feature is disabled (0).  To enable this 
-; feature set ClassDebug to 1.
-; ClassDebug = 1
-
-; Message Format conversion specifications:
-; %S - Severity Level of message/assertion
-; %R - Text of message
-; %T - Time of message
-; %D - Delta value (iteration number) of Time
-; %K - Kind of path: Instance/Region/Signal/Process/Foreign Process/Unknown/Protected
-; %i - Instance/Region/Signal pathname with Process name (if available)
-; %I - shorthand for one of these:
-;      "  %K: %i"
-;      "  %K: %i File: %F" (when path is not Process or Signal)
-;      except that the %i in this case does not report the Process name
-; %O - Process name
-; %P - Instance/Region path without leaf process
-; %F - File name
-; %L - Line number; if assertion message, then line number of assertion or, if
-;      assertion is in a subprogram, line from which the call is made
-; %u - Design unit name in form library.primary
-; %U - Design unit name in form library.primary(secondary)
-; %% - The '%' character itself
-;
-; If specific format for Severity Level is defined, use that format.
-; Else, for a message that occurs during elaboration:
-;   -- Failure/Fatal message in VHDL region that is not a Process, and in
-;      certain non-VHDL regions, uses MessageFormatBreakLine;
-;   -- Failure/Fatal message otherwise uses MessageFormatBreak;
-;   -- Note/Warning/Error message uses MessageFormat.
-; Else, for a message that occurs during runtime and triggers a breakpoint because
-; of the BreakOnAssertion setting:
-;   -- if in a VHDL region that is not a Process, uses MessageFormatBreakLine;
-;   -- otherwise uses MessageFormatBreak.
-; Else (a runtime message that does not trigger a breakpoint) uses MessageFormat.
-;
-; MessageFormatNote      = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
-; MessageFormatWarning   = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
-; MessageFormatError     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormatFail      = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormatFatal     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormatBreakLine = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F Line: %L\n"
-; MessageFormatBreak     = "** %S: %R\n   Time: %T  Iteration: %D  %K: %i File: %F\n"
-; MessageFormat          = "** %S: %R\n   Time: %T  Iteration: %D%I\n"
-
-; Error File - alternate file for storing error messages
-; ErrorFile = error.log
-
-; Simulation Breakpoint messages
-; This flag controls the display of function names when reporting the location
-; where the simulator stops because of a breakpoint or fatal error.
-; Example with function name:    # Break in Process ctr at counter.vhd line 44
-; Example without function name: # Break at counter.vhd line 44
-; Default value is 1.
-ShowFunctions = 1
-
-; Default radix for all windows and commands.
-; Radix may be one of: symbolic, ascii, binary, octal, decimal, hex, unsigned
-; Flags may be one of: enumnumeric, showbase, wreal
-DefaultRadix = hexadecimal
-DefaultRadixFlags = showbase
-; Set to 1 for make the signal_force VHDL and Verilog functions use the 
-; default radix when processing the force value. Prior to 10.2 signal_force
-; used the default radix, now it always uses symbolic unless value explicitly indicates base
-;SignalForceFunctionUseDefaultRadix = 0
-
-; VSIM Startup command
-; Startup = do startup.do
-
-; VSIM Shutdown file
-; Filename to save u/i formats and configurations.
-; ShutdownFile = restart.do
-; To explicitly disable auto save:
-; ShutdownFile = --disable-auto-save
-
-; Run simulator in batch mode as if -batch were specified on the command line if none of -c, -gui, or -i specified.
-; Simulator runs in interactive mode as if -i were specified if this option is 0. Default is 0.
-; BatchMode = 1
-
-; File for saving command transcript when -batch option used
-; This option is ignored when -c, -gui, or -i options are used or if BatchMode above is zero
-; default is unset so command transcript only goes to stdout for better performance
-; BatchTranscriptFile = transcript
-
-; File for saving command transcript, this option is ignored when -batch option is used
-TranscriptFile = transcript
-
-; Transcript file long line wrapping mode(s)
-;   mode == 0 :: no wrapping, line recorded as is
-;   mode == 1 :: wrap at first whitespace after WSColumn
-;                or at Column.
-;   mode == 2 :: wrap as above, but add continuation 
-;                character ('\') at end of each wrapped line
-;
-; WrapMode = 0
-; WrapColumn = 30000
-; WrapWSColumn = 27000
-
-; File for saving command history
-; CommandHistory = cmdhist.log
-
-; Specify whether paths in simulator commands should be described
-; in VHDL or Verilog format.
-; For VHDL, PathSeparator = /
-; For Verilog, PathSeparator = .
-; Must not be the same character as DatasetSeparator.
-PathSeparator = /
-
-; Specify the dataset separator for fully rooted contexts.
-; The default is ':'. For example: sim:/top
-; Must not be the same character as PathSeparator.
-DatasetSeparator = :
-
-; Specify a unique path separator for the Signal Spy set of functions. 
-; The default will be to use the PathSeparator variable.
-; Must not be the same character as DatasetSeparator.
-; SignalSpyPathSeparator = /
-
-; Used to control parsing of HDL identifiers input to the tool.
-; This includes CLI commands, vsim/vopt/vlog/vcom options,
-; string arguments to FLI/VPI/DPI calls, etc.
-; If set to 1, accept either Verilog escaped Id syntax or
-; VHDL extended id syntax, regardless of source language.
-; If set to 0, the syntax of the source language must be used.
-; Each identifier in a hierarchical name may need different syntax,
-; e.g. "/top/\vhdl*ext*id\/middle/\vlog*ext*id /bottom" or
-;       "top.\vhdl*ext*id\.middle.\vlog*ext*id .bottom"
-; GenerousIdentifierParsing = 1
-
-; Disable VHDL assertion messages
-; IgnoreNote = 1
-; IgnoreWarning = 1
-; IgnoreError = 1
-; IgnoreFailure = 1
-
-; Disable SystemVerilog assertion messages
-; IgnoreSVAInfo = 1 
-; IgnoreSVAWarning = 1
-; IgnoreSVAError = 1
-; IgnoreSVAFatal = 1
-
-; Do not print any additional information from Severity System tasks.
-; Only the message provided by the user is printed along with severity
-; information.
-; SVAPrintOnlyUserMessage = 1;
-
-; Default force kind. May be freeze, drive, deposit, or default
-; or in other terms, fixed, wired, or charged.
-; A value of "default" will use the signal kind to determine the
-; force kind, drive for resolved signals, freeze for unresolved signals
-; DefaultForceKind = freeze
-
-; Control the iteration of events when a VHDL signal is forced to a value
-; This flag can be set to honour the signal update event in next iteration,
-; the default is to update and propagate in the same iteration.
-; ForceSigNextIter = 1
-
-; Enable simulation statistics. Specify one or more arguments: 
-;                   [all,none,time,cmd,msg,perf,verbose,list,kb,eor]
-; Add '-' to disable specific statistics. Default is [time,cmd,msg].
-; Stats = time,cmd,msg
-
-; If zero, open files when elaborated; otherwise, open files on
-; first read or write.  Default is 0.
-; DelayFileOpen = 1
-
-; Control VHDL files opened for write.
-;   0 = Buffered, 1 = Unbuffered
-UnbufferedOutput = 0
-
-; Control the number of VHDL files open concurrently.
-; This number should always be less than the current ulimit
-; setting for max file descriptors.
-;   0 = unlimited
-ConcurrentFileLimit = 40
-
-; If nonzero, close files as soon as there is either an explicit call to
-; file_close, or when the file variable's scope is closed. When zero, a
-; file opened in append mode is not closed in case it is immediately
-; reopened in append mode; otherwise, the file will be closed at the
-; point it is reopened.
-; AppendClose = 1
-
-; Control the number of hierarchical regions displayed as
-; part of a signal name shown in the Wave window.
-; A value of zero tells VSIM to display the full name.
-; The default is 0.
-; WaveSignalNameWidth = 0
-
-; Turn off warnings when changing VHDL constants and generics
-; Default is 1 to generate warning messages
-; WarnConstantChange = 0
-
-; Turn off warnings from accelerated versions of the std_logic_arith,
-; std_logic_unsigned, and std_logic_signed packages.
-; StdArithNoWarnings = 1
-
-; Turn off warnings from accelerated versions of the IEEE numeric_std
-; and numeric_bit packages.
-; NumericStdNoWarnings = 1
-
-; Use old-style (pre-6.6) VHDL FOR GENERATE statement iteration names
-; in the design hierarchy.
-; This style is controlled by the value of the GenerateFormat
-; value described next.  Default is to use new-style names, which
-; comprise the generate statement label, '(', the value of the generate
-; parameter, and a closing ')'.
-; Set this to 1 to use old-style names.
-; OldVhdlForGenNames = 1
-
-; Control the format of the old-style VHDL FOR generate statement region
-; name for each iteration.  Do not quote the value.
-; The format string here must contain the conversion codes %s and %d,
-; in that order, and no other conversion codes.  The %s represents
-; the generate statement label; the %d represents the generate parameter value
-; at a particular iteration (this is the position number if the generate parameter
-; is of an enumeration type).  Embedded whitespace is allowed (but discouraged);
-; leading and trailing whitespace is ignored.
-; Application of the format must result in a unique region name over all
-; loop iterations for a particular immediately enclosing scope so that name
-; lookup can function properly.  The default is %s__%d.
-; GenerateFormat = %s__%d
-
-; Enable more efficient logging of VHDL Variables.
-; Logging VHDL variables without this enabled, while possible, is very
-; inefficient.  Enabling this will provide a more efficient logging methodology
-; at the expense of more memory usage.  By default this feature is disabled (0).
-; To enabled this feature, set this variable to 1.
-; VhdlVariableLogging = 1
-
-; Enable logging of VHDL access type variables and their designated objects.
-; This setting will allow both variables of an access type ("access variables")
-; and their designated objects ("access objects") to be logged.  Logging a
-; variable of an access type will automatically also cause the designated
-; object(s) of that variable to be logged as the simulation progresses.
-; Further, enabling this allows access objects to be logged by name.  By default
-; this feature is disabled (0).  To enable this feature, set this variable to 1.
-; Enabling this will automatically enable the VhdlVariableLogging feature also.
-; AccessObjDebug = 1
-
-; Make each VHDL package in a PDU has its own separate copy of the package instead
-; of sharing the package between PDUs. The default is to share packages.
-; To ensure that each PDU has its own set of packages, set this variable to 1.
-; VhdlSeparatePduPackage = 1
-
-; Specify whether checkpoint files should be compressed.
-; The default is 1 (compressed).
-; CheckpointCompressMode = 0
-
-; Specify gcc compiler used in the compilation of automatically generated DPI exportwrapper.
-; Use custom gcc compiler located at this path rather than the default path.
-; The path should point directly at a compiler executable.
-; DpiCppPath = <your-gcc-installation>/bin/gcc
-;
-; Specify the compiler version from the list of support GNU compilers.
-; examples 4.7.4, 5.3.0
-; DpiCppInstall = 5.3.0
-
-; Specify whether to enable SystemVerilog DPI "out-of-the-blue" calls.
-; The term "out-of-the-blue" refers to SystemVerilog export function calls
-; made from C functions that don't have the proper context setup
-; (as is the case when running under "DPI-C" import functions).
-; When this is enabled, one can call a DPI export function
-; (but not task) from any C code.
-; the setting of this variable can be one of the following values:
-; 0 : dpioutoftheblue call is disabled (default)
-; 1 : dpioutoftheblue call is enabled, but export call debug support is not available.
-; 2 : dpioutoftheblue call is enabled, and limited export call debug support is available.
-; DpiOutOfTheBlue = 1
-
-; Specify whether continuous assignments are run before other normal priority
-; processes scheduled in the same iteration. This event ordering minimizes race
-; differences between optimized and non-optimized designs, and is the default
-; behavior beginning with the 6.5 release. For pre-6.5 event ordering, set
-; ImmediateContinuousAssign to 0.
-; The default is 1 (enabled).
-; ImmediateContinuousAssign = 0
-
-; List of dynamically loaded objects for Verilog PLI applications
-; Veriuser = veriuser.sl
-
-; Which default VPI object model should the tool conform to?
-; The 1364 modes are Verilog-only, for backwards compatibility with older
-; libraries, and SystemVerilog objects are not available in these modes.
-; 
-; In the absence of a user-specified default, the tool default is the
-; latest available LRM behavior.
-; Options for PliCompatDefault are:
-;  VPI_COMPATIBILITY_VERSION_1364v1995
-;  VPI_COMPATIBILITY_VERSION_1364v2001
-;  VPI_COMPATIBILITY_VERSION_1364v2005
-;  VPI_COMPATIBILITY_VERSION_1800v2005
-;  VPI_COMPATIBILITY_VERSION_1800v2008
-;
-; Synonyms for each string are also recognized:
-;  VPI_COMPATIBILITY_VERSION_1364v1995 (1995, 95, 1364v1995, 1364V1995, VL1995)
-;  VPI_COMPATIBILITY_VERSION_1364v2001 (2001, 01, 1364v2001, 1364V2001, VL2001)
-;  VPI_COMPATIBILITY_VERSION_1364v2005 (1364v2005, 1364V2005, VL2005)
-;  VPI_COMPATIBILITY_VERSION_1800v2005 (2005, 05, 1800v2005, 1800V2005, SV2005)
-;  VPI_COMPATIBILITY_VERSION_1800v2008 (2008, 08, 1800v2008, 1800V2008, SV2008)
-
-
-; PliCompatDefault = VPI_COMPATIBILITY_VERSION_1800v2005
-
-; Specify whether the Verilog system task $fopen or vpi_mcd_open()
-; will create directories that do not exist when opening the file
-; in "a" or "w" mode.
-; The default is 0 (do not create non-existent directories)
-; CreateDirForFileAccess = 1
-
-; Specify default options for the restart command. Options can be one
-; or more of: -force -nobreakpoint -nolist -nolog -nowave -noassertions
-; DefaultRestartOptions = -force
-
-
-; Specify default UVM-aware debug options if the vsim -uvmcontrol switch is not used.
-; Valid options include: all, none, verbose, disable, struct, reseed, msglog, trlog, certe.
-; Options can be enabled by just adding the name, or disabled by prefixing the option with a "-".
-; The list of options must be delimited by commas, without spaces or tabs.
-;
-; Some examples
-; To turn on all available UVM-aware debug features:
-; UVMControl = all
-; To turn on the struct window, mesage logging, and transaction logging:
-; UVMControl = struct,msglog,trlog
-; To turn on all options except certe:
-; UVMControl = all,-certe
-; To completely disable all UVM-aware debug functionality:
-; UVMControl = disable
-
-; Specify the WildcardFilter setting.
-; A space separated list of object types to be excluded when performing
-; wildcard matches with log, wave, etc commands.  The default value for this variable is:
-;   "Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile"
-; See "Using the WildcardFilter Preference Variable" in the documentation for
-; details on how to use this variable and for descriptions of the filter types.
-WildcardFilter = Variable Constant Generic Parameter SpecParam Memory Assertion Cover Endpoint ScVariable CellInternal ImmediateAssert VHDLFile
-
-; Specify the WildcardSizeThreshold setting.
-; This integer setting specifies the size at which objects will be excluded when 
-; performing wildcard matches with log, wave, etc commands.  Objects of size equal
-; to or greater than the WildcardSizeThreshold will be filtered out from the wildcard
-; matches.  The size is a simple calculation of number of bits or items in the object.  
-; The default value is 8k (8192).  Setting this value to 0 will disable the checking 
-; of object size against this threshold and allow all objects of any size to be logged.
-WildcardSizeThreshold = 8192
-
-; Specify whether warning messages are output when objects are filtered out due to the
-; WildcardSizeThreshold.  The default is 0 (no messages generated).
-WildcardSizeThresholdVerbose = 0
-
-; Turn on (1) or off (0) WLF file compression.
-; The default is 1 (compress WLF file).
-; WLFCompress = 0
-
-; Specify whether to save all design hierarchy (1) in the WLF file
-; or only regions containing logged signals (0).
-; The default is 0 (save only regions with logged signals).
-; WLFSaveAllRegions = 1
-
-; WLF file time limit.  Limit WLF file by time, as closely as possible,
-; to the specified amount of simulation time.  When the limit is exceeded
-; the earliest times get truncated from the file.
-; If both time and size limits are specified the most restrictive is used.
-; UserTimeUnits are used if time units are not specified.
-; The default is 0 (no limit).  Example: WLFTimeLimit = {100 ms}
-; WLFTimeLimit = 0
-
-; WLF file size limit.  Limit WLF file size, as closely as possible,
-; to the specified number of megabytes.  If both time and size limits
-; are specified then the most restrictive is used.
-; The default is 0 (no limit).
-; WLFSizeLimit = 1000
-
-; Specify whether or not a WLF file should be deleted when the
-; simulation ends.  A value of 1 will cause the WLF file to be deleted.
-; The default is 0 (do not delete WLF file when simulation ends).
-; WLFDeleteOnQuit = 1
-
-; Specify whether or not a WLF file should be optimized during 
-; simulation.  If set to 0, the WLF file will not be optimized.
-; The default is 1, optimize the WLF file.
-; WLFOptimize = 0
-
-; Specify the name of the WLF file.
-; The default is vsim.wlf
-; WLFFilename = vsim.wlf
-
-; Specify whether to lock the WLF file.
-; Locking the file prevents other invocations of ModelSim/Questa tools from
-; inadvertently overwriting the WLF file.
-; The default is 1, lock the WLF file.
-; WLFFileLock = 0
-
-; Specify the update interval for the WLF file in live simulation.
-; The interval is given in seconds.
-; The value is the smallest interval between WLF file updates.  The WLF file
-; will be flushed (updated) after (at least) the interval has elapsed, ensuring
-; that the data is correct when viewed from a separate viewer.
-; A value of 0 means that no updating will occur.
-; The default value is 10 seconds.
-; WLFUpdateInterval = 10
-
-; Specify the WLF cache size limit for WLF files.
-; The value is given in megabytes.  A value of 0 turns off the cache.
-; On non-Windows platforms the default WLFCacheSize setting is 2000 (megabytes).
-; On Windows, the default value is 1000 (megabytes) to help to avoid filling
-; process memory.
-; WLFSimCacheSize allows a different cache size to be set for a live simulation
-; WLF file, independent of post-simulation WLF file viewing.  If WLFSimCacheSize
-; is not set, it defaults to the WLFCacheSize value.
-; WLFCacheSize = 2000
-; WLFSimCacheSize = 500
-
-; Specify the WLF file event collapse mode.
-; 0 = Preserve all events and event order. (same as -wlfnocollapse)
-; 1 = Only record values of logged objects at the end of a simulator iteration. 
-;     (same as -wlfcollapsedelta)
-; 2 = Only record values of logged objects at the end of a simulator time step. 
-;     (same as -wlfcollapsetime)
-; The default is 1.
-; WLFCollapseMode = 0
-
-; Specify whether WLF file logging can use threads on multi-processor machines.
-; If 0, no threads will be used; if 1, threads will be used if the system has
-; more than one processor.
-; WLFUseThreads = 1
-
-; Specify the size of objects that will trigger "large object" messages
-; at log/wave/list time.  The size calculation of the object is the same as that
-; used by the WildcardSizeThreshold. The default LargeObjectSize size is 500,000.
-; Setting LargeObjectSize to 0 will disable these messages.
-; LargeObjectSize = 500000
-
-; Specify the depth of stack frames returned by $stacktrace([level]).
-; This depth will be picked up when the optional 'level' argument
-; is not specified or its value is not a positive integer. 
-; StackTraceDepth = 100
-
-; Turn on/off undebuggable SystemC type warnings. Default is on.
-; ShowUndebuggableScTypeWarning = 0
-
-; Turn on/off unassociated SystemC name warnings. Default is off.
-; ShowUnassociatedScNameWarning = 1
-
-; Turn on/off SystemC IEEE 1666 deprecation warnings. Default is off.
-; ScShowIeeeDeprecationWarnings = 1
-
-; Turn on/off the check for multiple drivers on a SystemC sc_signal. Default is off.
-; For SystemC-2.3.2 the valid values are 0,1 and 2
-;     0 = SC_SIGNAL_WRITE_CHECK_DISABLE_
-;     1 = SC_SIGNAL_WRITE_CHECK_DEFAULT_
-;     2 = SC_SIGNAL_WRITE_CHECK_CONFLICT_
-; For SystemC-2.2 the valid values are 0 and 1
-;     0 = DISABLE
-;     1 = ENABLE
-; ScEnableScSignalWriteCheck = 1
-
-; Set SystemC default time unit.
-; Set to fs, ps, ns, us, ms, or sec with optional 
-; prefix of 1, 10, or 100.  The default is 1 ns.
-; The ScTimeUnit value is honored if it is coarser than Resolution.
-; If ScTimeUnit is finer than Resolution, it is set to the value
-; of Resolution. For example, if Resolution is 100ps and ScTimeUnit is ns,
-; then the default time unit will be 1 ns.  However if Resolution 
-; is 10 ns and ScTimeUnit is ns, then the default time unit will be 10 ns.
-ScTimeUnit = ns
-
-; Set SystemC sc_main stack size. The stack size is set as an integer
-; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
-; Gb(Giga-byte). Default is 10 Mb. The stack size for sc_main depends
-; on the amount of data on the sc_main() stack and the memory required
-; to succesfully execute the longest function call chain of sc_main().
-ScMainStackSize = 10 Mb
-
-; Set SystemC thread stack size. The stack size is set as an integer
-; number followed by the unit which can be Kb(Kilo-byte), Mb(Mega-byte) or
-; Gb(Giga-byte). The stack size for sc_thread depends
-; on the amount of data on the sc_thread stack and the memory required
-; to succesfully execute the thread.
-; ScStackSize = 1 Mb
-
-; Turn on/off execution of remainder of sc_main upon quitting the current
-; simulation session. If the cumulative length of sc_main() in terms of 
-; simulation time units is less than the length of the current simulation
-; run upon quit or restart, sc_main() will be in the middle of execution.
-; This switch gives the option to execute the remainder of sc_main upon
-; quitting simulation. The drawback of not running sc_main till the end
-; is memory leaks for objects created by sc_main. If on, the remainder of
-; sc_main will be executed ignoring all delays. This may cause the simulator
-; to crash if the code in sc_main is dependent on some simulation state.
-; Default is on.
-ScMainFinishOnQuit = 1
-
-; Enable calling of the DPI export taks/functions from the
-; SystemC start_of_simulation() callback.
-; The default is off.
-; EnableDpiSosCb = 1
-
-
-; Set the SCV relationship name that will be used to identify phase
-; relations.  If the name given to a transactor relation matches this
-; name, the transactions involved will be treated as phase transactions
-ScvPhaseRelationName = mti_phase
-
-; Customize the vsim kernel shutdown behavior at the end of the simulation.
-; Some common causes of the end of simulation are $finish (implicit or explicit), 
-; sc_stop(), tf_dofinish(), and assertion failures. 
-; This should be set to "ask", "exit", or "stop". The default is "ask".
-; "ask"   -- In batch mode, the vsim kernel will abruptly exit.  
-;            In GUI mode, a dialog box will pop up and ask for user confirmation 
-;            whether or not to quit the simulation.
-; "stop"  -- Cause the simulation to stay loaded in memory. This can make some 
-;            post-simulation tasks easier.
-; "exit"  -- The simulation will abruptly exit without asking for any confirmation.
-; "final" -- Run SystemVerilog final blocks then behave as "stop".
-; Note: This variable can be overridden with the vsim "-onfinish" command line switch.
-OnFinish = ask
-
-; Print pending deferred assertion messages. 
-; Deferred assertion messages may be scheduled after the $finish in the same 
-; time step. Deferred assertions scheduled to print after the $finish are 
-; printed before exiting with severity level NOTE since it's not known whether
-; the assertion is still valid due to being printed in the active region
-; instead of the reactive region where they are normally printed.
-; OnFinishPendingAssert = 1;
-
-; Print "simstats" result. Default is 0.
-; 0 == do not print simstats
-; 1 == print at end of simulation
-; 2 == print at end of each run command and end of simulation
-; PrintSimStats = 1
-
-; Assertion File - alternate file for storing VHDL/PSL/Verilog assertion messages
-; AssertFile = assert.log
-
-; Enable assertion counts. Default is off.
-; AssertionCounts = 1
-
-; Run simulator in assertion debug mode. Default is off.
-; AssertionDebug = 1
-
-; Turn on/off PSL/SVA/VHDL assertion enable. Default is on.
-; AssertionEnable = 0
-
-; Set PSL/SVA/VHDL concurrent assertion fail limit. Default is -1.
-; Any positive integer, -1 for infinity.
-; AssertionLimit = 1
-
-; Turn on/off concurrent assertion pass log. Default is off. 
-; Assertion pass logging is only enabled when assertion is browseable 
-; and assertion debug is enabled.
-; AssertionPassLog = 1
-
-; Turn on/off PSL concurrent assertion fail log. Default is on.
-; The flag does not affect SVA
-; AssertionFailLog = 0
-
-; Turn on/off SVA concurrent assertion local var printing in -assertdebug mode.  Default is on.
-; AssertionFailLocalVarLog = 0
-
-; Set action type for PSL/SVA concurrent assertion fail action. Default is continue.
-; 0 = Continue  1 = Break  2 = Exit
-; AssertionFailAction = 1
-
-; Enable the active thread monitor in the waveform display when assertion debug is enabled.
-; AssertionActiveThreadMonitor = 1
-
-; Control how many waveform rows will be used for displaying the active threads.  Default is 5.
-; AssertionActiveThreadMonitorLimit = 5
-
-; Assertion thread limit after which assertion would be killed/switched off. 
-; The default is -1 (unlimited). If the number of threads for an assertion go 
-; beyond this limit, the assertion would be either switched off or killed. This
-; limit applies to only assert directives.
-;AssertionThreadLimit = -1
-
-; Action to be taken once the assertion thread limit is reached. Default 
-; is kill. It can have a value of off or kill. In case of kill, all the existing
-; threads are terminated and no new attempts are started. In case of off, the 
-; existing attempts keep on evaluating but no new attempts are started. This 
-; variable applies to only assert directives.
-;AssertionThreadLimitAction = kill
-
-; Cover thread limit after which cover would be killed/switched off. 
-; The default is -1 (unlimited). If the number of threads for a cover go 
-; beyond this limit, the cover would be either switched off or killed. This
-; limit applies to only cover directives.
-;CoverThreadLimit = -1
-
-; Action to be taken once the cover thread limit is reached. Default 
-; is kill. It can have a value of off or kill. In case of kill, all the existing
-; threads are terminated and no new attempts are started. In case of off, the 
-; existing attempts keep on evaluating but no new attempts are started. This 
-; variable applies to only cover directives.
-;CoverThreadLimitAction = kill
-
-
-; By default immediate assertions do not participate in Assertion Coverage calculations
-; unless they are executed.  This switch causes all immediate assertions in the design
-; to participate in Assertion Coverage calculations, whether attempted or not.
-; UnattemptedImmediateAssertions = 0
-
-; By default immediate covers participate in Coverage calculations 
-; whether they are attempted or not. This switch causes all unattempted 
-; immediate covers in the design to stop participating in Coverage 
-; calculations.
-; UnattemptedImmediateCovers = 0
-
-; By default pass action block is not executed for assertions on vacuous 
-; success. The following variable is provided to enable execution of 
-; pass action block on vacuous success. The following variable is only effective
-; if the user does not disable pass action block execution by using either 
-; system tasks or CLI. Also there is a performance penalty for enabling 
-; the following variable. 
-;AssertionEnableVacuousPassActionBlock = 1
-
-; As per strict 1850-2005 PSL LRM, an always property can either pass
-; or fail. However, by default, Questa reports multiple passes and
-; multiple fails on top always/never property (always/never operator
-; is the top operator under Verification Directive). The reason
-; being that Questa reports passes and fails on per attempt of the
-; top always/never property. Use the following flag to instruct
-; Questa to strictly follow LRM. With this flag, all assert/never
-; directives will start an attempt once at start of simulation.
-; The attempt can either fail, match or match vacuously.
-; For e.g. if always is the top operator under assert, the always will
-; keep on checking the property at every clock. If the property under
-; always fails, the directive will be considered failed and no more 
-; checking will be done for that directive. A top always property,
-; if it does not fail, will show a pass at end of simulation.
-; The default value is '0' (i.e. zero is off). For example:
-; PslOneAttempt = 1
-
-; Specify the number of clock ticks to represent infinite clock ticks.
-; This affects eventually!, until! and until_!. If at End of Simulation
-; (EOS) an active strong-property has not clocked this number of
-; clock ticks then neither pass or fail (vacuous match) is returned
-; else respective fail/pass is returned. The default value is '0' (zero)
-; which effectively does not check for clock tick condition. For example:
-; PslInfinityThreshold = 5000
-
-; Control how many thread start times will be preserved for ATV viewing for a given assertion
-; instance.  Default is -1 (ALL).
-; ATVStartTimeKeepCount = -1
-
-; Turn on/off code coverage
-; CodeCoverage = 0
-
-; This option applies to condition and expression coverage UDP tables. It
-; has no effect unless UDP is enabled for coverage with vcom/vlog/vopt -coverudp.
-; If this option is used and a match occurs in more than one row in the UDP table,
-; none of the counts for all matching rows is incremented. By default, counts are
-; incremented for all matching rows.
-; CoverCountAll = 1
-
-; Turn off automatic inclusion of VHDL integers in toggle coverage. Default
-; is to include them.
-; ToggleNoIntegers = 1
-
-; Set the maximum number of values that are collected for toggle coverage of
-; VHDL integers. Default is 100;
-; ToggleMaxIntValues = 100
-
-; Set the maximum number of values that are collected for toggle coverage of
-; Verilog real. Default is 100;
-; ToggleMaxRealValues = 100
-
-; Turn on automatic inclusion of Verilog integers in toggle coverage, except
-; for enumeration types. Default is to include them.
-; ToggleVlogIntegers = 0
-
-; Turn on automatic inclusion of Verilog real type in toggle coverage, except
-; for shortreal types. Default is to not include them.
-; ToggleVlogReal = 1
-
-; Turn on automatic inclusion of Verilog fixed-size unpacked arrays, VHDL multi-d arrays
-; and VHDL arrays-of-arrays in toggle coverage.
-; Default is to not include them.
-; ToggleFixedSizeArray = 1
-
-; Increase or decrease the maximum size of Verilog unpacked fixed-size arrays,
-; VHDL multi-d arrays and VHDL arrays-of-arrays that are included for toggle coverage.
-; This leads to a longer simulation time with bigger arrays covered with toggle coverage.
-; Default is 1024.
-; ToggleMaxFixedSizeArray = 1024
-
-; Treat Verilog multi-dimensional packed vectors and packed structures as equivalently sized
-; one-dimensional packed vectors for toggle coverage. Default is 0.
-; TogglePackedAsVec = 0
-
-; Treat Verilog enumerated types as equivalently sized one-dimensional packed vectors for
-; toggle coverage. Default is 0.
-; ToggleVlogEnumBits = 0
-
-; Turn off automatic inclusion of VHDL records in toggle coverage.
-; Default is to include them.
-; ToggleVHDLRecords = 0
-
-; Limit the widths of registers automatically tracked for toggle coverage. Default is 128.
-; For unlimited width, set to 0.
-; ToggleWidthLimit = 128
-
-; Limit the counts that are tracked for toggle coverage. When all edges for a bit have
-; reached this count, further activity on the bit is ignored. Default is 1.
-; For unlimited counts, set to 0.
-; ToggleCountLimit = 1
-
-; Change the mode of extended toggle coverage. Default is 3. Valid modes are 1, 2 and 3.
-; Following is the toggle coverage calculation criteria based on extended toggle mode:
-; Mode 1: 0L->1H & 1H->0L & any one 'Z' transition (to/from 'Z').
-; Mode 2: 0L->1H & 1H->0L & one transition to 'Z' & one transition from 'Z'.
-; Mode 3: 0L->1H & 1H->0L & all 'Z' transitions.
-; ExtendedToggleMode = 3
-
-; Enable toggle statistics collection only for ports. Default is 0.
-; TogglePortsOnly = 1
-
-; Limit the counts that are tracked for Focussed Expression Coverage. When a bin has
-; reached this count, further tracking of the input patterns linked to it is ignored.
-; Default is 1. For unlimited counts, set to 0.
-; NOTE: Changing this value from its default value may affect simulation performance.
-; FecCountLimit = 1
-
-; Limit the counts that are tracked for UDP Coverage. When a bin has
-; reached this count, further tracking of the input patterns linked to it is ignored.
-; Default is 1. For unlimited counts, set to 0.
-; NOTE: Changing this value from its default value may affect simulation performance.
-; UdpCountLimit = 1
-
-; Control toggle coverage deglitching period. A period of 0, eliminates delta
-; cycle glitches. This is the default. The value of ToggleDeglitchPeriod needs to be either 
-; 0 or a time string that includes time units. Examples: 0 or 10.0ps or "10.0 ps".
-; ToggleDeglitchPeriod = 10.0ps
-
-; Turn on/off all PSL/SVA cover directive enables.  Default is on.
-; CoverEnable = 0
-
-; Turn on/off PSL/SVA cover log.  Default is off "0".
-; CoverLog = 1
-
-; Set "at_least" value for all PSL/SVA cover directives.  Default is 1.
-; CoverAtLeast = 2
-
-; Set "limit" value for all PSL/SVA cover directives.  Default is -1.
-; Any positive integer, -1 for infinity.
-; CoverLimit = 1
-
-; Specify the coverage database filename.
-; Default is "" (i.e. database is NOT automatically saved on close). 
-; UCDBFilename = vsim.ucdb
-
-; Specify the maximum limit for the number of Cross (bin) products reported
-; in XML and UCDB report against a Cross. A warning is issued if the limit
-; is crossed. Default is zero. vsim switch -cvgmaxrptrhscross can override this
-; setting.
-; MaxReportRhsSVCrossProducts = 1000
-
-; Specify the override for the "auto_bin_max" option for the Covergroups.
-; If not specified then value from Covergroup "option" is used.
-; SVCoverpointAutoBinMax = 64
-
-; Specify the override for the value of "cross_num_print_missing"
-; option for the Cross in Covergroups. If not specified then value
-; specified in the "option.cross_num_print_missing" is used. This
-; is a runtime option. NOTE: This overrides any "cross_num_print_missing"
-; value specified by user in source file and any SVCrossNumPrintMissingDefault
-; specified in modelsim.ini.
-; SVCrossNumPrintMissing = 0
-
-; Specify whether to use the value of "cross_num_print_missing"
-; option in report and GUI for the Cross in Covergroups. If not specified then 
-; cross_num_print_missing is ignored for creating reports and displaying 
-; covergroups in GUI. Default is 0, which means ignore "cross_num_print_missing".
-; UseSVCrossNumPrintMissing = 0
-
-; Specify the threshold of Coverpoint wildcard bin value range size, above which 
-; a warning will be triggered. The default is 4K -- 12 wildcard bits.
-; SVCoverpointWildCardBinValueSizeWarn = 4096
-
-; Specify the override for the value of "strobe" option for the
-; Covergroup Type. If not specified then value in "type_option.strobe"
-; will be used. This is runtime option which forces "strobe" to
-; user specified value and supersedes user specified values in the
-; SystemVerilog Code. NOTE: This also overrides the compile time
-; default value override specified using "SVCovergroupStrobeDefault"
-; SVCovergroupStrobe = 0
-
-; Override for explicit assignments in source code to "option.goal" of
-; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
-; default value of "option.goal" (defined to be 100 in the SystemVerilog
-; LRM) and the value of modelsim.ini variable "SVCovergroupGoalDefault".
-; SVCovergroupGoal = 100
-
-; Override for explicit assignments in source code to "type_option.goal" of
-; SystemVerilog covergroup, coverpoint, and cross. It also overrides the
-; default value of "type_option.goal" (defined to be 100 in the SystemVerilog
-; LRM) and the value of modelsim.ini variable "SVCovergroupTypeGoalDefault".
-; SVCovergroupTypeGoal = 100
-
-; Enforce the 6.3 behavior of covergroup get_coverage() and get_inst_coverage()
-; builtin functions, and report. This setting changes the default values of
-; option.get_inst_coverage and type_option.merge_instances to ensure the 6.3
-; behavior if explicit assignments are not made on option.get_inst_coverage and
-; type_option.merge_instances by the user. There are two vsim command line
-; options, -cvg63 and -nocvg63 to override this setting from vsim command line.
-; The default value of this variable from release 6.6 onwards is 0. This default
-; drives compliance with the clarified behavior in the IEEE 1800-2009 standard.
-; SVCovergroup63Compatibility = 0
-
-; Enforce the default behavior of covergroup get_coverage() builtin function, GUI
-; and report. This variable sets the default value of type_option.merge_instances.
-; There are two vsim command line options, -cvgmergeinstances and 
-; -nocvgmergeinstances to override this setting from vsim command line.
-; The default value of this variable, -1 (don't care), allows the tool to determine
-; the effective value, based on factors related to capacity and optimization. 
-; The type_option.merge_instances appears in the GUI and coverage reports as either 
-; auto(1) or auto(0), depending on whether the effective value was determined to 
-; be a 1 or a 0.
-; SVCovergroupMergeInstancesDefault = -1
-
-; Enable or disable generation of more detailed information about the sampling
-; of covergroup, cross, and coverpoints. It provides the details of the number
-; of times the covergroup instance and type were sampled, as well as details
-; about why covergroup, cross and coverpoint were not covered. A non-zero value
-; is to enable this feature. 0 is to disable this feature. Default is 0
-; SVCovergroupSampleInfo = 0
-
-; Specify the maximum number of Coverpoint bins in whole design for
-; all Covergroups.
-; MaxSVCoverpointBinsDesign = 2147483648 
-
-; Specify maximum number of Coverpoint bins in any instance of a Covergroup, default is 2^10 bins
-; MaxSVCoverpointBinsInst = 1048576
-
-; Specify the maximum number of Cross bins in whole design for
-; all Covergroups.
-; MaxSVCrossBinsDesign = 2147483648 
-
-; Specify maximum number of Cross bins in any instance of a Covergroup, default is 2^16 bins
-; MaxSVCrossBinsInst = 67108864
-
-; Specify whether vsim will collect the coverage data of zero-weight coverage items or not.
-; By default, this variable is set 0, in which case option.no_collect setting will take effect.
-; If this variable is set to 1, all zero-weight coverage items will not be saved.
-; Note that the usage of vsim switch -cvgzwnocollect, if present, will override the setting 
-; of this variable.
-; CvgZWNoCollect = 1
-
-; Specify a space delimited list of double quoted TCL style
-; regular expressions which will be matched against the text of all messages.
-; If any regular expression is found to be contained within any message, the 
-; status for that message will not be propagated to the UCDB TESTSTATUS. 
-; If no match is detected, then the status will be propagated to the
-; UCDB TESTSTATUS. More than one such regular expression text is allowed, 
-; and each message text is compared for each regular expression in the list.
-; UCDBTestStatusMessageFilter = "Done with Test Bench" "Ignore .* message" 
-
-; Set weight for all PSL/SVA cover directives.  Default is 1.
-; CoverWeight = 2
-
-; Check vsim plusargs.  Default is 0 (off).
-; 0 = Don't check plusargs
-; 1 = Warning on unrecognized plusarg
-; 2 = Error and exit on unrecognized plusarg
-; CheckPlusargs = 1
-
-; Load the specified shared objects with the RTLD_GLOBAL flag.
-; This gives global visibility to all symbols in the shared objects,
-; meaning that subsequently loaded shared objects can bind to symbols
-; in the global shared objects.  The list of shared objects should
-; be whitespace delimited.  This option is not supported on the
-; Windows or AIX platforms.
-; GlobalSharedObjectList = example1.so example2.so example3.so
-
-; Generate the stub definitions for the undefined symbols in the shared libraries being
-; loaded in the simulation. When this flow is turned on, the undefined symbols will not
-; prevent vsim from loading. Calling undefined symbols at runtime will cause fatal error.
-; The valid arguments are: on, off, verbose. 
-;     on : turn on the automatic generation of stub definitions.
-;     off: turn off the flow. The undefined symbols will trigger an immediate load failure.
-;     verbose: Turn on the flow and report the undefined symbols for each shared library.
-; NOTE: This variable can be overriden with vsim switch "-undefsyms".
-; The default is on.
-;
-; UndefSyms = off
-
-; Enable the support for checkpointing foreign C++ libraries.
-; The valid arguments are: 1 and 0. 
-;     1 : turn on the support
-;     0 : turn off the support (default)
-; This option is not supported on the Windows platforms.
-;
-; AllowCheckpointCpp = 1
-
-; Initial seed for the random number generator of the root thread (SystemVerilog).
-; NOTE: This variable can be overridden with the vsim "-sv_seed" command line switch.
-; The default value is 0.
-; Sv_Seed = 0
-
-; Specify the solver "engine" that vsim will select for constrained random
-; generation.
-; Valid values are:
-;    "auto" - automatically select the best engine for the current
-;             constraint scenario
-;    "bdd"  - evaluate all constraint scenarios using the BDD solver engine
-;    "act"  - evaluate all constraint scenarios using the ACT solver engine
-; While the BDD solver engine is generally efficient with constraint scenarios
-; involving bitwise logical relationships, the ACT solver engine can exhibit
-; superior performance with constraint scenarios involving large numbers of
-; random variables related via arithmetic operators (+, *, etc).
-; NOTE: This variable can be overridden with the vsim "-solveengine" command
-; line switch.
-; The default value is "auto".
-; SolveEngine = auto
-
-; Specifies the maximum size that a dynamic array may be resized to by the
-; solver. If the solver attempts to resize a dynamic array to a size greater
-; than the specified limit, the solver will abort with an error.
-; The default value is 10000. A value of 0 indicates no limit.
-; SolveArrayResizeMax = 10000
-
-; Specify error message severity when randomize() and randomize(null) failures
-; are detected.
-;
-; Integer value up to two digits are allowed with each digit having the following legal values:
-; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-;
-; 1) When a value with two digits is used, the digit at tenth place (leftmost digit) represents
-;    the severtity setting for normal randomize() calls. The digit at ones place (rightmost digit)
-;    represents the setting for randomize(null) calls.
-;
-; 2) When a single digit value is used, the setting is applied to both normal randomize() call 
-;    and randomize(null) call.
-;
-; Example: Fatal error for randomize() failures and NO error for randomize(null) failures
-;   -solvefailseverity=40
-;
-; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is
-; enabled, a constraint contradiction report will be displayed for randomize() calls that
-; have a message severity >= warning (i.e. constraint contradiction reports will not be
-; generated for randomize() calls having a "no error" severity level)
-;
-; NOTE: This variable can be overridden with the vsim "-solvefailseverity" command
-; line switch.
-;
-; The default is 1 (warning).
-; SolveFailSeverity = 1
-
-; Error message severity for suppressible errors that are detected in a
-; solve/before constraint.
-; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-; NOTE: This variable can be overridden with the vsim "-solvebeforeerrorseverity"
-; command line switch.
-; The default is 3 (failure).
-; SolveBeforeErrorSeverity = 3
-
-; Error message severity for suppressible errors that are related to
-; solve engine capacity limits
-; 0 = No error  1 = Warning  2 = Error  3 = Failure  4 = Fatal
-; NOTE: This variable can be overridden with the vsim "-solveengineerrorseverity"
-; command line switch.
-; The default is 3 (failure).
-; SolveEngineErrorSeverity = 3
-
-; Enable/disable constraint conflicts on randomize() failure
-; Valid values:
-;     0 - disable solvefaildebug
-;     1 - basic debug (no performance penalty)
-;     2 - enhanced debug (runtime performance penalty)
-;
-; NOTE: SolveFailSeverity can affect the behavior of SolveFailDebug. When SolveFailDebug is
-; enabled, a constraint contradiction report will be displayed for randomize() calls that
-; have a message severity >= warning (i.e. constraint contradiction reports will not be
-; generated for randomize() calls having a "no error" severity level)
-;
-; NOTE: This variable can be overridden with the vsim "-solvefaildebug" command
-; line switch.
-;
-; The default is 1 (basic debug).
-; SolveFailDebug = 1
-
-; Upon encountering a randomize() failure, generate a simplified testcase that
-; will reproduce the failure. Optionally output the testcase to a file.
-; Testcases for 'no-solution' failures will only be produced if SolveFailDebug
-; is enabled (see above).
-; NOTE: This variable can be overridden with the vsim "-solvefailtestcase"
-; command line switch.
-; The default is OFF (do not generate a testcase). To enable testcase
-; generation, uncomment this variable. To redirect testcase generation to a
-; file, specify the name of the output file.
-; SolveFailTestcase = 
-
-; Specify solver timeout threshold (in seconds). randomize() will fail if the
-; CPU time required to evaluate any randset exceeds the specified timeout.
-; The default value is 500. A value of 0 will disable timeout failures. 
-; SolveTimeout = 500
-
-; Specify the maximum size of the solution graph generated by the BDD solver.
-; This value can be used to force the BDD solver to abort the evaluation of a
-; complex constraint scenario that cannot be evaluated with finite memory.
-; This value is specified in 1000s of nodes.
-; The default value is 10000. A value of 0 indicates no limit.
-; SolveGraphMaxSize = 10000
-
-; Specify the maximum number of evaluations that may be performed on the
-; solution graph by the BDD solver. This value can be used to force the BDD
-; solver to abort the evaluation of a complex constraint scenario that cannot
-; be evaluated in finite time. This value is specified in 10000s of evaluations.
-; The default value is 10000. A value of 0 indicates no limit.
-; SolveGraphMaxEval = 10000
-
-; Specify random sequence compatiblity with a prior release. This 
-; option is used to get the same random sequences during simulation as
-; as a prior release. Only prior releases with the same major version
-; as the current release are allowed.
-; NOTE: Only those random sequence changes due to solver optimizations are
-; reverted by this variable. Random sequence changes due to solver bugfixes
-; cannot be un-done.
-; NOTE: This variable can be overridden with the vsim "-solverev" command
-; line switch.
-; Default value set to "" (no compatibility).
-; SolveRev =
-
-; Environment variable expansion of command line arguments has been depricated 
-; in favor shell level expansion.  Universal environment variable expansion 
-; inside -f files is support and continued support for MGC Location Maps provide
-; alternative methods for handling flexible pathnames.
-; The following line may be uncommented and the value set to 1 to re-enable this 
-; deprecated behavior.  The default value is 0.
-; DeprecatedEnvironmentVariableExpansion = 0
-
-; Specify the memory threshold for the System Verilog garbage collector.
-; The value is the number of megabytes of class objects that must accumulate
-; before the garbage collector is run.
-; The GCThreshold setting is used when class debug mode is disabled to allow
-; less frequent garbage collection and better simulation performance.
-; The GCThresholdClassDebug setting is used when class debug mode is enabled
-; to allow for more frequent garbage collection.
-; GCThreshold = 100
-; GCThresholdClassDebug = 5
-
-; Turn on/off collapsing of bus ports in VCD dumpports output
-DumpportsCollapse = 1
-
-; Location of Multi-Level Verification Component (MVC) installation. 
-; The default location is the product installation directory.
-MvcHome = $MODEL_TECH/..
-
-; Location of InFact installation. The default is $MODEL_TECH/../../infact
-;
-; InFactHome = $MODEL_TECH/../../infact
-
-; Initialize SystemVerilog enums using the base type's default value
-; instead of the leftmost value.
-; EnumBaseInit = 1
-
-; Suppress file type registration.  
-; SuppressFileTypeReg = 1
-
-; Enable/disable non-LRM compliant SystemVerilog language extensions.
-; Valid extensions are:
-;   altdpiheader - Alternative style function signature generated in DPI header",
-;   cfce         - generate an error if $cast fails as a function
-;   cfmt         - C like formatting for specifiers with '#' prefix ('%#x', '%#h')
-;   dfsp         - sets default format specifier as %p, if no format specifier is given for unpacked array in $display and related systasks
-;   expdfmt      - enable format string extensions for $display/$sformatf
-;   extscan      - support values greater than 32 bit for string builtin methods (atohex, atobin, atooct, atoi)
-;   fmtcap       - prints capital hex digits with %X/%H in display calls
-;   iddp         - ignore DPI disable protocol check
-;   lfmt         - zero-pad data if '0' prefixes width in format specifier (e.g. "%04h")
-;   noexptc      - ignore DPI export type name overloading check
-;   realrand     - support randomize() with real variables and constraints (Default)
-; SvExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Enable/disable non-LRM compliant SystemVerilog constrained-random language extensions.
-; Valid extensions are:
-;   arraymode    - consider rand_mode of unpacked array field independently from its elements
-;   deepcheck    - allow randomize(null) to recursively consider constraints from member rand class handles (Default)
-;   funcback     - enable function backtracking (ACT only)
-;   genmodseedfix - enable LRM-compliant seeding of module/interface instances under for-generate blocks (Default)
-;   nodist       - interpret 'dist' constraint as 'inside' (ACT only)
-;   noorder      - ignore solve/before ordering constraints (ACT only)
-;   pathseed     - enable unique seeding of module instances based on hierarchical path name
-;   promotedist  - promote priority of 'dist' constraint if LHS has no solve/before
-;   randindex    - allow random index in constraint (Default)
-;   randstruct   - consider all fields of unpacked structs as 'rand'
-;   skew         - skew randomize results (ACT only)
-;   strictstab   - strict random stability
-; SvRandExtensions = [+|-]<extension>[,[+|-]<extension>*]
-
-; Controls the formatting of '%p' and '%P' conversion specification, used in $display
-; and similar system tasks.
-; 1. SVPrettyPrintFlags=I<n><S|T> use <n> spaces(S) or tabs(T) per indentation level. 
-;    The 'I' flag when present causes relevant data types to be expanded and indented into
-;    a more readable format.
-;    (e.g. SVPrettyPrintFlags=I4S will cause 4 spaces to be used per indentation level).
-; 2. SVPrettyPrintFlags=L<numLines> limits the output to <numLines> lines.
-;    (e.g. SVPrettyPrintFlags=L20 will limit the output to 20 lines).
-; 3. SVPrettyPrintFlags=C<numChars> limits the output to <numChars> characters.
-;    (e.g. SVPrettyPrintFlags=C256 will limit the output to 256 characters).
-; 4. SVPrettyPrintFlags=F<numFields> limits the output to <numFields> of relevant datatypes
-;    (e.g. SVPrettyPrintFlags=F4 will limit the output to 4 fields of a structure).
-; 5. SVPrettyPrintFlags=E<numElements> limits the output to <numElements> of relevant datatypes
-;    (e.g. SVPrettyPrintFlags=E50 will limit the output to 50 elements of an array).
-; 6. SVPrettyPrintFlags=D<depth> suppresses the output of sub-elements below <depth>.
-;    (e.g. SVPrettyPrintFlags=D5 will suppresses the output of sub elements below a depth of 5).
-; 7. SVPrettyPrintFlags=R<specifier> shows the output of specifier %p as per the specifed radix.
-;    It changes the output in $display and similar systasks. It does not affect formatted output functions ($displayh etc)).
-;    (e.g. SVPrettyPrintFlags=Rb will show the output of %p specifier in binary format.
-; 8. Items 1-7 above can be combined as a comma separated list.
-;    (e.g. SVPrettyPrintFlags=I4S,L20,C256,F4,E50,D5,Rb)
-; SVPrettyPrintFlags=I4S
-
-[lmc]
-; The simulator's interface to Logic Modeling's SmartModel SWIFT software
-libsm = $MODEL_TECH/libsm.sl
-; The simulator's interface to Logic Modeling's SmartModel SWIFT software (Windows NT)
-; libsm = $MODEL_TECH/libsm.dll
-;  Logic Modeling's SmartModel SWIFT software (HP 9000 Series 700)
-; libswift = $LMC_HOME/lib/hp700.lib/libswift.sl
-;  Logic Modeling's SmartModel SWIFT software (IBM RISC System/6000)
-; libswift = $LMC_HOME/lib/ibmrs.lib/swift.o
-;  Logic Modeling's SmartModel SWIFT software (Sun4 Solaris)
-; libswift = $LMC_HOME/lib/sun4Solaris.lib/libswift.so
-;  Logic Modeling's SmartModel SWIFT software (Windows NT)
-; libswift = $LMC_HOME/lib/pcnt.lib/libswift.dll
-;  Logic Modeling's SmartModel SWIFT software (non-Enterprise versions of Linux)
-; libswift = $LMC_HOME/lib/x86_linux.lib/libswift.so
-;  Logic Modeling's SmartModel SWIFT software (Enterprise versions of Linux)
-; libswift = $LMC_HOME/lib/linux.lib/libswift.so
-
-; The simulator's interface to Logic Modeling's hardware modeler SFI software
-libhm = $MODEL_TECH/libhm.sl
-; The simulator's interface to Logic Modeling's hardware modeler SFI software (Windows NT)
-; libhm = $MODEL_TECH/libhm.dll
-;  Logic Modeling's hardware modeler SFI software (HP 9000 Series 700)
-; libsfi = <sfi_dir>/lib/hp700/libsfi.sl
-;  Logic Modeling's hardware modeler SFI software (IBM RISC System/6000)
-; libsfi = <sfi_dir>/lib/rs6000/libsfi.a
-;  Logic Modeling's hardware modeler SFI software (Sun4 Solaris)
-; libsfi = <sfi_dir>/lib/sun4.solaris/libsfi.so
-;  Logic Modeling's hardware modeler SFI software (Windows NT)
-; libsfi = <sfi_dir>/lib/pcnt/lm_sfi.dll
-;  Logic Modeling's hardware modeler SFI software (Linux)
-; libsfi = <sfi_dir>/lib/linux/libsfi.so
-
-[msg_system]
-; Change a message severity or suppress a message.
-; The format is: <msg directive> = <msg number>[,<msg number>...]
-; suppress can be used to achieve +nowarn<CODE> functionality
-; The format is: suppress = <CODE>,<msg number>,[<CODE>,<msg number>,...]
-; Examples:
-suppress = 8780 ;an explanation can be had by running: verror 8780 
-;   note = 3009
-;   warning = 3033
-;   error = 3010,3016
-;   fatal = 3016,3033
-;   suppress = 3009,3016,3601
-;   suppress = 3009,CNNODP,3601,TFMPC
-;   suppress = 8683,8684
-; The command verror <msg number> can be used to get the complete
-; description of a message.
-
-; Control transcripting of Verilog display system task messages and
-; PLI/FLI print function call messages.  The system tasks include
-; $display[bho], $strobe[bho], $monitor[bho], and $write[bho].  They
-; also include the analogous file I/O tasks that write to STDOUT 
-; (i.e. $fwrite or $fdisplay).  The PLI/FLI calls include io_printf,
-; vpi_printf, mti_PrintMessage, and mti_PrintFormatted.  The default
-; is to have messages appear only in the transcript.  The other 
-; settings are to send messages to the wlf file only (messages that
-; are recorded in the wlf file can be viewed in the MsgViewer) or 
-; to both the transcript and the wlf file.  The valid values are
-;    tran  {transcript only (default)}
-;    wlf   {wlf file only}
-;    both  {transcript and wlf file}
-; displaymsgmode = tran
-
-; Control transcripting of elaboration/runtime messages not
-; addressed by the displaymsgmode setting.  The default is to 
-; have messages appear only in the transcript.  The other settings
-; are to send messages to the wlf file only (messages that are
-; recorded in the wlf file can be viewed in the MsgViewer) or to both
-; the transcript and the wlf file. The valid values are
-;    tran  {transcript only (default)}
-;    wlf   {wlf file only}
-;    both  {transcript and wlf file}
-; msgmode = tran
-
-; Controls number of displays of a particluar message
-; default value is 5
-; MsgLimitCount = 5
-
-[utils]
-; Default Library Type (while creating a library with "vlib")
-;  0 - legacy library using subdirectories for design units
-;  2 - flat library
-; DefaultLibType = 2
-
-; Flat Library Page Size (while creating a library with "vlib")
-; Set the size in bytes for flat library file pages.  Libraries containing
-; very large files may benefit from a larger value.
-; FlatLibPageSize = 8192
-
-; Flat Library Page Cleanup Percentage (while creating a library with "vlib")
-; Set the percentage of total pages deleted before library cleanup can occur.
-; This setting is applied together with FlatLibPageDeleteThreshold.
-; FlatLibPageDeletePercentage = 50
-
-; Flat Library Page Cleanup Threshold (while creating a library with "vlib")
-; Set the number of pages deleted before library cleanup can occur.
-; This setting is applied together with FlatLibPageDeletePercentage.
-; FlatLibPageDeleteThreshold = 1000
-
-[Project]
-** Warning: ; Warning -- Do not edit the project properties directly.
-;            Property names are dynamic in nature and property
-;            values have special syntax.  Changing property data directly
-;            can result in a corrupt MPF file.  All project properties
-;            can be modified through project window dialogs.
-Project_Version = 6
-Project_DefaultLib = work
-Project_SortMethod = unused
-Project_Files_Count = 2
-Project_File_0 = H:/Msc project/add/posit_add_8bit_tb.v
-Project_File_P_0 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1658844880 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 1 cover_expr 0 dont_compile 0 cover_stmt 0
-Project_File_1 = H:/Msc project/add/posit_add.v
-Project_File_P_1 = cover_toggle 0 file_type verilog group_id 0 cover_exttoggle 0 cover_nofec 0 cover_cond 0 vlog_1995compat 0 vlog_nodebug 0 cover_fsm 0 cover_branch 0 vlog_noload 0 folder {Top Level} last_compile 1658844880 cover_excludedefault 0 vlog_enable0In 0 vlog_disableopt 0 cover_covercells 0 voptflow 1 vlog_showsource 0 vlog_hazard 0 cover_optlevel 3 toggle - vlog_0InOptions {} ood 0 cover_noshort 0 vlog_upper 0 compile_to work vlog_options {} compile_order 0 cover_expr 0 dont_compile 0 cover_stmt 0
-Project_Sim_Count = 0
-Project_Folder_Count = 0
-Echo_Compile_Output = 0
-Save_Compile_Report = 1
-Project_Opt_Count = 0
-ForceSoftPaths = 0
-ProjectStatusDelay = 5000
-VERILOG_DoubleClick = Edit
-VERILOG_CustomDoubleClick = 
-SYSTEMVERILOG_DoubleClick = Edit
-SYSTEMVERILOG_CustomDoubleClick = 
-VHDL_DoubleClick = Edit
-VHDL_CustomDoubleClick = 
-PSL_DoubleClick = Edit
-PSL_CustomDoubleClick = 
-TEXT_DoubleClick = Edit
-TEXT_CustomDoubleClick = 
-SYSTEMC_DoubleClick = Edit
-SYSTEMC_CustomDoubleClick = 
-TCL_DoubleClick = Edit
-TCL_CustomDoubleClick = 
-MACRO_DoubleClick = Edit
-MACRO_CustomDoubleClick = 
-VCD_DoubleClick = Edit
-VCD_CustomDoubleClick = 
-SDF_DoubleClick = Edit
-SDF_CustomDoubleClick = 
-XML_DoubleClick = Edit
-XML_CustomDoubleClick = 
-LOGFILE_DoubleClick = Edit
-LOGFILE_CustomDoubleClick = 
-UCDB_DoubleClick = Edit
-UCDB_CustomDoubleClick = 
-TDB_DoubleClick = Edit
-TDB_CustomDoubleClick = 
-UPF_DoubleClick = Edit
-UPF_CustomDoubleClick = 
-PCF_DoubleClick = Edit
-PCF_CustomDoubleClick = 
-PROJECT_DoubleClick = Edit
-PROJECT_CustomDoubleClick = 
-VRM_DoubleClick = Edit
-VRM_CustomDoubleClick = 
-DEBUGDATABASE_DoubleClick = Edit
-DEBUGDATABASE_CustomDoubleClick = 
-DEBUGARCHIVE_DoubleClick = Edit
-DEBUGARCHIVE_CustomDoubleClick = 
-Project_Major_Version = 2020
-Project_Minor_Version = 1
diff --git a/posit_adder_verilog/error_8bit.txt b/posit_adder_verilog/error_8bit.txt
deleted file mode 100644
index 8b3bb16..0000000
--- a/posit_adder_verilog/error_8bit.txt
+++ /dev/null
@@ -1,15726 +0,0 @@
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  0
-  1
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  1
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  0
-  1
-  2
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  0
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  2
-  1
-  0
-  1
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  2
-  0
-  2
-  2
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  0
-  1
-  2
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  3
-  0
-  2
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  1
-  0
-  1
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  2
-  0
-  3
-  2
-  2
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  0
-  1
-  2
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  3
-  0
-  2
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  1
-  0
-  1
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  2
-  0
-  3
-  2
-  2
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  0
-  1
-  2
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  3
-  0
-  2
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  1
-  0
-  1
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  2
-  0
-  3
-  2
-  2
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  0
-  1
-  2
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  3
-  0
-  2
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  1
-  0
-  1
-  1
-  0
-  1
-  0
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  2
-  0
-  3
-  2
-  2
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  0
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  3
-  0
-  2
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  1
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  0
-  3
-  2
-  2
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  0
-  1
-  2
-  1
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  3
-  0
-  2
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  1
-  1
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  1
-  2
-  2
-  0
-  3
-  2
-  2
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  3
-  2
-  2
-  2
-  3
-  0
-  2
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  3
-  3
-  2
-  3
-  0
-  3
-  2
-  2
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  3
-  3
-  3
-  3
-  0
-  3
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  3
-  2
-  3
-  4
-  4
-  4
-  0
-  3
-  2
-  2
-  2
-  2
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  3
-  3
-  4
-  4
-  0
-  4
-  3
-  3
-  2
-  2
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  2
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  3
-  4
-  4
-  4
-  0
-  4
-  4
-  3
-  3
-  3
-  2
-  2
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  3
-  4
-  5
-  4
-  0
-  4
-  4
-  4
-  3
-  2
-  2
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  3
-  2
-  3
-  4
-  6
-  6
-  0
-  4
-  4
-  3
-  3
-  2
-  2
-  2
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  2
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  3
-  3
-  5
-  6
-  0
-  6
-  5
-  4
-  3
-  2
-  3
-  2
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  3
-  4
-  4
-  6
-  0
-  6
-  6
-  4
-  3
-  3
-  3
-  2
-  2
-  2
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  2
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  3
-  4
-  5
-  6
-  0
-  6
-  5
-  4
-  3
-  2
-  3
-  2
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  3
-  2
-  3
-  4
-  6
-  8
-  0
-  6
-  4
-  3
-  3
-  2
-  2
-  2
-  2
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  3
-  3
-  5
-  8
-  0
-  8
-  5
-  4
-  3
-  2
-  3
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  3
-  4
-  4
-  6
-  0
-  8
-  6
-  4
-  3
-  3
-  3
-  2
-  2
-  2
-  2
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  3
-  4
-  5
-  6
-  0
-  6
-  5
-  4
-  3
-  2
-  3
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  3
-  2
-  3
-  4
-  6
-  8
-  0
-  6
-  4
-  3
-  3
-  2
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  3
-  3
-  5
-  8
-  0
-  8
-  5
-  4
-  3
-  2
-  3
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  3
-  4
-  4
-  6
-  0
-  8
-  6
-  4
-  3
-  3
-  3
-  2
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  3
-  4
-  5
-  6
-  0
-  6
-  5
-  4
-  3
-  2
-  3
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  3
-  2
-  3
-  4
-  6
-  8
-  0
-  6
-  4
-  3
-  3
-  2
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  3
-  3
-  5
-  8
-  0
-  8
-  5
-  4
-  3
-  2
-  3
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  3
-  4
-  4
-  6
-  0
-  8
-  6
-  4
-  3
-  3
-  3
-  2
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  3
-  4
-  5
-  6
-  0
-  6
-  5
-  4
-  3
-  2
-  3
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  3
-  2
-  3
-  4
-  6
-  8
-  0
-  6
-  4
-  3
-  3
-  2
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  3
-  3
-  5
-  8
-  0
-  8
-  5
-  4
-  3
-  2
-  3
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  3
-  4
-  4
-  6
-  0
-  8
-  6
-  4
-  3
-  3
-  3
-  2
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  3
-  4
-  5
-  6
-  0
-  6
-  5
-  4
-  3
-  2
-  3
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  3
-  2
-  3
-  4
-  6
-  8
-  0
-  6
-  4
-  3
-  3
-  2
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  2
-  3
-  3
-  5
-  8
-  0
-  8
-  5
-  4
-  3
-  2
-  3
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  1
-  0
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  2
-  2
-  2
-  2
-  3
-  4
-  4
-  6
-  0
-  8
-  6
-  4
-  3
-  3
-  3
-  2
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  2
-  1
-  2
-  2
-  2
-  2
-  2
-  2
-  2
-  1
-  2
-  2
-  2
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  1
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
-  0
diff --git a/posit_adder_verilog/julia_posit8_add.sh b/posit_adder_verilog/julia_posit8_add.sh
deleted file mode 100644
index 89bfd27..0000000
--- a/posit_adder_verilog/julia_posit8_add.sh
+++ /dev/null
@@ -1,30 +0,0 @@
-#!/bin/bash
-
-function posit_add(y1,y2)
-		P=PS(y1)+PS(y2)
-		print(PS(y1),"\t")
-		print(PS(y2),"\t")
-		println(P)
-end
-
-if ARGS[1] == "--help"
-	println("Usgae: julia julia_posit8_add.sh N<size of operands> es<Exp size>")
-else
-	using SigmoidNumbers
-	N = parse(ARGS[1])
-	es = parse(ARGS[2])
-	PS=Posit{N,es}
-	f1=open("Pin1_8bit.txt")
-	f2=open("Pin2_8bit.txt")
-	lines1 = readlines(f1)
-	lines2 = readlines(f2)
-	for l = 1:65536
-		x1="0b"lines1[l]
-		x2="0b"lines2[l]
-		y1=parse(x1)
-		y2=parse(x2)
-		posit_add(y1,y2)
-	end
-end
-
-
diff --git a/posit_adder_verilog/posit_add.v b/posit_adder_verilog/posit_add.v
deleted file mode 100644
index e0592d9..0000000
--- a/posit_adder_verilog/posit_add.v
+++ /dev/null
@@ -1,386 +0,0 @@
-`timescale 1ns / 1ps
-module posit_add (in1, in2, start, out, inf, zero, done);
-
-function [31:0] log2;
-input reg [31:0] value;
-	begin
-	value = value-1;
-	for (log2=0; value>0; log2=log2+1)
-        	value = value>>1;
-      	end
-endfunction
-
-parameter N = 16;
-parameter Bs = log2(N); 
-parameter es = 2;
-
-input [N-1:0] in1, in2;
-input start; 
-output [N-1:0] out;
-output inf, zero;
-output done;
-
-wire start0= start;
-wire s1 = in1[N-1];
-wire s2 = in2[N-1];
-wire zero_tmp1 = |in1[N-2:0];
-wire zero_tmp2 = |in2[N-2:0];
-wire inf1 = in1[N-1] & (~zero_tmp1),
-	inf2 = in2[N-1] & (~zero_tmp2);
-wire zero1 = ~(in1[N-1] | zero_tmp1),
-	zero2 = ~(in2[N-1] | zero_tmp2);
-assign inf = inf1 | inf2,
-	zero = zero1 & zero2;
-
-//Data Extraction
-wire rc1, rc2;
-wire [Bs-1:0] regime1, regime2;
-wire [es-1:0] e1, e2;
-wire [N-es-1:0] mant1, mant2;
-wire [N-1:0] xin1 = s1 ? -in1 : in1;
-wire [N-1:0] xin2 = s2 ? -in2 : in2;
-data_extract_v1 #(.N(N),.es(es)) uut_de1(.in(xin1), .rc(rc1), .regime(regime1), .exp(e1), .mant(mant1));
-data_extract_v1 #(.N(N),.es(es)) uut_de2(.in(xin2), .rc(rc2), .regime(regime2), .exp(e2), .mant(mant2));
-
-wire [N-es:0] m1 = {zero_tmp1,mant1}, 
-	m2 = {zero_tmp2,mant2};
-
-//Large Checking and Assignment
-wire in1_gt_in2 = (xin1[N-2:0] >= xin2[N-2:0]) ? 1'b1 : 1'b0;
-
-wire ls = in1_gt_in2 ? s1 : s2;
-wire op = s1 ~^ s2;
-
-wire lrc = in1_gt_in2 ? rc1 : rc2;
-wire src = in1_gt_in2 ? rc2 : rc1;
-
-wire [Bs-1:0] lr = in1_gt_in2 ? regime1 : regime2;
-wire [Bs-1:0] sr = in1_gt_in2 ? regime2 : regime1;
-
-wire [es-1:0] le = in1_gt_in2 ? e1 : e2;
-wire [es-1:0] se = in1_gt_in2 ? e2 : e1;
-
-wire [N-es:0] lm = in1_gt_in2 ? m1 : m2;
-wire [N-es:0] sm = in1_gt_in2 ? m2 : m1;
-
-//Exponent Difference: Lower Mantissa Right Shift Amount
-wire [es+Bs+1:0] diff;
-wire [Bs:0] lr_N;
-wire [Bs:0] sr_N;
-abs_regime #(.N(Bs)) uut_abs_regime1 (lrc, lr, lr_N);
-abs_regime #(.N(Bs)) uut_abs_regime2 (src, sr, sr_N);
-sub_N #(.N(es+Bs+1)) uut_ediff ({lr_N,le}, {sr_N, se}, diff);
-wire [Bs-1:0] exp_diff = (|diff[es+Bs:Bs]) ? {Bs{1'b1}} : diff[Bs-1:0];
-
-//DSR Right Shifting
-wire [N-1:0] DSR_right_in;
-generate
-	if (es >= 2) 
-	assign DSR_right_in = {sm,{es-1{1'b0}}};
-	else 
-	assign DSR_right_in = sm;
-endgenerate
-
-wire [N-1:0] DSR_right_out;
-wire [Bs-1:0] DSR_e_diff  = exp_diff;
-DSR_right_N_S #(.N(N), .S(Bs))  dsr1(.a(DSR_right_in), .b(DSR_e_diff), .c(DSR_right_out));
-
-//Mantissa Addition
-wire [N-1:0] add_m_in1;
-generate
-	if (es >= 2) 
-	assign add_m_in1 = {lm,{es-1{1'b0}}};
-	else 
-	assign add_m_in1 = lm;
-endgenerate
-
-wire [N:0] add_m;
-add_sub_N #(.N(N)) uut_add_sub_N (op, add_m_in1, DSR_right_out, add_m);
-wire [1:0] mant_ovf = add_m[N:N-1];
-
-//LOD
-wire [N-1:0] LOD_in = {(add_m[N] | add_m[N-1]), add_m[N-2:0]};
-wire [Bs-1:0] left_shift;
-LOD_N #(.N(N)) l2(.in(LOD_in), .out(left_shift));
-
-//DSR Left Shifting
-wire [N-1:0] DSR_left_out_t;
-DSR_left_N_S #(.N(N), .S(Bs)) dsl1(.a(add_m[N:1]), .b(left_shift), .c(DSR_left_out_t));
-wire [N-1:0] DSR_left_out = DSR_left_out_t[N-1] ? DSR_left_out_t[N-1:0] : {DSR_left_out_t[N-2:0],1'b0}; 
-
-
-//Exponent and Regime Computation
-wire [es+Bs+1:0] le_o_tmp, le_o;
-sub_N #(.N(es+Bs+1)) sub3 ({lr_N,le}, {{es+1{1'b0}},left_shift}, le_o_tmp);
-add_1 #(.N(es+Bs+1)) uut_add_mantovf (le_o_tmp, mant_ovf[1], le_o);
-
-wire [es-1:0] e_o;
-wire [Bs-1:0] r_o;
-reg_exp_op #(.es(es), .Bs(Bs)) uut_reg_ro (le_o[es+Bs:0], e_o, r_o);
-
-//Exponent and Mantissa Packing
-wire [2*N-1+3:0] tmp_o;
-generate
-	if(es > 2)
-		assign tmp_o = { {N{~le_o[es+Bs]}}, le_o[es+Bs], e_o, DSR_left_out[N-2:es-2], |DSR_left_out[es-3:0]};
-	else 
-		assign tmp_o = { {N{~le_o[es+Bs]}}, le_o[es+Bs], e_o, DSR_left_out[N-2:0], {3-es{1'b0}} };
-
-endgenerate
-
-
-//Including/Pushing Regime bits in Exponent-Mantissa Packing
-wire [3*N-1+3:0] tmp1_o;
-DSR_right_N_S #(.N(3*N+3), .S(Bs)) dsr2 (.a({tmp_o,{N{1'b0}}}), .b(r_o), .c(tmp1_o));
-
-
-//Rounding RNE : ulp_add = G.(R + S) + L.G.(~(R+S))
-wire L = tmp1_o[N+4], G = tmp1_o[N+3], R = tmp1_o[N+2], St = |tmp1_o[N+1:0],
-     ulp = ((G & (R | St)) | (L & G & ~(R | St)));
-wire [N-1:0] rnd_ulp = {{N-1{1'b0}},ulp};
-
-wire [N:0] tmp1_o_rnd_ulp;
-add_N #(.N(N)) uut_add_ulp (tmp1_o[2*N-1+3:N+3], rnd_ulp, tmp1_o_rnd_ulp);
-wire [N-1:0] tmp1_o_rnd = (r_o < N-es-2) ? tmp1_o_rnd_ulp[N-1:0] : tmp1_o[2*N-1+3:N+3];
-
-
-//Final Output
-wire [N-1:0] tmp1_oN = ls ? -tmp1_o_rnd : tmp1_o_rnd;
-assign out = inf|zero|(~DSR_left_out[N-1]) ? {inf,{N-1{1'b0}}} : {ls, tmp1_oN[N-1:1]},
-	done = start0;
-
-endmodule
-
-/////////////////////////
-module data_extract_v1(in, rc, regime, exp, mant);
-function [31:0] log2;
-input reg [31:0] value;
-	begin
-	value = value-1;
-	for (log2=0; value>0; log2=log2+1)
-        	value = value>>1;
-      	end
-endfunction
-
-parameter N=16;
-parameter Bs=log2(N);
-parameter es = 2;
-
-input [N-1:0] in;
-output rc;
-output [Bs-1:0] regime;
-output [es-1:0] exp;
-output [N-es-1:0] mant;
-
-wire [N-1:0] xin = in;
-assign rc = xin[N-2];
-
-wire [N-1:0] xin_r = rc ? ~xin : xin;
-
-wire [Bs-1:0] k;
-LOD_N #(.N(N)) xinst_k(.in({xin_r[N-2:0],rc^1'b0}), .out(k));
-
-assign regime = rc ? k-1 : k;
-
-wire [N-1:0] xin_tmp;
-DSR_left_N_S #(.N(N), .S(Bs)) ls (.a({xin[N-3:0],2'b0}),.b(k),.c(xin_tmp));
-
-assign exp= xin_tmp[N-1:N-es];
-assign mant= xin_tmp[N-es-1:0];
-
-endmodule
-
-/////////////////
-module sub_N (a,b,c);
-parameter N=10;
-input [N-1:0] a,b;
-output [N:0] c;
-wire [N:0] ain = {1'b0,a};
-wire [N:0] bin = {1'b0,b};
-sub_N_in #(.N(N)) s1 (ain,bin,c);
-endmodule
-
-/////////////////////////
-module add_N (a,b,c);
-parameter N=10;
-input [N-1:0] a,b;
-output [N:0] c;
-wire [N:0] ain = {1'b0,a};
-wire [N:0] bin = {1'b0,b};
-add_N_in #(.N(N)) a1 (ain,bin,c);
-endmodule
-
-/////////////////////////
-module sub_N_in (a,b,c);
-parameter N=10;
-input [N:0] a,b;
-output [N:0] c;
-assign c = a - b;
-endmodule
-
-/////////////////////////
-module add_N_in (a,b,c);
-parameter N=10;
-input [N:0] a,b;
-output [N:0] c;
-assign c = a + b;
-endmodule
-
-/////////////////////////
-module add_sub_N (op,a,b,c);
-parameter N=10;
-input op;
-input [N-1:0] a,b;
-output [N:0] c;
-wire [N:0] c_add, c_sub;
-
-add_N #(.N(N)) a11 (a,b,c_add);
-sub_N #(.N(N)) s11 (a,b,c_sub);
-assign c = op ? c_add : c_sub;
-endmodule
-
-/////////////////////////
-module add_1 (a,mant_ovf,c);
-parameter N=10;
-input [N:0] a;
-input mant_ovf;
-output [N:0] c;
-assign c = a + mant_ovf;
-endmodule
-
-/////////////////////////
-module abs_regime (rc, regime, regime_N);
-parameter N = 10;
-input rc;
-input [N-1:0] regime;
-output [N:0] regime_N;
-
-assign regime_N = rc ? {1'b0,regime} : -{1'b0,regime};
-endmodule
-
-/////////////////////////
-module conv_2c (a,c);
-parameter N=10;
-input [N:0] a;
-output [N:0] c;
-assign c = a + 1'b1;
-endmodule
-
-module reg_exp_op (exp_o, e_o, r_o);
-parameter es=3;
-parameter Bs=5;
-input [es+Bs:0] exp_o;
-output [es-1:0] e_o;
-output [Bs-1:0] r_o;
-
-assign e_o = exp_o[es-1:0];
-
-wire [es+Bs:0] exp_oN_tmp;
-conv_2c #(.N(es+Bs)) uut_conv_2c1 (~exp_o[es+Bs:0],exp_oN_tmp);
-wire [es+Bs:0] exp_oN = exp_o[es+Bs] ? exp_oN_tmp[es+Bs:0] : exp_o[es+Bs:0];
-assign r_o = (~exp_o[es+Bs] || |(exp_oN[es-1:0])) ? exp_oN[es+Bs-1:es] + 1 : exp_oN[es+Bs-1:es];
-endmodule
-
-/////////////////////////
-module DSR_left_N_S(a,b,c);
-        parameter N=16;
-        parameter S=4;
-        input [N-1:0] a;
-        input [S-1:0] b;
-        output [N-1:0] c;
-
-wire [N-1:0] tmp [S-1:0];
-assign tmp[0]  = b[0] ? a << 7'd1  : a; 
-genvar i;
-generate
-	for (i=1; i<S; i=i+1)begin:loop_blk
-		assign tmp[i] = b[i] ? tmp[i-1] << 2**i : tmp[i-1];
-	end
-endgenerate
-assign c = tmp[S-1];
-
-endmodule
-
-
-/////////////////////////
-module DSR_right_N_S(a,b,c);
-        parameter N=16;
-        parameter S=4;
-        input [N-1:0] a;
-        input [S-1:0] b;
-        output [N-1:0] c;
-
-wire [N-1:0] tmp [S-1:0];
-assign tmp[0]  = b[0] ? a >> 7'd1  : a; 
-genvar i;
-generate
-	for (i=1; i<S; i=i+1)begin:loop_blk
-		assign tmp[i] = b[i] ? tmp[i-1] >> 2**i : tmp[i-1];
-	end
-endgenerate
-assign c = tmp[S-1];
-
-endmodule
-
-/////////////////////////
-
-module LOD_N (in, out);
-
-  function [31:0] log2;
-    input reg [31:0] value;
-    begin
-      value = value-1;
-      for (log2=0; value>0; log2=log2+1)
-	value = value>>1;
-    end
-  endfunction
-
-parameter N = 64;
-parameter S = log2(N); 
-input [N-1:0] in;
-output [S-1:0] out;
-
-wire vld;
-LOD #(.N(N)) l1 (in, out, vld);
-endmodule
-
-
-module LOD (in, out, vld);
-
-  function [31:0] log2;
-    input reg [31:0] value;
-    begin
-      value = value-1;
-      for (log2=0; value>0; log2=log2+1)
-	value = value>>1;
-    end
-  endfunction
-
-
-parameter N = 64;
-parameter S = log2(N);
-
-   input [N-1:0] in;
-   output [S-1:0] out;
-   output vld;
-
-  generate
-    if (N == 2)
-      begin
-	assign vld = |in;
-	assign out = ~in[1] & in[0];
-      end
-    else if (N & (N-1))
-      //LOD #(1<<S) LOD ({1<<S {1'b0}} | in,out,vld);
-      LOD #(1<<S) LOD ({in,{((1<<S) - N) {1'b0}}},out,vld);
-    else
-      begin
-	wire [S-2:0] out_l, out_h;
-	wire out_vl, out_vh;
-	LOD #(N>>1) l(in[(N>>1)-1:0],out_l,out_vl);
-	LOD #(N>>1) h(in[N-1:N>>1],out_h,out_vh);
-	assign vld = out_vl | out_vh;
-	assign out = out_vh ? {1'b0,out_h} : {out_vl,out_l};
-      end
-  endgenerate
-endmodule
diff --git a/posit_adder_verilog/posit_add_8bit.sh b/posit_adder_verilog/posit_add_8bit.sh
deleted file mode 100644
index c837f3e..0000000
--- a/posit_adder_verilog/posit_add_8bit.sh
+++ /dev/null
@@ -1,10 +0,0 @@
-vlib work
-
-#All the verilog modules
-vlog "posit_add_8bit_tb.v" 
-vlog "posit_add.v"
-
-vsim -t ps work.posit_add_8bit_tb_v
-view wave
-#add wave *
-run -all
diff --git a/posit_adder_verilog/posit_add_8bit_tb.v b/posit_adder_verilog/posit_add_8bit_tb.v
deleted file mode 100644
index 3f77ba3..0000000
--- a/posit_adder_verilog/posit_add_8bit_tb.v
+++ /dev/null
@@ -1,80 +0,0 @@
-`timescale 1ns / 1ps
-module posit_add_8bit_tb_v;
-
-function [31:0] log2;
-input reg [31:0] value;
-	begin
-	value = value-1;
-	for (log2=0; value>0; log2=log2+1)
-        	value = value>>1;
-      	end
-endfunction
-
-parameter N=8;
-parameter Bs=log2(N);
-parameter es=3;
-
-reg [N-1:0] in1, in2;
-reg start; 
-wire out_s;
-wire [Bs-1:0] out_r;
-wire [Bs+es-1:0]out_e;
-wire [N-1:0] out_m, out;
-wire done;
-
-	reg clk;
-	integer outfile;
-
-
-// Instantiate the Unit Under Test (UUT)
-posit_add #(.N(N), .es(es)) uut (in1, in2, start, out, inf, zero, done);
-
-reg [N-1:0] data1 [1:65536];
-reg [N-1:0] data2 [1:65536];
-initial $readmemb("Pin1_8bit.txt",data1);
-initial $readmemb("Pin2_8bit.txt",data2);
-
-reg [15:0] i;
-	
-	initial begin
-		// Initialize Inputs
-		in1 = 0;
-		in2 = 0;
-		clk = 0;
-		start = 0;
-	
-		
-		// Wait 100 ns for global reset to finish
-		#100 i=0;
-		#20 start = 1;
-                #655500 start = 0;
-		#100;
-		
-		$fclose(outfile);
-		$finish;
-	end
-	
- always #5 clk=~clk;
-
-  always @(posedge clk) begin			
- 	in1=data1[i];	
-	in2=data2[i];
-	if(i==16'hffff)
-  	      $finish;
-	else i = i + 1;
- end
-
-initial outfile = $fopen("error_8bit.txt", "wb");
-
-reg [N-1:0] result [1:65536];
-initial $readmemb("Pout_8bit_ES4.txt",result);
-reg [N-1:0] diff;
-always @(negedge clk) begin
-	if(start)begin
-     	diff = (result[i-1] > out) ? result[i-1]-out : out-result[i-1];
-     	//$fwrite(outfile, "%h\t%h\t%h\t%h\t%d\n",in1, in2, out,result[i-1],diff);
-     	$fwrite(outfile, "%d\n",diff);
-     	end
-end
-endmodule
-
diff --git a/posit_adder_verilog/transcript b/posit_adder_verilog/transcript
deleted file mode 100644
index 26174f6..0000000
--- a/posit_adder_verilog/transcript
+++ /dev/null
@@ -1,54 +0,0 @@
-# ** Error: Acs sdne.
-# 
-#        Unable to replace existing ini file (H:/Msc project/floating point 32 adder/adder.mpf).  File can not be renamed.
-# Compile of fpadder.sv was successful.
-# Compile of fpadder_tb.sv was successful.
-# 2 compiles, 0 failed with no errors.
-vsim -voptargs=+acc work.floatadd_tb
-# vsim -voptargs="+acc" work.floatadd_tb 
-# Start time: 16:16:29 on Sep 03,2022
-# ** Note: (vsim-3812) Design is being optimized...
-# ** Note: (vopt-143) Recognized 1 FSM in module "fpadder(fast)".
-# Loading sv_std.std
-# Loading work.floatadd_tb(fast)
-# Loading work.fpadder(fast)
-add wave -position end  sim:/floatadd_tb/floatadd_test/start
-add wave -position end  sim:/floatadd_tb/floatadd_test/zerocheck
-add wave -position end  sim:/floatadd_tb/floatadd_test/equalcheck
-add wave -position end  sim:/floatadd_tb/floatadd_test/addm
-add wave -position end  sim:/floatadd_tb/floatadd_test/normal
-add wave -position end  sim:/floatadd_tb/floatadd_test/over
-add wave -position end  sim:/floatadd_tb/floatadd_test/clk
-add wave -position end  sim:/floatadd_tb/floatadd_test/rst
-add wave -position end  sim:/floatadd_tb/floatadd_test/x
-add wave -position end  sim:/floatadd_tb/floatadd_test/y
-add wave -position end  sim:/floatadd_tb/floatadd_test/z
-add wave -position end  sim:/floatadd_tb/floatadd_test/overflow
-add wave -position end  sim:/floatadd_tb/floatadd_test/m_x
-add wave -position end  sim:/floatadd_tb/floatadd_test/m_y
-add wave -position end  sim:/floatadd_tb/floatadd_test/m_z
-add wave -position end  sim:/floatadd_tb/floatadd_test/exponent_x
-add wave -position end  sim:/floatadd_tb/floatadd_test/exponent_y
-add wave -position end  sim:/floatadd_tb/floatadd_test/exponent_z
-add wave -position end  sim:/floatadd_tb/floatadd_test/state_now
-add wave -position end  sim:/floatadd_tb/floatadd_test/state_next
-add wave -position end  sim:/floatadd_tb/floatadd_test/sign_z
-add wave -position end  sim:/floatadd_tb/floatadd_test/sign_x
-add wave -position end  sim:/floatadd_tb/floatadd_test/sign_y
-add wave -position end  sim:/floatadd_tb/floatadd_test/out_x
-add wave -position end  sim:/floatadd_tb/floatadd_test/out_y
-add wave -position end  sim:/floatadd_tb/floatadd_test/mid_y
-add wave -position end  sim:/floatadd_tb/floatadd_test/mid_x
-add wave -position end  sim:/floatadd_tb/floatadd_test/move_tot
-add wave -position end  sim:/floatadd_tb/floatadd_test/bigger
-# ** Warning: (vsim-WLF-5000) WLF file currently in use: vsim.wlf
-#           File in use by: xl6u21  Hostname: SRV02039  ProcessID: 21544
-#           Attempting to use alternate WLF file "./wlft9bkcwm".
-# ** Warning: (vsim-WLF-5001) Could not open WLF file: vsim.wlf
-#           Using alternate file: ./wlft9bkcwm
-run -all
-# ** Note: $stop    : H:/Msc project/floating point 32 adder/fpadder_tb.sv(111)
-#    Time: 26040 ns  Iteration: 0  Instance: /floatadd_tb
-# Break in Module floatadd_tb at H:/Msc project/floating point 32 adder/fpadder_tb.sv line 111
-# End time: 22:37:52 on Sep 03,2022, Elapsed time: 6:21:23
-# Errors: 1, Warnings: 7
diff --git a/posit_adder_verilog/vsim.wlf b/posit_adder_verilog/vsim.wlf
deleted file mode 100644
index 2cd7ee8f0bfca867d54592536e64ce5955df5ae6..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 753664
zcmWemVOYbE!oa}5%)r3Fpe|S}!N9=4$iTqB4dOE}Fj_J&Ffc*b4ABe>&TrT%a?DDN
z3^{^>!VHWIj6w2HX$FR5fy=wuj0}tn^bA=UnE!V$GJ{A41_h7`uni!_C>{-g(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@Rpl%5K|Ie_GfdPy`v-~_R(hSTD0xaw<3XJ@W3?h>lnVGm5
z6&)Da85md<T^v{ynOIa?7#W?J*w_^_5?B-k8N?Zw6q%Jc*cBUC6*(Eg8Mzo3yZ*B=
zFf-0*U}a=rGGLNdU|?9lYNRB>GRK!iqLGOWw90_-lmHtOn;}allRV=wW|ng-3=Iqn
zJRW699*mZZhDr?2SefiP1gG0@a<H@5PU7QWd$xozgT=P_ve*SqHw_LJ+e;3tYuRlX
zIiCt1kXhZpuxiyREe0OyhRvvHqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFvvpyG}jMy;{X4g
zix?O{7`)4$k-?UMwX8TZH=Ds0bP52QEfa%@vsFxPYF-ILeo=Z+VnIeGLvnFUZhlH?
z4ns+5a)y~nyb%L<%YRIHra+#VNeq*P9z$wHsx1?%ZUIAnQHd=Rr<E0hp_PfHiK&Gx
z0~5b)aY;&kDMLwGW=?8eVlE?ts)4hWeken6YEiL%MUELmsga?+V?lunLt;syzE6H~
zB14XTNNR3@zBz+_Sg?O+NJ%k6p;?)+Efb3_LwaeZEi(tBej~$t1_o|rMm7dD4=a7&
zVuoaef};Ga)MSPd{lt_M{epaf;>?nG2F`dEizEh)k|aH+GDa;9VRi|@cqR#k5H@iZ
z*9GPIMcJ%|lQ<Qanq3$gnOHf5SlJn;F=olJO>1Q7V=gT%VX`S<tyAG-+rW_G$vKs^
zf~`bZPKZT;NuH^fg@Ic_kYO4tqX?srAIk#vExewLx{OY&x-6Wmx=c(?Ld7iS*^~Gj
zS!J1-Qdwo4*nRj#I2c@*8JO9bs~8$M#hEkn3<X(AnAu7NCo-sUFfcPt>ELGI=3?OY
z;A9bIv~po%k!Il(Qet#6;*$Qtz`)|9BFx~&cubHnOn@PZUyvb=k0FV7B10Mvqb1`s
z6((<HH%5kOti>gXMULEs3=AxMe3LkN7<m|HurQi1h%<W%tYod>%M@ZPVBIex$dDq<
zkRdgZfmxD)ol~JhoPl4ALD)l-^Ah9!mNe!H1{O9a6~;-dnM|@wYAjW$MOOK2>P&LX
z>M8kosjBSij9HwlJGoAYvrS}rWWcJ)!ajk4fpHG&V-5wTK&E0(-bMT>oX?ebITcw{
zJ$YOCy%;|z@#-rvsWNdg9*r-_Ezo5T;#BP9jgeX}&Ze`4J(4rXg;hs|`TK>;yfpUZ
zLY#H1A*z{d>g+2!Ig?py)R@#gSQ%Hc2Y7R)ur6Jq&aS~8sLGkjdag->sgk)UncaUH
z;~v(p9-6Fb?DMl()Fx>$9v4&)Y;zGdWRdV<U@uBd&&*BrWZfjVnETEo#_y7)jDj7y
z9Lzc#>>k=&+$=_yWbIgtyakms-Bk2A?F6TLO4dmpm5@|oC}UzP^pu<??8oUSB*@Ab
zU^0=T)R?1Eaxn{+pEy(MOWE}-hMg81%gs4fcbIXkH|5&gz?z$wSHjz^!fwJ@FUXo^
z!*R!2kmG?B1G8l&y9s-yFN=u-gMo`Z1G60idy6eoCQF6Ngh`CmOw1~r`&B0>c`z8e
zGngy6F<84Y*ekg(I6E`AD>*TEJF+=@s!e2b=Dee%dL@9NT*#lHS~K5QkfGU!f!SM-
zf!&LN+tZWLQ1YMROCf15&bv&Laz(qfZ5UNmIL~k<338@|GMI%3GFSyOFb4@Tum>_K
zh^sK=>PoSjc5)tPHH~60?1*GAjbO0s2xqVjV>0F7;$V(XzfcmNnJ2FyBq=DnG<qU)
za*pmxbvYHz53;A7VwhF)3mDlaF)UPf5tMR~{TR!nx_~*SD4AVNMUY)pVo@BkS}}{-
zBtaupdyaVK;+!H+79YV5=5QfFX<42mK?b2j2C;;T%(}(wx|0NbS#>o-JW?1mnHEeC
zXUfq{5kI0L*eu$wl*tgB!4NK#&JeA2PkakgF}tB)iHqpLT!vPc9EQ$p24<Hm26hI9
z<VlRej9mPmRRq`ZexAs<zK}tVGqNL}K`oEjG^3c^RLFtBh1ImYlOe$INhw2wiere?
za!<hm!MTjgOw!j`QZmy#O{X)9GImT7d}-Om=+n`_FteUvZbu!%!dix<9W@Lqs~OgI
zR55I<WZ244!E}TrN2Ms<HC+CEr=%(Cj~0f99nB0+n;2epG%_0(vl!2E<=}MT)#>06
zb!q32Y-3<%Y30)4NUfMs5YMui|C5SjAny^SZU*Bn26LuPCSx9#;2?$gqRjLR!PlOQ
zf((JmHzzU5Nlvvr)-jnOdlEx_$3%wW2@K^O{S4K84D}to49z`E^&Y(J-Y&wNEFlaG
zoszRzeP%Q4=$OT@XC}jeju{L`rZb%An8t8sD#L}2DNJX$7=2!(vYb<ud?`9vX&wjD
zTn;v+Ib2MffyXE1N^J8BV!5oqz!1ryEy!B8jKh4XAcyr54*SJ|49trd*cS>ia4%rs
zpFfewgO$mH#m0sIPa=bYkY~G~=XyZ~?sW|OYXupE*Dx@z7Gz*w#lXE%kb!>%1M_lE
zMq9y9&mBUR*Nk;J95qkI=a-2_PV&6QoGuxrVt7VynbJlEp$$xzCb0SVyD(f}R`Our
zpDj33iS_(W1_z}b3@+OlJe0OE_-theP};%}vY8=*X%l0NI9E<;Lt06EaYklZw5tFE
zgStR^Cqo^t-yVjpj@=A>yO>Np_&8gbER{T-#Iss@ipxu`U~P6e!f@m;!wHu|3}+59
zFuNRJVBgQc?Xr)7e=n2oB%y3pU&eS921$1BPRUKI=g%-0cbsN0KgD3(agxFQ1cP(O
zaR&Ee4Bi|^nY=l2JW}KHJzW`jCowSdFH+=l;azl<LDuC8gW_cdW|vD0>=zlhT`n;2
zpJ!lpImf_$mVtW$gE$MLHzQ{WtGmlR2IIR7<}P;_m~S(%yWC>nzRAGva)W{SIs<#l
zHAY);W`;>BEN=GKIu(;;H$7r7>UhXt_JF~P<36)dQM{+(HbztZ1wx9mMDINnWJrC&
zkomZiQAu$T7Xyz<WQ(xRB-Q|THb+LO7Di772E}@n$R@^Mr5_Bo-x(a0zA?CdW$;w`
z!r=RvAyDZPL+D3_NTm-9vF{lYmEJL=zGcW%dc%<WnxRnX6+`JuhDxOu47JZ08kL^0
zHgYrcbw-{RKF1b#QiZLFQF<bCQ!4{o;35}>Ym5wCE{q8lj0sm=7!&Fk6Y5w%6fc7Z
z10xRuGee*YBf}m>iAWbl0VYO^`!0+ej*N`fN(%271qzfHJ)SZ~NDE52|7S@0C&-ZY
zmx1|@AOrhv2JT;i4E#SC7l}_|bo6HA)YNQY6l7pfeD4wy!I-?YgVCK?l7U4fE_wP3
z#vnEomBa%Ei!KGr2C{g0C;DcnW|ZdG|Mk@DVx3lK#=s!XUX+&--^s2epV`B3!bL`w
zF=4U`W5Pkkhz=LVh^>qf4_z2JvKTWGSQs-C7{vK5a!-k8^_?WIthrs~*aSvRj+ThI
z9gG2@j1k{E7!#BkBeFRdD`qk(334n@<O<>PWDn%AP-mTF$jdt0LuLY_0fT9TUI$}@
z9;3$s0Y)7LS8YxP&#0~9?4HcCZ3WofCTV_WeH5^N0;2_kk;6p}Mu$I)rkxCS9@>l^
zp&X1!yEr-5aLRz!IIaRW+D3`d5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc4a3<1!*KGOU@qxS#*|E@w$`Df!ts9ykOf@#+OPyiAH
zDFT_ozyR8{4-#R1@5#WxzzSmWlqGq9Xk<knKmrUbV3G|)!W1boFff1x7zC%=fH>?R
zS%%AE7m&0!-Ukb^fNv21D?rxH0g?nA1AwHX=^RLqfyDttffc}XfD}PJ-@F$j%fPNQ
z59V~K?8FlwF_0qYZ3Qg{KmrV0YUMCR%GwqdATb#bF^We+U^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhnrLI5=V4Z>ji|Nm!rz`y{;V6lcE8_>)?2LrPJgA*esvmhHM3nOQQ1A7u<1bYk{
zvnQ)CqjVITJd3n9qdAMRF01%j7Iqfxt8DREY{8j~(roTqS?9B8Ph&M_v5sQw&SJT&
z%Vx|veJzVP>*{H&!EDjj*j8t;P2b8=&#J$LC7ez88cTH)+iDj6?JUk&cUjVRv3hT1
zsphkv#<-u?`3j4A6pJ)p^ja46Ft+k+w(PAu?rh3go~-LRr?b>=XVs44`M#YsebrUg
z-C1J!TiM==UykB^uFEUU5yKdw@I_RHQSmis;y*adyn!J!G&GbU>HmKQ&}sq@2G8&h
z5C3+=Foq~TlTm!l0QRmq>_P+$B=3S(UyK~@g4d!9fA4-~U|;}Y@FE)g-eqCF#K_IU
zKZ#MCm7hiW8k=wyyYg0+cvk-{Z22tR(-^r~<fGU&uVwWQV}0z++Rj>jiM5zdJd3q^
zE6ZY*;AyP)S)XUEWwB?Ezs9ybisd-Z_0=rgS6Mz^Vy$Lt&SDMU$}^ueJc`9xm$Q5=
zTQN)XG|}ZO>|reC-mL!Yx7V_%UuE--63gf1)@2pnDzce1KZ?aTo2_{(i!rPF6_)iu
ztimkwU$dr1@mjBCX^-MF&gRS3W#ec4ugk{HsxHW^AENN3f=Nd4HE4~_nqYJ2Zdm63
z{~0bYFn}?5We|=a$Y76QWM!U|#K6zW%qo3_l|PC_o6S6%%{Y@WoyC1COFBz_7(4fB
zmhDSfli8%Nvh!!Le)eSbXZ+6=yp}~ijK_F2`~6VX%d1(7x3X<!ZI5ExoXzunE8lD$
z_As8ut9hoEvZ}9U>AuPq9>um>gncbb@HHOhwQT*-?3>rJEx*dTIEt^IU41Q|_EsKi
zmgIe*JmIU^`j_%vXL%gOdp(Qqv>>-LsJsIC545}p;lCJgAw5crhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1Jh5+sm
z0L^=Y=gL4z{{NMKz`&686uSSPp+$y)g~5iAfwO~yk=Kclvx6D506@_pf<1$gh57!~
z23f|K=Q00T7?s+YxS8HF33kN%i0NWvW&Fs-&m<PZ5~K8&f%zAMW5d4~`y|Gg;|$&l
ze#WS>nVYb>GBPAxPhw2^mSiguvx`;TN+5<c<~)O?DMQTvScciL|0@|8_+?^*V<rnS
z_%bsDN(eH9{$gOhEfB*TW5>YFBFMn}j)D7_AOklO1HYjl3;S7?TJ}H&;h!wrF8&PM
zLJa&OhuP-7=44Q240n$add0Gx)%1-ggCt`rW9%%}!-6c{9#5EFGV%s6#(ZP_`h}N|
zxrs-SIp!ZjvI$!}rz^kx2fn~SDHi@qjQe>LU4%H|8CjUUIi7Miw{S7LCw*b^Um?n~
zoI{u)^KFa<V-h>7{X|YiW@bs|P8N>W95H;lEK@oD>hdZxH1e`;O=1jV5bls=%KUdZ
z10FaTEEyb38NZoWzh!j%&#-6EKhD6u;Ae&^o23b>J0n8|cgC*_){OHL8B|ReG#S}F
z7#Z9dRb5;e#9y-5GU{arXWSQL@%_mXy0()g*5YjjtFQ|rcRG7%pd5R<$YOTEXRd6@
zGEBxI-t3lpLo!5JuDZ-;Q2)iCEs&A1g@KhNkb$#`{kOpDj6W>Gy9Ak}{a6$iO=Msf
zV&G;B@6corzQJeObUEW6M|4LXi!LjRX~t&WR)@_0{+SG?S%d|hSYoemGNrPbvSuhX
za;#?JSTD#ppP8ZYc_53wI;*-6XEQVN3s%0jj2UkgG8i-e{bLv7$YglHt{l$Lz_@mU
zEK}@%xxg^h-pk)rI!$AgL{wVa6*5;Dspe{^$!)x=nkvrNko@m<5>L{9r9y_Jr%AR+
zj~ESBd`~h@l4VFTNor3rO*+NM!0a2-8WX^1u<c2bM-rz%(#e=W2JTgi4EzB}%rPre
zl9(AB6pu7Ah$cNt($&{#dY!aWGescAib0o+A?bDOfB#s9%K=H>W7KuU1TMuWTPP_p
z#IPh?N#c)rFOZ}W!)0OgnvsF|UF?6xWCli=r1#1HK8FdY3&=7t$TGUb*l6r{snNh<
z!oaK$vzZ~rG$|u#by6IoLEP$?xEM~B7+$SCiZM)r44j`VvY1$6*ciCq#xSuYaWF8x
zkNv0giGk}slfO&SJBII{8J?@8GdeOnY~W22OX5!wXH-{VFJ{Q*V2rV5Vto<gVH$IV
zf!QKUi9^(v@wgy|><Sk4Xhs%(wiw=+FAOnCG5j&&F&qLh?_-{DII1!vF~<IT5z8nq
z$iV%Mk^2*;##_eANpAx=sz0$dZxLs2W^im__#X3-!L!4J!8eT|(1o)-)-X+pA(n?B
zu}O>}l`lq|A=|}ZRt#&hH{)~mWObIKN>(iFFF7KGPFkoi=3f+KlJ;jf{@9U8RU#?K
z!fp~{qoau+a|;i1Qi4U;5=$jlzRvqB(QGWq9!wnKmIW$eLY&t3CUJ6Xcl2QpcSyP#
z<H=z>iP>5Cm@^XxFRN(M8%2(*j4=*}JYxSnX47(5x`_Y!N8aEU61>44A2{an2J3SA
zZsiMRPxceB7JSddZ7j$nn93x+av~FJ3==oQNf!ZCZYFUd4p9L^UQYpA&PWd9Ndoqc
zMFNgXICw<NLs=eA7qRrPl)f(T*5#Rm`X#BSLJaRE-bx5E2>Y<fE*E6ujA9dJ^;8mO
z_|L#TNzz(SmWxq^L7aoplR=h)k%3i7&ik3*I#U_{*MSPBKk)u8k>vdy@}BQ^5aVXP
z->=z|MO4h+d2(In;O1bj;`<#WF2u<5do6qYWR7A*<1eg_RfRTssv2`G=6LO5q~e{T
z5*($kDEU-{QR5{ud#4h+fHIHZXB8=CA%0H*)5IhR)(NryJ}A%A-u~hZ@8f;0Cejwt
z51lv{COzd??qty%bAd%zi0d=QZi!VfGA~tmelzF`W@ag}IP<8)e6?6D!R%<%{WL~~
zfp=17n4z|^q5@;mM+56dtIJ8>WB)O<urOLBGb(h%{(ESD{gnc<!tW#rr_%}?N!&?X
z7PmVTlB5in`7E9~a3ueGB;?NI=Kns1JBdLn=3R_zQcM!V>KNT5!z9iahI2{wF=t}9
zk{VoMj>ae?S+JNZ#4^0MxbDQ~kj@dy;`$=#Jxgwwi>HtSv%_;ON3EN(F)<qQO_t|%
zVhlkQ2?Ouhs3jezJ-A&Mq#KUJD8yJWD623^7F2LDyms(oc=wmBa}q<or#svCUrU&N
zs;IIsyyuM3Qsm-)6T|SIlS|T?UpvOLVFiP{wV=S67<(q^Q=OcgOsa=DxmB3-t&JV6
z<YWImU=#|7Wq8Xg{C5%ub8x6Q_pixZd);+abRJ7w?-UT^y7^jIScU6pZ0sa0L2<6{
zPW&zcj;95sm4rA?3d>Fu5<Mg=FQll#s%hfO68kTtBbMP!teE;%1=SE0<JiuU`R2)~
zEX=$~kCHk}V^o*~msia8C}QF?aCdSLVTwtPH4$F-CYI@c%8D3{SO$)%F{`&-D~wh6
zUzN<z?+~EU8<R1WDYUcdwnLIuLv#mY!(!&`W*)IS8Vir8cr(>C%<y#REL^rTUx-nB
z_ulM=B#xLlF%d~K=W_5dI>a0iWYJgMBL7TKVFOEtQoBn`6_flYCV!^nFP~!nePl>t
zj%8RLlNa0R{Km0?QG<(Na*RUke|?QuMjqF$m5G1cf3!VG^7T+yus24~{g2he%sV~~
zQjX6$_BbdB__Mq!;bm5L+Tq!oRCOi5E6bO|^MtL3hCsZKho1AB-4~sH@cWC*=T&}J
z;xDmET-`6|(WL&M8V(<oRsWZ2*!C_{@le>cZ(@f!M`e+hPRHvL>pr+XQPId>KWUO?
zc3M?JPmxDVPDV#&%NLE=S7IDLI<O=$B~>&i-T27Zu|$C}W@U`CR~rXYjH1I)rkIW=
zF>*}oFPV5<cHdy~;GCck<H4dn^A-owiM}KzmsrLQpU=!<mu5d$cjT_BN2KbRB_8RT
zH}9-FFe&EXkJQT-8$6b!tY_q&63N1SXN}5>b(yS6j4#TRm}Lt+_H#6_Oj>&^!;DRQ
zPS4SoJSsQ%6*)Ywo|F7|cT>4~L@H-$`|DSxF%xfZ^4Hk?vPD56Ca6M2DS&%P%-1I#
z2{FG}1dA3b{kX-UbzX4iF<B-_);US66W3}yWm!2(JmwnXk1B(t_c0$54Yia1&4^R^
z(evXA2UE-o17)U|iHy7)J6`-*#`1H){}`r~r(gV$(Vmz#^~I)|+h<fPBTup{ZsvIY
zNS$ZHj?PE-q?B3TJkly|_cvmg-qdMi@w~xzLXt$x&t+|VhK;UC3_Il;uBBM6ZE$Bu
zQjd9&q+rO=&(Y|Yko@mUOiNqKfnzbR7@oQ)3M(+YJ;mbQF!z8`49AShneDwQEvIiC
zQ*M~I;etWTu~^30Kbn|ClK(wkT7G-R?t^!eek_}N)T(K<#lmim<uUFjI^1H~+8ef>
zYW$(V7_+J;g5iElbqr(d|4>gSdyaYr9uJ20{(crtm)8#KlpT_k7)@gt*biMjv05jl
zL&B3m_&}l%gJkz@9Z%+6!fQD0sIWY)X0TM+#B@bN=t#=;nC^3n6Fu}C?sR^7;>e)i
z;l{%9=Sj?MmKbLS!GAHFf)n}~A1z8&`S<BVyM|FG>-+;7ZwowO_>uHK$uf!WN`tRR
zjC*&VG1EZ-mzdj3C$-r-b}+^=D9x2}Tq)(Q^y<JH1t!i;j++cBS1ufy(@@D!FlXTl
zhG#q-4G;KY7KA48u*Cd1A@M3nLL&CRdB;}I6Dk^~nVgmG+DP;0DP7x<qdp;b(rae^
z7jZwmIL>oCmQQkeapB$jB#(&#Z(|u4s$-4`PvGpBec($h;{>S*|6Vop9GS>C^8wR@
zB!v@wE98`u7`q)gi#TGGCVcH-@2uw#JMb>{-{;mSiH;qf9J3ViIXrkab=*C}$nYv=
zb<*3IrotOPS0{zts8xtf;^x@f@i*zmjb<Lh9+tqgqz5rdwhSlO71WsCa%4V;F-&H>
z`97B6Z|whr0d~Skw;SIV#&p#*30z8M<o%?fa$}Ly8Vl=~&mDIjr7N6^n<yX<BX&Vm
zyz@Z5;ib0>^Hd}+evW+Jd92fc;|0fg#-vV;*$h&bI#h%$MHo3}$XK#4CLLO#{2*y-
zhoN903pX2k$CK4br2;WulD={*;4PHsV4Pr~q$$KMk;C5c?^80vyZ1d#fia(0=PnUv
zp37q;_TVq0QW9rT8pEbeo;;2$Mvh+vj7go+7JNyK3Z6`b0!=(?gapqE%(vRhlFlOQ
z@rH|?pL5dBWTv+g)(=c$PEM#faWUdk*UnCfMUR=dj|j@?PAFhxOgb3L(6o@_#LJj8
zCKUz7nB^?&9SJcfBzg>)MJFVE;dsETXu-UpRf+Mz6DAHjwimNh{=^tvm)JJLe3H=Q
z)N0X<3VV_~1xpz@bCUmkh-DCzJmthRfzNq{!Y8I#E^{Tm$xHlFaThFQT*Hv`F!sM_
zGQ%(C85)d9LJi6PUd1vhByoID_!`UL*r_}rL`2O|FvKS2BX3)v1YetFgWFu*w#J8n
zyltHf)2&%j<BWo<M7oqagG9TWQW<VCCMk$d;#mICWRtTn$7XIxCh?h!5{yZ_GZLI9
zE@JyIqiMtZ<bNM!HeX_Wyk8_|g(d5ASCO9AB6*X<3j!In44w!ycf4~EdUjE1{v>TB
z%j6BRE;4z`m3JyJXm62i;pAd=s!n?CJmW<vlcvCzq|Z`)Qi?3*97#ff6ActtIpsOc
zmni&|owfXfsPGC&G2xzxA2fNd$jl02+$|>jnk6+vOxUeaUPO5BLXJRj;n%E>{e|wf
zSOqYe#^|@G@OZ1tnX6j2V1l4hTauCy&(9PQMnT<{Xc0lSi6W9*EbJ1zE>faAlKhiI
zq$Rv1HJ2zj$_R(@K8{^vl4imCT->DN)k(SE@_##TdidxusP;Tv@ml(~2P5})h5HN=
zF}so&lNlyWG?KGiti~h}b7F={j10#=4LME`7G*ZZm`R5OL=5<tj9n5JozT$mTQO_W
zE|(<&27XME0*o<o4B|5<3OFRP@C&+lup6vXp73NggXzjU44%pVp2hsJh-Fml_}gjV
zBv8Q5aB0rOfQy^v^qiZj7oDLeBhip%a=amrdqa*O=Z!Z~>aDhwY_lHvO1*dZYoWEl
z`*>&P>J2Le4FiJDu}@$<lf)$u<C1jLBg?`wAT^`N@&6+Z-ghgqPw*)`n3AdL^0DN1
z3~PCjVNTDCTdrRh1{ZQoXqm&f!D~Y6WQ`8bOA0zkh76n!8H$t6PUw8Vm~?u=@(vdU
z=_3hlb7pWj))&OEPiPC$c46yX#F1~q%UtEC`7Zh2117c=3~zbZm#UQUaZa|Z=;NNu
z&Fl74MX`#5S%x!d;$_A;NpE)aT9+KLZqS|~>=~#XSen3?bSCNI2Mfj>v(;xv8g)%N
z%qh>r$)tE8hK*0zK1MKN(?bRphFWoTbs;5|fA@{$lNsLZ718!;T45=-UR80Qu>Pcb
ztX#r|F1ys;n6wFT2$~A9zg9o6Qoy`ZNI2C}OVC<{Nzing&}l(?CgqczlJO>I4hcJ(
zuxQ5YVik37dJ^NiAh&sMlAwC=zb7C6?2vRxy1PfAGekhWdO08eB;io?`gc5yGZ<Bp
zyoF4aTNmwS;^tTHw!SOkJl7@Pr6cODbCr`&7{e>o#kxLDS>|rxoXX3+b7KER-C^M~
zez@i3l-V9rIv#oCojoyKS&^lQX)OyoM>4}yPZlAi9Sqzm&sq2<O=L;(XO>O=U+#WG
zx}LqfNJs7tgGZ7+OE{~`B$dAmHcEe47+g-r#P_n;Cf#D`R5+Ow<j~5oJ;{Y-=Aop{
z8GDt4cWpR1IoN>3Ss;efb1LI6DcjV%myX$fy}vHy{k^Ihy;A6&^PNiG*L!_qBfM8w
z_(YpECaT=^&A56`b=r}L>54CWk}j}RaFz&0tbHA0p&RqzhH2;4chgU57K^JyU7UKD
zvqX|X_+*TdHiP6nUtX4&-wf)kNlJn&@pDcnF&>_D;?jrt6Iph!Ox}Bfg;Dj!l8LOZ
z?iJWFyia0@`JX(6QEJ-CwQQ@rId<>b{z@xQVxi@>7v7RjuRY3Nct%BL=b>Ab5=SQ%
zs8pPlIe&sNW?4@EN#lS>7Vb$B7bQQx@Dtu`^yQ=~^9zrL2DPlCM_7~88ShW%bLLT!
z6cCJI-EcT*14}HUWWzE+)*`;xMgKIUVxIncHCgKa7cajf|Em6G8a@BSHd%LreA4EI
z4U!#=Z1Wl>yooU@n!ysWIw_<>t0Vrw`EL&{NAPt>9?^Wj*^pkq((zeF^n%<CMFBC@
zm<2(OMl;{Uuv~cA#K@J*$n&8|@x~67K7o!$-+8`uJYra7(`xrqoI}9+a;vQohfeDO
zUelP%Cpo+<9h&w{h<W$BL09;TdW=()j00ngg^o>3hofJEfhC_?L$id!9QFprpErLz
zoS@3VC-RRuS?1r1jTX`q1^zLM{F`}rhE0#4ILDcH+xz30rx(OrZV>mIu$?3O(FwJf
z?i!npx<?YT6gxKwax^pbB&pug@6bQ~!~Otc(z_Q$5<lZ4-p4RCoIl>%^=<wnF|m{w
zYl#Olx{IeQm7Gw1?%#x^9m|zA*3L1GxxngLZ_{xjhjpe(+mGpt9c!4Gl71ysFvYCw
zxXbV-i8+~Ju_Xh~PdyICq-chp|D$AL0wxGQJ(0}N!DhGUL5G0RYlo>Ff&%+r3HUvj
z7Zca<<uOagF^RMLqzhTq?{qjCuq3fItXBDXp_kzl!=qS+PcdpS*8~}AK21tWw)@w4
zt?ki`3=@W)6wVoqUlfFrWPbEXGR<p9n(#lFVHx8r!PPFzv%(l=O?Vok)A^Wfwh;Tw
z)!Y+i#yjuud?fHl#gA<vCr^)P%sPJ-TNb9}vHw#QVuX_!dYRWcGVmrd%$1O6_@9#e
zzbZz9gFzwYT#Q;Q!@qa23@>7q#U5ek(GdSMLGIZ^AC6zU${CaFR2!K4?*I7thhs{I
zhDWKCN974=9qTUH6C7)%t8DLB?aOf_$A6+)O46*z34+a>F<Te0v_?(T<W1UeBu31m
zi*do04NMJIj!ZENC*D+ISRT)HqGNx|T?W-?k2NP$8Th9gy0rz^9(llIt}!7seX2?E
z%&9Ki4`S~<NEDEW`N!S*e;daXi#$t(tFvbRRJc3c{FPJ8DvnYWKf!i}&-15LOfg@-
z+icQHw>>i?OpndZSeUz!aTfbYP48(IVYSmwFl=1t`g5xJzBzYlrkkbCQ=e+`?uX&>
zqIIvI9&n$c`SP96osHqi^UwYK|AdM6`uC61v_j%H{kVEb|Ky|1jrWqLYQ6M-mCN^V
z6GxK&6s`1&C7&l~B`~!1o8-(;wP0xLw~n5w_u1}g>e=KC6P_PkvAMHvBjW<g9~V0;
zR2!x+ERXStc@tw0!=N7fzc|Js=J@;x#trj}yN+hW*s@fx#2ivsdoL#GDXVbrHkp-=
zwm-jk=HmU&Q|&hfPkxygQ+DNMppQ>V%;%KZsh^h?aI*Qtw4}uFFfeXeTRcHfU`k9e
zb6L{4zUiD(c@D93PF81{+P!q*M8?gVmpjak$zxb=!}MUw0j8LlF%=h6(kASvnNxah
z0_$Y4LhcFNbC?&HZrsB9FQ#u^kGI1ehua;RiHu2;-J9)_c1@Uhe4@uO;|cd7CbTHT
zGHl=%nZ2-kV`lNjReY1wV_wR4y!gyK;q$MUDXly^8Fu`PHS7qrVY;Eyvu=|5enxe%
zm>Vi`0TU<uSf2E4hUEvwm>J6ylL{H?q&pY9`pLO$;&h9y1&jLIRVT9bPQNqpbFY?w
z7K4^G`;Q*R82yhNd*^k{^<Xh%aN%K`afjvjtyN4N(#p)7&L3T#F$lBRF^Kmh`Amx8
zy3H2apm25qvnQJ)gYtxgq=gKc8;-g%XiquJQhVW7f6`9#-V+S&Ju_+-FkWOawPBp_
z$3}3%-wljOf)kPp-cJb=yLj5hCTZdnu_<}{Gq_iOWLh3`!e%4m%>OrT*xM}n);Hn(
zrwKKmPemEV%v`L)Ik9!ynMj=}#p)bl=`(C5mQ3VSSoqad;GIs=gh%HV*gyD`K1nN)
zZ-!I_<ALfEvK$N@f83KeEp*=9G;d;Dm;CSVkEq9t9nUs5WHP)@5=wgSe?LiVLh+HL
zbSVMG8w;J|m^ptWvF(UZ_!1+<u#bCU0mtka3vW8NG73%mz!)>N^2;GEp`>kH)7&^2
z{)kO16MK1U`VEOBwFxJ67U*vh=sw+Caj&~*>B^3zbOF9qH<lfp(3sp1v~gx#<g^T(
z$*b~~X?IU8pAgW$TEOc>EMxwS36F0~+<0@H#LuVcv)8V;)57?WF=kSUD<`LuK*h==
z4tBAIobCqYNx^4VX0b8GNGd;hAzU$0(uk4cnzG~%#%|Xq!W$;UJyv@$eX^qJ?Wp#b
z9NjGp`mz6R$oWb=ZQ=+?W|Y1$?dZZ7$=Ax=ZC*3Nk5B3Nyg8}k#DkbsQ`%=HHBRsU
zIl<JOQE~%o((wg6AM6_DZG3a;;m?VGI-FD%uq{w8KGOAx<KE6kg@OwVZX8US5uc)P
zVvaFm(zN9N=b{%@PrU!pvElZ|_ctCI&OT7dBXgkIOCsrV(u{9OFEu;aPW)ufO#b)W
zBAMaY?59Ql89r=o*5cXIKdqE^&#5Ubi+T6hOqvkIzegcPZc?Kz|1O5FpSTsOCVV={
z#5$#DvDl%{Q`%c%QXd%lYras45wc>d{m2-YJiT!V>*MN^hCv!GlNyz!*GMv6Uvbw{
zY8}&K?vp|c?=^D1%<sr=a`I)8OyLlyd0;eI=FwADUyViY_N`DAFjl;JdU|h>!ox2)
zixj0c$y`&ClD-zH>@}g~i|j4G6O(u>QztI}I4f?Y?5&&?)^;;<Q$=MbO%4>5^<+xr
zGW3$UAtr0~u(EqvshI4!DP5DqWGAuJGNw)ulVyGUFQ+F>h~+8M^A(<o2N*wUoJcZs
zVcZe%gqeMY=|m>+X+^HeD>g9*PwB1kh)I!@WJ+HWtrf=dH-%AjdP^kl<4|1{=I%)?
zYRrB-uRmFwSUFL^&BL8tCFY#PHG^&GI}~Q@oG7TjLq=FoT(W<~WR0IaJAN>-*1TX%
z(zwdFaNoxj%pd-Bq@HBwYqomcmo}N}@3d)8RaDeGj&V<)^2>e4lcNraI_?vgbvQe|
zJ1`|V#_Y3@<~ykC8*^;ahBGVHwI{_l`?)GKxI7AQ%w*&3nReokQQ3o-=`km=4{Il%
zC^}X(iSh9B6X!j=<|QcT@V{6)$%CI^!33e>Q~Ez2+r{%@gEAM#&p@t|9u<rYHUj_c
zEjXDfk{Qmwn8R_;VWC=##jhm!19oDcCLNhS=Q5W|3oG}9m=!X|@BXcLdEiOFwkdNx
zlNRXc-d`ldVHUXJ@JWZSp5Z^^+?^QiAKA~*ApK*>vx$opCvx=kpSU+=N&8C%(FgoV
zd<XU(;Z$aOZX|IkPt1Dik*zEjITy7sipT!9{6B#uiShcY&+T^)7%QqVEKmB$csuFj
z31w-9t^E@YzIc56(beRqsVN*wS8z=<;Fz{aU4Fq_MzN#`n;4yf7?bRhjx@5LPjX5+
zz<jnMY074SqzMc+i})vHzdFfsHsH^cZ=bUGW(F~Ny712S6nr8y>C0ul{<ce;D`zpe
zYl_L;IoioNRZ8R#`*DvL4>f_xE&KRp3jT;lo_fmT1@n0cmm7>p8)94pR<iOe>}JZf
z;#(ZYwNz}<-j3M+wpP!Xc~-heCEej%tt6H6gL~~nsR}89RKE2pjF<T~o}YHxTVgVU
zuH3|1mpGM#cz2!@vY!0Nxu0k6*GUXlg&Jid<0MSwrsU1va8@?(RT9&MjxVyo_KYi8
zj;eh8Dihi*6~00`{E1ZZZsTUgnA3_Mp9o!BvGt;C>>{bcz4Gy?(uwmV6ral`8#3v$
zp14<}*?!}w+*{d`k|t8u=SeTt;r-tE*h4~FBz;2ce;XDHj^j@>KHey8@zRL7EnVnh
z^x(T}v69g{Nr9zHWlCksRqP}rdcEiBdRqxftQG5gGgrReGQq`fPoIwC*Ci|*OlEhd
zNwof*+{hSn(e&o4X@xsYZ~vR#=;vA)Wp+2#oAtS5evrmjv-{zf0_8%jUz<LxddYe@
z)V%s-)DG9$5YwmL4$CJBDKRrIHhuq;RkUAGUnS{vb^wEFryZ}y=7W*O6Oud({`ahM
zN%^{Bla-1yORzz)O46bj|3EqB6`@J@k`y$P{wDQUSjrX8o?(~VD5J>2#qi8Po53XJ
zcI<!lWJWpmCe2BVGgh4n`4Ip4%T15PUzxXW$~ZOezzbVT-8q|sxV_j}?GAXH<Yx)q
ze|5JypMHNwz{{T#EmQ51RSMj!l2u~fMA)C^h~$ai@h^obd&RwpjDjDRvtNzjFiQH$
zk<AjLaxaF1@oUHY4TgXDkIsD*d^+RU#aB(tNe69@I0hAdO#WE5O8i90?iI30D=J*7
zgxpyEb00YUzlPJnFV?OyM(HdAFK2~t$A+Ywion?d0t${X{26wFE0cF~tcY=m5r|l}
zj$>1f`4U#K9hWV4O#Pugk>gllMXSSwiaQ5-*EAL!WQqB``O2f8rk~gSUYRGgG{U-U
z%jBxHZ=!Vr1vZ?wy?1xghDBcUBvMLBcgVBM-*~Gf#Lw<ltT*#hyBmMKB`l^2scZa-
zE#0BYeDSaCm9@Onugiq4FWs^JDeuvhGbcMVmF?I!`GxJeX<i-;c5};1OLwR<U#(p`
zMSmvS`~!YwcmGXheO|U>U6@=fC}KSqUK5;Jy5s+A-q~M6ti1~tBrvNiFW<nx+x<<2
zK~m*{B9rpO;wf4M7ZN_W6kO{o>f!5=h|&C*^qlJkN5{oI)6YEHGl9F)sPRpvhRuyt
zA2?#(U0~rTnaQw3`as10nuz2b2}*Yt$S`zfPZap@KIZ#`VD^8>|0X<1W>h(6_E^mS
zrc4jxj8A7`{nuX5UpZs;Gua2m=O6m07dg(psgq=`!rZa`+Q#Cdm>Dk<lME(=^xnzo
zKcQK1q*2>5<0#{<YaNAiW^SFTVe8)MF2H!b=F9GyeQVXubp*|N&tvnUMeIRNhhWmV
z1{1p#qP(0jW*2rP_?gV8ddLSo#U8xZpMl{3!~Z|>PhfNZMFr+v8w6Nmq#3Sz^k2Tp
zG?9TZ>3))0a^Js>Z7XKDv}P=n^R4in5X<nG;r|?8?%02~zLwaw+Wqb1@V8!=cfN1`
z)Yc8Je?3u<UZq&vy8h9rZ_B!uy2v!MDBtkwUOs_&+ZygkC)P|paztD3#)J>^W*j*_
zDQDgV0d_|D%y(<9m~4Bt#^898_k%BL^GXCKI7cjyd*72Jw_?M@&*pyOE8IJ;`>pU&
zxc;x>L5g<SMJ?v{u?!!M#VjbFeN6G+O@;5>k5cCzTb=dMz}f0yibeO~oh$xL+rDRl
z%E^V#Zg{90<kn9uWZ_z|g)v5>Ch6IV={4_PY<r?&(An|s?28vnOi7#vlK;JqWt6=o
zcs%Cy-lXPzE{`gnzlysyd0x&Mqt2C{D<^yxSMLxL>d09gv&KYhfoBe9(n^yf+yV?7
z3)VlI;U@Uv+4YHHI-Cm%HadM=aCYN|1?MeRxYWE)TKYcvMc|#aJNH_&)vPjHwk$no
zokHYHk;0WTgjRUS1ig4G>-T>4#&y=I8@|q&<hG(oBU;ujN>tuX((1}b87sd{lXtw7
zS+&_INq)WB^N$WeTr1Rmer!(JxOYbK@kw6Y&RRKdUVd^Y+o6ABou$lX&mS8ddIVO?
zo;b_1=F_bHgG`(p-!|ONiTN-s#xrK-0XD{@rfabsnLQJO7C9Inu$r_-g~4o(NkZ)Z
zgqOV=7djvETe1Anj6D9B-kU$P<~U4dh&$}KdA3vfMz?0hn1>7{5<fN^{v^jxv)D>m
zuy>+|vnf;Wp7sr~43j6h^RbAWW?1*W+WD(z;3+oQRWXlGav1a^pW%8O`~Sm9VTDC^
zH^i94gnck&oD<8?7;|mmgvks(F;8POV(0vKJos8*eM`j7xk(2dnVDlY#?%$fc*OB~
zhvLuJ8is}sNh~ozGh-R1|A_q`bmPpCo271x+&9L4X!6uz<S<#Yx}nrSvtw?}!xi?2
zBwAzS8>Bxlt@wI?De1$U8M9aP9^H{wF=5{0FEh5CpVdBZZA8DG#QX;`NwX$Q={-In
zQSrP)$UVjxeG(cIrX2gxU^gSFKfsZLamPlDq(1H~B|)0eM;NY2?qol~xNn8Vu|FRR
zn35Tmvc#<6wn&PK<zCTkYQ3N*u5-EqV^RdiC%HF2TJk4v<+0w;t|Tb2qVK?!TImmK
zvt43T8F&vftp3xyU~&fo*INd@101pc^E&46vxvp6n9aW9@t0VJhL5rT`C=Jn7!=%K
znQ($pHs(|89){*wOBg4{e2?K~o|zFI%W!S=jB1ak0bfs67+AzSYWSkV`QoR*wItCC
z8Lzv4^nN(SAEWk>Ay@c^wZp5JzX!VQes}jJZ+Kw4Vn(m2!c&FSNqkWk<osULcR%Rk
zI1~~1V`BqL%&(;Xt7Ff8n4LCbFMsTRzS>C-SYj9yW9}w>NoMGIe8^&D$6?)=HIZoz
zIyq~@bYwo<%6Yyfe3GElMX87bM<N!!m~;5v>4@Dw71b^}-Cyrpd#rQoqKOe7Vy4JV
zo>C;5KWpcsn`ulF8hmg185uQp&UwV3&$;5%=J|)q!ix&tK6RbUs386_X-$**2?6`{
zzGYuM4Y?=WHgXJaSSU2%>D>EVCtVsm+2<UNi;eI5A<Q`E@YZ`BqJ5px_K}H|a}V#%
zS>qS`UHVnkAB~s}%ac9TbN2XMox8wz^XfG9)x9VGcCPQ8zsD=wa)oa7-ippw^W!Io
znOE+fIA_mGefJe-Vvekq`=Ai{;r6^eFF!Y}zcG{HA;*Whj|(>?&)f67sNtvC%#`T$
zzGsuy_<qY>aP4?Um}P?qpK!<KPf0)i#8|HN-?s74^Hyb<4ZVkDlD>D?FxtgT>{Xt^
z$RHZY!uhdvL+t<A$DXh8Ih<7Xp-P=uL%A!5=K$x`8deL&q}yw~Dqp-+Va~X^L4U^a
zPb@`iJWGx><jk^~-O!eJt);_eooD3?i)PLku0^Ws$^WM*to1$?vwOkbw6)%bGtWI>
zjAhu^x<O}+x7h^o>X|t?Obaf(c{O3>&jWnM9hc|)oN#5@H0AA_y5Ev!Jm#5D92AkX
zK4!wJ!iG!J*7$r{P<HtLj6L$n{2y``@lROG_#jC;DKKe`S7S0mXGdx~|F=eA-i~RH
z*VuhJ^h99G3h#}JUI-d6B+ad!RpR&UJVVKm3<g2Yl`+jR%#(f}pJX=gK(PGmi8+kw
zC%PHLzdX-f;Vme@u%MMS*)nN`%h3rt1r7;Fg`DJ2WY<5S+`Y=@=m9Ujq)&SprvKn(
zO#ZJC%V51TGKwXXQC)0>FZbfsf1fT1B(3vFnR)W)ugae@Ul%V3E1PxY0c*^sb>1&o
zxGLYo6sC7gTI;jZpJA`f_O;$$pD+0Q@a1~%iIScZIum1OzUoby_(}Hs8lS)F3X`lC
z$L!ncpu5I9^tw}^b<DMhR=x)llTzm;t@mE{Zez^y1N$m(Mx`7yUhlnf{i&`C8yR27
z95P(v6BM7ng7HSw(&|m?z5i_Abn5Zz1(PQ)JaAH7+<%Steaj6CPQ}RDt@SSY7}MbO
zXu-a-t9g(69njgx(Xy90FlH(Lg?CK#8?}EVZEsj!c-Y7$dc`Wcl{@XY7Cb-KuwzE^
zqS=QX4u6Y1&=qmo{PXItgRu;Y7Z@jBTKORMf7rquhNmU&T}^(oa(~1+i!QUhuRb?U
zdbHYn<H7#qqeVRjt53cY*Kgja^KQZEu#fx}Jcs2g{#{Rc`LgB(Q$Z}l&7*uDV=jD)
zcwv!fc|+&%w<V_&Kd#m|81wU|n0!*${|`!YcAwwiD{KGR)^CFoBe%}y4Lo}|&OJZD
zD0}GL{0)J{2hEHajCZ7qowMLy@`zFY<Q<C``3=dlS4`Y>yZ7M<W83WAonku<eNazk
zc(MDz#+Vh8(;9XkUBRHtnwY&}`Xt77#^{wHqH-4&u-K`+l&ZeKTDD_(#pjdPH#g5o
z-ZA_0`b@K=GMo0lhhiqL2s(c6K-ACMvw6-vnxp-}{E3Xm!F3A_XBK>j{h!(V{qapt
z!_zuppM+ez-cOdC*S6~(W8((dgWL(p|9w{+XL=N)t$#~ZiIZ;$$G@T!&e{_ZGW~~k
z{FYzgtyLFuS+Zt<IY-iCj@jp8v`@U8#I>03+y-xUrkHQa4cj8*&MZiLyy9IV(~bEb
zKPIMsh&q4j;jFbSZznM{ukbV7m$bfl?Z@oM2RY11iZSbJVk~botNiEL#Ze;2G3%QI
z>%R>#|C5A%hzPJt2!5_^_<w|lftj~qG2d4~25v46n}2U&?jN|bQSyg5lT^&p$7d2&
z2J}h^uq3b~C9j=cc%bZ0WJAfpWQKJcR1fz&N$k7iW<PtwidCuonJccF=P=A(l`Ig;
z(8{opCsML`W#17Ak8h_U1sNF@ig7=Xf1Lfnv4SaPquLMEhKA<<F(QntACknHtB(Eq
zq(1Lk#nx{pe!BBT?Yg(t_6J)B!$i)_YkGKN{MICGTvI9-Ch=K3eqGPE)omvpNJL&R
zS5^>?V2lyHc<14ZwG(E0Fl^lOqR#TvsUNWn%NA^jP<X&PK_P}i^UUW&_7CD`zcofZ
z|728ni**vGDu?9BgQC^n#B;wizCXV%^_~%j@5!_K)>dzR(BZ%sldRbNiR1X1n$Y<?
z{C^%?J1KDYaUB<TB-iclO{={m&gVT{61~2*{-n_LS0=MGPR{vk^u2Na>q!<nx0UlJ
zEmE{R9+Ma{HGWO)-MMYC{pypA{Y~5>zcjCY_jy7~+M4>^HVqZq*4Ix~DE!j2f76=!
z((==jINyBkcYpmaJ2K(<y7b*ooYt?YTmAmYnTell+}BNy*Y9Ap{#G-+!(L_0bf?VQ
z>+54Dd8KZcf4A|U_zZrlNSX6rYb@<&Ciyj6%&`4-LgvT}=ft&j;d_r5&S_k8LD|%P
z(wDju`*jvQ+x7it$^!SdC6gIrn}6Tkvr_(N<GHghCvY?7uds6KVp>pG_hQ1DQ~NC%
z??<n1c>c>LIri1mcClWr|58aW^?y`;Tj9OubIpy_d2bpfKl@Z_*s*#I^RJ?ck0o!u
z)O@LK@R-b>`ME~)U(y5LH_fRh82+!2acW~#ko#GX^El>%^dnY**az%qe^w<g`7v24
ziiLj?haD^LB-Rkdus>_`c#{8H?TKYrTy=uk^T&kQO{KQar&!EzINv2~r^GTvf<tX1
zdm+cdqNIj*b0*0wxY5r3k;6P9%uk_Xa__%O^7i`c6ulYFa<qM7xV+@s)!Uz<g&F%k
zM{=lrH9O3e)N$6XY>nc+&LqkGvAZ2Vu2C$?{qZ?@$MSElr;BHv_-$U_z<#Zvcg;Gb
zlDCV5`d_S7lHDlbnYi@(QRWFVPAG(}Q94)8t+*m4T7Qkw*Y_J_Hm;d-ec$r$uZymP
zt%+QtbS3_i$Gsa~kH2K||4Mqa#$eLX71P$NQ~DEsvT4D?ud~)G&0U=}f5DHJ>mM>r
znBuzo>%L__YcE{=_mkJ=hUlgPD<&8&JCarzyH4rn@9D1tEJPyI8$8_055$HoZHYTF
zIqt}wISo2nf9+kD!hChD^7Hl42D7it;M}-I`O;VGNse(*XZ_#Z>X>%Oa&1k2=+`$t
zRz;k-P&kwG+&ZH*DwTiSi&x6Uo#}nTpgK3`=o;m7QzuN?vvk+n<v(Aao?>%Sn)jMY
zH|NAZ*F^rD7GPha?Dh5Eh4?=|_DF1;RQ<y_Y>o0R<3;noUH013^)h{d-{%c{=|5&1
zy_D9!^Rwtp2E}#CFE`)NN?$2>eBsMuehXyXcdxj=$9RHE)7+#5drkMm?D>&<^W-7s
zh@>?!5t%W9&HU#V?3q{K`KME&V!_iBoeZmIY|ro875i_6ntaiG2E*OY-b8E=`M7ZA
z5>AG|;uy331%Ko?7fg~~$^0vS!AG;D=cJeZl<8kMZ{bvP?S+57wPdcj$@X*U)^^67
z&+Sh#`!cciJ=2dqk<^&XaD!c;A@<+z7|R8xTKTFL)GUggw)|l<hh*3xQx^_J{+e$o
zw>efWdoYp1a@m8UH)Og0|5NLn`gzHN14&{r%kC>P9F=6Y-@hn~@xsA9Q}vELS|#rM
zk|9!Vo$&t!r#YBqV<vbCUf@mQ<I53S@<g4}cVol6J4>H_b%<e#WthpAVYle%Mvk%x
zD{_9!PH1PG6ZY3;!Ht~Qg-`#j;LKU_bQ8zig-->4GA+vd$h|sg(KE%EKqle*pLG+o
zW5W233NRnxu)p@>MHlynKb`Z_8n2$6kX8RlAbYZ)+&hMtL$UvjVi|dVFO849+_ZUO
zf8WyhubX~8e!k9Zy(QzB!}-5Y?2$R*{o($EJN(afFSvMPg~a9s6MineKTnE5`GaK4
z)m!PEU8cX67T;X<{fNL@#y>~olj1rT6-*SUTeH(NC8mSv|0?OT6H=|7uu8Zea5{f5
zx{KlepVgipeOI_Jua9LgTemX$Cx^%c4OgKzHCq;L`*d=`&m(L-F&R%{mNAJ<4z>@=
z`0@6`|BN5+m>A~7)c?4)=JO4W8!OzHC&yGJeQ1wmn6SeAFxwh8`DXs5zheLVk8hBA
zHbK?)^s+ynMQ?~66K=S~Cz{>-?9IO=rAC6UXXhp+1vK1gXF0Lf@J3GAYWoREtqN8v
z?Cf=tV%JFiIF<DG)x$d-+eG7}C-^tNOp;hD=*scq-$Ij(%PRcLR&MKG!G6iyyn7|@
zqDiF@%T@$6{*5UV(9&7-@I&nXnic;dR^E8{sex6#Qn{|_vtlv_+a_)Xshcv+atul;
zq6})x`CZAZ2jeF3Tz#{oF?bSZp}>TzpKnfZ()uK@<kr^5otE};F)OF8VN6<7{dn2S
zNTZyTgav|2Us-CeF}}K0OX$t)txA#)tRJ(aa7%q%Z~Xk%WQS*RFTX9B)$bwkXN}R7
zSYO79zw?$n)2?jjUh?eMx+fb%)^N^Wr4^~by6l-=<t^o9<!fJ^TlQ>|k>ZbpHAXx0
z)SFx$E?zx_@kGS@pR>A_mEZsO>7~VuKesY(Cd^&(ck};Sm#Y`t-n_t8KIWL&gjJ1O
zKN_skVEY|o5f}UW_L~pUmKSv6R;@EWbSUXWfB#zJxsMnx^0mgUF&6*5dhX5j#t#|4
zMSgyH?B~{y$t)*2Oh2wQ{<Np>Wbe&S3V*Ie{a94%%Eeyy=f*7CO-Z8Ke{V`Q+_1j*
zdK0JlT9dH46|*06t}*V{Tx+~PdCv!r&wp+vO;EE<Vb~-*V~z3I4P9C`%r7n-n=ogE
z(fpMr{J&!kO=VGF*tz0a+DGfgz@)V+rl0?N-TQDd!-_|z`<q#>?)kY(u<*vbo%w5w
zihEWU3NcqO)+Mc;8~byWN6L+}YmIu(tux#%m{h`Gy?OVB{XZrgI^WUrb7jby6|>T2
zuaaMr)b;=Rk))eC4`b%9-XgPRyXBrF^`F-())*FdY?fQG`t^*i6Ku+BjBcG}zAh&n
zWBu=@I!D62*jIu%Yx1v#{kyqJoNF56x1_~EAOGGAy1s91-cha8gf+(ZuIpP~ohx%?
zjq&zX*_8qL%O{@yf737g{-;aR*XHisu)St|Zs_$-zg9NH&g%*cf72%r_UV#%Z|#ki
z8*9(6%lQ`ic2V=5KR2($-|W~|5xK^A^}9V2)*X7Y<Zt%Bo&Jf89ZjNftC#$HY&fC9
zG%kJz`@>Bu*!mq7EH?kKGbyy=6#JH#YvHFS8h?;@^Lo+iik=YF<Q<d#++Qj=Wz&kf
z;=lLP7$$BNUq5-m#>^9w<&HUT+Vzlu^+aS6Blq?1^A@`toiHcw6GP!DyT1QV=AK^@
zJAJ{)vkR>bGfsF=_pQOmW}&6s<c<yBk{P;o`p;XTSDFyZFn`l|^J_mA9I=q!QMbN<
zwQ|a|^AnzBed1tU>)6${(tiGei>Idk?KxF3@x|R6dlucUSTSLCzsD};(w?0sKen0H
zFPPo8V_E0!6`vP`7gp|AtMjgD;+k6ven+m5J^JG<U+f%)?K^fHo*J6Km{hdswt4!V
zgC~}mzn*yFM??AfXEz_lGOW+ryxir-daZ|or{<Vv|LK+Z_i*R0^c~Mvn6t(*xZT?Q
zhT+4t2`Y*gIPUI0A+<4SSF&6T+vgpz!b#We{y27nWy9U`J3Q<@ajaha<>$`C^Scji
zxVu8vNsUSN+JfyWT&G3FrB^0@7E4-@_IU5=3HLX)t}?#%B*~0Lx^>a<HCo;bN#~O|
zWB&(uBr{xK>}7Ixc$j38^oGUv2_IAF8ZB3b#3ZKDm`VFhS8t4{oFLOGdmtj2V`Z>x
z8RH6_KTBQ;o)_}|T)%?(6N7zoD|g5F^$m6_Yku#o-_XC#=yLAa(jyY_6IU;reR#)Y
z2L8obM_1^`C%^gcbK>lyA8(Ey)Tujns&|cX-^t0JSJzvdi2TQVLUZH#x&x15&abXj
z;%M_;Tl<M2W8;1PcmF4vRIUvB{Nur+4K=dsPw$Ip66H;1wEq3Bu3^#V6P;b_YoBgN
z`aR+L{0>Rc-zRR|Xy9T^65TyR?&k{0k85-ebFb3jQ_x<evqoYCd&QdaS8sOQIA-zV
zPS?r?+v68!d^vP7k4NZ4$oGj%mjxuER_e8X+rb%L!8yUU_eaOP?>ZX<uH9Tvv&PMC
zwbnPO9qFIfT2DSvs>ms@CeClQjX=z92JS$Cn4TDE2LHb`G2d5&y-7bgGkJxkJ43>e
zcT8fR{=VmqUA-czVuRwE6@?#}ZuA^xTH)Qz*qXD_<Hv=V^^IyK3=8AVtg%0&6EpF3
z^|=cHQ|{`W77$pICzhnJDoHTLS3qU=gp!F#3JDT1H&(2OO62dFZ2u`tz-E>DqZ|9r
zcdn?bP>gAKwXwlw_Rcw_dk%0nF`Qi6`8H=wZe&wIJD<dsKaK2<PgdLrJTUPwQ$vXS
zq(b(uoMCLA_pEgNv8L(Xlpj`~7^bePj4e{I0`&wcl9M*>-MDu0<28m)?UXOh<(RpD
zM_grJ?Z>k>&rfH_-P0hgEcx)JQO^piCk*vxZ%)_0$&|UKGLq$S=qDb_DNK_aw95A!
zmU}*7vTdi~A&zh#J&ia0vvU4_SgLPnX7obtDc|37H|PIOk%)XW(^Vp|?D4)o=Whm0
zmAd+7x^2$cA9Giy=t%d<dn;@Xn<%!YdBS1FhBmEF(MjiS`cLBh9+_vvzGu~^6B^ln
zKKR9|+cfLXx{#zNdFITmxvQcK%;Qe|o>;Zb)bY<6gOJ!yU8hd{?pHVd6`iyA+WA|S
z`mcJ;PQIGId&c|Txe>8vZ>ekl|ERB2yD6?f@x+z+ZPWT0*BDOy7hNs5X;a50p2cn-
zUsc_lVYsf=$}`{a*{g@Q%C=m8vf=HSYkPl9KEfDad}Zy_($fOV*BN@N3*5K=)3r%S
zdW~W5^lOT5c7!jx)BJn7!sfMx^Su?G<|M7J`TB3AfN_UK+=-QcPNk&Xzc}}aM*YoJ
z*`t30V;1byu3KwRWxYo3=NmIWji+L-7W_W($g<H#d!1o>((+5ULjRo1__5w#=MmAT
zNf!)fG5p=L&hSp<pN}g)oj9j%A^Y>x>(z#vSD4HzJ@Mq!latrQU$61`Vz<6}{esUM
zSWbjJTInNezfxk~nwp=Be_r^r;J-Rc;ZLX2E6jbF*lXC=)*WS8A<WP6`hvpEb#*tG
zR`|64yHWpr&qbxjeV3mwc>TRMkuB!K<aVB}+lhP+SnSuY^1kswy{9w!>`U?Fh8w3g
zm{u|xuCJQs$s?J-9izDDin$-t%qT9qHN0!QkFj@zMy&B(ziEPo6zlI(cQ+b;Fx;TF
z#{1qz(-mA&%-RinJ=`7sCw*i0tc>{Z`$2X0mo==(4BxiQ*gElNweVq`HT7i^%pbCL
zOkFcMnv<RNW$d5-K`U}A*3^HPp0v95Kvc}vAFrfdD<rLoW$0$$sAF1bKe_ct)BM84
zszNM29P!$3Vyq|JJ$CNo7Q3!FW{d~6f9+6Uvfuhap!Gcy=MC-$ovlniK8jwmT;UzT
zw5Gm~X>DEl`W;0#*LuG__vgd8xfi<cKT)zg@#g5t3r&nk0#E;(eUrB1vc3M=(o(nC
z2L+y7+jVBLz?(JR|KGh`SN3DVj@D9%`FkdAKP<7<yLv0z|34?vl2%PU{*cq|+B&{W
zp^prQKNhC_KKHHS)SIL2tfxOEy<g)U7q`Ox<3;($jXvVPA5XQMS^s~HckKI(s{Ki8
zO63pF=t){zEzH!GG;tB@+S2L&Q?(e^x$NDu^7oJR>F!put{vdpJWnL*=0$<cYqa9>
z*ZFManeZjnzUfI=YxBD&vUME|w!0_1j9egneC?^|lT~xp_~ezIR8VO#t9twY<8r%=
zLer!BG&WB<U*EII`Jz(jpB2^5=Q{7V|Jk+S)SKf|zvSyODE>bCV$N)_?@t&`ul3%3
zdO^bp>B;N7tM6Ox^ts9KvYUD1`mB4?y^Pn)tW95+W&csAars=+*#hh`hYvEopKsd9
zvDA%Y<)8K5*L4L{e$O<n`1?_DwZWuMM>9^YEBzFI(s0Y-nRzE-&UFO0y$kzf5Vp?y
zeypm;n*D2<OO2$K-){K9n6%D&?e3zv+c#y1EaAHL(&TCUN|~^=AF5Agn8{pSyQ?nt
zH0$NHK6&NlEcR<lRXd{Iulg6c-e>KrOXYjEo?tiqy)}1^?Ui-YnJ+HA`~TlH-s#>8
zzpqlfwmsMK=Pt*s=1=v0@*eFBNZB!Yt<PTTm9~7-twKxp<gcyW`TwZ&;iNq~<Cd51
z$nxJ7`r7ny)iK72YO8ee9z9rpcjE!sn-8{cy}jH%vMFfIhqb2`s!42M`nG(#`9ucZ
zxmlmLo1QCM>+{+wlB4;>)AMV5vdVQoJuPqF9D1%P?ficKb^Xh4b*-peQ@YcSVdk+N
z%^yzI{gGSmef@2h<(hqar_DRIJ4$2uxyf($Ud~e$QhvS0=jz+TLPrF)z4-I_bli&k
zjVpBz`LAZTS`)KED0#K7tz6OyPx~Lqb21L}uaqsV5Z|zu^?%jJfa;jGsKXr(b**(8
z)>cNZ_B+2q*6t1Gk4+oJ_q^(RuyD<eSBF16Fs%P~fOYdq>8_Vg?oLSZW9Zx|_xHpu
zhKVc0`+i)N&;Gge-vP^wKh~#xSSouW_J6en>r9)vuoVd>x*R54?ycGo%P?<Ex=eD-
zf7XSc=OnFpvEz4W#OfCh&%St=oe;A(DPYCkhb+Hhj;_3Ub4A+515R9B>4#^okdVJu
z`D9&U>_H3D-w)PW9OjxmON6CCW`kPnod0Gk<{pvQxF*&{`1il~je;M)^sSLQy5Qz4
z51$RzF)PxWV;L^2D^Fo5TacFcYgKx?-~ogBKR>SB{B!j4y6_(>xQwS<j=Q+=^C~+J
z4%ygq5itU5oabz^Sdka|gfn-8dqV7g^_Bc**Cz6dcCYkx@LIDvvtpHx3ZtV#jQ)zw
zf6WK}-0-a2-QNFVU1RF|NpB|dF;s52cKywYt{)2<PlzzIKg`Ph_w&V^jpYj$on2YX
zCHDTwr3tYd%^z96+N_Nfjj{iEO65DR2n&1m+FV@@wtsim=2Y!oVSAD-dUcNU)a>8)
zKZ)`l2$KK*|K)E7|ComXpTDim`LXohJ>>~aOZNoCG+zD4^r3$C@m*INuE*FVoo?U=
zKO(q`amOKx(~&nBQyO`Xu4>O}i2e5^nc?G#LuXg~s@^1_{YP=uFPW=r)?|i0;`qX}
z;i7E+>ZAj6Co^=eN!;(8^iL}7-`d227{1loW*a0c8FsHq{Jhp%XXfdf%!Vrt7$ki<
z!C2R*ys=TGYi+>VZ(Gtk1ZGO^I&l5Sgv6KyZ=PK|<ic0T8E|Zl-^rOb_BF;btYzp+
zk$C;fQnaQ!W?e{Flg`Fp`;%7tonI6Be63$@T<nVcoHgNh*CZb>cD7~s9=1As<C@%S
zs)DJN9C_=ae!ECK<db;%BuL`rdK>PfC9N!xi(=N<=Kj=NzGCuZm3gaA+Rk3FU*mbj
z$8Ep+TQ!&~<ZeZ{Dj%App_-7ytgW-5Y?E|-gEV)u_R7mCjS00o7{uQnXi4~AzamS1
z{e`0w3M5$>RemI|Y&iepfn2Ns!>gFR2h{GZZFtkn&7Az7ZT<%CzdEt2Ca*g4EN$+q
zCrl^Tc>aFE^nGsq{JrbFF2_%jylucSiOc*a1N+>aYi3<iVi5nm_VbPZI?G?HaC}#I
z{85B)QL}gVgs44hyn@Tsy)>=A><A6rlx4Wi^Y6*5<!h3n?@yh>`KRlHdX9PYp0=;5
z6~X`i|BIQsNpa7gYj?so&6s^i=;gY}uTxh<{<-#i|C3vd+g)$B7Ojg}`eyE)f7fEv
z1zxZ3xm9yg!nW1t#(K|l7sT!VF#fq(ays_zTF>(7E4*V<{&vh<_U4b)r+sTZ&p*6q
zwTA1`{KxA&XD?aw_$cc9{{xiy{|Tb@eyuMQzNYN_Wx3Wf`~8cUh#%%>J6IEA|NqvP
z{W#H}d`I@|jUVs6c^Q|!#_RPFh9tfVeOhH#=Y4EgP``=k+uE?oRo<>#9NX4<pI@2M
z_i^I+4eM{N^|nfh+0F26t@r75-d52olQ&LKlVW-Op}%rXvgVIuhOHCSkN?f6w_EEO
z7C9km<9ctugrrxo|4;lpyEcV?+P9>g$C-B~MaS5ES>gHZ)y`Lm$t%1bGp_J7p2fk|
zw~aCB_ByXMS|{`lpI`5}{n6dO3Cu}bK1})e@hBhj{27cNR(!8n;rYjEqUWKcbzb(;
zov*|eT-W$$_#^4U?AhzR;;pRLBu`)X^yr%8Q&T&OiaXZ7d9}uSv%uM!m#6Dmd0&3|
zt#d-caIJTEYCGTD{Nw|Ht3Twd@tNMhFFJeU?X})(vuFQ$*2)|IX;n?%#rIcp*7<~<
z<T$WSXZp4aucymOtTW2JX?XAY{I~g$776WqPv0NWh={uT?p*BYIWMm5t@{*}w8pzu
zmuW%rLDe-rpAYKK+aP^7F=n0bxB8P6lGo-}{hwQxyXU)0Y-PiwH}`nMiz<xozKXjx
z|M%-pYkjuPeDUV`{P0qBD}n#*KVyHc^?g_Wb^W~GYsKH(`*~^WTA%g5roY<W!dt&f
z|L3pQQ&pb6OW`PN<D2@<c)`nU>x`dB%D3~*U;n0A$8wYO{B=GJtfs41_}*A4Tr=ZU
z-OukeEA_v<^!<E!f!xO3E6g{9O;FY@`}6XMLPGHRfV57|@Hso@H-yN(zsnMFWzl+p
zn7K^KDRW{L@7j8yIs6HO>jb~QIm>RQurTniN^XsLk$UydkK~`r4t;K5>6@s2f8$F3
zq{aVw89U~cZvJ`l`NF=lYyA8-G5G&{H0xzkyv6nvkM-C1hF$h8T=80LW$gS_HtT)n
zZ(3us^~H*-e^zPTd{EPyyx#iygXDj|4oLrL+|9*ny~;aoh1KRYHeWw&QonoX=W4?@
zE2mkUl-k3X)XE^F-o0SfT8r;*E|fo7<$C-;=tsU)ZjaZv9zPKKbLFBRj4NF?E__na
z#@zX7wX^*CRrWt0sGeVATXu8RyeCY{*9V+F7+rO(M~FS9Ba&;&ih$&##V<ZCd~La5
zVe$d7^}eRb2dW;heO&zcL;pJe>-r2c<)&<5sT9;%+;M|(ou4<uzHjUNau%%ox7y+1
zuODw%wPL#0xn5`szcIU+<M@J&=_^GyA1>@`Rt&Fst@-9{hj`xx-hRflaewzTNF+_P
ztG$ub#`=8CDxZ&9*B5y8o$yIoXCJ<&k@4|*r=L1&{p~hN=l8nStz5L`V0rplkKJ!U
z6Q4@gBNcZx&V0=Ha)P}}(n*f(CITz2{rRX>DR6E@moUfkz@Hqi*PF~<a!L5}qVMVq
znj8ybQdx4DlI%BfhjlQ@9FSk8zv6@4O6~WrU;J39^Jiu3&ABy4SLmc~U_Lst)=H&L
zGFG#7{|$y4&4J>(S7djx3BP9O{Ly?XmX#&uUfB#+#>FvrV;sK3GPK72W0ZU(e5QIs
zFJtWgx)?j%4TY;4WFl64OBB6v>io<m`+pZMFlxmzO#Z(j`~Y`M)()S;$5;4i?Rj_M
zEc1;dr<|DMD}3Kv=yadI+3Wnq8OM^Q+P?a+zPDn<g+1+gD^pBwB>%6yu=niBey+Oz
zS9Y9alv?3&IB7*ge}m`uKbQBl$Ntya=fYw4fx}6OGbfG1D`}OH7~hI$^WV*qA9ha+
zt&`jE-~?k#0Y}EFz&f4ejYsChp8UJ6T6BM-#EqXZ8ynwd#jRLvv!&$UM4z+M?RUj~
z;<&TkBRKVz?w&QNH77;N-dsyr<GFPIQ;8e%m+W0T&Hi5PCxv6{J&LC4^z2#J8lr9z
zVgL4q<clEfwVvWJVhcB4dwe5%jr+>iJ)f$VADX&)a=;CD-8WP6=FND0_0L18v_|cX
z)9mX`MzF2%@UBg5^4_@W{D};`=?VM4&UI<kS?5vzNvVE~M{&4k$32&~Uqd(9u&zH}
zTq-t;$$qWJ_2uiQuAY7)WL@jrS5NN$S;VdQ?8-~+eZMQG*v_B!AZ(4t{MRas(;s|#
z{qfC>1-1`kj_r7Eb+hE~n$(}07WG6tJ@##itzGI4wN}RUws)U=h*|Hk{Cq>~huE&)
z_HlE})^A>`JL?DE6G8D8hc-^wX?3h~`$X>gdwY$Gn_tw;v^_h^Y_;SB#rlc%S7KOG
z<rdvIy~gAD8jt0-XS%GeTx+x5Y=X_XE|CV7idCyOUYQ$rwTPj+H|}1iuz%;2B39-O
zkGgdpRX-W-H@2FdU*j=(F+<qPeaF{&3MJ{U@ib-3UMVZL-t$C7(mxK5m7b4RhMny>
z_+v%XpLL#FW^{*d{_%vbc=n2vNtV|qU)c5ML+PhAmKE*H@7J#JnzGJg`Lm=M%_)rQ
zCpnhiFka_XIe*23m7!lNxK7ObyxuFhg~eWfh1Vm2K$ca1H!ggWd||6ObC=QDq=-l+
z@%296Rzz2>@!9sOPJU<qiXRPMuWw%AeRyiq%o7}ce~PF5kO&qPp102DKulW9ob^7N
zCOF;yJZY=4fMeX2Ro+KfdAVjx-@Q>`h3|%*YxAQ1B>np^Y2se-pN1BnAO5+de&g4f
z^M5{QhxRRSi(BKd{QOF(Zb`>CYrS+NEd1Ac%%71H{&~{bJ^_I@Ydm8rU&WliHa9!&
zMeOHwo<%3QxG#LFj(fHE^WP8N>YUSlPx}00jpxr4389nUj<5AReckNif=Z3lb?&b=
zO%<+Or}3>V;`086%8hNM#pmYSS?A^T^`z62^&FGiAI#0VX)$4~*Gs#{6I^;Cms>^3
z{QdiJX?FUAhikl4J3W+w+>_RMJpI3UamI<L&?Om{Peur@^FCcWx8wAlUk#D-A7uYE
zx&M2;*UNpAFYztUo3E$6&b#*OuJRq*wkd4+aq8n<#qznELy!Lbs2{q&Vf)cFUg!T>
zE@`!1!*_LO`}Wo49jofrc<nmB@Z^S=b(&A+omlsIM$?TS9*_6@xO)8KZMlNimu)`O
z{PKOa$RQz?VQ!B@Xw9rk=O!Jw65Y+ET(M%6{N_%b4~$J#hZ$d#*V(OLJ3isYvK8D_
zJwAs{{rX(0GtuVT51TbVJ~PMuuR6#emK`&HL16+z(~RcpA08#Wten5-rY!f&ZOL{2
z{(L?;d;6~+E6q3me3HD{_Uw{FYE1RJFSb2kjQR6ry7@#+wn^GAVt)VJSr~9q;Mxu!
zj@693Nk+RHc+wg;%zrm<RjxAMcti3y(+w`JFCStyCPl5V`XDeNJ#r!Eo{Q~=KQC~7
zRlv64LtLkGFVl=ISCfBy*krqZP4L5*#tDVZoJn<SgT?=MSfnkSeRd(^>xz}U55Fy7
z-#B5Db<Xrvg>PbBw5Bh-5PsqY)3l38R(lv1T;BL_LQ_N|!_mLD?U)!}%EkVdy2+$+
zVgJV8x4RZ^wY?|WuslL|jrjSWkFEFEthB9M5#-9%(YEVp><atyD}%J}t+D<0=Rn8C
z*tNE~6E=KYZyWxJBX4C&+RXX(`agfo;XBZ?#`^8{6*lRoUhG*}tjuun`01RkX4blU
z7tTajG+5i`U*JD>U|SPQcHAwYHLJtZ*E;O{yCNrP((N@fc6T3Zo6J?SHsWubko%t7
zYa_xwGXMI|b^P$opRqsg)^B1>U-Y6qY2u+j9~Z~io2|XPzrj6u_UGCJ|D-<)>h`Rt
z{xO4l&)UdiOMl$0n(#9wTTt=k{Q5mB+|(rt_IF0O&tVcaVmh~ArjEvXpMMip9X<B-
z1f%i{`DZHw`fVpHyxV*s$*|$7xt;3_<&DBKUj6twi%~m;aZcmU(i@j&Xzf|__dx7_
zt_4xA6Ox{{?G3+ib;2vtNY>D$e>2P27bLa*n{g(5GD}JGj@Zp>g3G$4)-$dT-YX^%
z^WQFM@t*$+-X#5eCwo$ok>TCyVEOi+B5o5yDqdVz)qC;6?C)zX98FrG`KQIddu8nZ
zJ*$E}CEb{f?N(iQyuxZF=f{PMs-N(R*R73MzrB;|@tVtLFHW;o61r%`*>L=Vkod(z
zS(}(2&1jZ5`L~jRxj}>dPmGw~jP~`7LdQ0qy83hCPTNWb!3|qJwlP=#TX^qi*X(tX
zvkcGAbY9mO^Ej4)@#UI@iTuBgFLX&-nZmuJzC&Qm->&{X-oMW#PdFGV^H7g*^^Bfl
z`&MMs<uJ}zx`t_iDmVY@hBHYk7n#;E&d~k1a#05(w_ry>eDduXtCRayWwL*YNvdJu
z_T5mpaqacPj5GRPO=M&^Gx64SW|o-u$zlH|-0GE?vHko?dv2EZ&F2osuE@<kqQcNq
z(sF*6;7bO(W|r9h0*7N68D1|aKD2d3PPG$@Cc|omEi#u@{P6zBz<y-b$%+Qi^Aql@
zzOgp1*SEp4Ht|Lid;N{aD<>YkwAT8M+KR&Gi@*MTko;e=LB;m=djBJ9BuuhatkDZw
znb$0o)YuphucB#Yu=!t2L&U}vUENKQp+63G=-9_SY6@!9NV+#;Qh1`&ssiR4F$PKh
zFYl^YH*xa}R;vicn029ZHu$f2YWjNOnP-n|diQJyf9$^@a?QiAWB(eW^=`1l9Ncky
zP57a@25GA`EC>41SI*veef>OUtv44BAD6jsxIT%oO6<ZB`|Q>0E^!2~T#8)VQkN>j
zH9N+%{;`|giZkgOHq7`CI_E~LBfrf|d9g_opI_LzYM!`F($WRmPYQ(gPMTA@W`ofQ
z%fl-=m4q&?Uh>8$`3S>op3Mq3+_@xYuimn%`s$vgZ%&1O;*ec_`+4{J`aSDo%gawH
zou7L#`?RIin#icTb3MG*EIA(*s_Nu<eqHALuJk`^Vz1qudz$yn!-wjZm2Yn7{CfN2
zpTF0`H|<zIvFFAvQTH9YCvE86ad7R-(3QWRT!>xv>bu=f2J2PRC#$GmpI-j%lFa*?
z>mycsn<&Qp`@YjEl4tdrtX10|KAS(wXR@H<n%MuV-mWm5-`U;B6eINWd;Alj=^tiq
zUL7}k#@_JH9S>)B2!8#zVvU}V^T|uz3tvxYPVZdm;l?U_Dba3iY#h_a#W!NtM07L$
z`4O7Lw4=w|dtFB4-yiARA3UbiC7t>j5%a{EH)(D1Ce4Z6<ux~j@2!cNBoi=YX}LE4
zhbx9ZCGyt9EqA#kagF6j*q@)8{BPE2t)CeGv-f^a=ZdLI?|;6ae3)fz&f%m#KkT1u
zp1Ah^57~2!6Z<cS@W0-FV0*!*iBqrb*rz;$N$DHIuOAzKUQ}ba^!xvhDIcD<|L<7v
z@z0M_dkR)W9p~NEktO$H|GnfDQ97TWJMl%w@G&UlG)?6#;xe6R6?aLcrXj5EqU)cR
z87G;T7bt$rnqbGz(UNqLX)R;Y!q0yd?O3>fbjDPFyf4DynYfTyHD(@*FwewyvF{g5
zezrD#{+tE&>*C|H9#1s*_hWwcO&*!G(WlquUE05su{G)M&(dADR&0p8w%b)$t8PJQ
z{|N@sBMPBO|9)D9e{~9+5_7d-;<r|YX8xF?5gKc*?fzV^nz6-y$wc+8bGzFZzJ`A4
z5ZP{e{ptVzYkzP4|I@qnf8UI68XJDaKmPGUqV?R2Kbxm4t12z;oAE8`|IgBYpVs+L
z=``7RVRzq}0M+CZ&6}*k-%Os<_w$(i^99pankDX8&~WsCv;DaZ8h<YNi_N@c|MSwE
z`V;e3TYo(q%W(1ctaVQ3rz~1uIjg;A!JdiN%0JG$cqnaEo}s{o$8UUQESBDTc*Ar5
z73TA1&S@8%Xzt74yC>r3tV1zBF3;L2V7MXq+ONxhR#+ZS{&9KMgg0M%c1dq23!Tg)
zyTjoB!Q}rl-FI1TX{!Ep>DVrvRjyJ=`9D1BW_TQ3!nJS8PSJ@B*-f0W5;1$7X0Pa8
z@v8LWg0zzit-tR}?OuJQxnkyb@!vled|+H@(#d4aw!-)9M(*7=CQK6TtJ~q3zA8jM
zd%?W83GMwVI|7^gVk&opd|sj2J)z_K=Jh=cu?*W||6lW(*m-u9PgdE_9~)x-FN&#L
zC~A7{{EplmLA!qL$gr*d`PXdW4zmv{+UjSj)*X1dX2InT>^m~^Y`)J~Gw0s!6lTK@
zu?!0xZmi@xJE<e-$8*zz9Bv9p>wnDRJ|?~3;0NhN4veoi)IFZi|6gXut~)wOvJ0&0
zc5FNT_mNfI!Oo4F{Ium}Ui);9QFn!x)f?^&30+6O$e;VYW7j5~{U;b~_n4pLxODsn
zH{VUJo*$2n?l@PuBB?*=&!bpA&1C+y88NGWZ0}?+G~{@rv*N_P!xJY8DE&D3_stCH
z<i<tqf<I2(-E-5ha>g6`N`}Z8VfH*RuXjv(RQ=;bS)+*J2Z8PSE7jk~?{k>FQ2grV
zl|^!v$9GI+To>-8%TUX`ra5W$;>*JIZyFSyuSz^S@$U206`y||RT5OtUlWtMHs<)n
zQ+9DHL;M+flGx4L*M!agwl%AI&xCa`SFC60-sF7r<Gnu{_qUZ+Jck}9@A>b&;O)i-
zD_pbgt@?8Iz~-N;LS0!*zWsi`dBwCxfB)-BugqjzJz>SOHL=GJ?f=L!tH|nF_XJ;o
z{hOHW7hdaN<9so*@an;&2S0vUUz@n?=!&eWO@b^tc&)B25IwrH;q}4)$&5Xn|8F!&
z9&cf)nyPG(vnHwhv+yd0pBu&gY;>EyJ1qR5MAG7U>1&*}KC@W0KvYoSN=)D<#wSU^
z98Ifke7vxS|EHyd+R2w?{3{|pZ_u}@+c4+s%zAfbi3@8OXRvpDln?*$x52KT<;D&B
zGSL-9=RYcF|M_&`*ddMulK(fnx^SGEXaA4?8(212+izfrc{pQF)*sFZYq<WdiMg>N
z>bU6wss9t6E8J}OXj;D_zV6Dyga4UNOq(F3Ahs^?dm7`4So0rDNj_^5d-tsP-R?hW
z&HslFHgrG!w&U8}2<`@E*?W;IgFat4(Z`tigMY#rQ~4FK{~mod$c|~|mcPa_ag!@U
z%NnK?Nrwzp#2z}gCQ<*W>5Ak-C)XzGZ+tbwaP}PL`-fw0uE?<4Bhyg(e2r)Czm44I
zXXa|(TjTj@O_E>a%KQ^q0vC74{qqo5%`vGWsbPJTKunFm>vw-*{x@hnU-_c-!20N=
zdoJ&Lw5sUi#Fu$>O&*CpGrH>kbWZ8#64>+Nk(%Q1ja*#G4J>k;{40Hu^j9ZMveY*G
zye8!N-WACnf|nF(KWR=_@07YgyZ+4v*^^9)yQ1GsNHSWgp|Pe>;CW-R>F-9dv|TOr
z3CaJfHzaRv6zDft{6ym9TBn;0!d7J)9<(y8E!v;6rugTomrpc(R~CqV+tab|{0`ps
zq=MBovi&P=UJTj1&|a&ok@Nhz{M*ykEZY2)e>Ow=n<?zIadX-_C03T#|GBCCe2G)+
zG{r)O>ppMp|63PztN%z`-2Z?3=G+eFnEqzY&dob{&bA4x+PZ&g{q7V2*7dXU_wSwh
zT~%n+>lX2CERR>E2>si#dgGe&^9?(nc<*U-6rZ$$`7M*S*M%n%%&VmQCAiD}{rmMq
zWbvB*`JpWTRVB{uZqEI=G2XQQsKgd8cjm8~B;N0?$rjtd{8HjK?_;Z*Jl0FC)K7Ap
zP4t+yBKqD9z0U`VPq65(ouGa5(3^I~WwoK7*F=TaPx9KD{AFhLnp=iVF=zMtg|6JZ
zTZr5K|DL(u^pzM@Rdg-5U+<X~w#h<q$Go~p_7M#2`=?#q?Wd(Lck#qsF>X~O{z`Y_
z_0igzqN1j*zyG`novM?)=b_1BRpa%3F45E0`08u#cv<)FmGwlW+Bk;l9g|}xF8b75
z*?-Jt>j@i%sV5Jqv-1B_-|+YN-l@B<thaq{#~CkM@RciO{fS8x8z!FLadqFx3g#U?
z^LS3K*{Qn7{p~C5pBwbo1umbIuRE($XRUX*XD|OE(<c!etP90lH*VZDXRF;y4&(JR
zS3QxCT<5#;iG=^do{h7%pJd?v`>HzD?%|A|JHKvl5x>W|LLu;qwI@USbN$M-2CCI-
zqUT<pAGL3()}15o53;B;$iLmQ`0u~xn;u=-$hcuj{x0^%lTXE-?fmoS)%C=r6%CKB
z&gs-_bQ3&1ow@tb=>=D91uIfzt1?+vcQYw5a3^<u+cPD8*Ay}Fwb6UE>lYrqwkCR4
z_#5wU|6cu`bmr&|htNMAyi!W<SEOxVU!kV;hGW*+*{`?wO32=_DShxJD{O-F<~9CR
z`VxVWO4e(9*8P2O`Y_81ulE~dCj0lV@-N?WX=CyVU&Td&t&1DG&Zu&1SBhkcnf7dr
z|MrD-R$(jD&%c<{x7P3Y$$wI1JxPBXiW+6^e|-Gxq&CCaAiJj%(rv?j{J42T;K5b?
z#3Z>53qJX;^E6w*qdZeY((eCyzf1qtM0xS_#jOq6xprm1<7rE;DsAxB_Fm`pZH1!*
z%M8uaYrMlQZrVIaQa*VN!{!c=*%KEjFXKHMDWSW<Z$eTyv-q0eTCNwdI{$-zrU)#H
z>3eZj`%hBj&K6|`(?_ouEDakcd|$J|X!c6=_y<f$A65i!{8e*;b@quleXHEgFOoRH
zSbBA{-SZhv_kT#N@{M4Ad11|ph~s=G=5n!UU(or%m~=o?^huHf!_w=Ur=LvLczkuU
z_0Lto{G3T1zA=ZH3z^EzRyu_VpE!MkcT&A8dw*FY>+y9SW&hTTpKW2Ns{OXY_V~`Z
zzke(gKe}*v<;is)|Nbvi{ZX^Ol`UA6L+tn3ps%WmJ9cmAR2OWppYikFu9@f81ZDrP
zej$5wO;C1agW%^iK~eGd*5{p^Sbbxi-FCBW&X0DK$F0(^-@V5F+Rc@RiaV6z*5yrP
z;QqPg>^lE_wNdP~hS$H{;fZ5?{CATH$GRxtq~AN1c!;i1-2I8+`(ds5KR);eoPYCW
zt^fV4GnRZ_*WbT$LF~sh{r%IHe&0FCDSq<ruWQ!(M^6p-c_Vgh(A#+Kt7~G`X{W5+
zmHxbmab47NZ<fEh(&9oNLew+-ZT>IzS5Hv>zeGYwV*3A`Zyq(Oom~_6D>f*|ng4O<
zA=i&F5o^n{v-w`$RAFE1zx|hMfx@kdsC(;!R@>h+Dx0IMRcX<+@@@Zw1>yA{4>s~8
z|DJH;BWp*IR@lB}OW!O~oV7NlRD1tMv71XztbN+hwaV6X_X4Zq#xuF~dmf!;-u<KY
z^Pcrq)(2PbUhn^Xs)x*)HL-E3T=&<n4Z1ts=g^xa8$*7{gg#?Dp>SC~T;Hi<_0se^
zN14`T?eBCdy1h^|_J~i-_ci|e?!K0(pQe?#Ht734KhW^T?N2k8^qb#ZHI4Q8TK~JN
z!_DvSUla6oUDUc;|CThY{9^Jne_hV@Ya#afTi1PLVPCvFy^BTC=wali?KUfm``7vZ
zeVvzmsQlX+|M#h?-s}Cpzw)!cclQs=LiOY|{`dd++28tGw<hvebos99WovumY%gui
z$lJ3f=z9IG@?GE81l<Z<UA`-xckX(b*7$}`zt+s~o}d<Fb+l~P|17!Kp9wFv+CI06
zR5o0bwLh=(_TpL7G}`sPSB94ESuK-%t3~DK+Mu`VQyR=pF8P|X*6Z%d|Lu8|9hc5U
zoNV7(x^>2mHPf`GwQr6-QFm`m&~C2^#y_!BmU?fUcWn3f1yvLMPKEqlaAVgD4Vj%h
zOP^23`^kEC-<hni6;^K+d{KVnwtMTM<Q0OV^A}%Sp;!KSwS3p!GuMlLoD`cGQOCL9
zZeqX%$@@2$u1@N$T`}8c0{@Ss`h%D4vo9vR`FQx*>~%Kz`hTRa9lUjZrQ2`GSr2Bf
zu-UMo^y3QMSq*s|dm4)TS3Iv+xiDknf(rH37dJ&TbZl<XE?dQIIN{O+rkF~G2dl$-
zlP^pR%~@lA+@i%+it+w>yPr!gd_1tZ{@N<1&1+I3*E#8LTEpPCVB)h42je>$S>9G(
zTo~FAGd=cy^h(F?dshCKw=&i~j%%g<(TOLz7Jn;$!ce<fKT&wm57TR}7f#*~!?C*f
z_`gfqS&ukYS*@|RKiN^pxpKh+?$|&7ey+1W{$~Yy*U!)KYYtwJX8OIUC+WtXHy0N^
zZo0eYCd<zWpN}_0b*-?w`y*yWxA-58q!Y8GU;X4zT~+a9hv`kO^E+C&lIE|RIAPz>
zi}7`0YrOM+uC`maH-|B1!K_UJMr%B#{5W$}XW{AtOi6c=q!%2J{#}-|((d!dm;H>*
zM;NU?o_M@YVyD6Djjt<qsrhrvzqWCQFC+iG35`c5ZJi*>@_wCi`ZeJdXA&7V?D!wS
zy*gh-uw+7s_j(!onExwnB3Cv{=4oBYy!k>Szs>F`vxC1KY&@KFcfFgv?X>-?+$vW7
zY2o@B`+ucGYrqQItBs5?Iv3|$uZUSGeSStx@+x0`#-#Zhm?f@7FrRoV-YFr-G>h?q
z()W)m7V0G=or<}5Bl!I0^Zy)Q#;9?8zIHMG{0z%ShxXL3S?5+<`RCQ@wJVl<{J+K`
zD0Qu;c>VuZCl!yapZ<J>tvj3Mu{9U3ufMUL{ZvQat_@5p4sKw&aHsp=*2l~TZpHs#
zYLF5wV>uyubcJGi2XimW2EkSquIdMZG29K(r4r%`c*9;F5bfn&5m}miWr1+Qj~I;=
z3F7ZBEvnpb#<W5#>Ea1#*-nAaB0E_Y{gusLF;8fPiQ+|}n-b-XI%ijK%s*BA@b4zk
z|9e*WNF{B0$l(6>r`%QU4SEW%SCkx5SRs+V_Hz5R6_N1|-ye{;e&V&V@(PdqYbW;j
zD|~(<c~D^9%}&#AG3!EZuabSfQsV52u=kM*U##iico4IDh2hyXlJho*wn{fBT~!oj
zxSG92Dlw*gg%$t9m^l8mA(_$ZmClN;RD5>JmR&OG{p+(oHhdPfUuV5l@kz}FlZaS`
zH8v}sXjwP$-T%{~D{Xv}NpSwZH>+$<FsaV||LowVzbXbf7MhcmndEWEG0E>)r)p5U
zg5PYV^6B-Q-zF|UzE<;l*&6#_sTRlA<)412HD7>lPa8w|^L_0qO3NLhw>?`YylqaU
z-_7+dOZC%_$WKsk^=RL>Y?gK<L+;HZpC58Q`g3~M6Dj`xk$zI9b7GFnS}(lbpXKlW
zNgR8X1J?+xpL0gA|JL{0*Qe>npR`=JM)>;QO$yxCE%N_+N}4L^nym=A$;7_;(VA=1
zFa3S~A?wfd>2aMAE48m%gx|h0T`PQR0rQ$wo0Q79|KC#$xqEVf;?-&T<*IYGR(=dx
zZ?MvPL*uV?Gp#ERIIc5zslxkx%kuqGriV}8YpTL9_vq5sPyA!%b6m_>D?E3STJ4|z
zPrjZ!Ex%^w^L4_ztJkk^v6$|1e~qv#v-SzkJ=|*y=3JQ`d#<7S##&*&1Fm5^zMj7z
z&dt)^Q29UfP{a-M6KfL7CQJ#RGXZ7(pOJy#|8H(v1_lQ3c>qUeX?)+fMkMsyOx+6{
z-Kz}5BQNgy(5}E3lc8brd}8++ebt*Rf@?+fs!t>xo4sHHXV$-~(^t-IyuU);{PlW|
z?>&q&i(alj+ra$$=Nge`(`K2i*^no-<#3lI<AWb+e|EpV;eLGmg&9_5jhxAh*JjLr
zv~0(8*>BnxwAMVleVFk?^zV(UcKp&lHe<!X6NbDq)|f5GILRr<RocK+v0mtr_72Pc
zC(bd>Zv3jo%zW^LK~$3DjyJC+d|-5+pxXQ8g1_mZn@q}2lU5t@b?sj7G5vzm=l|d1
z|Lyp2bdAS5S&8>MHf@x>Adz)#y~p~`YefHi|90ZVnrkyY#UJb7KAahGRQ`F-EW>|K
zx4)Xqw|@V}`kh`3zo)G$shRCkx9{Wf>Q3icr?nARwuP^nRx{!6v#r06dMKr=(?9)R
zx2P}wKS!+C;{4TnKYhM`dP41z!`|wX%wAuc@g;WCiihXdM9*IlzUP|MwdpT!Zoas*
zZ||qlov(G)iK?F3vHI$aE8pKv60=#db}EzlnmeDqrB33!QnqLQ8u6$1X2|_GzH_Tq
zWmVIjrK@_@yu31_blvVb3qQAPUVZP-+=@NXs_CmD_IwIoC1g+=qrKkX`z9{VzbCGr
z<nTVLzv{`QV)OKse^yH-?w(NcU1uiyy&uOXB;`3>J)<9+7`s6C>}2a-H*Rp*-sG6D
zUTV+JwUuj>EF--{&Kc}YvDmOy`S~Qzs09!0_17vtU&H=WcggFzpNV^}ESe<{abwjF
zo{xW~^{+9ly|H>iz4)dqfuhAC#~WtoM9f^JTrJQN@h)b@3eJd_6-qz0|C;)79p?s%
zi4*6Yyl^m<VJ+vOcR$4YPG;Xv7QEIZK7ZD^8NnZaRHdyjk56H|cJgIJ@(PY0jEDY-
zU*lb6ym2k(l<<U#n1xlFuQz(V(U{oMw~pJDNmqw&fwbHzZpo*+XH2YLl>BeXiro3L
zPOfwj&tAp-ZDCCcWBiUKIx~-ktqptgGAw!L;wP-^Yl4ri)|<_c{brT-qXlLkSF=Y<
zZWMjIbN5<h%iRyo9b;_ppLIj-`sM|Hx-TS^?rGpmy4pT{+l4o2O9B*G7_L^YQixk?
z`uWF2WrlsT*GR53`@eae#XP2~Z~k1rQ@2)X)g+Gd7kWc`X1)4-uk?w;qP3FhKRG`9
zxO6ZkW!HtsmG&DLlU7S6uansPf+ydBF=lp55R>8@z6H~S<~(8Ky}aBsEM~3s>(341
zv)78P*IT`zQCabKq=ZG&(~m2~Dp&A*o^($B-|Q7)3xA5wUm>+XGc=KRfoALv?v+9*
zOzbP0j{a=pll(nl$>En*X4zf4S~OWAOo3y~N|lXF7f#J*aC|di(X4|)SC!cr*puWK
zxW(4QeqO6|{@xmo$4MJQHm^}A{e5}D`8Bfl@84?>{XBVZ^}j>1t^(J#t!4UjA}6bC
z4a>cgS?f1uE%|TxaOzJEy9=-R|JTpo6lwVU2HVdUf)e^~wO1)NF>1y#>|d`q*ZQR5
zb%_J(tZz+TF3@H<Va*z+=M&b}{yA_>im5K<&y8&-?XuP|t(E&?ed$d4#br-A_4U^Z
z-aW0Mx+dh^n`Kv?TAk!vYQVcj@6>dufHza)t}Nw$`YP4p;~KrE-g5Wj)(Zae_E=rE
z?EJn-0i7!9lLDr%5tzSkvRv`H;Hm59+?jmH%{^gx+#11s{wn5I_f~vdvo5$sRZm=h
zy<q54m-nxt7p(qvgKhGHjR&_M-&WvXzslw9`)MZ*{=4;EEAqtmO}{1<x?f;k^LKl!
z>aJCx>!+&oEZ!7h^7C5Ros$pb7hURpp#Eq7kr>YhOZi`hdcNphJ2Q0Ci--SqgrEE%
zzE044?uXDHv5yzY>K~6d{bBYRL9e;e_G{M4?fLViX6Em>wSv1s*q-YDSonN`)*7p)
zPgR`P3NF?A|ML2ywffViiHQEW_4m_iwJtf^PixG)mb0aYiHP3o;6B?hd7|+rnT4m!
z^G|NA+YolXVQ%D6ZO#3sl#^$!KYr-ke~X5V-#AXF9KGF|+|~G|lcoQ`tJZ%nce#Gv
z8g@zk{HwdaE7l7BJinIzQhvjwvzx-!N3Ic=x2PlFF-u4Kp0#Gi+bub_Hq5nNC-lDa
zv#9h3ztF^8VU|fNgpPOgeE53muvOd|A*;h3i@&cF;*W_-ULo}6f@Po6oIh*LCONHp
zvrZ`FSjUBl3o8HKe*f)6&$IRXGdCPIeR9xxy^v}phuX)E2<{udP3sIFtQX|W`ni6B
z|Btmog%gCot@L@wc=K-k6K2;5>}ysDpJ0<*Z?0WE<Fx$m2PZ363qS1N@hbdJ=a*+|
zg&!JDP=B*R?j#fI8sYPA*NSNWf61rD`P+Jx@Ueq+tA)AQRzJ9Pi1Ei=`?yuYYt~sz
zTQ5BKCgX|w_V&L%oSRfUbJP3EH9|Y@y{dn9Qsn#^?|*lv3v5}_?ECXt$Md@6iH!{^
z;`aZxU7IlJ<CV$!cOyGgO`mTRf6@7I*NoW<C(G)u6{`KD@pWBLzX9W$bwV+gi+bMQ
zc%p1jzIbIl%Tw#0K1bIJc{0D<#8TNEWmUFb=+z`kxn*DHm#--JV|}*6Yr6&Ko^?W3
zPFfT$`*iwb7xy}$bG7F-+3ZyQaX{<rvakND`CnE==A67bW$Lfg0KN4>%XdFXn!RMf
z>L)f_>x7oRp5$?6eeG1O%1ZO)3x7U6HD#{Vdp+wlLRa_Z`21PEEad0XuN$v#u-<Di
z`^_Bdzt0!n_`F`|^Ve7APq(dgym|ff)aczTn^;)a3tj%Zx_sAcmbF6DfBV^Axm&l^
zzq<0n>e&e&|K9d5Jz4Q!Ph_<EBB}i?$={wYTedXy66gE(N7sA5x~qO(w=QY<vQ=xA
zetS{2r(S>Q-A|7i?>N-Q?~+M<IwNl_-*2szhrX>}bZ%l^#P#*l<DWQ&{k`*3`zA-@
zTA`g@R*7}XzWO~7Sl7OF)e{BzWnZ6%@IT%ACG+eW{%iAZB;ANzBeYUn>O9}?f7={)
zCk1l+47<=Dk+g8}*<1V9|5)(u&l2Z~TlegD|0t_m68ku4$&>uuJ3dza*mC~$>0K2Q
zicHN`%vcqdw`Y^PfLGlbrZuOsE7vkvRz5OcBmU`>Z+6%!W|giL&QD&y4Ewoo=kXga
zSN&LG`uXCP4UD_~&%b{#Ub*98v-2js%16D|?!IJAW(eDMGV=IhJtY?9)jy=tH>?SN
z5^cDi<!R2m8GDj%OrF19dGCj$)CIR^|7wa~^XurNHLOpMa1~5j!|MNg4dbbchq~6W
zPJhC&VXd-kCDX0N$2P8K4LW_I@kf=l+=4ReHLP<v{NJopu9#T)=4WHlhUqoS|IGhm
zGe7d<I=PJ(>a+eW;Amy+5()kMQQW$0C2xfMf(128ztk<x&RHq8Z5`LMPdm<ERk)WV
zCBS-5=xXB44=(R3*J!5y6i{2w^y}?9C-15M&c%LP&$mrVqr(69`G+y9wdAwc@>gD(
zVf%a0v!4sLRA`-e_HmV{vVr>li553+M%X8vQt-a8R^aSG>%6*E8XJEuuKu=KU_&g!
zN=ZJ|n+k<1Cw=^*`)I9WdEV?53jfcCp4)lv|BuzIpV!#i|NC=pWr`;IYM%2yF3$V0
zKYY)kA3rW@ZTxjjO+$V4TGcIVR)1DHbt~nq={NbYUeG$bVg4Gv2ZB;cuP3cc`kB}M
z?ZVOPjsIf)Ezta*%(~-6`483?zUE>7UPSc&`|#^}GUp0E^N4>j+&kXchyB}iyH%!v
z>29-l%=2dc(l>v$sxfT)seSRsPW$y2mp%Kt>irW2)w`?TtTKAEM)LKVrMFIU$*d7C
zZ;$<dig|Mb>#T<wy%Gmm?oMPl&MdrU$Et3I%WK|DK5-}K=jrMeAI)2_|0k8zHpVin
z(RvZFYo**|txpSn%2%(IJ8i_V<QI3$zqWO9D#r3mUdfk_HZs)z`M=@sy*2W`_FOn1
z_wWA-ujDoI>w|bMg<Wf&EWo3=@PrQo`@)0rdww$TuhV_nZ{ENV8X6iZ%JBcM$aDsV
zf+-9P44@0P7#I>5Hpeg})g?86?h#66Si!*E!Op-h$H2@W7Q+;#F3<qF6p1B<k&)3M
zi802Kjnm;-jA_gzCSDg~CRPasSr#8Q_2n@j$t1oQKQ=)YRwl<?F@cUu%3qQ;G6b>|
zFmdz7h%+*>C;zXCVeE)y=w=dr%Ou%xi$R`~A)G-qi81!yGess9hS`iNO3e&8?-)gx
zJ~6N`EQ#S1h*5|!WLlHV@b7JsZPJG%Q--8;&}BwRoD504Ns>wYN#ZI=988axR9s@-
zGpw;;*r3G7Zo;rbDUD&zDux3}u?$Bz7)}WBFq~n$z~>Sp&Tx&Lfmw((m&rb9)jO8t
zR!%0+O-3H?n7BDKlo)(dnZ%V=GRej;DJ!uvX>v2^dvb6w6mkhEF;ucKId^jKa58*k
z3|PWk&+>Sk3)538&J&Y3G`Y5M7<L%3@Tahdd#qrT=i*n1V$o!{Daa+y#bPNok%gT{
zn1`d3Mc9Bb=8Yq>V(h;U%vy`M8Q<_e{Vm3`+@h2Fq}08q0uMV(IQCy)5mw>)D)4oR
zM2sqj6uU<>v$BGS%OZ}CNi42HTz@6hzX&q$E3ydlOo;g!!=x-E;iv$)REL>GU&%!x
zT;XF32ZLx6o5BjvtvYQijDFBtbrg30msaL@rNI6>NkJk>HpxWdHwSkTmjbs!l9H4H
zH=ly&1kl|&OzNuK3`wBNb+j0um+P!%fL^Y1jv)zhxsHni>~bB3Scdm8{4sor3Z<ai
zbxM-nv*c#0h&n1nbH!*$nNN_7iDBSXY*ql@uG7T9z<V~vo<X?bbPQLF3xjmW5rxMV
zERre;6B%A#RQ&ypty7;Nf29U9+xK`aelHbGhW7%TF<M%xZzjbs{MXXg7FW=YF=XO(
z)RqKat)p^^laonx(qT?+CVgf_7A^JIe-9iPg<=`r@(O?T=3q8a4C3Gw=PsYbwb$KG
z=c$UsbpgSNT%g-^xSpz**mHfHq#-EH_1o0h<Fuf>5U<KfVMQkHphLpSteQ<G!Lk4D
zE1z2s%kV}_d-XI0O%?N1+7n$m&0X{pX0bSgK1wom0pG5ZFhiw?N!id_h>3wSs5oYl
zutRm?6gFmo*#9bZF$^5B3{zuP$Gl>6X)KKWUzOa#(9hu98xyfmg(+02(NUmXD<+O1
zT7V^qncX+0=@^qDV~h=hqzYp`D?fvJ5(oHl9VcD}L%tXWV~&_3Ox}z!LaGk7nL*Du
z6Sgyox5p@fuh_}vG&swU{3({<-^V2IB|AcSE{`3{85v>>V<yKa#QqP^2VJt$Sn}Ha
z{oihb@0t?tU6dZiD2ZOO;B<fcT|MBhYHz8-OQnlWlNob$8676RPSTC};OV+HLVrbr
zQkI~WvVCWkY>#b>ild9e@h=gP0#{<Zoh~vdB~^IGbY?nw8n29TcIp;jicxf!$s`a1
zxgV#KiFLC8lfzvF@clSUZx~#eV*h;-NMed*>=6I#$!yo%?Ku0@y2*N-oXaxeBUsp%
zn5M3qzI#%I?3rnkDzpz?6j?Vp#`EA=$>(QJs4U}ozh=5;m+hHn9nMXs-+hTuk~*T2
zVXBbn#Jp}YW6X5{me;I`vH#w8vU16P{&=>1k;K_{<qs@dx1MP~*?8q#yA^w~C)4fI
z?F@^88obZ7PhyhaFux(yWw9?eli{QT6C29UbY@*;d8*3voUvE^WsGUk$<7Ml+l%})
z_On!~OT+{@Zn(`D<1h18XhwKPbfQSiZ`r@hog8m(e)z&5$^p7*CutIE;d=(vfL4bj
z@tA85lw1sU7=AF+7fAm1e`PU~ON{c4$uA7lCosjl-7$C1LKQ}C15uZl|4)3AF76ij
zba%eEtf=@$Pm!~?=8JBeG=X=g?9Ig<ViP3qEndr>9xNiQ@<K>mSh~|P{@_gsA?qne
z&Q9p`h^<;IDXes`mq#F$Q%ZfpVvd+etPf93Sj(yE!N|eNpgO7Is9?kW?Hr#U&W>3v
z$l)LRXs(zk>)#}$mkpP%a2)55i1FvBT@~XL$CS()W4TpDzM;`_Jrl=+)1W(hloU96
zIV6@UzBu+J<_x3afyptiRQQDyW;ipr#t5zAcReAhbRmW#iT$0l5c7T3r%5bgF%s4>
z$6{3&TG=HIRtmLsGBOJ#tNeT1aOsmWBb(XXq#rSMCNaBMe3CsoQqP`DzU(n4hVlN3
zdmb^%JN9>43os?ID8zDcp7`3uo%D;5p~M1o?6|=HWQHZ3r7=P;*@GAwcRD07#XO6V
zWMI9(7~{qwIf-R|M?b?umX5Wt|3f*M<R+LUm3Jilj}g4X$YiD>@s2fUQqq+KR(=L{
zg$Xe{UJ8sK&wh)U5>xESB>igHf@w;u`YhZ(JQu9+o%PeOsrv-z<Z*uvCP~JanJzJ&
zEYaK^5l)$xm|~QsC@pxz%fQK)`Gh^@d(1pXA^qh44j;}4P1~@7jgckk_JtomlI&Pw
z_6UTsBv~>fT}d)dVoFkRWR#9&*u)$o<k>2?z*vxD5z`7AR+l7}3;$kUxFqB;cZJVS
zmY5lg8p#Y>J%7CVd23fZ6UX_Wr1eQbku1XV^%X!{OMdKSNM=|T)0d=^q!Jw?(9U4o
z^h)q_GD8Ogm&;5MUgqLSKbjaS8K=7NMNbtp5MpI!*sC@1M#6c9-K_VWVxn#=YMQx-
zDdq#$I|YHHj|}%29wj|Va!Tq-%J7NV&f*%7loB(aHPpc}Nj+)d20a0{q(d7_Vgsc_
zcC(Z_h$o3A$=q0@vX`Oz#}ftcF=!8uvGOD*X(lysaMi~CyR@M~z$R&dSd!v{XGsbi
zF>d}1T9Us67-OOt_A+`}hCPUhvT72r{*<ID(AUBCVAk6Wn<j83MX)`YVALQriDRC|
z433{1n>6N~iDhA!d+=h?$|R4sF@;Q=ktRwK3zHO*Cvt4~$MHxpUgbgN4#p;q2QwLy
zu5*0j(C2viE{0P>T9QBcpTpl6#$-le0X`Y_hHRnjEk&pF6|7@EGvp|xORr7R{HWeg
zB&f%d#I|7Oml&ze7qTmzIW!)-SY|UeW;X38d>i{O;_!qdM)jSHsva+xECnKz1nMp+
zoOE;yXH4=HV0PJ|aJ`U2MCNV7?ovmIrJOOmFKSiP6cQ~;PYO(YZQ62JG)CwX<9vag
ztAvtPM6WPr6iU(zwXElRXpqeq)3jfOp_jE=k;^0LqXdH@$F!I<{z;N6_&e3k@i*LR
z_{Pa0_V1JDo5zykhg^l2vW2FtRPW$pym-9fT~fn$!6eO4#!dy#&GIZlYgB{|sfu_C
z>~rWAIKspv8go5HO;Y21XOE-ecE*^O3Ud!JNXGu_j^$($NoI7Aj(L~Fl+4mTC#f+?
z*zSlR+sTfF0v9D2VjMFo-D4gzaVu?X)m0J^I2g;Y&~jZ;$BU#ii5shwo+u>7oRIjb
zWGM0Xr+@_qb5gRzC)T9C;~h8c6a-Ja`Lw0ufnto4GM7pc+lC0MJ%S87ST!Aznglmw
z9ZYg&IHABC^IE2#VOsM4XwbzHNvnQ@Id&}Tc&~7Nwni6+j7FbVlEdXBwb*|zH*_%x
z7;(mACM*1Vp3Lw}T3P5n2hWBdALsHM>P!pdJ7nGP!c#Jm^N_+0me>vFcw;0aU+n3+
zrpvqU0@D)f7^YOdJ+2z;+=}T(k{o#$JHEdVKf>GjpV3mod}5YYs+b*HeG_AF^1qKF
z4lWm(Y?$jWadnEU*v0a6lIFe(Z#*nnUrKM8WFcemOXh$I_i2WYjyFWU#K=e-5fa+K
z!OG!jAs3;;V(b;ONb1BS=BW5ttfoB^Rx920xG40&&`^LeNrEHTr&BVFBYK<6H7=>7
zlTz21Cw829A$#lP2bo(-7~|z`O^aM6b4$hGg0Q%jq?mXnQ>xq*Pcd<&D<a}^XJ}3o
z@Kw6w5hEmS;inQKG?lG(s)#7-<9{uNDlt|a_j+b#DcgChP~0Q*)rCz&oJ&MrC_;!y
zoady+HRY^nOFTr_b=@YZ^+Zf!(iBKC5>Aepl%gG^#WeHJ;s`!wS83@FGSaJgU+!{I
zVYJ|Xt0Z)NIrn={uA91Nxjs(fSR8mV@RtPpgz!m>9xp5AaqMg<I+gU9>!O9^f)^7#
zX2)p#53pBZP-RGO`oowV`|qB>CzZ;dOnj<p@=Vo2PbCg3%QA8bD+<I*#5jO2N1A9?
z?Q%n)L`J~CAvNh&(i(#)E=L7y+yx>8IFn8pTy=Db*%z^!oq<!pH)d0@bP`j%Xk5~x
zq+KpanQXk%0@gSgmBmbd_`E|q`2}Ougw4&#49^3Ooe)f7ZoK6gkdd&r$VqnUQ{|Z7
z1}<}CV<!CK@abT3@@I5>%;Nk!hBKDszkR_urep@C^9d~XUN|?X#r#T=PvUoIS7AID
z#5IwljYaq$SKWjQF&YxAsdE<o<5>3KeG>PCy9Mu(rrw#OJN-5XcZVH=?O~?*9gIO5
zP7J;s`#Bn<9gG_+VmOl~a`bc*89sVw@LYi5C4(rxN)jK3Ca1Cx8~b^wU)EdME-JA!
zaKtdi{<r+k!Qzm^a9$}X@y=a_R3$Y5zn_e^m6AS2N(;nzGH7NLcQH<4J6}2Rr>o4$
zATIYAjf@HceY2YwBc995Zf2YSIzFBKd_<4O0RcaTvvZObaBwAeOkg-%#I@Yx70cNO
zhF`ohJ()6|@XpqikYQhWlriS=UcP=VQ;(H91U=P+1QeP0&v0^1Wt2=hq{}m%Nl<AY
z^XVAAnS#m>9x$;nOnSjRH&ffg&m~Y8bbvb7V#opNO-#FE|JzDE_F(2&DRocf4(Dnn
zPN5&%Yneo)JQaBO)-&!55^L1mb;w{7XVOBYgN#XU7L-{oh?^)Rtex?0VL~w9PER3g
zrl~8E`g!)oF<iX_I!)c1;k?N@w;i&wfu$3f6!r=Be~}H|+wii4clsoORH2#jp>a~~
zDl4SJnYcZ_O;-FEyeA{+pls|#@M-Ew(uwz-w|Z*a)@C%Cqr#X`*ttE^V3}-sAXB}i
ze5SY1RUa|XIqH$Y3>GRJ$2C57W<HfF1RbO<RSP;uorzbH!9Z1}l(E=SuDo-jr-{5o
zwzr82hh()>q0npDTAwb>t1^v3QmcKB^j(!{oy=me%j|v>hmcT-5QoggtERVeC+_?r
z+qvJ+?h5bhO9HE8I#mU>|24U<%KCg|Pq^7b?U#xSvazM6kN2`({$l!cJKyXmnb`ft
zMlNiui%mavv5E_&%D1qwzmCarb}=Y8oyaI;QenlPG|3~QrfOAMXvd084$dsuEe54Y
zi(&#@0u`7IUbEcW(DgT|M=)bsadLOE%D;Q5Q)V<U8fcq<j#MvTPiACqx;-iL8iToo
zY6i2Nd8Z`{!(?-N>p1qSo<{F;xjkb4pRnhZn3SA-mg$k8AXEBlm83s8x9w6nIryzo
zW8Qc!m~dK*Mc*UoD~t975h2C@7LTtA#26)gW!T8#5OXg^GwJX5+(mZnFVBd%GTeSt
zu*l`?gcXW8n_IvaSFL!X$Z{b@fiZ?T=^2ZRLtzX{^8YgX)k+M7T9MkG7b-6}^IYxD
z46R`ZVoCi~8FSW>A>pCNi493P349M+8)F<}_&e-fR;KReSRoJ-BfxNAogf3JIZM|=
zme~J0W+yW&7G#huOmJdhNd9*xmQg<TpVDK7{NIaX11FZ-xLxz+*jKdeQpcOJZ6}=$
zZ1Lq`j5&YR_MYy78=hVZ1Xh*q`aY4RQ=}yf<%snJrB`LUqL?rKvb_?`JAJ)OXnfJS
zU8TFcd5=yq474~=y6c}y(Ik!XJ%2AHSjo0~RxNsRKFHxp>7MXQ%vWO*zAu`|!^k^3
z$n4?uORUe!_FT=9i}g%Ud*Z)#L9F+}JAzYxmF|h>oxR@lWz?!!D$C2a6!c`~E-9bk
z{BgV6q8}=Kmsb>O2^Q#SCcWlziBT@HnttYuif8iGjAP0h#BM}A;D~vb{BOm*l*BhP
zE-=Kf#{Q2;_F#CGba&YUp`w+SX5=t@Ptu6_m;6rvbjtd*doLwfvKKB}Wl=IqwLnJi
z_ng@O3%$FSxpJFNnwVv!Y^SJF(YE5wqnJulgAV?VJ2{gz87}us@LI56aP^rBJu%!J
z&$iS*OzQON5MaFiWpeGt#z`JEEF9b^PZ@$YY?zRAfroR=zZQnf*D;3<8cuURc3|&<
zMn}P<a~zHWc1f=}VlOy8>M=<=TlLGvu|ZQnJZAQWH`0e!toNAV#mSbMbl)OLExB_?
z(~1YvGLrul?MjGcc)a4U3RfgYJoAD-vH!lRcl#CFe{b6w|41S|s?T)V!3h%accwV5
zYu)_oh=R0b%O>4ZfBcrUZobInw_=v`M838yNlKO~jy>yKbt2zPw3A4>abVu_8B-^%
zGM<qj!6@G*b@qzYvmap=Eh|n=cqRRHVyB_^%B7Dkwj{08Yj~d|7c+Olg<j4TUmpi{
zJY$jh8T&uWxpD7YhH9Y+<|?g>4$1#OC$1|7I>i33iQyJ96o~yF#Gqo+JU=={aKV-0
zhSnyHOM8+6G;W+&{@~6AKZB%6$C4N=7!UnBQO_7XLrQYv=j4sNjZ!D%4cKRta56<C
z|5ss2TChY*^ZAON+4nh;Cj5-?5&UpsuYsXI^9~c1q<<<gf)CXgRw_j(z3yV>HGC0R
zc|eYdVe_YCh8@Z){Iy$^UleEsAK*&jO#W}aDAZ!drjA&Kvn<Y{Ox`>zOWt}K$7{1P
zzdE<WVdqJUMS?Cd>5t~DWT;K?W#a7able@o@TrKybm8JBSxkykZZ^jLuV>g)Gf|19
zn#tmA%nY8`|EGFla~QTwP?ljl)XsG-rbFh?`V$MpI%EwQH~gF+9P^GLWo5C2;E4%B
z@401W+_dGK)xmKonPJl{4&E95j>-Ria@`PGAjToYbI?LTIO$J=#za8_x6UUInN}5f
za2SM~wD8;6=i5=lsJLm%BMz^JlMIRv+V(Yk*VuWec*lE{ByJ%l(Ze%}85e)@Fr3Fx
zBb24ZG2x9QV_V0~`3)kgUlgzKHfz+8`#7USiH~D}00Xn+hKoCF-|}qh5L01tXJx<1
zv#29Y#oB^<(h0^SQI?+_DxMr4EFJnfxp<-T|BxX{Mg`dZe=wivsm2eMr^*u}86O+T
zGlb4KJxOG#RRu${gw=v-Gs{LdM}}g)Bw-611;!+yB;nJOk_Aq4gmQ&<Jm*?!#>8r&
zup{DuWzw-2&lxp``I9EsHOF)bee?LlcuTOpZE;nH&?b+M3<6BEoum|Fy0|{{Sa<wo
zsF2yJ-`Tk_mcimhN3O8Nvl!_Ku}SKiiUn6GvIWGnOT{RBj<HPQV@~X3Fy}~p$#C)r
z=hF)_PBTd)ePiAlH6i8)r!XfQt5}kn&GFSTF_R|*-SbFh=-hpQ*)WMiYR-kD2{JM2
zSNEg{E$jGck=YS3;dm^=Y_>=N8x|(%DKSNzoLUT)e5}$8$^X4$0+W;;I4tpIky2Xn
zBR7Sa`$$qhlkm?2dmn1WJYrR03X;f~6EpjQ<e_Vyn>Kn1y=Ji&s%T^Cc+->oZ-RwD
z3<o36>VJ<6IUFBtFgDOjQrfXSXV!#sEXO&#&&PxuWUzdaq%py*lauGS&|42Jo`))H
zg#_{g#FCf~URI1*-^t<3do_u3+Jw(Z0t(!_PIPjyTz*~nqT`OrMb3OqiO%dT++W%`
zPFZ|9Fv*jHmBmz3u!v#*MIEz=mz8*hWx80^I~i{=Yj$ulK4ExyeT9NW(lH5MPR`q&
zml%_jf*E8VGpTk+#Qfx#^oyxhX5u5k!yQuJP9z`vn<TVhn*cil=ckVWNxTiO3l&aO
zm@U*+kd6_Hd9JmzBl$m@K>kPe8z;IMN*1ao-Rw#cteRO8!Pvp0ARP09q0drs$;>~J
z8mcFLosdY9WOi9(tIXumsqmFilS$)^1k(g<H>L@<6k`mSV&qOt{UMgLq+}Aqojcu;
zoJDQB_X-Hadk6_I2=EDM9yYr9m+_PwON?UDLxC8Lm<OkHG<cG(?ub=jp7B5-M(Us*
z$F&%v1rbc&l3ok4++&jPlRCU(Bg+ErfHktrM>sVYe0JD?&R0H>&d8MHAG3my!{kAX
zbj&xF7-0?rmZZq$o4hd{99|3$WB8L9dir86<bOUmTlodU9wjA?L{=s#MTQqK1|0b+
z=VB!CrDWLncd&EDC_QGFXUfsubdt+THHrDep@%vbIysn49b#_EceKjPU(mc{7yG}5
zPkCw{F3wrdCXuvi$4nt#264rmdyd2eXb7(E2==sS<(ML*xcebzgZf1WsUi-xm>LG>
zcQF$GWB;9)U=ho3V8UCzN0<CHlHBf{P~y~J5p~coNP3#eyKBLk;*(2SVl+CFd=hke
zP6l!bs93r2a6L*kSjD;H{*8Z2-b{#P;4tJ^d_*uwX2<JJ#so1&`4nr>rSE=EOj^$P
zhI?rW2iM}aldUWjiY1nHoImFChHKFZWrs&9|Emp-a-2wsspGnJxbDOqj%PE=EHAw2
zUh?k3rxZ@n8!~f#2uyH#qEP+AoP){X5mS<rI5+!$R*iDArSB{qR^I5d*qan^Dx$C8
zp+K_3jUy9ky4PquJ~4OBix5d~1<4o*=65$GSUMg);W)VTtG8g05`%Cw_rZNJ%f4w@
zGBloi@O-JwYxSI^-{Kb;3B|BHuC_Evd#iDO={HZ%YWs6@+5gG??G)P(#q)Gul#JrO
zrQiH{e;yK2Ul_BhT214@{3bpD>p2^pmV90NN`)tWqQJ=nZw1-q-^%7{h}Nty+oTk{
z`0dZ-U*ApA3SD90Ytp}MUFE+gO!wzp6SUxb^HC+1V`bGzrr%4yO%oM;!X>&*J!kos
z|K6o)4&G)fY+l-2S2h1PNkwys;Y`MY7?2Y;8BJgM_3B)mk0CDa<5fE>XRokveY-F3
z<^uI)-?UEV8y0!?z4gd8&dA-i<m>+>Q}WkOTrI@&GUBDq#XZ&Uru4aAi2vBI#gQ@Q
zLW^XIQH$d8Z{CM%C+!pb70aNVvVQ84ud6>F@RscCvx`||uuWoV!R963jwUTJP&*+z
z|7LjS)8os(mbZ0v#IhzwaVg$<a%jmnu_UvV2eWRT=)BBPv+T=U#>Xj)$(_gNG^}BN
z`YVO$`O<H%Yi3mTB;U|j9C`Nlr9$SeBpt>@b}6!2jZ43-4(8doZ^>6bG0~e#zE~~g
zo6eFRBqF(Q$yctV<+Bfc<<`wv{&ngznX^m2lz)u5`1|K0nHw?7zW)2x5dWj0tb^&r
zMwyPA=O^Y{HcT^%S^7<EQNzvWCyJJSyS=39Fw@fS*V~%BS(z<2#QtCW{iDT#Zy*1z
zU@`de=-IX<-%ib_HDGFxvRm-=SklXb6W2<-$(X<3%%f!27iab@{c^g4=h;TRo{5*2
zep!DwX;;(!<mF$xH*Dpe^TPe7Z3@H0rQed7XDIR<4C89wpl_J8BhH^;t-;Tjsh`<5
zBr|MY;VwCubN;4k>)snl3xA#nXO%pEbo&D7;}8BE4_px602<z#z|b4X6>voJ?W-9a
z%FO;Z8ABKPRxozBSN!krsQA#~IcJvlw(T}ceox=9>}*rnqXoYwGJ3CwJU(IVA*Kx_
zAMP&s^CcoFVZk2@&IQ?ihi8VcFmDh~x_jcT<b>K*7GbTVWnZ7qi&^?r{cwj8<I=B}
z`(swjY1Z%Fz_H}((rC+soTOz8Z5{rl%f2n0#`Jq(=EjXTB^IjsFa55r@y0A}QQJB3
zozrLZTkN>gz4Xe$lkPS$V#~gHTKwS1lwh}E++cQYLi&P)ilyIHB_}Qab}(k;3Z9RQ
zOTLxpTP!~*e1P}BlD(f#@*P<6?efE<m8&dHFnScPZ2CFrOhnT1A6B~T^@1|zmwa2z
z`ZPX=bKbIVJrlMwKAqEXo8@cI<J=V{t^x;azAXKI{oD-GHE*uXTlP)+CTHsjoth1t
zIx)+?hi>BNUnjOiMD){o{hCSU^&J0~T~t54cE=`_W2<~Tgt>LESZ;oIddbh}Jj_wt
ztn-$9tG>$rQkUcLyy&&vTlpUM@Y^i?{<T|R+bxAIn<d|xvhF0u96i9baoP7j^Ce$I
zBrWOGIvK$1xp~?5;P51li8{-^zpUyk&XX|ITp$1Rt4z3VW#{STV)|LW{!4!Re<{;A
zO)GhCMsMGm8_VahT%5Ie!l|EAG~>Lo`u<IfS^oX0U8(uq>ZRXT#hE|+yXoTPCEven
z|HS!_af9v0eQke!E?yL3@>KVY%gG5z6*HFqxD>uAR(tvPD|7Ad>{{wk<y$dn#@$UT
zbB-+wJ<a@d>G$CE_BZOhmwd1HeyiE>Zr+kQuaZ6gt9a-Cm5KiJi0kO`yQ#~5zPw((
zXYcGR_p&{;(Q_B17_e_yzcQ$N&wk#yab^!=tCxO%S6aSjtz7Ihj)P0SFI`nqzGuBm
zeAe+rcNq_uCf%4bx%k+OFLRDDEnv`j(X@$4`S85Rr9XCgaWvkWxTWI9gb1Cb-@l&R
zB=OF8($~3S8?3DRpUxFXuKD7A(!uccjmPhTem)V{+_vqPi-dgCzgGPnD(Bw?PiOgm
zt98rQ?I*Z&mi%}+!}a5YPnN5>1tU0FSU7jho-k{1?1L{c8yDC-E?6U@v+UP{*#DKW
zGvp^PHdyj|DPt_dtYn7P`%8c8YMhxpGkVe=SB)Dwh7*n)c`dMK>xw%E{>Owb`D<2p
zlR>WIn$CoOHH-`MHnARD{_n>Gr_GC3RW5n;ZN_({3qtePG0b{2nW-Rw)#c~4Jqf%i
z{*rHJ@_w9AaAI|02jlG3Ed4RFZk}Dxz|Is?x$#2OyH%_Qk{PxydHd;!74wwkZ!Edz
zEKHs~BYKUXI`<FD)epJma>h*fdpKszgSbOkv+A82S$^tNujGZSWshCK+y0edYfppE
zOosm03#w-?*(IHLvvJw8n?F+{Ue8!sAR4pociSpa>lv$#FW{N~VvXx9?WPTkvHyN9
zEIhhG^wH8M)|TA5o2K$Fw0fM~P+r%-m=OD4V`Fth?1nuz7#BZu;qc_=(3QKns(+<j
zXO?OAiSKhN&o6XMTlnqsZ}}Umm~v(*?^|*&tN+Jbp650T7Jp(ox%}?dHHE3qA39B#
z;>+>8hHu&3pDQLj5s=;ZCTG!exuv_rx-Tb03Ro<Cyv{9V!V9$}-x;s7FxxD7{Ql*h
zgGqOnKMCSwNSzil`^B$|ZLZ9P0<r(SUn~ufUl71p5u+2+x&GtKtC17V8zfDfxzB8Y
zqy5YSHq0HT#g;vKlXSIVB_m_fshEpTV$vA4b?C7sy_DXe!zdQBB0+jV0we#cyB}+k
z)OLt(63AOn`RsS)=Y=7Ump`<$N&3Cw*0g00v*cn{d(K{+&cV2+@%N8K+i%3Q_-tPI
zBVxg86*hIw1vfb~`=vH6e=y}k;qk`3{QvAbIK@`>SuiGjKd@Zx|AGhA4eL)NaWQf)
zczEdl0$wJCnETob+T<4So?rIh+Jb2+%-w8DvO9zKt>Jtk_<g~vjT?MAE?V*}Sb28o
zlkeA>98(zf-wF7((sTo3!znjGCd+-xUTohKS=liE^NQ&wJ2owOQhqkJ*=)ANyAzU1
z4JT$a#UwGT)L1Pm#J#Z8C%fTq&Hou!Dq<OKgcQW=KH(qN$#G-((wA;Akql4kx(y=Q
zSJ>t(cs0pFlR-$~=bEOA2V)uLbcjeXaxds(k-xEqB`#)xNzMwVeM?`@7G3!2{HX~E
zl1W>lZujQT-muMIW2)K09=kOgLL&_<SDvofz@O8&{Mm`58Ux3ZVV0*tHd<L8T>gA&
zYo+}2leyEkd|rM(=o1Iu#^B2337>DDh-|p17-N~;!5Fj5D%WR$m-z{nm`J7eWtR`v
zuINnKcy6-gyDkseN`+-VGIDP8uVHLh6|rHi&B6=c7Cn@RJ#k)xC8m@oV^cDN;2I`h
zaodcF|IACSoohVHm)F1e8bjU_g(pj{Db8P@q{M0bDapa7zR}Tcjc}x(=91@`Cz;*}
zu&(fEj@cu?dqh~3sY7SJhlkAy&!hy4*p*zHR~%quO8OGZ(ACYlb?%Det(+UY+BsKD
z@BQC!NmS>5(&8_1OMgz|f6#bC{QMdg^-UuBOLR6dad+1|UiQJANxrUs|F&fxi{?wL
z_J}e3C9!$l^4E4Z8LHRm?wri>e#Sx3n+#tUw;6uss9USMZW7Ds);`srJ1*^f=<|>F
zU&D?yOFydc{;U-Gxa9ROFA2%G<sbe{7E+$YE?y~Txcv3IOJcm=Hz{#1d0q2K$#Rvk
zl9IFklGm{-Rg7Pyn#^8h;;wG~SC{AY{Xfjpmc7=hRB2rDVduXPm-qK|Gi0q-nY?m;
zTmQ3yec6YXDi!uQhCiKlw=Vs-<in-#OJ0xHPYgX-qT6UzaqZN*B@z?2)aq-Ne7~YF
zQR1tK#LwlgpQ<FC-_>7PW4-kCu06*TE0(;nvR~NpiDB;q)f<!ceO&UoCW|dKGi&D?
zZq>8xoRc%NZtt0Ez4Sx;r<S!_6mw>_o?p*<TD54Q{n8K91vD#?mc0I@C6Ua~wmJQA
zXX=s<PtP@S-0VELammL|J&Pw7F8et5#1010rLT)Nb!_jv^|;aLbHmNYOJ6gZCsiaB
z{nJpJxbj-(^*2jCmb5kP`?lnx?EDu`xtP{0`S@gUO>)fAw>#%F=qzxOPv6D2^y5<X
z-C8@mm%sgY@b;WfddogeU)!jDW8%z@OW*j+;5u}IVT*zB!fVZpF`FzzD<{5P^jc@*
zg-^`l%Rg=YAaiu-XRaijT}cle!WMu2Fg1D6r=-PKH!n<nwB*(Anh#6w&5W6|xV>rW
z=LMgfB{}cetq_)8`iq}`l{6bq(wzy`UG^QXC$Z@Y$SnUf|Kte^p2eTIL&9Q~eEQZX
z_jt+2rP<L--j+X~;Fh!G?aduBZ)P5jT=r?Dw^nG~iWr+!adFE(t(vpo+~V}}OFx~O
z8?opI<B|m-tdBRvOk46Pc=~~V5!;u4`gv>5smjK;HzJlsP2)Vd&UF5swfuih#=Kf3
z)9uvygK_Dbe^W&^t`VA^!YJBybZPZXrsvBpPhx($wrFk$-(yu3M(d@YVx@QFZo0{#
zv;1x8)DQn_mefuCp<1)-%~#cuDfbvRbgx;jHAnGT&CYe_8cLUaI$!#Hf^5we>#5!+
z{%p>&oM3i)>D#-O6W)cI-;G?kYxDYZe>VlpS#$35bk^r<=6)~jHrlSW{@nbk`8O9W
z|1^DdyzR=e<)2pRRhI2p()2P#Vo&G)e#R}Q_SW5B+ID&s?_ATesmvGOY(4dNE${U2
z4cATzF>gC{@4FE1(PE>RZ@0IeT31@W=lwF#>`JNTqjT16UhEyY*ZTLprJwvtUzhK>
zx8&{h|Es1;O>YX@Vl`dsd5hB0Pfy?1O!$55z>y`N&c$}ST#stmvie=^!i-NH3l_#z
zE<MrAm{icQY0r`q8>^@Mk6d!%;hfob&MqvU-*HXn!~3%H``#=&mhy3L^Z%Iq#W%!1
z)dqyU-2I_`!kdVNM-Rs`d}vELbm8cN_U@aK^0TKdnf=i{pk>;!-}5$aNKMY0qOG&!
z_eq8$3!3LG;yAwO_pAl1qH8!~mjAAtVUm!{(7a;i(TNX}W?b-Rd2A!I*!7W<_p@bx
zmewwiJHpST>OK4KtOZK_1~VSoep|i3?S{>PgO7JE5aADv(O&SfZ&PacGEwtm-J3X8
zzL;3S@L|dS`D$yJ6$N54V&*YxC(Hd`W39d9<-Y}fDTY@TRG(eU(l`5=t@g=tZ(=UE
zNFMm}MlfcH?9By68yCo?FA(Bqim6^K8+LN#jfMrjYZg5{$ymSSiG1w*70gQ?>*g<c
zvVB3q*#<rC<Z}$2p_h`LEqLNP<JY(4$JZ=<GIxQ(lj8WD8_r%bpEaSyK8$lglFo$^
zvxQG@T5MnPREVpeZ~oG!Tyu49JhfX;Z+*e^lf|7Y#%Y%~w#HoKk=I{z@Y+Jd8!<~B
zt)48zzvQoO{RQ7Yf{Si{WLhD!k?}&U8Z&eDlE<n7*XFOxTlQ%C<P|#0-kD}E@=}Qr
zP!rUsK5?vW$?r9be1BY6u4r4b(tF9<#3auZ_gnezu*+sIfAMYU?KKOQbTFiU<esZD
zbI~W}%%vNW7QFRm(L5>ifBEz1H%X6}Dy~#~T=KkZyMp_TsSBSAEBNzwujN?!eCrW~
zjHQd8%|Foeai!ox#-yds-u4`r+z?=^-&FW-rOlcPt2?>s-!6D+pTF?w6CP#LB~RCW
zU-t4!{)r=J7saQq2tB{XW}d*oHw)Q5axHqyE)gU7lSlmIq|eJ9UAJ4ytH1F3za`J7
zX{tyZQ(;Uo%*$bnS#&q<;+`iQ7ni-Mp1oLR(MR$61vmdKd0}<apz<f@#x-0OD^)hG
zT$r}te=Fk(Ch_W33;r#CzUtG$rzbhf7I!9J<nmAXxNpgs)634Cue^Bap~tdw#~)6p
zSSg&yxZvUWCGSpe%1pX({?SUK<a-Mqo?RJvjAuvO2bLwLkM7@*HX)c%`omGt>Xk44
ztXTf3;)jc(uuR|Vm1mb<n19qVBInjsu7>Q!$2RRtPPr@;$l(+yko$O|f61whoxVKm
zbvGUU{P$7iRJYzxze$pLBU8nqGcHWRehx?ER)!tF*degOefGkcw#|>+V*jsV<&F8L
zkn}B<q0{=O^s2DrKMeC%cy0W*!uz<whB@3nI32t_Wu%hsiQW*@S$)+edC9-Oo0t|a
zy)?(8p=OQECy%bro1U$)p6oGegT>L6Ov+4-`s?1MR0)`@bm;xEg4vxZ`jb`fou|i>
zSM(g`KJiHU+eZ(1#T9}HQb}uAcTNt_<C*To^f2uWd;ZFO-~Kn~RdSg=HQK!7#rNqE
zE@$5ST*dNtQp7Yd!&?tD=ZWd=d7Kxy^vOPz&UUVe^=nw-Ke2@`eOj|AVaIc?v?VXr
zzgNk4)VV)<$&1jrTA_cIao4IEnVw(rRK4L(_A2d7M%hbVgqN>UF@BeNruD}O-vxS`
zBFoq1mrrGR{O8P<oMkWG@4n*pK2+Dhxqh8yyyd?$_RTx4&79)#^kr%H(`yHcYF_Ru
zYuvNvf3j-6VdSj&OP{Wrv?zT3+EtT0Sl3TncWO?5<xI;bnbX&>tUo<x*Uvk-lOlqb
zJY7CHqI%8L6sh#3PhWmocKh3;i2qL`7&k|x&A7W}*^5%KSrfm-EPwG!cLDdF*tIOR
zr@3D){c``b2<PAA==9|;*5B{T&c601S<h2&ZGo<=<+mj-thTZx-+B4zPtvuMGU+!i
zD#;XP|4*K}^u^x)I!mAa?fZD2@rB8r&TA2WQmXA1E!ubUC)2g;kBcUJ*uLmU<nou<
z*$ek<Vz6HFoFSQEebV3Olf;+4Tq^eQ>bJV2MK5cXJgi&ta?`SBU&CG$<SctOcfm_}
z`>x+{KjQ!VOG#Pw>gk&aOc5VTZB|%pTk@iKq4*?@mX0|OKOUdVK7Zk3S^dSYHZlHN
z`1mm6r>^9M&)nIW%T9>NG`Ri`3taR%XTdA87rvDpkA7!toR}5)N>yi#e%Oj7PZ$~=
zod5iyKW+Kz=Qc}T=QhfVX1{z{x9HUo=61d%ueligm%Pzs_*cexO7IBl;V(;GdByLE
z=_pEH`f6!@q(<=szm3aZDQProFMBnY;rE(<sj;6t1e*<>FM0X&(+cMsjqGQazVy2;
zq^YpND#3oq%iq7!KmSPyo4?A@_NE}m%ejA+zWj5tKx5^ZzEz6mHw#Rbz1%6Jshl+b
z#jJn3WUbe*)qaY*@vBc=$GDDh#g8?s>?KzhRo_tEq`3P>v$_DU^|F`$J&nzcrcPUS
z?9I{%It+^Xt$Y5T%(&Ke^VG>MskS|*YCmy3UUsH<760S7nmc7nU#_b9X;QSjZsjB9
zFTd7%8JOMspSo|-4$n0q%O^RV=`nqOdc&jcrIk}BFWB8{`d;fM^Tj`@ucvVpuMe54
z)qn8JvX^sTMM<?!TT<rrVDhKJb1e!{^Ortb`fBM1P4oMDm6i2NUcRhf$uZyju^->u
zw^QG*R(+Yu#%#U(<=y@3Z2v5;3n^rIdFbDt)PH{Vcm7UWT0ebL>++{h?Z1}qsb2bY
zUA#=~b|LORTMqoKTvnSId5Lq$(^XzixL1|$xy(Didd=~=n>Q{Vnfh<CaQnJrQ}@-r
zI{RwfJa5*^zt%2@=bM|mb^c1-F4K8SpYEU3U^DZ4=$oZ4zdY?Wh=^Hstf+m<|M!be
zyJk!lTdW?pd*P`gGvt=7Q2Q}+!RKk5(y@E~S1meu!s6~!GrM~qcIYfWwJFJZLAz4M
z-PIjGIT;*Nld5NYp10(*x96|83EUf(o|)qi^uwn6s5`@~oK?#||2(;V^B*Vi+7q!1
zT@Eda_e;gTVVJi-<HjdFVU{(_`JY~GTk`cu(ziw14##*;$bbGJ!k2?#jo*cBA6X`>
z|L}idu;irkWf4C^Z5B!%YN}&O`s>6M8^<tlV(~_$O;LIa(iQ)Eu_*my5LvJx#p}X?
zMUxrWf2!MBFSIsW80g2b`X)zC!mHSSKNhQhoOW{Fhr_1x-@TC8^<&u@hSeQg8M1S_
z<<?AaQe!Uu-LdT?hv28f^BA&iR<rH7{b9iYz8Hxwv9lIlu-z~(nW1qN`-c@D&I>5;
zXi1%3&+g4(#PPgrQEP<HhkH6J88#Tk$X%#z=Zu-y7oPAxDR@Ggy_n!)k(8JwhBq(Y
zwpVe^OJP~Iu_9v1f+vm13?CLfmo1vkA+W$8BKH4}#EBfz3rhb-oS$HJcD7Yp>_5T8
zA2tgg9!zFf^3Z?#Ch?6+9|fIk*uP}`qeaqZmpt^FK1b{LkLd0NrKbK%Zsjh{J-<Wz
zMx%spM{wMd&nb-V3u@2*4Lle2M|986&>u@4da|Clz4&;}stKDU1eQGie0#~mea<lx
z1f4c<MNed(w`-jsvtW$=(&xIY25*vXEq(FDA%-cIVJ1s-+u_PdKQ!g-m%ZR-iuI3C
zdcc&bEn$<rFgt=N=I7eYKleCIRP4UCI4?zEYD*StjCTE>=!sV)g=bA~WQ;i_A^+pT
z($^cCW;?4&7+(7^XU)Pl3^5yG{~OiBGOVzVn{;mD0{7Vqq>dldoA^ZG{ii$T^(z#<
zb;wSX?wa-N{OXwW&c&wL{}R(1oMf*t-YIR+j=7d(x;WtM@9(n|UcdR9n7Z(`tHe>8
zzpIP?{YiYY{95FXu*uA)HJaD_O=^w#!fv=`!JCSO*C%T(TU~Jc*NlFRt$&t$+z|6G
zY1@*|=GiM7i@1JWUs$*N<GG77q+`VXt)HL0<hc@ib%%kj_mcCUSgV)4QDd#P|GQ<A
zq4>rLl}o<dh*=%rk;JCY^<mqRAL)`yy3Vgj?J`*QX4&r>%YOBVFI}<s&7%J&R}?S%
z80{-<^y%KOWQLfpNpE8RM+hY|F3wcZ=oEe}X<_p$Z2g4q6IeA~b~oC;p1iE$=Y@|y
zmL)ZanLj?6vtYZg#-%^&Z`_%{#3J~!*}l`zo>6v__PZ0yEc%-t%k9!%`27=8+Jf_H
z62{-87hL?Y{CCd8fD?=X9V$$+tv{yOTQB<Yq?bu&k<P|NsU3zlW;Ka?eKYYF)5N4I
z_FY?Ak{RMAbOb-{`!Tndb;SwWdzllSJ$&5}V!El7A-6$>KfWf3W#ilkmY6@q+4syA
zOx^r**5ltr{gVGWMBg{$Mr_hq(;@Y9>9xoWZ;tJpzDu?(Y3+tzDUwfj$vP)BI+jmJ
zW@us9yeh%;{{%h9WQJKgWNp|thCgCrS6J{s^mkKd<chr$S(7>=YTx{Eo8oX}&5~PN
zf7HomFSxbILFj+|qef+0`yXqLojAB)qq3xz_TTyq$qWk{(vyFzKYQZb#%~LsS)91^
z>Aywwk4@?v9TCDwH&+}}lwo;x@6wXb=hn$w>t^`GxM738r(?=HChpm~rn{Fs&)r})
z(PQa~e$k&Es~1l`-yt!Nf!o86NncRbY@NbQCdXxOe$=cC&RL_liAi$l8{I(Z_;pIt
z8Fv0z^80$-8i9S2xxCjiy;YGc;h3)eXeq~h>*Zg*o)qXlDH<`&aiy1(aow`dT0ePy
zF3$3m-2bh2)}C2A)FnFCPn<VF(O6@KXY89LpTh2{T3mj;L|)UxcJ-1^q2D7LCiXJs
z{QWb3pLp#5r5|fQIm}-2G5-EkmzS$vJza2k*~jhPi*CoQGEbRPyq0md7sKCcTfJXf
zzZ8gF*SY=)$KGZCR;#Q@ypdGN@wab+zW`_1lFzRuF?4R}`nzq(wP00-+w*UizK-5<
z-EjZRZ_7VM$0l9+pYT6(?Y)1Vv)3`cU9A|)(01qK%^$0ld|tWjh2a6_7@-Xt^4A#r
z*m2M}_Wz=fSu)FKw5|HxX5Xp2@z4du5BHK5%E`GsRXw@r&}8PxKZVx(P5U`JroXKF
zZTS<HP5;u~ceY%p;py5|*yyx|dD%yH#-zSEu?!uKmlpn6@=^85M*aU8XDe2n%lfzI
zgW#pd*KS^Z`C)&uT0`@R_o(y#4k+{gjItdk^q<W8ZM5v;)K3fDW-a|#E7S2Hb`Qgr
z6Ni5OPCJ-nGC?(OkMM$B$CwrfR`Yy*RP*co!VfnlYV<NL2tB$ooGCDA-TawrmcP$W
zh<P3RKj7pU*5yfT-xj1Z#^j$YSW~#*U17kC^Pd=O7k)M9f4b}uSNilNvNnq@Jo@2j
zz9>Ro{(@{$nEE8u$MY6Ps<5j5$UaxTAg|+0R@@BxKPN9wcxF(%@Ui{sg{MCDEO^hS
zZxYkN5}1^fXfRpu<V=6|bcWdf-mwhtlFHPVf6&Z7akX#3Vwo9bAD4ab+RS|7(X$nk
zj9aE3ebvAnd7$rO#~EqH_bW6l7v0$SV0!ed-dPibuCJNElfL}p+<Qwu-2TKlbIGqO
zrIRG>fABB)rJn!8J?!KYn<c-#o)p-%CTrW$kD;%M8;ri)X5F*&{awq3)Y+>SujSr9
zNy~Mod#ugUpOH7>KQI5_KmFg-#Lde;PJdtCvH#xkOOpzuC+rCQv*bhYS585`nCq1d
z27l5HC-MJJi@GYkqOE$_`}?UIlGiM$FFm%QeCdzk_*L@3<v*LJE&cJ@?9q*TUyfS+
zWYO&L{P%?8?Vr^3|3l1g=P!M~cGp$&`}zxS?_d6*q+IOe$^FYdOlb%|zNzZb@(<Hj
z=|yIUZHaoBvhvfGW6$q@`x$+DQ_7MbL7}h8cWnEs`|aF^3$rK7U0%QL>)s~j^UJ?~
znk!{`W&I4*g-q|)@@$cc-m~^ptSal}_glUGuH~D3O(ypJq=RcttgKl2;pzKSDesAY
zZT*-2C_mTdvzOg2{%Pxmy!s^<|4JSZjemPXZt<+2Popo$D+Wl3$gNngDSN^BM-1Y;
z3+|eyuUNX^hUl7DhQ%w>*0XN@r2PEj)U#`9A{N|vVrBM&%bg+6Y#n>0mDjSnR+WsF
ztGIQR->aN)xp;NJyk&Pc%@Dn@!r;vsjqhRWS&deTq~vh0uVHPi-ylAbVf$LK_60L;
z%Kl#*6EWwbH-~B2I<{GBCtdB$o)LC-F;o9c#^W8I^de%aSGe;sCf%4GlfIyWHI||J
z<8-zayJP?Rd96Bqu=Lswha2Z7u(u0-cwzefWXcJS+7(jCXA@<2ALET!&G%>Fljq%c
zCvv2&;A@Rp@bvBzHvYS&{ks-Ey|2^te9f1gtB%LaP*Y~&U45q4VuNGSe}?yM8`Q)f
zvc$&RUtw<=$FrpQ2J6D2<1@UR=X3b~sW`FnFzbT<*>O9#HcogVxgoBGQECon&bjph
zbqjwyh-J9b_0<0Nf>Q?P=J@uQ%?{idbM?;-p2r`~oSjghUAEw?K+Io;nSc3L_=!j)
zamQ?^iHliyBawN<WeLvhjuSaDSy*g$ihev<%dNF~gT;>pvp4S8Jgb?(Jnq$^`xCkB
z8YN9XXeBeeUg0HWWWRvdZDTl#c-e`P#P?0SXIG~sZw-?FnYwBbgFA=%o+mqmA1yEt
zzn8q)cXNYs6QexS(+#ozHki%Z)p~W$k`FZ}1w5C%)SfDJ`pu$Gk0!qVxSlC>$xHc@
zf=i{QF8OP3wm9rlw}9S~@6VRpJG<~hA4~f*7ImSiKNy#Ooyg3)xZ?bR*Lq^B_Pk+?
zS@La;l<b=&?=Np+&HtgLEa7Os<eN>>;<B=(?;}}qe=oe`E6EwR<h`c-(r@<``roiw
z@ZtQDPxh6Iz8+oZ#}%`Y@$ZF2H~7DuWeMwB_TKtx*`mr6!5uUA9iEi5l1rJD_Y;rw
zo(U536c`wSlJ3XoC3Vl(FE#hg!WGF&vgKbd`0(Rb*Pk5)X%mi$zg}=o^7>z~nVV#L
zTw^ZuEd5ZQqA>lWti1kBv9blfRhYahdNwizzuB=}it$OzBL(dPyrSQdPrv@WimhUC
zpEDz;_Q|s4pDOpSXs%q#_9{*~Z<5d<jgw3N=YRXY;NY7DzmpgAwKFZ~>E&GT^?QZn
z(vwG5Ea9`Q|6j22$1jV=JFnQ@`?u(f#J>YCSFiN{`B(q+3I;2)4cYlW6#g*%*lMV8
z;McX)P8%1@^%S0D;2+mBdD99W?(>#HQ%|3Ky;A+d=T!o3zu9NkE&X$Pg<AT-i#K9^
zMm-d4&^f!xO<5$??BRylaZ(c|Z(RQU_O;b<$=*Ben|_O3@LhQyLt&lY$|zxt*E0K8
z_<azb!NJGEzv2YH{m=9pF+wX7TX|RTTxWO?BNfZgxc-vxgnt&n9WvrJG0Kbo$gQ~F
z!5w4Bz<pSY@dx9E3H{<LZi(M}xk4|3r9rioX~CcCEfV%IsvD-(KX8q?a6rTKk6P0C
z>q?GCy_XgJTm0?gPQHo--`dx4@c+nK=_`=bl;{!5z2L|D|2xiXNM5PmcVg0H%U2Wk
z)!HonHb?Y?^_qyU-xfWYx8&>Bt~HJ(6-f(zPGo$vLh9;|hLu`-8eTMfNoHt2kQ=+^
zN6U?!pALLXW>~c3Ls{hkrL&95A1!^nwC8}=idW+Oi|QBMW>4C^es#vrMvK-MPyRJO
zsv}RncXeo#_+NJ+EQO(+Nqgz_n}3SpBG>VL{F5^MqR(Uw%|$=hlf3meavx&!PV!qN
znjN-=`^}A(M*bx6ScdF9D_D|uq(1((A?@=TNoCJw|79OO$FAUfyx#lt8X+y$l>)~%
z1>Sz7c|3WAcz=WW)irCy-)t0a=hSfh(>OnJje20n!z|ui|1Wwb{!jW<?=LvhY)#z1
z<R6`TRw^D!-n~5ePv_b{e|DVUkX-)J?_tu41A_J^z3y|kewjVL!&6lG!XM7bE=fxz
z<`+mV{=|`dO^Yx2$^S~ut!v-;Iz7DBVY}w4_M=q`Kd<BR^Wxjc6n*T%{1w&qZzNaw
zY~(m%bAFPe8^`4}ys?|+1$)=65wX&r!n=|s`HR^8dvi)Ov;U?~7oNW7f@t!U$f^FU
zkFQR0=8%wI`epv<hSRe?`k8J_QcYS>cR1!|O}Astn?s+J9k~6MJd2;inJcn%s>k&T
z`)fK+qd0c|+jHUMH66SA-&Tcu{A#m;^}CmpwwH>#Mt<CNos%;(^8PjboT$<L`>cKb
z|MYSt>DrjRSyx55=L+$@S@JdJ+l-wXd!)O~V<TeD%w1}CQrT2s<Jlkc)QsP0|FoIA
z{EKD%gNtD=mDgO+x%~4&N6(KlPLFRCUYMbf%+T+^{Q8>C38m_PY4<}XxxTiV`gMc9
z``hsPTYbe#zWD2Ql)mnHH)r{~@L#I^;VYc)PCt3_(ex{oMV}ffXErDO*IFAqXYJif
z9k%P&S1z9IUgKYRUHkduTJ|OTYp<-GSigy5_tGz)6O)!L-7OnAt9R*_my@%6SAVaF
zT=wpHKL3krG5?qUxpSK9^xyQj=gZ$s%|3ZaklS$SJL~XA98+UgnIB#9&hD$GsqFu2
z+ILP~7G5BJSm}g^b=)=WpDAY~mpt1kWKhep@rU`F4KKLAM8p`!E`EMv;Y*Iqe^bn3
zHf`&gyRhX0)1uuU?p@I9TKZD`d4p}kk@L%*Puk&hJ?G_|b6t5IHaGspPG;dh@#4mW
zjy>!VNjE0Nq%TUD)7kVV(SH2}`5Oyn`A-rmTk>Wn&kO-Y`9-&wv`@bOG|A_RX4itH
zXRl~#PhIfNeEki-%4?cO7d=Q@{$^_K!beFz3RxE1G7o!s!Yt8n(Xq#j7wpV8O<^iL
z@l`5$55uMdi<lXcma5P7w0J1L^m$k$qvVn|+7q6~{tx+SzwpJ`5BrWKEqu-v5%c|~
z!u6By`Yq?=ot`W*VH$fhW9)_`^QA8y#GG69?B<T#>_5CGWR*P&%N}<e74)oRu3zvX
zf-z~q%MD2`A9{Z-^x3@d<%R7m!E%dU{J)@~$s+tCA!*SBWmcaU^@eF|n*AFJcKFpt
zFkYx`<!#tkJbUXVR@NmCV;(tdj$3kn=eH9n^R8=t-Lq`}ajqQ-_H~yflldAhyxCdk
zF3a$a=lX;;{f!Uu-d)qYr^{7Sy&-ZF6Z;j-+f!Fq{9m(do%)A=`<K0rIX2<z!7KBZ
zZWW!qao;uG*jqEV{aE3)XM&W|YKKL23)g;L^7@oIFZ<&edKaY6Ue(OI8aXl5^#`xa
zi5cyN$7gi@ToGXPZR3NDOWt0e%C~RR(%0vwF5uj}aZ}Rrw`;vvAKyLKqPXO>o~rWG
zN`~@PGbT$Nc<lCZ+1v1?ve!0U)x4d)o_Fq=oi~=t-74GX@<}sy**>q+1-(n&L|<FU
zdr?HX@|xz`OYF6qG%eQgdVM>>6wLZ~|EKSJmcDs9VeXU0iL0b#PwJdv=HI#B?%0a6
z7o)O1F^ON-j9bp~cN+8mB@dQ<<#~Mn+!Uo{ueENj)IPr3`)8w@KMUuvFK$1h)s<v_
zU)PN7?l^Q?KtyNhgFUM+9CA9!uq^Dl=4&sZANQWLcuOc9vEx{A_{`1x(;PdlYF5=s
zE=XGcbY|pL&9(NGCT!1o^-pq6*YvuknODD>XXo@J#w8D)>s^%ze7}P2{3^TIUp}u6
z=b5P=kYv3vwCqaU>*AlG^OiiQ`sr5oWv`cX>?f)CSrcYQX!Pu`y8JOd#bDcY&Hd|2
zWa_<Zn!R_d>09!;c&kkNtL-~-7s<B&w^{Pw?kdx}x7JE0&lO!BWq$wfr-k}gG~)M~
zJlr*n^{VOPec^nwr4L-VKZR3u*Zz}x{&u|TG`F9$Nu}f4g-F|6R@no;_gvE~``g!1
zz;ehjMNs{^rhTNU_jS#;dRJpI-p>f%yrE=KY})!rmL+di2bb=;8pQn4?$-BjOWy3d
zTC!{FYBu}Y(p~v$<==<eUOBzOd?JJTPRZEPUFy6irF%4P+y9NfyQ_TH_GMfBPETAn
zb7%G^9>(?8Zm%ramHmG4p%=34*_AWdmKH?C#OxQ?yXK?!LdK*uGu|&@eO<O|-`XXw
zFRd-v_3o=|^!}!hkPz#qv$sxpqTiA?b@JL}1sC2deSInR+>Xq~lw&^@bjYWrB%N!h
zx~92Rd#2IXDGTqmZ7#h%QTGSu4}rvhj<fPV7-I^qu%10J!|vX~lqWY=U5K~c{b0?H
zuD5%ZChhF8Ub`?meF2AQ{i%AFJrmaW3zVC6f7p~iVb@jmZU&E<iG@$<83L9zO^gux
zZ#y?4`J?3I2_OG?n4T24#J=ETarU3Q%lyrC$qTNT*8lJ+docZR>?h^(%dgI#pntq8
zdPe^_+ePQZCip0K20yOP|0piNnB?d6XeIxIUH|$ge7qa~wIkw`D(~XA$N#0KEx2TR
zlPPxjuaCQY=5c7eS$6ZI&+nRuWmjgs-x>eiW=_YO2Mdp#U<h7tq-qmG<Qm4stlvA<
zaJ1zxe*X~DFd?pg()05d6sq@pe6Toe`Q^{EzC7RCFaGH<$M$9am4o`X@+_TvqCK18
zTm;{glM0M64ICfeU*wul9r1qVY1!Eeu7A2I`XrWtv1P&a&mW$sGf2(9_+`oU+?y<?
zX55*~A@iqR{{6ChF6$Tq?=8Ofu<6Qj-%G7~UO($jTKURm;juNG7bVgc9o@J%qVmG!
z$;Jr_WLu?H{!zZc!uNQ?)D;ZJm)*@f@{eP>(2kTm8>Sfv-xl^h<Xo^>^v0K@CqMF;
z6=MHuRxcEZTli@6#11LJ-3>KAA8zmce39d?&WxOEyB9Yn|GT*K`*aD54FZv?8<*Vq
zG@(GAF)nFAf#D{8o!=|m?k!k8kwfdE?EN(t<(~cg`}srhvx_XI-7|7Gy*mE;+Os8L
zA3rSV<C?YRn&h?<PyW6+c|%Ds@}xx3%jS)C2Ao~{zx_yXk!X`+;y$)f>6%3L`vn{1
ze==2GRO{zn_~z<_BuSZFA6D5$N}T%>KUwhb;`wJ6?$p{;IQMV(<R#nIznc)#$6>zg
z$@N_~r!RPN{z9l$k>JN2MgLYN{rMr{cAsO%9^Hn*kIR1r9h!9Fvev(a)0N)}$lYW4
zaQlbGlBMpPN6ltD+<k#<f}MoHD~<1K9y~tob>eIGn&1nHkA8k?J$*s-eueml0N#I(
zDv}vKE_`zKJ&X3gUwj{z-zb{?$|Lm2j=AfTKVFl%<*m4bMO)uU%Kr27vPOZA|AL<V
zjad6sV!~f9{hy4H3;I4^l$B$&|8;4Ta>U|Qk*7Z#UA(FO-v97>OK;7y;!DvnoNn;=
z+46<Ae{S#l7q$J|(tGzFC;$7cbAIXD{OF(mKCV2H^g>j2Bh!1f1qY?MU%zPmz_}v$
z=1Z}5jXyH;j~acuabhRq`<O4W|5m?9n($uX-txwy%XZH@AbWkyOQvTxliHIwT-U5z
z<9W~LMoZbs(2Xl3rDFg6|DpRMc~jS(MsX)Uj{G9aZznI*d|j|XY{9`#NfzH$=&EVz
z?7wmU$HFt`mmF(LW>|1u{-A^^W6ZzbyZc@gea~5X{P_myALgrAbCw;u_99XFg7t^}
zFGPO+_ddGtT>T`;?XG>hczcr;9y0&mC=oI7?$McA`6n2YET`Y#w@+yiQdrb$xPdi^
zktca&#_{DRr!_`xT*ZAt`}eiU+Mg~oUFez3$oi+ZUX>xV{;$>h7fSNl3m<KA`nr<S
zQ(%TCquj!2`pX}E@)o{iFyB)yA(>&p^2ba4Wc=@4Q}kpIty}W&34{C&j?J%1<rz1{
zaQ^*M_<3$n#+$ir&;EHW-}|TNM9_?-RrM~rIJo^~zSlMIObocOe2f176@53>y8ii9
zd?M(^@@;0be+H&5xqWKM@9felo{cx7lAav;IY~hJ-|VU1{)Yss3ar(C@;iP~XJ^v9
z{~>exl9ujYt5v;W>A(NcFDLOOpY@5|XZO=0bjd!w*9W>jGOk!R|AA1jY4^V`XI5(c
zwCVge^QV_bwU^6kkIuM1^EE3y=Kq>8k%4{9nYCK6=FX=%INSKN<4@Wt{|`C$bIHD6
zsVdb=A8+3^+4;5B>7Er%5__Ly+Fn^Zb(h{ti`TAicTWnqx$MeZuUP(1DY8p17ftQs
z{nK*olWKxy==`;+8T?n*+^_p3@+8Che)(MMmkjl5ChlIsvGY!43dixEw#To?S;d}Q
zdhy1M?6StH<JaW0<0Ttb)W!S_*~i;(D^~k&q5drK^-CX@Zd<bd-T5EE-P0$0UUKPj
zZpRe!FqQ*CIZO9{Ui2|PecAr*?OWI$GcDOy{c(dh*T=H7rI(L)hE)G4d$Ircw^NI{
zmhFGLA;x>dPrixs7wo?v6TarBjQXjL>LnLWS|}_$|7_`j-8~&EyMEt&a^l+31Ft{C
z?0#{KpZWgM1OJvixV`AWG3Eu&kMk_M7~eCs>%t43|35bW%-~I0_ITpE$x+1}AMP!@
zd?Wl<*4h7&_RTM1{|C>UvT@1&vyl%Cwf-%<yyihb`mBg2JRB3*bC&L(dO@`Q2G8RC
zf8N|`W=Wb5ethA+_88X_%S;c?)aY6Ez^ZcL<&8`CrOr6^;6#l3hw0*Bp-Uf}T)OZ1
z;)|@j$r1lGWB*6$#xFha_vwN?tV}WguS%zUSZsTH$-%$RUleb?Ds!sTvf<UX=w-jB
z#cW^pp!VII4wK`ep_43czj3<1@2QjizudPfUA-*7k9X9s{F#|u#38siD(?5p;>E$o
zj!vK9bdtgN=p>2N(_a76IN><||IF-j9m|g>+r^%YvAim+UtYZ_Eob?`+Mg@dZ~mgB
zBCslc*@4iq7MIW8AB7)f{_A_MR^6!T|B~*IO&0H#9J-s@QM~5JL3N8M@1ITaRQzhZ
z>)!v!-|-%+zI}OU^|MoL%Fo~FjDNqrf70_{>d*I9Kbf!onYcb0d>r?tr5A(0?vja5
zO;cFoH`Q+T%nN@a@BWHbOqJX7R{#6n&`+D|*Q^WHH9Q;1#{AFkcmC`bl}iq+U;9d~
zqG`v+tI~e4b3Fn=%6FwNIrREk>8`tGtC_lYPWcsCv-CjiCwsoGc^m9nee`vG?p6Qw
zy|0>Z?P~e1n`i$&Pwn`zXXeh76UYDhuH83T<>vj;>#v?nc(mlu>(AGuz3#qk+mw~P
zc(vcc%)M*wH7q&5VD;=pMVo)}{XCKG%Ov})ZO7U3f9(1iqTei$I6A@7?gqoGDG3!p
zpTA6AuwZ7u`Gu1OjrPn*ss3v)m$Aua(Q&bj)01EJE;~KHr(yQ8#N!LM=Y>63v$<hu
z-lh}F7hj$C$6)(vn@tNgacVVM=*6Atn0R38!rs4Y7T5lmFy+sp5M_=Kvv0E;_AiL|
zF>%V9B@eIM>$rY?#>V-aIXyi>GbW2yU-DGz5s6>&X-~|OsLh;<YL*_q`6pE~ZtW-i
zKTD3x5weMxw)E)DC7V9Qbxix%`><*gWB#Ix78@+AFWO&QcKP<nP5RfCUw+(pvGM4H
z9II<hKUx=03O(MiW!H^G7e6n2_T%jN_7^|3Liao<NS?8@{pKOok3W7pCja{((0Ob_
z%MV-aYYPu=T)OGW!fbQ-m0>YvA_s%ZlCE(+)a+bXyXO*rbJFb_KW^x(V7>8q>Bows
znSz@aypMdkCHMIun}wh4tCy~eUa(PTwe7!!N0%@$i=6l~V~OX5^FmDbK4i{07`sOI
z=tBNkt6m?GaDKMza@^yXyAx#Jd^C&r$=m<y!J-z%e8!}OS8Tg~1RiSp{6OXeW8@E~
z!<;X*wa-m3ouw(AbWL&Lts@N8Qa}9l<1Pm3EZz6*ionmk3u2XJK3e~t@omZBnm_m7
z@LdtOd~Q<7FRyvCf1LezrC(9u&o!Cpv;H4nawRK@RaYUzizSWE$ZSDkB%|As0~@c1
z`L!o`GT7dDzd(26fB#!@YlO>^mhazHHp4MuTGCJdvnw{Ke|%-Vbg$%$fd32ID}VT%
zy&$IbhPz=M|J(nK=aYZvh_63=y_56cj0FqABg&T^dvhUxts^N|c}BLR1^30YkM=8z
z!#4ihc+tD7N8<12>!Rl>mu=s9f60-{dl%n6x^mUC<$E_T-TD3B**}?UEMk_ePhGm_
z^zyxNZ~sahT`6N#c2WLwLxr{3()ZTQ8IuGuT%>-^3D;SYn&eO&Gu1S7%@w2P%kF<(
zazZw#djq3Fz?;>EhaN0ETlXjF$HMf6-wAh;ek?vH|Gv{oU7?75>7i>$2I;3*7xgg4
zd`kXz=)coOhPMk(&C-apS>93i+u(-Ol9Rv1wI2S>Uw$%ol1*t%r`Vbo%TB#rrPJ^7
zVq@qD7KW2QHgx~oQu=0<PUNbD6t}u1+bpY>Z2G{Nw0HTLYrQc{9R}YwE<JPIGth`*
z^S`AHpB7G4<2bH=)9c>f%!7<Eze8rN*!B5mQvZVWruDyqt}UF@@u~3W0*>-E%hsnZ
zKe_haWUn79=1nyIyYyoGspXrZZXPr`-{58cNyvMeqyC=c3u@^L&-t<>?pwY#a}GcA
z&zS!kwLdRCAGVZd_ql`iRsXIT39}sTj+9t>Vaf3&|Liw0Y+rsd?6g$jT9Mn8OZKc5
z^Ozj_epXt=RJGlc6wQ~O+&Wz_R3viGUxS!`6MFY7-SbsdK)QbEobXQql`WAc1)8V2
zu1i_)`TX+T_vdmyej*{c^!8gXqq*DWul=-S&$aF1{O_h~sGhBiuwNtld%DTWWqY>o
z`l4>SmEm{K){mm<ua<B`s~ErX3VB$!{Dx)zl0D&T-Cw_dTBQv##qDkE*G~zNe+@sK
z>?nN_bz&3$lAYmG_o;53o?*Lx+SVH}3x!;NK8ahh`sbU)JtucEE<Jf`_58JYng#ti
z#y<~<{?c_VSROXz)6pM1_LFAKEZDhZ=X!s>r++uC5Z+r|zKZ{GG}qN_OLv`LwkLY;
zx3{A2uL#zDT2_Da{4Ck;d#*5tt9H!1{e)xMy`;a}gEs#)n6Lj+s{6{qZ`TFyhfY%L
zKa#s_&-T~fm+riJ`lIJXF1tTG{|r(V)||cYvG1C||4mEwhTrbkF8g}n!JmJ;U0(?N
zDeJ79H+lWt$to#J_ijJNn6z;B^ND_c*K{8Kxn!^RihJKWkN#P<H}n&W<<h<7n;Dny
z&i(H__w7&p$WM#*OicU0vviN?rkfA!cUtXPvTtwaf%u1vOZKgQyYTAq;AQ)^e|&lL
zr)Kw({d={3as(~ezh;7NcUOP>#znh-E;;aL(f*seZH_NL_-&5VN;MYdnh8h$E!?-J
zVQc^A-pQ=2OLwpT_i5qj`3iIPT;bn5^Nna2W5@KfQ(b=jRoAlFzj*1*Yy3~OJC&Oa
z5+WDPFt`7!A9^xt?KOVuPZ_({T0UB~Z|x*Yg{3e5e(IF2{<n1Bt0x7g8>3|3Fa4go
z%z5{orTe~4GEA-TT*G!XjOFS4mY&U5`2DXn-96fnskezMa<1R}jjd-VzL_Y-(!Ffo
zcI&JBk9C=zub!cvv`)-6mgnioCwu>S>03^iw0pgnuJhmgi8t;o-S;nA=*PD`M&1$}
z|Ca9i=fB|6ymw|QoKrWx%U`xHT-U~H{~ouc^1*fKHxEv{@OkR<&wCk;zFGP{d{e{c
zIgh?eZZQ2laoe*!{#z$W$4bTjnZzlv`CI<7egD^*-2C--$-b+5P452tc2%~2$-eFH
zrZ1i3u-xWL(aLbkc+QP$7}w1SeY&vf?2_Hzdy^K2`l=k3XJh?6&87VBwxxSME#LQT
ze#x#^-<RwQ|NE<C*X<X7BNly`yleZE=F**;w@<tDerfmHr%X?mT-|zpTUy<!l3k}4
zFPpt&|JGO2riwf=-h4E>M3wjG&BKXT`Ip|`KJC=rCHuBlUtKfh{fQ47XKnhqR{!^{
zNsAUuckmb3fA7H+kEBU<7j*AEF;PkUGRflFp{du>BL&`0|2SPMlJ(`bX)mMYVs#TP
z#F{?*bc?yi(C%gVjyUGq|JKglH@%`}$^O0CC(U0Py>5$~C7nIz(Zr?uw*M>ISsFLP
z?d-CB_ve3_`)6YEqt=75F)dsEM!!6q%(-OE`@b^{_&?PzS$$GU^J7bY+n(EtDrU9(
z*t|APdROIxk{R<we`blF3TIEs-`;lkfs5@;gLjM8PiBc)awN6;huo44d#AHxEI+PZ
zzigv^u%7gyBlGoVx|RKtdh_N~#L|;zXX{lg&O7_Dq<`^o@yAWYA0PIvTDEa(>Wk>^
zyMI1dod}$CqSh+zzt-VchK19fZFt=pvvkAObN@8!Bd>9vU$*{MC(qi2tatu=ko!5K
zLG*pw=cEaO;VBG9mK;9W!S{SYtNRD3Cq7Jf=IrtPpmlBL+IGf{Z=ZM8OK0C?lAg8t
z<HD}T3+;|Cd@}!MOl!5%GM$-RKNyo1tY0%lX5OO9|JTg4l~4Zmf7#hJ|7340-#C#e
zY{^;OJxQqxPd!ZXy~JUDA|Pg6#GU1vx<2fC^V6hq;fBOTOV=xVTP%A&tNr=pTc3CI
z&RbyqKT+z#8g_;oks`|`l)hQIA*6<J>6&j3AADT0VUp1P2=+fV#}{qr|Kan5b3*4g
zWnK>1`AhqkuX^9J$!?{lE5|vrIgxgc`X<g_wC}^;yKheZd^{uK@0$fXK5#cY*1Pw)
z;fC-<$Imm}t>aMV{}UMg|CTXjfF4=8<kOp9Hp()&@k_Vgoarq;F+-l8YtbZ+tDh%`
zW!?MO{DX1Q1N%zB2?sMLGO{n*Id%Jg+w*Ztcij48W3SFV=Tm1<(xTmIOQ(BsdVUg$
z|L4)ieda`$K*=w$`Ag5%dvQNd;{MU0Hs$oPW1o~N|B98_$8753GW{j_XtMOiNwO77
z&pkcL@&3-zb9#HH+QxUrEZe>PDA$&NA6nU)1a*Hp&0n&|boC^aLwja#PusQ6w0g;&
z&9hd>H8aNiD2>%wG0o*a1M_hO`#qk^_bA4kR`9>3wu0sJ!l~N-7Mz)=5Ig7W`Jb&;
z*G?V%ILk3&)?M-J<@?%`emBI(|I?ag`+V(^)0dzAb>2JK_$%|4H&g7p|2zNJ>fgwz
z^XEp=&-{-|_Vvq%P15N9>sT76xn`0!zl509TNTNdhIXr$p5C(N-}(P;_FI>n4gWOl
z=#BYBAD8S5x;7!~>_2z=w@VKnUA0y|>EDNmo~}wN*H$mt^E)=;qW;;{3+JEwasSUW
z2fmo5hcA-3V>G8a{683Tb)v)n8w<8?T)2Tt@j#4p(vukN6*^ki7G2mlgFkD{n*|q|
zV;L`;HSJ!!_pfK{o>em{ek2~f@UU;mnWx{D`aWKI@~NMWYumxA5)KDdG>=$<t~gJW
zTDACwz2C{B%*=I*PPIrLiT(e>t~4dnZ{hZK#zj4%Cml`-Xis$C_had4Pa{1cn>Wms
zRrNO**RcH1*(kN(j53of|KitwBW>O;Jb!e_S+BVam3vNFS1!4_c7KYI;P=o|KXkh+
z@1<D%n*2#TQbBat`iV@At8R!+WDs2%blOoUf@Sd!Uj|LNWgGtg4EpiMc;f~6d9m|T
ztgDvY_#Tlw<B{na?wBRluZM5aYuPAvi1Fu-E{@(k|Kf|MmPox>c0BB)z}y+J`HzId
zla}mW`u>T;@#S~E|C=n5KI`&b6@}}2Ony()Rb$}&c4|USzl+ktKg;jj4?iiu`z6nA
zl7RTLbJOj<{*G5M4b@lB-v7TPX0A)S&ayq>k7Dw*Uo{?ocz)Kur5~5vsr$RV&-cwG
zot18Hcg0mO*DX8#S|wk1k>L6z$782Xd1%vUb!{utZ~qR<S6f_FXU*(Le?R@zb@h(N
z>(|XFSKlSy-Ko1x;qR(RGvx2IPnw~-WcOUZLyD8uOl0EjT^72h{h71Uz2|E<HZ(o@
zQti(WKZ!+?A#>@S^3{`=i<#!?EZ<wYeZldsDGI3*^`3laU9x*=KXXT2?G5f_$Myd$
z{=s#F@t<_(j!%2vEZsfl!|fAXjHb(v*L`4$DVyPxf35M{@d=Yps>LnYU0k>DSn-5&
ze<sYxn>E2UcCtd(#5cY_vp)G+EV*6(Nny#-y)oxHCeM6-;^(%dd;HGt=@7lSY|qI}
z*R8IdF#farSW?F#+c1`n@0<V17*FG0crbYn!<JcI{L7UW-sar;xZ}pc-K`N_bItcJ
zzis|<chi}sScZki<0EGLl1_};@I*ZS!<QRLs~YzCGrJfuxivYwNm{=9?3V{^+I!CZ
zlgjOAwExGwbk`%+1-tm4$9!{Jv}@0Q$=;-xWxKZSU3RSK`Nz2POO9DxKg3}hQ`ofg
z<_c4B{c9oL{>ZGV-~Z#n&!xLhckHSE!?fV@tR=hO@B7?U`+V8%&p)!B{hfaFugvZA
zWiRH|EZH@`v(I!-Oza<-zjika-d}S%p%Jq9&Fjcz^}l5fr^VDSTX)h@W18>!lz(>9
z|4(xIbxh=M5dYJ?J-HvJ+E{-*&1Ln+&hF>(-K*;=112v!_H=hjRsHu1bM<a74Lz-u
za#m6+{O97%H(!IkZC|o`?d>JIqyL|ls{LnY^?mvu<Bw++d8=A+KmMG(bkEy&A>p?9
zmkmBI*?sNnY5Ud7k6DLKFW<E;cgdc+|2Bku><OB_AbL&v`pc;+(_;55TM~8k-qPLc
z;_dm$UUmPlTekak*46dXZZFxr>um>5<UCJZNlp2#Tlbgks*igp{&9}`&nb7ddaXM0
zt7OOLC3{L&n>?<Yy|i=ff`8kV?2fHoy8Czb<5`Pu?)$jp*tuBAMYiFsn|}V0f2#G<
z;Mg-cRr_U!o-f?7=9j$Ei&Xn1haOhPF5E6Ve^$+sL-T*mS-R@e<Rg}=HoRZ+^3$dl
zJAZLL+fgWQJ)=8`E5>g@*yr=_??o<t|7X$BpSwbQEoOcD%a-+qDdq>?&80h*+O1!?
zD{W=<jo1mgw!2T(e-vF1*kviZXX)yjvoC#Ibn3?Pb8|QS<WD-;V7q#mNkUA+qP6~<
z$F7~|J{-%iZ0+o&tMgaSnEv>OfGqoF<yeMWKe?9wQ2ThYgPU>p1c%Ct%9|ITwfeVg
z?W9-tns3Ke2w$H3=8w9u=Kc-shZ&Zvo3GNnXTgTF#ctw}pCm3E@MV}Ox9Cm!grp^<
ztuYH99$$E}d-?jkUX1U}mMpja;r5B)?@FB;2MmudIW~Lw7TxMubIZ;ypZ(#7hU_Ok
zp?{Ot-B`BvN6hCF?wrE+K9m>sOp04*{dws$`xH4Tli4f3pAcnfUG?bojWtW&EITlF
z$;tmGesXj#J6XS(XM(lfpKmL!aovBtVEgkA`{fmxH~(kh@O=Hx@XIHTrhm5cX6+SU
z{oBxkQ<rOQ-I6=UXMcD!bDcX|+9W|gX2ZpoN;fV$GM_~|XUg+`ORk<@x`C@Jd#z_q
z%+iC;cQBf2FWV7)mDQcW_LIQTi7wp-ee3ruT$a9qSD1NrdnH%FqO0PnEV@F8yZ@L^
z5lwrusQ>Yj-CK7bm5`gdaCeoFgxWE-KgmmWZIiHH^D#7X!DQLPJ7!FnIbn6h520k%
z6~8zBkujSwQ6ja1qvw~jz5U`HkGQn7e=j<}W9x?x8=bU{?bvy0f?V?Y-HJ-W+^<*u
zNLsdMb$+GryA|tGWD@Qy|Fm?|y_?JTtk+$zrS8P-%?qbZ_@yy<$*tPYlY6=u`1h>Y
zGKE2P%}Se!MH?n7sV_hDbkB<5kBkcreE2eBsofDxhMo5p-TW`8!N7Ut_rjacmLA@?
z^H<-BGTSiiP2ucUlYY<mcQ|S0N~SL{Z~i3z|Dnqs%gB(D^hVovg6;i1Kbazzp4k0F
zMP;MZWXAA6zWdiKKe?5`QfEPPMa;v+Cnhr-+)#IS^U@RQ458A$PhEeKWWaEH<AlV|
z3yz=PSa;~%vg1+vIojVWKM^)nF!#*{jvGr)yr0DNz5nI@<fKI>O(TVrmn?s?WZkqA
zuICq?tlK2yxMY=h?e9hj4}%(^$Z!7{)#eElu3ok`b;+r%zo#k0Kb^gG)6ysRZf@Ks
zx_ZIMlL|AhNZEZz{$JH-#NQn$_5Z3=YSL_lUakq<_Hha`b|3w7>{i{9YnxX~-k6{N
z_V#0zlNy;zHy2ed-Tpe>dG@s}y2qCaMo$&@ePXbE$@cZuKP}vs>|FoPX3dX(OK-il
zI_bq7Zu{rP{1p-ZPiXO!F1hkLWl@p+`x!i$Hqx(`>?+j{Xsup)H++|xX?|qF<fXTE
zUov<8`^l|+>GrVFRW7gpJ++#=^w$1$!7guWw|l(abN0*Un;V{eUb6k#{LHt1_A>7c
zxcFzu_N$Q-@*-mQ%4WYT%Ab4k&zdh``g7Lai`uKZ?<?E$OI4BEb1N6m@}JOuZ^`y`
zA^cCbbJXwIuJ}!L%FNSCH>WS#wKuO)Y~f$w-IF#?Rf_x(`B!J@RGF`{r<_0YMf>KG
zpKtdp-5&dGR>BhHV%C?|krE&ODq5cu;g<clr}$UNx8+xs-aq@rYWpJfH$CFpXN24S
zpDmiU+a>nR5e>^`3G0Ig%J!E%ogyN<uQ>E)kPYj#rMq@}9oRi>$)^17A6s9z_A-5#
ze{|Wdy5Be6_@B_e_drZg{%K6nwiC9KD#bob>A(4Y$*${BOy>9gidLAvh}pAn`$wid
z0eNDJlk%5t?`29_u=(i8%k`6((pXd%@4EklsbcBIoi-md<}KTJZqen}6+5|QckeBF
zv~1V@&kOe4Xv|OM{wJopX$n`^2A`~)O&`4eHOy&G+A!&E&QE2t-zwZowuMzPOE1}O
z{d>XX!$C{8&tAN}HR8j^_OA|=Ki>QidtI|++wqtW``cr<m+$_X#I$h7=0)4mHr}(p
zzkJ8Tq=cor_OJdkDYt)Rt9bm<P4RUNB5U>+-JUD)@6F=+AO8&B=5?>MT(ax_zUhj8
z{wjZc_4F6-A0_*n5BMAZZ(d%!-SYjOzN=}M++|nKh)JL1A)mhV)n3c^J*WRgOpk1j
zTe@;<s^*bpTBr9^zN+lrw0TKV`bW0St;x@qoZM>nGj9DK!?jlXm+p$y6>8lS&BWSm
zaAWyqtN%-O)#^%c{_Z$-lIi{1mG%FYZ+rJdV&)pL>1&sM{_5#GUxEEz<I2y=KZh@6
zeV#Xc%DiQt?IpSHo~S;_`<m<R7v8>QyY9cT{wbyLPIpqq+zC@kQ&xz4nYHRM^PDBV
zMaFg0?!Wr9WMk>?B|dAjZ!g)jb;{zYaT;%~HCp{yva5W$L08U~ZdK{%pSx}>-?m!&
zCdc_T8tbk0FWGf<dmK~EyX`AY9#-cs+5UIEO#CiY&XqfoS1&F8liKmw-{a1`KO*}g
zlNRjuH~&28XxgE?rQ7!Zi&tqV{k>$@+I3629+&KT|AS?TKycZv>(`cS{}pO;W&e_G
z+jmWu3NPKY?(@?3YL_ePmuw4P9}~6f>5OMfwyj<Fq-;mu1@*5bJ93vc`kFnA{k^ni
z&Hk0Q->+FV{oURr+pg|6c{y$6q<Ptjr=`=MZ{k|AYx_TMFW+}9n{O>!8GVv5d&b>o
zOIPjx_f)E8`?aYlCsdcMw*ATF_V?)VnSb@aEm}R<sBEWJ_{U|dqdsw!9B;7iX<u@6
z(dzq8q+a|{N?*TVhvcNt)yvjQ^s}s8zWTqHqMq0irn>uoHSaAw8gI6+==ah!x`NW$
zzcj8bUF9cWTlP<5&yqFY-Y#3dG?k-j$)V%R*VL(UEPlWEz|V!N`xl-{yZh%rv9wS1
z0%NlUGqe}8{_EyCzx>GSlUkVz=BF=RzFg=*bZq~kxie>leqOfv{z(Dj#rx(hKYai8
zvgQ8jJPoTCEPb@-@YOX-mWLSeSlu-KcVhEIjsweQKi&SdYV(4P$CvEj{}m~rxN!gZ
zCF`<(ugiZT#k_R;)0BXOrF-n{7p#2~vwTnbvd!C{F#SLGP<;Mk!O!pH*PnZKlKbi8
z<fJ9@ck91jvNbk+W%lMBTe+F+|FR#PnPhd~`@5ezSDsz4Zr+lufA{TOw&v^GiTd?V
z%oLWcIlFZC{8h2;5@GlLNG2zp_+}d^9kw!f%@qAOeZzZ^(p^h-1hYjyy<f3y$;P<T
zS=axm<>xP%{B-Zmj=lx!?!GyDJo$p9?e2xApP&5wcg@13ALRcsNhQr+-L~Z4)rkr@
zOBSAAvbbyc`t@s<?+7`Wr2eM*?TI(0A2n2XRwN|~$NZ6ByKULdAa%`cg$>S<C-};4
zivM6-a((ZnQ=jIobf|x`<Y3ue!N-64RvzB<^H|;|Ccz(z<&xenICzqY``G#akrOTD
zyEklEa8&l@oS#$n|7p-vTFljv%rGOshka-C-xMbHzef9u-Y~6u|5fM3%5N*?Jh6~C
zr5T%Ip|EVeyM@lRrOP)@uGc=2!xG4I@`s&G%nF-Lg{c$Gt^fTu5oRfV^Ltm75_3ab
z@&t2t8C`XO<o6fYZvHi0?=NifZI$qwo}AfBI7>fnJ{_^($<a-!(t9RWY56g{nQj;R
zTB}lqY3Z8ZDFVE+s^o79K3KBmb#>*Wj4R=%uXC)5)m5<mJxNYY!C8OLq<_m-uPg7K
zv`NFW?^xFTlLnegmKAwgMF~l6l2To=COmwVis`<m9<|F{=dVxE6JNG$=OmTtrCUN@
zc_*l@a(VqOCFt*tTGL7a@sFM#_iV^6ov&iPs`{_nTYZLyum8z~PT~;H(QOL-^H;WP
zY8UUGDQ9kd_mmLdvS#a&EupI>NgSMF7yDbUa*_VBHLF!LjMq%8TvRxd$yR&j^KVPm
z?9*A6b9d8R&853epI#NdrCq;z*|J}G|95eE9$NY`_}&%v^`~xJvJ-3gXsOUY@m~G1
zHO7l}ls;imSiN*vXVQ`_wOPAQIHdpQZna#n)^zrZ>o@M+|I@co{Y1w3CCe%oZE0m(
zwEXD8wNjGL&xkEqqyLjdaM_yGPguN{Zkc<#WA)7+(#Mys5Zd_s?Sdtz;`jWNO}eO3
zzUSnRuBB`Df19YQT{qM4{F1F&Z%=w&U-O51%ZXX?^~={)u8jY@d|AxD6Kg6Mmo8hg
zZ0n;7eB~dKo-f+c|MI2y|7BZpmak9WzjRAY?vfQNf4^C{_JqRwE9|?TG<=j@zmfg%
z6y5k;lRqrIGHd$UvrjLp|KL5pbj8)5Yv-Oi`&4WGvbA&LZPLQp3O8Lk@MyPi&gSxe
zTVvka|NL=zy6)WfDITXAv;XheSAH+PivMZ)v?WVdp8OM=o%)A+X;t+q#k$583z-+T
zt1q%ApMN@a^`D-;t7lo>96mL*`;dL|46Eh!^R@aH=bdS;&3-(g=8WT1?*gg1X}U{)
zdC%T|Z^D7chMWGMzZR?)F1L{R{gPAP{%>-az2vo*y2D}XrEB9=cg*^ILiy(Y`Fpea
z7p`2srTm|Lq*C4b?6qDGCe?k>U$th=r9ZmNPwgk~`4Mwx@jBb%7d(Glsa+Mi+Wy-8
zC2RfaSKD8@zif;3)x9M<-t7P7HFfE_sjIG+@7Q`xy7blut;oHJyH72>o4jQ0^62s%
z*Xx$NT3Pm!_fx>%DZ1LVQyWCjwr(n|`}$k+`9h_gY~^pxSv;O1SNiV!#pL7<iRSAs
zc0F6VWcsASdkweC5C8kV*z57sva?I~dgq?JA-aEoz{x6&B?qb^r^?AjRyZs<kpF+0
zTGG;GQ=e9)Ejh4#*4kyuYNM*w?3uc3*{1JbroUaX?DKJ^C9|h5oG&`R;p&COwav*4
zHxJbsZc2Xg;|J67*5gZ-=}kA7T(fxY8_^}pE}w2#yKvXMCCf^GrTo~oWb^4IyI$X1
zI@hwYLwm`Z!b3memdx4pgrWJTvoF8(wk317ot<_*Y|+}3j-7wSkAD2sb+W(IW`@kB
z6Kj^P&b`20n{(}D-O?537T#-*xqC8s^Py{2-%h;yyh<!$s_J|{uG#mNug&~vU9wvD
zL<g7u(zW*Qm)<@)fp@*-sSVYO7XRCmxc2knwS7w#Z+$h1WBQV{;dbBNFJCJeQ`y~d
zamm8%PdJ_}d|*ER;*Mo&W9{OXExvzy@xDI`HzY5gdvv1otIDg4^KVK{*O>lh*_;y!
z7aLjZ<z_ziU%E1XcWV8grK?LmDM>ExPFZ|5d`%0(vwytn4U!bk_#L^oVlnrP-ro_;
z#~$8Yyw;sX`pu=K>vUQ|>mDAMestn=eY*v&dtxr%4e!6l7k>Ct%)$+Q%nlD?8U8Kb
zuzb2<xkl_2p7^+>dn|uC6`VDFe1GX4@rR6}F}IiOnQ!WPE#}(Qg?lG5sm`4v`t9SQ
zy@HHt$$J>yEZbY8%XRbKpXGZiZwfKaw*2vY`QG%6OtQ`k_jNI;pHN}a-IZ)#_9XxO
z<_qfK67v`AWO%b@*^*D!mhS(lA1Tl?RoPO1@uf`y>sH%!|23*zb1|fE!M@TIfn&?&
zwAXa9%4@H1{>1%u;n}{GOHE&2nBX*5An@Bi)t!?iV&*s0e_HrjNup$Tr+wPuo8s%2
z?G3p(?{v=6<<plgoBuCW;oS1|(|4yZ{9M{M-MW$^a?STi9O-{}YyVvq=l=UCyXS^P
z{ju3^miFkEezKaoY+3Mham{z(DyF6S0=%o2Ubu5}-P<=y)?cb$>GJy5v?k4^7ee2K
zxV*iu8xe26Y}wV$9fq;%Z<p^|ny`AQ?B}&F{r_&Np8Z$p+-YX{?flyBmo8f##rw2W
z_d@deWqYUaJk43UeEyR4vFoNcxW37#zrAFEcIYO#*NerUO;f(R>0JHInJF8up31(x
zbp2CrmY4rG1x4QV`|)hf*4IxaBrjd|{D1AzWnYhVioG;b{;6I6kKy;{gNiH*5^pbE
z5w~P{mU5>PgXR9S_kJu~v1h|Z^#yCJmn^G1b<UTu;uAabZ@#|Hgw2aqJX*T^_D??h
zean{LTezaDMe^g)_2=`Ktk7P)bi<y4v^CvNe=b{b^AD5!ru4>`L;kk*OIGM}na{s)
z?D?Gkv!63s)+}Fr^XBVT#y_mKn=F~4m%LUony>wbb^3yp;{QAQHgf+L@MD{(dOPXb
z^CcTLE?IX~MT)hV;raR#i4jXSJhjtbvf}I1HAjy=ne#5^=Zxcj`1QV4PF3E%`>C?z
zjlao}OIOZMy>Rg1TJhOSoGzT-b0;nCPtsJa_~~(b|4dPi3%xfX(s<#`H`|`h>6<X8
z{?9+gntw|!KmD^b=gY|z!RrmSE!z;f>&c4qQ<u-R`WbU}$@=H|ipq<Vq8QfhpSHbb
zIq%cDpDK*Y)<55uYN+$#T=<%Ir@9;JH!j=Y^<UlK)9WQqL(+eq{j+ra=kKR;<!=01
zx6<UUmi>~IrTPgX-H)UfZ2LIp$gSA2rNvJ?<)>`k`F*)j)c%Jl8_(SL-n-D~`;v?s
zCQo;zuwI{gWc&W7!o~Y7UiY5PVP*ZZbbaytl_k6CRxjNU^LLfamHSIpdfh!8Yw-2u
zNhbDrr~7^|ui4UY_vw-q&sQJ4dp&7MmEP5l%rpPY-zu_wf6bB=cgq%OTrAlUU$(UP
zO4*LROIECpF4<B0d+GY+>%^T>Q$Q<IeV)xJU-xFs{Zl(zx87R1P=D^G<;5*tpFBcW
z@^&v-82-c~d0FfHzaJJo-tg<{ngt7$J?dYd+di>cBk9wR@)WNr-`~i8TCP#G^X%+1
zXG*s%(Ny->_j^gpk(fm-cK;@D^m8s+d-cu7ZT(+=#!k{av}l9v>*WjXeDwas`D59<
zTh9VkN1S~sTfe0CUems#%g@YPHf{Ra<qJN43VPc%Q}1L1<MKUPxu44H=OksfyquLL
z`nu&x(t<7jJL<T%CM{SP`Xth4+QyFyX5Cz*<jyD(w`jx1g?spu=lu6uCG`EhtoD+v
zVGB#nuj0A!Zo~Gy9q*2<UgfC7arym&iI0{qocn$0?Clm?*DT-M$MkQ{jENOX7cTw0
zZ065pvro@C^pS1pLht<!g?oMq{9HPFbxPO4B}-2)i2Y@`a<=vNSNoSP2>-KWcIYPo
zmL*Fnm+hMS=H#CKr-lDNE}5D8^?&HL<tx%wvTj~F`RB84`<s&%FI&GnMX+h{?c<-%
zbuH;wKA9_H$^7T*3}X83C|<kBaddxgB4f<5J%6JVY}edgvZ_d5>fAN|g{vkqaW32w
zy7>=BfA{y$k27b^6Xbt$p*~{ivXeU=?6%ygw*O^M^5W%+$C>QoJeI7AWD?w?x_p%~
zlVbFohOosOK7Q58ul&hi`}+@9$fE>zrXOC%A20fS^G~+BMr7HN-D__oE#19!?b6O4
zvt?@*>()J+to#0|%*}=U8&7<*u3Nt6{8ZuH6=%MS?_Rn+?Wc=Bllms@TdSRpw?E@=
zeHnA+d;H3^zda*%<%_>wvitecrQ++Si%(j-SpNS-?utcwOm{C^;lb6)eEr<zlM_VN
zoyaI%zI&H$W>@{{aJyBFkr^}3{@fqN5?v&+=}vp)mmisx?6a3FcW2M8n<{&B>4Njy
zmTv#PWc{V3Jfds9yi8fpb9K)jjw@wLw!GG|<nq7MrvF1;g<Iv6(y@sZj}ugGeY_z4
zR^`@`E%px$<759%viZJd;nwtpKkH>q`s}`GP`-5P`Gxtj{z}&hY3n4N^fA}Ay3n9~
zZ|PQV!|iL5zA_8^@v}&N!_Yic{Z#aV{i5&x$kc4I5MQ$GR_Mu&$6tSFt4p=#FIj6O
zHMx1qCeEKfq`Rb+{#kl7F8-uT!P4nvk*CGvD`)K7vBK45)1O6CBv0SJ_kI4$=?fnI
z)30B>K4r)MCBFAl4sb46w)K^_fMDIC<C6s>m+arT{AJwr(=N`-=HHs@vi;8={iyqM
zi_ZROc{k7f?Z51w2``sz+wPsAd~J<_|18P6CEM1oo3zTXq1}I#W!RU`zxFJfI{S`7
zsMY?CHxC$N{=dHaDj9n3AuGfGzs&O)7-pYkU|?Wj0D%OC2QfTJj4@3T49t8n;tZ@D
z><pamk{B3c|9whg3{z(ikY!4Gmt>p7@FB?*eD5GbToNbv-a!WbB=IDUr1!}z|2{J*
z$GndbVq|1waJ3X<<9x;tY$C`Iet}sqM#zYToiW>6kVTl0L4H{vi)A#6unP-|JQqv2
zz?T>$wnUBzG0ZXIY`iR*E)40fS%p1f|FQKkA9Q)maPTAR!HW{?2bCCB+AypY`oOS}
zaci0&!_HL<dt)au9OPg)%Bi}9Q=U)t8hf%}4C8xiPbOA|MGT=X#!TF)OoA#adE_~T
zl_Hsx*_kwzxS90Dm`sIu8GkbLvI#Odzh(4{Rr&Xkk&S!xs<$jJ<C)g8Sc!<fj4^dc
zI+<h|bBXD(fPc&rAzcZ9n4qN944nRgBGxOIj1yI2ezWj1JauGnWsc!sGIjaFpeoCx
zslb}Vn#8Hf!WhHJE*^7@A%-c*AnAR~2Sx!&#^ithV;vaY#xTVwGcZqh5hKUI%E;Tn
z!NAML%*`TjJLZ4PA|`&rPomN<#6_huK8i>Oa`nfE$cBi?J6o}*c5*!wmVLqX)<szM
zBEx4F6Nc{+LX0jxa+%8+s$F8)cv%_5Rd_gbr8E~ZPK{v|(eC;zDe4i!(ahoR5+ik6
zOjfct=_SX0jw>9;C1RTV8FW|0#4)8R@Wy=MV4fhK#GE9smSZKu`y}{<XN<fG$^X8@
z{8x5Yc%Nh&^NPoDk^+Z0o2!CngA(YrGnL>t*7pKQVlf=jF%yo(GPJ6AC=@j$F~%~q
zNlL14v@0|!B>#IHqslJJ$Ru$$33}z3XtD~!R6$KvAw>r3IWdeknN%5Em&cgLFfb>v
z#IVG0#W1{yF^KsRV;tM?zc}W9GQ$#v#|n%wFWEf=8H#sum@_d7w#M9xk%{qgW0lqx
zWZ_~ojr|`g$W(96&|0pN^q(PwQO1jp#fx*F5R(C0+yssoo)|5)BtC|u{+M*=g=b2?
z7~Uzd8nS5fcycfZYOp#pNm<3rj>!{bC}a|R8^bX(##2OxJ4Q*7X%mz7wuuauybPR@
zUJdL?-(%)!eN{;Q@1SAgsn8{vA)UjKbXy|eM-qo!%wCRg<|GD7=#6KN9Qm;fn>GIm
z85s+Bm@p(U$FL@`Byq9Cykw9!O!~~o<oqjUMhqiIGQ(B|8O9{06*BvoVgi#m)+I$U
z3daa*GO4i?Ie>0G3yk4-$gn&KI{y#Js*DW`|NqE8fo0uqG1HTZ6q0r&MaKx_DkQy*
zS)Ih-CDg}iz;|MrE{hY#-zIa0YR;JR46P=tC;bItxRPX<w7)Vk8L}naOnNR5<B{~<
z;7YGUl243&Ob2Up6O(*m%pw+kHqg#|2Znc`3(+`AK^LNNRL2Gieb~)ns$`&(#CS<b
zh9Pt>Ln@<zL-K!(SO%e|LQ~oMnN^Ym1(g_BIXGh8TNTFsYkJIa)+Xtd!2TAAA4v)v
zhdulymRQtG;y7!uieV`SlVn~Jt3u4DB-JFr1`ei}w*riuNfS6?|2@>;l_^rO*v0X`
zQ=^9C<0gq+4rgK+=4vIgtYrB1CZ<qOO5wAB(ke~~Ed}o+E|sKz44jJY9DFgH0zn)x
zf}CpC8D#Yp1w7uxXfQeoo=^Vwm*X@CqcDRwdrZdrBq3K$M}aQT<!E^->Do&<-+D4Q
zYG?~AQD97BOHwdaiIL*Sl2Dw;QNZfpEvemNayj-N!vq!AYQyt_jH)k~ER82Jv0kz`
z>9}42bT=A%(+&pS!X!?He+sLUN+sqtaK`W^NhGN$_^UXuXuMPaUkmDRQ`L1XXPipR
zC&q=0zg3dr815S+3nejrw@l}olq8wr#mUe+#fP0i-Qy#Jwq~zNng_4sLzS2qhC+va
zPL^a2v45XBz0Boete7)f7!Ss*^l(&RJkJoVl;jYYq-ov37$Yfif`y6QgNcb(ML>vS
zxu6GQqwpcn{b(B3T@)jkJoA+#5||k!Rbu}+a7n#nR47Sil#Y4Fkp#LRE$NM?^a%wH
zK@NwLF{UvW8GTt|G}pVwJmzHL7RZXxWn|o#1iB-w(Q-=Ci=;Fz85YKj#F!I;97={9
zYCl_&R%%)$B`Jg}uqGWB$WpT7uzADL&~(m#fhop`X<7@%lNc83B`yLPteQ+5NrDW5
z2a}qd1uB?hUbB3F-jc?V%&^L0m19hkf<mi!%xsMs4jGLGuOtS@HEFR7Ov?q3?@3c+
z`p=;fV-o|qC{06giH0%nA@vXZhXgfbW4R7UWQwZr9+;>RYR#O=w@*yKh`Up0isd)P
z2oaS?8|Mix7-Qaw9N~B`ek3N<Q_zl^Rb%0nNY-XXbp_B(X>Oj1+|12f{ZS%oSYCz;
zac*aLYuU;A)+b@P;06_$V_qdfNeo{(M8BxS$Vi;f3iXt<;drR{KFE7Y%%n+DXPBen
zyIfdJpK-T)BwZBZmAE6MFvanS&qC>_q-`>{l%%9@IWkX-{r5rkp8p3CX?4c<t#bDi
zOgxfg?s4QU5|)+}lb*zsDk|+KB>YN5S|y`Mp~X|_fv_|~?Ih(WwptNUFV@HPO&Su6
zF;)=~Dw+SHi-cAP3u+j#O$6VN7Qvt*xKrVVr{QnMe@aJ`7=+)5uy^WSQ=61zu+@dh
z*hnN_B}G=8qc$i?N|fdQB5CmtGUB1UPh*v2{#x+A%v5?W|5u37LCM?u3#Wv9;0N{?
zrE?BGEF3>O8JL}>GJ1a$h>>*YVD=Oc)cT*%!@?L5#u)R*5qv|MMe!s>4JJM{X(6rb
zr<@KB%94ypoI<h!(GoFAyF7nP6jl*r*m6UlK!(xbbZXMCm^F?Lmy=?SI^1M&7YO4_
zI^}5a)G=mX;>;$4_r5Wk3iw+JSd-#)%LI}h1q5bJ1l^Lh$*6)MW;*EdRtD{4hUGC;
zvHvDKN@jS@AnCQlB|(tiA-;t%VJ%~fgZzTze~)yQF#e8_h>?wPF-a<1&&0?&$s@JF
z+WBQe3}@_r`+^+~Ovw!A6GB<;X?#+P`86R4bYWU2<6#vY9}6|k7-ok17h*gWgjiEM
zJDC_hzfa<hankCTDjQQ+>#!<GH^xw+OsR44S&2F&JCB=(ndUb!dWbT{IC;G6*dNg+
z?O@(v;c<Cl#4L{@k39iuN)b*k9egM8CnN;;IS6}zZch8L#JZxB_2OiyR}3!&V*fib
zvN$>vFq}^k6mhxBkgCS8yX7b2?W9i(M?It&PI3x+T!|^V$aY@I_wGA}Tn?@zEr#N^
zNgT3CF-~<lNlb=LA&g0ONlZuB&nG!09Z+OGn`B{FA;_>CbbH!FuH~;-&PK4Qu%A?z
zlx4$qypv%z*Gwh>jVrvfg&6h+@-lvjxy;wE#AUjYbD}4geTATgfXW%psf_$8Nr%{v
zdum*aXx_&+Q%U*J112^Vh8Ns(CEPka0|Lcac@~N>C0p&~TdXyK1$>Q~r`6*C1A#`R
zkjgups}(i3#Qfl1dy$D-if8>K##FIJ-5o*<^_(tAT3VmpaBp9v^-r6B=XCa|1-AlN
zV|eyXjbyvRyLS@PeUmj48bf3Q#hE-KmM~tF4Zc5_k>#k$$0(W5{esOCVpd3pGjX#l
zmSl`M?dedUP{$~ok#tZt_9Bz;Uio;?^=XDI6C3-V%O*29d$1ZUGguKNo6b~x#Zo>~
z$mx$rdaTNS8_8UT8J--+W%7mERc=cc3QZRJE?dmRE2*oa8r?Th@8|2@9)_15Z<u#A
ztP+x__9}SYDO+oHT0^EetCwYcQlF0ND^He&2-$XhrmvmJZZl;sn%;di&Ecro{Z*3;
z9dwnWWV-hY6n-&zIGy$R6|=|t10}AQJ>AZF`HSgu(1mHHFSmAfxUexVHvQbiDk_w`
zS%ii4wP$u1L%N-ykcF_7VA3Ry2z{r&tokk%o}3I5Rh(He4a!xL7R3YyDlsuz_})v>
zOzQZX)Wcv|%$%x_{O=xX9_Ngfh(eQ?hTF0KK{uwI_Eb4x$Y6faqMg~!a+2}M6QOys
zUhL;HkFYA{@W%c>Y3q3^#NwTo<fOAqEFuo93a^v?<ec}kOSe$8N>_+^lf-svr6;@Y
z?mr*?3UP5>*q@|u6?9`7hxjCx6X!V?FR-dG6&%Rz%z1f()t0~91$1xDbC<IlCMxpu
zwyfou%8`5_MS&%0`GT)Z&sc;CV_1?^{+HRWcGxPU6{%e%=BCTTeBg`9{~895)L$x<
zF&VdhR4FjVY)Hzf2yL3pP~jNEU+}DhZAIvAjt2@cF#;YeO6xdYnX@!-JdFLnV}3G&
z(qfK(g%zC*ERb8%4#p_3#QZMawsK-=j?82M`?76*PUnOyRm*psziN9&cfmE!ECG%)
z;Oo+E^0$Qf#iZ=Fx$$p0^HaNPzoySnk&X2em>RSuy>!?0OUxHbcipezo&HxQ^ituh
z(p`5a@g6n2q#^sIbeDR?u~(YqJEkX?nLYM0klj_f!;ksuFWJtxnOuGi-_7p-_hfxu
zwj+GC9Qby;g}0UnPA%Q>|EucHRi@8fjb1J<-!N&xtlS0VQ^ZcZiAi!xGVSZQXTjJj
zeI!OR=_S{KdmI@rlcrBR^U5<jB;(MW2HhKR4Up^7u1wC%o^gf|bX{6OL^8vx$x9~P
zSn@#VVZO|atnUnv3)7w?r!cClyEN~i%L*Hd^;h&)B|TiWCHDV9CzWDR-Dpjgxn)I6
zF_ne}Nn%M#cXIw~3OrA5)Ss}1g>gsBg%~&Pj^{5~iWpyadL02>m9}|z!K?ZEl_cb!
zu6WVB!8_>!OST8c$qFV{p4T0BK1e>`n$ank)vEP??}tE)VA45>P&<R9xk-K-ZVK#)
z5qZ>SqLOr$E4R@4P(wiq!?cd=2UugIS1gyDS;zRSJn4RtTC#KJ-W3n#GGrwGFHYi4
zX8E7NnH$US*pNX-aA6kT?1<QZQC~~E|Me>|H2UtC=6Lhlo}>VYhc3%G))eem!Pm4e
z_P;=NEJM$RJ@1Zp2qew;C@_cVOES;@pcO)lhgZlkwjUBqD!3U_<g>$UfptQRf6U66
z`2{igo!2MC{;%EeztLW?p3$g%LPadYj2z)xsq&UPBzI&fa(T!8Z@lcm7-RkQo<f1j
zO2!3Tw;XTZ+?^D_W!JaRCyz<of_Jtz==QW&hAyvTZN0B!XDmwQ?zpL-5F^R5&5wEF
z#sjU(S{~2YF|~1vhd1K^rbHRP728>QV*agQ?l~?H6Tx^Urm3rEK2uWV0vExC7s(U;
zeT-$`y2fD6(sMBRzqch<^@6U#Mj^(XH<ct<1tmBiFZev+b%&sY9MeRHi#*d*8RLa=
zPT2EItM`ay=wMjNJMG8<fkm7X&NxWM2y-v!`L{@uZ$aN$t_2?143`CO2?)hBaxaeI
ztDdDlJ;otD_TQISh7R?npRTe0y(XF*5so_FAbCJAMs&k>%Nco|{lyX&6nT#IxU6X9
zVCG#Bqaqk1ki<1p@hbnW7{M&dANDH+RARCWA{e>Zc-Bsg{a?s%zisZBwn_4fcL*tS
z{+wOpqhxrba?Xo+Gbe0pOlG*v=%JAO?}uW(;Du~67IyAJg#zJ4GkAn1bTbt)Z0DT0
zMo7sZcZ*PG;W{S4hY}rgHdG5TgSJcyerbBma8NYqHgjk}jMjoBPd-m9T(I1QaVw)l
zh7dFRnUBdQl|M=6E&MKEBXHi*C5BHRRDgk5NWk|4H<P4r_=<ueMkeM)ZX+4)83$Du
zndS>Bdn$Br%ko@Txi44oGrWkG>1M-OC5CQphTD9c8(v5zDGD>k{`<M!Q`(oIR!Y)^
zpNUnFiPhyB!{L97lPB@i{`|?=s<NP%VP)aWRK^{rj2H!H#!p~O5@l&@W1GySJK>lM
zLog3lqRKA@PR_(h++2>*#S)j7Fns4{^!zSV%gOCF(VNB4Ir%>e%VXCc%+XC+#j*c`
z1Pxu7j#_d@bMYuAb*gXeV3^VNtik@APPd8>pF!928M-Tuw+K7NbTiGJ@G*u%acY%v
zm(uO@g?5dx9lYGfySa>e78-GRt8hAM|1auL30f>QiHDEHm?gT-kZYpVg2W=G*s1%4
zSWZgFJs0HKWX82aK_+SahF8bVCG8O2(2~fXv{@-dar%O-9-kOb^6*Y>*IK!<J&@(>
zBW-o-6>F!keCIMh!jSl);U<T{{uqmsoZ6CX8-ye}N;|pP6-#Xx1QaZj_>BK|GMF;t
zy%f0P!ub0FhewaZ4rZxeE!GNsF&w*9xYx6=?hs3|InFN-Gx>$ay&cI6o%??@D8<Oc
zsIPs<!o|QC`=22*#v%rEo0}wS_=a^4m2;;gb<9fPQrmZ%BUxd0P*TDD7`>$3mkStU
zmQ1K%N#RyW>QCbP)%h((!!72-GZsH135640ekW`dc1`F=3TD=n`k}JvI*0hN_nT}d
zGFZ%+o00tQzDV=&nR6bkSi-26q?9CcAZb>TMbhz(7KigOAqp%9o+N1`eL7I)$QbjR
zftQD=;VieOlb66XK?5U>(gSfUNjqGV6k|Bn$5<NANct<um$zeDC&yOdX`Ld|TqJkA
z;1E{1$XU<$ud~ooe8Hy!%o92IS&UPdQ+Eova6XO^eyEZp@Qq=bC&zW==gjdg?JU2o
zc$i&YG8i5c5Le=SeU8gfDVV|cF_$;^Vz=O599?tHs4xb4d}OQ?bh*V^eeu4BKs;;p
zobF417^*#DWTuHO5K^4r+0EE_V20ux9`BMRZ2By#RfT3T+zw@lR^qv6Dab4+r1MO`
z|NKcN-otxuZn3yM(<zB@_J<_CLL*P!n+|>(g%}RH-PmlzGKqgKleWQU0pS>f_839c
zhg{miNk$Surn^{*INB~N3286q{J^NbEBBz1l90f>AKJ<jjeat%@N+zE!L)<DgDL4-
zfSf#&tcAoeB}*;y2Mh-l9R*{AXGBh9WUXyTEuP)#<(DhM;l*-F!qSCbK#tMEQ0e5M
zpGL|;{~6o^|NRv_#mFKsjnO6M0b_qsq?XEkM$w3UeT*m0vO6?$JYt%wn8ZFqE$ML*
zpZ2bcSCfj2Bqq<4clZ+XCiy?Z9fw#(hNL%9jy=gcgdWBSvu^+6$xzQ@%C>!S(g{s|
zdljjtqBE3ttzp=CQbS4T-_2v4yzYOv-BlEo8E>=1uyNRPC&_g(*c*O+$RvJ3LxJyt
zqX%cW!nbo1*6i_hxSnL7pwYJS_vafC#horH?;LzjI-Rtd%Y5(zi-T>FltsM*OHy*o
z8sU&4Hr9u|Jl;DN#0oI1i`mEE?e`>Rm4od?=Z>!&OL-kURRY7kngs(6$1n<jZoi9V
zXmm*LV2t_a(VBc=uClU2p%SY@=>w0KN`?-#hq;z2F<yufPta0&d1E_^K(P`N$M=~W
z`}tHa^ti_GU05(hEy<6gZ{j64BaXW}3;3SQO<Hw$#}D6*QpUY80ZHo&8D3`X+Q8Bz
z$Q;Yi;u7;d;(M}Y+OZ42H^_H#6tO!cDF`NsYOH^Ly7|Jho&&M3Y$tl1b9gc#$tPj|
z$z{s^9DIomuaa^W%x4jBN)n1`Y7jk_%+MY%-{U{$)Cfm|WQIjM)@g~{m1Mqp;?D`;
z7#SJs2Y#Lci)E~LKRG`kX(iJ|$tf*Phm&>(X1f@iTE%czDbkUVBgxU}V)FmG8wXSv
z6dnnDG=Fk2S%qP~K=h01iCI1J0_l!MJr{&{-p)B$#_~=@tIjJ_CCQudlU5anU(5=>
zXqKeDsF#Og8L~S#y$Ze=JeE0iI9Z7ya_hf{ok|J?O+SPykDu5sdf~W7@I4>vES`;)
z5{p+xB<<nY%6Q=G1jd+5?oT|;$^UB^ub$|XIJzUu;uC*HGQ&Lf^MNwe%zV29S4f&C
zDHSm9ui@XW)XlPgUSg@B%-jDAJOAsd+!g-sso?EU#>#yn=_!Zz&f60%$jB;)x|mq`
zu<CmxE$7~E6r{iyvoOYLg(pXIiZMqo+lI`f4W1181<SNH74XEoexca6QF0E0Xh)Sy
z<?S0e4`p8Hs(gCSad=V-=bH*&ric?CllHtYX-N7W`;TEN3!{51!%~@~>MN&XT^QCV
zoHFG3VEFq&xP)xd2C2@7H0?7|AJ!}KRcy7CPdF*lX&{|Z#=T;4;=2o{Bw`-kG89tL
zTC!chKITls2bT#kM`IKmL{~Q!9`0+q@HFNIxAEpsCy$%9Ni4;4nPOFBcr<n$<Jw?#
zB1dvVLGuRdq*WKh6%B=T1Y*v{*lXN6?fhVd3j@2#5r+GgjgpBKD`s^ve6PI!PU2q)
zTf1lA8&An8F)Y6s@_V)luzp{)ge%;H!G+;2r^iwy%l<cv|0hcItmI_mYGg^ibSB1r
zB3J(@PIj)D9)~$OxfUBSE8Z%Y5c}@|=eCc5j{Gq^g5isVxV1&O*G-d3^}pEhM#X_c
zP|!uH@wKq9lGdUX!lIH);_HGs1tpm@PYO%BFqs|_mi1!QjQPwG8~Zty;mutcb8n?<
zf#H|#rmLCOs=8#D&xvAWzF=t@qhjb3v;4&oqo$w_TuP2!QB0!3|C?fk{;O139AY>(
z^~ISVT%1grg|Q0%t3XGKt@G%OiIKUkSbOY4+KVkaryM<bj9K=@^ofk8L|OSc)Hi6&
ziD`@YaGcSgQ-bBk8KomEnyL)^?lZSMb6DNPDBd1Z#TeZ4VJ4$!%c`81PaSfsNzCmp
z&c)=pyp(x(l+hr@FlO?_`49AC8F^l0rMQ>xOuXXqI$qUl;o`IHZf}44Rj8iooiyRM
z^F<ebp&adwsMpUs_#47lT-GxtEkBv7pXJ#n?wM`KpeoksVRvPc<0O_BQ5Bho%s+Oj
zIIfIw_A(S;icxfU&%{4NL~bGz`%5O?jbSd1Z|8L6s(7%dXYOobnz(~0meFClO~=en
z3<+}>JsjuGt2&f<H7R=TuFI2}`&YRvdlL~e>2OMe`kc!@Z6+F2B*o6X9M@1hsi(Rq
zd1*&dOy?TI9}bg>YBx@3U=}Ru<n5k$jKR`oUi;c52|ORRa-N^_*}J=#_3`~nRkr&w
zChfRT6?)g*V+G^eTK~vJtWP-PXB?Txr1|e&&x6Efk%={ne>_Nu3~8Ag&GWdE>uD74
z({)i?OTF$03*44l7?HYe&7y@9UwLwGnUJ)M`zJGRPh(G(qRo<)_-S+Z+bn6FyWsa0
znYW!4=a-$kEViiism$9Y^E4}kjxKFIH6ePr{9E0n&C@q)yYPfY3VnRV{xVqh^SmXk
zd`T;MVv;*@q;K|ge_7VD+?!`><dRmaR58gVEiZMJ#;;^a6%mns;i>fY@+8a1O$R?L
zYgMuE_pJP{&AQMxg@?g-mA{~YKgancE%_Y}mE*J|&o60KeNa`oFm%yjl?R!YTm6@{
z+}B}Tq-n#vymjNkju{dsM7)=^J=w;!y0c{C%;z5&m$$!}aqA)T^0t|O7P$L5?>g4a
z@w}ilX2Ocs{Sh5o`1@mY(vudl8Ld3CX0utx%I=xAEAOfJR`jo%H+xxA*^DT+6+S|7
z^OiR8CGARo_^;!}=B3RlH=T}mrN?X*R6enXe_;#L`~_DzTx^!NPMqTL?1r~OGQ%cL
z&xrz$mpc6JI_%2G{%FF+zy%$OVa(FgVwQKFd_JLNS?6XB1)arR5=mB_R?2fWa0eMp
zV-=k9Xy4L~Loq%JRwXUzJRI|QMzhCGQ_hWM3%X>ITqpE1KcAqzuxs&l7XOV&iA%e9
zlM<5n7OmLt6La!M7+d+$wqqw3I63h;wN7Gr%dmWT^V+DF&vTNNG;{vg&6pIuw0Zu8
zwuuosT#s^=G=1N|n6!Ov&k2nk%bKoxGXCV4@Fa#~%7UnxrOkU+T<ndT^|4*NspRv5
z*_9pQHOrb*xk@+1a<MOMzN%|*Uy0G8`vixak|BrE&o9mKOPb0jF)kL`!+W4+iN}1t
z11}_a4x}pdF1(yG>(D0wg_QvnQ{GHSisU^eU~z(*iF;#n(z4doTiBZ!BYQfMn^qZj
z-Knuzyx2%!QHRYc)|b;`3YRtMD>p32jGg)O?-u_#4N(vMYnC?Oms?kPROXh{(x!54
zap~Jjn$_j*#4l+|pCGEjqp9H0J&TW1RYf5rQ9FJO*ZZZ--t4ujMMQI!IK5(hJl*Ny
z(kA`xmEUY!Peie>FKr25&hmd6H{+6~^;dZr^(CH8KBLI@^qrW@UyIqx|0>;`bMn66
zRgY-r_ZCV|SY3WD`ajttd3np*TRS$m-_~fcTiPVOdrMOE^5*+VLcerwFyt&=(RV>0
zW?A!kEyId7-T&PBKAZPVm}6KKy`*`&3D*hjA7Y)mCFY3UbkLizHbuf{S<7#4o}GJr
zY?AutEL{D4!H%D^vTN2v>O0&!-*RQSOyIBHpL|Q2*T?hB+$$5jPm=LQfArFqrvpmX
zM3j4WM!8lgMOA1>#!7Y-%-eZVI+00p(m^!_+4K#&KN~+WShc+MwU<ou_M}5LTfgM=
zEoly4Ez@1MeBwIU?)|w-J-4k-i<9u?`?!NKsn+CS`qGx&VdjtbZ;I8K(pUP*<Y^S^
z)p<Q@s!GkDujZQ_YWmW<vx}AaMAG7#oQ8_UEaFd@xF4{qWY1t@y}zub_N3^_N3E3|
zZYum|Pq^J)*8G1}Oh$aewS7yP?XIfVZDzUYesg)VU1;epUFMh3OIx<uU;C9i`|hi<
zUH6s>sU3(EySwv1<$=U=>~^K)yI%87UwT5nY?oKTh7!%v9b1>Ru8od~*j~EhRqedh
zx;Ni;Z@B9Dc+Sa5#|@ufDcP}gN%Q-t=`LT*mU@Pk?|46d#^e`M&%A!_Xa4YS4&%g=
zyUKQW$wvS8F4`7i8+xu;p;5xJsmHQxL&N0my>FMcykDjB;hT)^fo@-!q#rM&(`;h)
zcBHT{J`k9f<SW0RWpDb7r4x$;Ok!T%RFz-SI!Py{_Q&;{*&oEj7PLmh>`7jbY4bui
z>D{OMGtz5T*yuDbYR{S5kW#@Pv!K1BJ|X}Ak26OX7Cl<tZaCxdjil@a$_nq3mhC8%
zp1={S^Ivb_%YD5HIg3+_cI@s<lRIcIzv1<wRqcCroR{$LaFOrk%D=he?h*})nvSir
zJ9`*PDq@yu>MY2ew!HR1@_*)~lWza8OkT+Ikn_X(=75-09XB55buQW15pW=xp=;rs
zXN&q1=P$MS*xeGbysxa~t=ME^J@201eY+<y`b}i{ykJG-(uzY#5tH~g@-CjTA!b1Y
zN7Wssm`|05wk)4&F-5a`g3v^J1;*t641Tj09$#AZ{O9`z5jBf-HYERhFsEl{pX^ii
zuMa#9#^iK9Vv1=<?qTSdr2gad`2{nNEnHri{!ws45=YMw!^abH>}K9rJfVtj@uM|e
z#md>7i<5U=?s(kD7}LPLY(i4?iQI|l=NHsI|Ize$;ja^nEellBmTWq`toC`&!Q@M8
z7$uX^<bNy|<P_W_a3CP&(Yh0Rvl{*RW19LW=+6<Tc`LrDL$hQ52BsI6BOgRd_)ScH
zK6&<rIV_jttYV}lGAiA;sLi$FPeaVcA2Xab@+~jQnRNW2=nAD9PgeNYJZP@b{8ebf
zurNogv+jpr%<YE%H{Q?KU^BB>?#C|vo(~si|Mq1_$a!lfwxnCSL!WV8%#zIWA20u0
z8J;3IeabS$M?78JGlK-Be~A5wWH_?KbrOT%hF`~TIw-SrPJ6V(dhUjkjkRYdi6l+1
z+t}Dpxh%7ad%nbni+tw4SUbh0+_#jdoz?Yxa>}COjZM9em#*5lG|MJ-)BlD8AIeX%
zC(ihlv~bZxmdN!T=O;2eUa>%h^EQ*TT+EEM%Jbrw>>e^1CH4H>B+Rh#+3h8Hw`ZKo
zS(<mfH>PjFbj9ymum0R}cS&BH;Q1R#cbDbc@i$mcSBWVS{J@+#d&TSpR}Kfp-1wi?
z@xIwRW_g1%e@c?wqz&ql2K+nbZ(~}L{V?fr%tNt{P3mbsteCxGI%1lFr9LwzonFw_
zDn2QMKbgViW6}(#vi65MFVb$<CN0lc_xZ(x8y(Z0NEa;pvvEPj$C$;RHpZ-SwpcN7
z_L6{wX6KirB&`a)$f8-KwfstJ^)me@(x;Zx1+zt3t~^>P{cUBy7S?FN9GMkYEiHvh
zR@{nTl5Uvvf@c$Z_JWLwNg9uo<5F0UFEQJ=bf%|b9FzJa<36>n4P3=f9!4)p+S5=K
zxiHXXgOJz)`~Fog8uY~ZS6`R-sBQUgc4Ne%wKW^}eX{afn)9Vd$8m$#C$^O{c2_LV
zi}Ny;TUgcqfn{aF=jAzbUhGYNxM)J6=7PMB3yThD#9VFQ=28%gS(5D#1KuDW5cua>
zhy9257p^h9iTy7WYs1L6B*P;1|H~)x6P2whd1fw){<L9!2dD0z4eK{A*;BDJ4|V>3
z0m}S;Uoww*-=9s3TZNWpr0aJuJ>g_n_))1sW6lXNFUcGF4f`0tXWIQw)?s+Rp`u1~
zMZeu*<@(m535-dSR%chND2Peg@TaU(qUY50J1h2dghkAFtFvM<+lsDahVC0IP0LI-
z21>46nxPXY{!<`kk$#JZ<o{}mWgA#x7GydkGc<adDOr5{=VkIOx#6D9(ya6b_I~pR
zeUAk@$_@+1EXqu3*xP%-ru7EX(tw&Dp_4paIInK@NZ!5M=3&x;sg6lm44Hk~ypue4
z=^QXS(!wYbvq(REhXV^EPcp;Ij~1`k8(P$u-L5HgG*$@iZ+aqCazi{==8)NHg~?yy
zI(EByvwezu{^5eB)VoNlNfECZk{TRE?HeB3?Nr{x$i=-v>ZGE;LQ6HztK2KJW965H
z{-3`?P*JyLp|uWY$2J@GAJR477T&a5s~^3*;zaVlfXEd_Y27pZgMxn~?cGs(VvWOr
z1(T9GX7x9`Y&pK5Ke=NzYcj*8g$~!3W^ZZ8Go8q=&PFD&uT8X5X|H#xH-o%Oz_Xad
z6B8I?{1|j^H1Ws$D`YSgc#(Q;!}N|j&wreJ9J?TN<C21eScawHk%`^&mK9EuUCVY(
zEYmB`x^s)zqDB47TbImV`h<Ja&f^pH1eb<BpHyfYbX|qxg3RGL3u8XrmAIJmBin4p
zo90`oF-w0mpWTtooU}2fe_8kitB<SZD#Xl*%Kgc4cY@>*X5BjnG}D(vO18#MV&Isq
zq_QO9`Ojr9u2y_o7Fm(JAo74>(*L9-Q9(~9#5C$AJxQ$JkhJ%JX~lv_fuuVMYjow3
z7Ds(7TwZ-3Vnf?U;SG&1-b6H>YuphN{$n<KOw5fVF|Lc^I${&d#dYp}S{`5P_i($F
zrrE*j{@;t2CBF6Nl4vpHSbi>vL!@TOy!$~;>?Wd*Byu^IB}6OvxNRtRGCsCu?efI3
zwY-AWo(lOcz6_k(mOh^IX5WN^6B(2hi?4`r&kquP;=i?}eDi^>30f}ZOA_>(9~dyk
z2#KaJnJ!6u?Jur+eUeY=gK{y=che+PRZLfD|4a#8mar~GrFL23ZZGxi--8VPy1cHP
z8f4ycfj>_CU6{+;uet@w_m?K9cO5*Lqo0-DcH!po#9y`3=9ewu^{Qakno~ZpNHJRG
z`4XwQdYKHFd-mrpJ2q3zb?Koe+(ipd)lXb;gqdCEf$ArQ)pNdlGCQ^PFYD7y48P}`
z+q}eVzLjpSVO3`QvV=`lfwRskGiWD2-MBpQcL?8OZ>G5`tuL*N<av76lgskT_mdo7
z7sm82PgpMz({VR0W(iLUSM^-=jY|?k|3}}PK4(_a%bRPK6o0wd;8=5DLk`pO#Epwy
z+&l5I|JyfpBhC3Uo*5dhS)}rFNy4cK(Slse=CNV_7neM+h$-)g>t{N#Z{x?gCoXrr
zc&L6`CY6PCL85bv_YajnOcSOjrY9{dK0f2eJYSnn3yPF2BKl9>TAK9!(}5QevyTeC
zWPG2!=uX{|qN-!NXGtw9_Db2vy6aZX#1A10lX{tF7>o8dPF_|rb?>4@j>!z0Ila$K
zc)7y3>#@_sAF~&iif#&LmRyo3`eIKK)ACZ6NRD#54exW3UN1}BKjZgAj@J{S<)1HX
z?=C&Qlkxe&(q6Y0E6&f*;ZBn4T{Ja)(ey_%bCxCid|{bzBF25fd-l+n^aU55t=hA+
zn3p&C|254e#jCzANh+=Fh*_m@HEDT~{rsOtyB2O<l6<%R+y&_+@4Rm>O}6_faPXjE
z&C-|GmKJOMw2Zj0XU)R*H<lN#E}9YYCw9@+$fd=*jeq~|o6!};n!RmCSo(UN)ci#W
zZj0?c{FPgO-P1zU=`l0=za_=HuCV++#5pnf-;&~6d-<Qf665fX-plv+&(@q}DV{U7
zbG`f&l(r<PRQG|#3C5UP6T+4!f17Tx=*_a?SXH4s?zgiT^OqHu=bpH%^VL_2Z+oPY
z-)7yMCCPtR$^_=!th8BDyn9;dv<pi<-d*)VF0zZU;(gA{&D-WT#hqgKvtsVllLtbU
zBwY_(WGR^>)9hz;W!sYE_aQRf+dEDlUs8N;E>~rU`Tf)hTaT_?Q~oKUXq|ufeAeeW
zQ**EWl8Ntq5oz*xpG}ir*o>1p)ARP5Jbg8t_3|9{`FY7-uD6D{n>{Yi;+y|hCjQhB
z=8GA}7B4T3k3FoA6u7ixR^t6VJ0G32TD>&o+qI21euQrkPOMy7!k;v^@`U`7lEX>w
zK1lvtR`S}a)c)CLmL+LdB_Axd-%$4{s_2MFj5_nvuXc}em!!Q8D>?Re-;&g9^$E}a
zyw_jh?)RA6?m_v!rDwx!@98Rt-z`1zbXn=z_$eOSOOL$DU6OWvRmqY2bNb44B|GPL
zJSji&>I?7OS7k@;Els_?zWhk_Uf%t$N_Ebhn_qHd*S969v8RonPS~@o{D|IO-ub0R
zsssc6FE7~h#CrCNPeGnrH4pD<uzb9LbMlMloek$Vdvt%?{CQDnd+h%O4)#1Tsy}`+
z>MtwHU&#Nkck=c(8}x5X+_HIw=f{}kW$dvGGqrczytbr#mEVuR8l8@lHH;r*t}QJ8
zvmoZ?qPWL)Gv-uqPI$X<VaD-02Q=lv<QK@P+~lg*V1GD9yn|0I;fS2<k}R<k9X<IA
z61t9f-d|F&cke>)%7bqOJ1)kSF)XOi`J}SO_tdP9=Q?J6a9ZG&HsKTd*I4}pEA}l(
z-#ml+`HTmX1->n>66JpBkQXVzvBdpwZR~=;!;H&Pk|*srKVfd)?62nClf)Rb|16yU
z<H+iXSq+N<k2h5FbI$Kb?DT)LB)PP$sWEnW^46PP6F#Ic-Cvsg_RUPDjWG+jH+-Aj
zeRI<1$1zKq)_$AGJ|U;bXi4GGjwNXeQhlY&<d)}iFYAxVUy^#VC}UYud=ZD~O8q}R
zvy?wFE|`_HEN%Ufq7~DYrrqAR#BbUTmZO2@_M5BNI=EueKQh>^>Nq&jm%+7raccmB
zzs*Dyxz0cK&0=pFPrkTuLiqEk)JMw_+r0#)teJGDa`K@y3z9knmP#&+Xua8EvT;G*
z&zVp6%=-Uxx&OCWNlJn{H;F8?nNjv=!j8!dwhQ7#e_B{}I<Hw!tB`bC@Mr&mg-hb(
zBL#eJp7=APvf^y`#-*jnhc29-QQ5`8^JZCWN!XF|50d{qT$-MpKjHnhC83+An4aJb
zTB6ZEBU0SGbETjV!?tBX_a?1Yml2xC@BE8{c}eWtNkSP5ugkyXWnLb;^ofwwlK9gH
zKF?a%=gYY@Y{9e?=9>%N^)fDwI^X?o-V&F&TUh4IHtFv%5w2id!1#GVctQ-{(O*Yr
zzL}r<M`vlo(~0aykNls%#7kCxL2}$uTNMU-jz8Kbi;vxmUs@vE5h<0jC?$|ZcaxOp
z>8wY~rhS|p`*XpIji;K^7VsUNUAT-rI)$}$QTIfNxJAkGs;tqH#VIk*rS2|CUcUaQ
z!mMc*jQS^haTON-cR=&a@}v%h?aNLuh>E4mFnTjFX?fxtg{MDsRxY-gVQceo<;i;&
z8go`GTl4U&+l`w7o0o@P*}Jr$wa4Io&(r5K+T`^oPW&XdZLyz{TGdPo&L_wJE{T#{
zTpcO>YT?<>OHzt6*~{)Pi+Z{&dHEzsU4}C?OKqP1;rhHhPBzJUN8clf^7{+pKkwM8
ze6g?W-r}?r&F?2fk1tL0eq_Y`XknT=lWfG5q!a5VTjZZuZ~xJv^z)*0B}TI!u?+v0
zr2Scz9uviXjxT)Qk|gIOIisa@r?opCIGJiN?Z0tnhwcZ?m>s+dNm5LT(p%48v%X;~
zbhFBP&nNu_=}nC9k{RAE$U5K1`g2+ON}*llf3=rqyuG#Z_(FzbFP3GT{x{)s17nO!
z%mpU)6RI3*=RTRgn$I_M+9t=u)wz$q*nC<Npd4YKy*&GFL=4l4w;z{gUDlL&WWTie
zq`>l%i~0BHFY+~8c(F1vX^F35ePGt7c7c=2eVrBr$j2>7Up3kEtwegv-))})_Ad|k
zbn}K;vv87I1gFO)i{Om7B&*1t1@_5FH)r*;&9D%a<6gn0G|8f%!lvZf-4*|A7I=MI
zb-SabW=osJggpx;oUoj>L45v&$D-ON?$_N2sbE?X#GTA={Nnq{B|-AZM;O*d)XJ|>
z;ZI)kUuMDj%6X>0SrqDZVxG)iVX$PY`TQNW3psoX4@dluS?Kp;;fw%fr2?62$1ku{
zeA&_QfHSFmh0VrA{tPQ(++z;a->_u}Pudit7-L<1V!zyi0EU=zvHwMq8D85YiLW_P
zxg=uc<chK!=?_VJR&Ylyiu|~^TrtK)!%crd<RJ!e#+V%&pKV&S@kamQ*p+GO9H#k;
zqqZ%nvZ}OZT2}pgT1M{0YTNlMe=e-Dzp0qJJYrJ^^WtaedzQ!CJuMiyJm%h2Bd*n3
zcWqmG+v=te?~(|;?2b>9ghZK)m&9yeT_Uc!KePRbg74Dmw_YlySGS84?pYcWI#+%B
zzG(_u?*`^<pB($j{q^dnL0ds8qC~ysEcb~1m9K1FnWefcX7#xf(~rk4mA|(=SbELU
z%)MN`IZGp+&bjx#W@-5JgAU@=OLNvAsd%z%>avRU(*%W=W^SDxBfszUw`DP5%lRJX
zZRBE%TAF!n75~#{rsvz1Mx0+36TNrYt@B^zpHk)8e0z=5wFkdyE^F64ocHHNcf{=7
zpKp7fcsb|$>hqc+tkowK-Y`CHRESAs61VR3*tR}+@6wpqU#yR1=M*shi<xPjvsPpk
z%gZ2F)3Pt~^*(lNO<EFj?e5Q|;jiXC`qA|4^YVzdA7algiM;kEX-VwW8pg%x`<6uN
z-uQZKhR~AOXkA8|`bDviK4~$owsE&8(_#4>@@c_`WwGHWS-h9V-p}2%;`oxdTj?t%
z9B(Q+&h&kux80H`yG=KKR!=y4u4Dd^xZ9_>%sbZoToRZ4lR<iU%%%^sZZ40lQ(xiC
z5MBLs$-O1<lQ@qqjkn*($jz}le(sOmDqIYL;n`mn?TI<C%acu5F#p@qxL5keJN;vp
zL~UyfNoM@EU~QhIZ0C#zW|6ZL^OwZW{c>|^YQp~p&y)<-p9_vIi;H_Yi+khjD<|2i
zCvsLUiK|Y(aAOJY?IqQ>pFi}Qvmog6SNW-|k8@VP`LZBXy=b@gl3Q<=#jTxW^lHhi
z>3^5TeLY#hz3f(>nD~>(z@>3-{fz@ZRdnxI@%+&1<16RSVtM{6C28rhc>R;iueqYX
zE{vMHyl-zR<Ku&MdzQq3+F;W-${U=@LwFzS_N>lc9`7l9mAf|Xa?ulk++}fV*F9Bw
z@W$R#;lsbBasT`!mWf>wtu&}v7WZ50WbOiuoIC!L<->pN?fe%p_ucR4O51%)BCD)7
zJgQ(!`eNaw`2Ex2g6|8zZJ#1NJ=%9)<&wDF-a$#LOzxgr5>@_wdi(NdiS~VSUrs7e
z_*1k0{HA5IO&;#syfiAddV2fLYrOkUXZCbI@2+@Nap^yIcJ+lTZ6`M}UdWQQz81O5
z{Nc1+)pO6!-Jdh5;oI7LKbiRbw`aAmvL-DK_1(fM-l-wmy48y@X<6L%)yawv4)wBp
zUTFJI=SISZ)k&*<w<m3Fa1MRba3ta-^F;oujl4%E)Xi&CsI6HNd6MJT#6~Ih>hFu%
zFDHF;7QA@-Vg9E@39$?-lD;nDPiClC5mWwU(du}`DM1YnOU{&rZKzqZBr$Y)$(es?
zEQ_XoUXpPA?j&QS1?DaD_Ltbdc)KKV?YpP4(UVwoj`GI-To%2nwEWcjxzg1?5BDxj
zczw0x)YiFQxBp+eB=PHOll%GAOY2^lJ-pYsFk(XP$JPh_Co^>RiukKunOoiR<YoD(
zIGNb{b`8Q}z6)fnCmNV-7kzZw;;8CErH$<m`D0G(Nve6EI8*Le>q7D8Ek~zkt?cyR
zTYa_kTDOMz@<4x^2061Cf1a;%Y|#<7KA{yhdC8lmwaO1(?{2dB5Hs`tM4t+~Ps?mK
zB>&HfS<E!SsYd<7GWm@!#JD=%&ztZ~z9aU3rNdp>8Iu*I8JNXR?3Br$@i9qj-J4fh
z=Vx5yk2$?0Y0Z)^D;Sm}xg{N5ljJvP*T-`mpJ#Q5USD&o?ZEq(?=QOf&aH_t__ng-
z=fXRRs>O_dVt%~PoOsV5>)Xny&n|vwPE@<f(wg*r<<!$1AM)dtr1cz>-w^O{?}KCK
z7an$=XYfI+bxV@ar#h1tv5c%q@-ZiFC+RiZT$I`rGiQNf>zNJ{R+gmfud5Pcn&wnS
zL@kmqUbuNr>7tASlVikYFz`K>ZkU?P^6!~tP%Og}>kSU~7I+?EG6-Ij;k=%STPI;*
zCP&P1CYAp>^JiROQe4w<EWPRI#wnN5mS?$IWnY_ZvFCzT=P&&|Ou{GpI@(^k_I;T!
zcS2HxfL(Xt-!%{ZoM5V45Ij3VdCk(CpEDPK?pz$XYx&28wa$LbtFJ8!?n=76T6X@d
zI|6g&Z2YyT?)U^Y`+v&|_AGRaSQ2#m(e~ty6|(i;`^-LVtzQ=SDM;nZj_Ipf|14@d
z$@K2<TJGHwCAj?=|4rb%zUQUOglsOZmkFX_j3+CfGzKng_x*YBNi}0Yhm(B%%viAn
zk^d)hRV<qD=fk>)#y@M872I4BqIr`kWJxY}QfegQ&84B5CmGT>y%zc(Uv%RGH|PFN
zhmYRP#eH2S>@hDsGAy=P7~Gu9uq=3foRN>%;;`(O!eL87CNci)*mwWU;;O{te+xp~
zdHU>T-TKKPy*%ipisAu|_}!gNH<kvk>}gpxVZJ-p?6_qqPq^+c30dyP<zKek<HRq9
z$Yo)h)~M#b<>Ou!{QQYn>(av3AIz~i-OJ;CN~8!CE{#_|$*s=)W~R;jPt#>*FEyEQ
z!c>=cvarafZZp|W6Bo1Sswn$17~3z6RA*d#li78-OB}oQwk6T)onrcg_?~>T>6#th
z&!};&!sg3F&FUZ0Z96yKSrRoj`yo?ZK+K00e}U3HIyX{(EQ$I!@oL2n)}Ea&4<w0d
zf1Nn-GJ|I0zVCY`J31d{F#qGZJo-kLX|LJ}2YLO6<!fRW#C%}fQ2n#3@BFzxPbNy5
zTQ84oPx^hq&i;*7(zl5r)k~cw3Vq<<{xh-a`BIV7A1}`OFirL(o4VTW$&Qb{OjtVE
zDE-SaaqVvt?WUaVUTwzF`sQov7DgKt6($vD$%~DbJM5P@n=QAuUyxL`+<yt{W!A{)
z?9mcOQgo*Ff7$qb;-_zm?WU+0F$Anx8oPz{y^6vDhO*619<m<Yv?Te{B*T{sYuKXy
z%=mKi+pO&FRi_pQiQkmS_?o|YY0@W6zSrF|Zc1(Y^Q9_siLc@>hUwZ%eN$)4eO}p>
zv@GRmoTK<De%%vue=cp`xIC#yU;L;_l7z=*!L!xBwx5Ve)DroaJWp33g^~Tn_TxWQ
zyMO#Mz4m|7xsNlys7o^DuiQH+V48sF#~9P<FEdUC%{cL_?`FMx{mQN+M$4*2&c_$0
z^lMh-%s8eb>H61aPe(FCO9nej!oM3V3o@IzV+?P!%L*M9$d}CI@BVOWN$PZejcbnz
z+>)BO<>iuQ9<Tp#^4{m|6OtMk{TVY(Flq`daDLv|BKv6;gTeCTqEkHQmN-2>p|E*L
zlCp$I_okN;GDiaUtzI;J-eQ;YpLX;!{y9+}WV<-AOTy*DyO@Ouk1tMnyzqy>=Pz@D
ztCu9~oL*9|c+_U8)ASYk;-1_S8Ceq+JPL7NxZK^<D^)0QjiBRF?HdQy{F_kE#+bDE
zeFt9xOU#DPEKJqCG4<RxBAE^rykUx&_al&*B__W92G73<cRx-@;rezYrlLmv-h@f^
zPk0i7JLlR9G{iDAGm9lDwI`$%Gb>5&yj&6)F`;XLFQaeR*9xU&3H|w>SBfq5xe?2-
zxZ}t9Mzy@0dpmaCkdFBsyz#f{^LH`NH_g&H^kn7TS<8G)lkPF>*Iwe=yHB8BqVmJ0
zjh>6@7yC9P?PR#m5y~OR7}F7zbd=$>^QT(Ty5tXRIlDiKtuC}*;v4!&WBsBMiJQFp
zyM74n(Ksle{iR-PNtyH_p9SZal-Vuw-PX3mw{rQCig!;9ye2rFU*f-w$D`|x0LPnU
zWg(}NB>Q=SCV5Uyay(RFZ^^K4O~3W>@aw0ABK!7tiUe{ky?^%C$u3QiH(yVdRL-5X
zbGl3MlF;X$epst%3TB_u{3Im5B<xH2`~%D5#Z>QmGu%}%o~vZK=MdWpb<_P%RV<f<
z?wq7n`>kW@>MyF>?+0n@b$MMoHB0%;rQa*v-~N9Zaery(Pn9W-Ir=xN0+)vG^kVoa
z*XR>D^T#r&m6J*){#@{J>w7ourtY$(;rqU@JYC<Hnaae!JY2PsNwr~L3X^Vc(Aj0-
z=jQW0{`Ztiw(-zK-lz3JT+go@-z4C<B>a*m|IeP*x}B3%jx={oxBsM+)U%MI;pm=*
z-OE`uQw26JP2H>I$vj<xU-sj)B{Et+C1Ss}Yg%u5GH+SfyW0y=tIqYV@4TJ7Bz3P|
z{e@k}CjD5JT33CuYBHnr((t(xl6ENWe{u2XM2*=?Qoo<u`C<0Q{PRmgn9XA<?j`L!
zed5c8k^_I{-;#NA(BkIwOR8}(i^7jQxWl)=;OB>qk4sW#eL87+(qb8_{(^`urlt*y
zG0P%?PO?}o4Zjq*^XcRlMaxpJf4b>-;>Q0a;pgps3eCRxYgzdGpEG90^lxFfvZwLq
zv(9Jo>95{3<jZP*>=0Y%wz~0m<nkc3mmh=80zaDlUXT{K!LVXihiEV3r*?URX~_)z
zOKv-TJCZbwRZxgg@`I9ja>w+|0zI8uK5HoYIlNoFG%fc<>iHGt(i)aGBu!27iCHko
z-jm(+!8#U8CZ<5kB=w}(3vNAVQat2ka_s#C$+c`J8P%7h*2P=@>OAo#rch}2!Wg@{
zgRj<n>AZUNUPsnLrX?Y_Z_G?@l(oIRB=m23)9Um8So${znA-nk*|s$F{IZ49mxR3i
z+_?K#%;Mc?%TxDTNg8kMn4iBi{ba?wi;i8J#f@kFikm3<@siyl*5`GVSqqk>zW4tz
zX-~{jU0rU$d&x_}_bvJHi~p&$bAxR(+w;?bXO=F_i(ESCy?=1)r;b0%LQ<E6zt>Kj
zu#z#RA?Eyoby_zVlNP3)|K52owybCIt7j~8s^6Yl@bc%J^{Zu;{FzYwrSop}l)9g@
zndfY<x0G_c`S6pPl=qU<sOje_HZM^LJ1Kd%(PP$%2b-5hl-I73k2HUI`rFd*b<t9x
z*OvU(s_c<i8vfhrs`>rfOQrU_3EQ%w@$;8o<tIHVm#1depOi|R;_am>)mgp9BtB?i
zv##gqDB(Y8_nt2ce_vN>{&+Rt?5{HM-q}5DtlyT0zv^aO9@4LUASS}#w9T6dm(pi!
zJiaWftZc=v<k002`9CYzR$8<&uJ~U5LvU%hO~bV}flJfFwk?Thh}jeSKYZcW@*is#
zrQTp!kbZtaMEZ)zhrY|w_wI_1F{)dl|GMXex6QA8YrgcA{$3WfJKFx+-D_VD#@T+^
zs&R77lBloq%Wv(=o$dW??ZM1Sj<+q_{5igttqp#8YEDD>+a*eG&HmhawPx4nB@v~s
z*X+rkzjV@8f%UgmGtX)Wb}zqGn<W>&QX;tY*7gNz>JhT`vsb1FMyR)6lbydzdF}Vw
zradt=H#%>$EmVFp^T?wa6OOK2u6=LK_m`g!RsPsg|3pFlfA;&&OZtyLY1sdG#_o>~
z;*uV1JG$<N-Mz^ce-;TmOmgb%Gv7ahKXQSK`w1<x1yWCv@}=u%7`|D1_wb4p@2vN*
zu6TdgcSB8mjrEU?29Bh2$^S*ZWNzCc?4LS$gNvT({RcPSsLnWdOm2avy~?MXD>TG6
zPENV9!lrA6yXgBlem^&_?|;8tI8>#YDdBeYviRvUpP7GCUKX!(j^UFT=i<268_sQD
znekMbWkbxqWQG@U>RwmRFNxVa`$R+=OYD;DyQ{wa+<07Njxh7jB-xahdqp-&v$v~X
z`Vi{9Bzpdnr@h(_)`%XTxxstGuj)5~iycpJ-26CI?zQ%U-aXqV$R8KI`TO~dgW~r>
zF1X!LT9mMPk$1(6uje;x*S@zn_~V1khnQy+X6rC7jjLXk)4pnkw`~5&z%`674sDpa
z&_FPNb6)HlhNm6-+@CUhUZcG{w>{?JLQY2EWJZSiDGT>43){0Kamw<L+JAeO#@|>H
zqWXGCNXn8}_4hkiB0J-LtX$}1xNDN!?ezb1*4)?_a(rR1_M?jbygOM<BOBN|eAX-q
zPG3-EANFrS=H_KCkC*7rPFfUv^@+p07h(sK84lWabDWPzUKs4lkaTU<mpx1PC;Ve5
zS{ihJ5<}Bw`4hs%RU0Dn>Rv89BET2BFetBXVdKVSLGvB{{m@?SI`L3{!=LL<7#tS}
z8aL&Y&HcS*X~NCrZY$>;l%I0eT>Hc7O$^BkH$*HqRs6$Hs=dVYW73kqxJ`_fOT(0y
zxbH7Fen0tu@oK$&%Y4=>3IDOg{MOQ-s@hKiA`7R?Tjcun37`7nK>JFLo+Y+PNsC48
z@5Rj8^Ji&R+B}QN4BC7lDaHcJeN=z4$IgtJvuZMf>6@iKbCz1mYJcJ@Tk1cV-FZRi
z*C!0cOMKdsmPA~=wUn=mDOZJY{-rOTA_t7Outxt}ni|O-d0K>fL2TdhRPmE6CnHWT
z@$plP@zkiVdq3mT$HjW{7Jjqezc{>dY5c~;DbgP+IjongNwM}?YAyPEL6jzo=FMB1
zmWS(yCG8jc{8LbgAwKT&#|Llby*QbWx}j@B%<}O2+ZC!jrg|DEG#%TQu{6Buq{8f%
zU9(^Gnm;tyJRxaG*nTgCvy&7Z46+#vBR(utac8g$J0R+!srf*1`zCJY<z`p*F7=%|
z+0a4wi_XHLdrKmswGPc&Zn|co;-e+OPoG+-PFS${SJtCN5l0X6RxS<tsd93mMS=d;
z#MBM@?c*4ih5Xveeo159o+Uvcn-~r+iHQsSWVCSR^vcDj&VM`6y*zOGG=oVy``RBa
z4ix>F>9;&!B7^j9<?=mCqsv1lWm*MPWt@t5w>0MMq`XH<mEV6_?s)(Ahmc0$Bv*;W
z5gSg}D*TVhWzPIRVMgV}FUd?Bewy!}u&skhxM_j(<A@Dv=GVACO|a-%ptPxkS$jdq
zybZtOPt-E=>?p|l!?x5ZZNX;SKWwqfo!D2#6n;u#;NLYzDs~UUh9&)vmP#Z_FE?H<
z7BokD_0At17RKHgv2RwGszp5h#{a>>X5l@tg_a47G1@UtXB@G$h>lsjK_QG~g=C{b
z%t?l3orUsiIzQY;o&P_8GXKA^WQnO0x5EsUCk6UHVnRP8ZHbXzVA^ynW`V-d1+(OZ
zBVu01E;M`icIn^gYnGaQsb1u{W|>*U0<Rk}2OZul?4H=J5wxS~{8H2TOMIS2X)D}Y
zYBr5QcuD-$U#cnXPH*Zz|2VdTV?$*Z!;UvgeI_4Rbo9nuHHLE!i#U>YFHG=HI=tr8
z(!`TV7Z>>0e_N39W<jE7(tT#_e+znZ7L;vb6j<UL|7~f~zDXRHrv%zZGH@=>Uuzx7
zb!CZ`-s%~WKbP45_7hrgZ)xf}(2${oyv};_)FsE(=AU%q`y2gNG;XT8>Aq<xo0i(|
zUlpvf{j07+Z}rlo@Lle&cc%vZU7Ejj-Q+d%j_y+3z0~in{t4r{m}UCEqb0fHmdE^_
z_JpagEv3tDncsH3Q2xjITu<YomdEU#erDHFt*4)t*@x#ZS*ZJZsb8$8RIYMx*>b<t
z!XH>;^A}Cn#rk-1Yv7FicmFJ$yo?33u(WK6-|y4kKFmLpAFda<LV3-aZRhgO&)-zH
zXNKg-H#*Dx^5Re4Rp~5EU!wUkiSdEs`IVkY3$K};UZ$0@kWu=S+wreCw^g>?Tw|5_
zeyQKynJ3Op$kqP0)Lv(y_LDzMSsOL&Pcjx*$}Q0<uIt$Rapv_OOZ@zqvgDSSJfBgq
zNzDG|jxEdVSEo2hea(5l>BIAih0og=m-t_`n}6#Nm)V<dxo4*=T&s0$q5otqNroPe
zrN93$J&0TQdh=rc*(|bemiU){;t*SI|9pjh?=EgFn?-tZU(R<PIL{k1?R8Mf+lUPf
z_Mc93onIy=*<iQHhwJZh|J#o^8(2T(_A@TmU%xRaq2m!Br~Cqo!%tcA&lcFOUgCfE
zZO5+7OZ}tj=gl?xd@etFdPit-?4%hVm-yXJ?O4D0<Y6hs?1R6rolttn*dy91DWc}V
zA~Zwt<-c!lp9rivr6O7>yzE^5`|~sBTh}e|`yJ0?w<$69;gz2aa+?=r_ixMye{;_7
z`n7WfpO@**TH=3KFH*y7{-0<^=DMYRzl9Y~C*?1ZSk3a-KW_KGQ!T=JipxEjUuzmN
zaMms6+q@uFjiJ5n%h~+jpO*SX*Kguc?&$C0FkZ9F|J!r{(KRa`pA_m{Vi#XJNpPva
zO4*-pmR5f~$@91|tZI_h5eeDF`|RtNRhPbEeL5}o?1o=|_k3G%QYmvnVcsO(mr9k(
z{O<3{yP1}7EpL*=z78qb$b`CO{_FQowkY|+zFtK-dR3i$ck3L+_kj|Hf0p@`)t)Ts
z_?I``<nFHROYE=x-;}VYL%**fDCgqCxC181G0Q*g*NdF|VXgG<`%5*#-Y)dB_cVW>
zy@G|cZkgY2y#%Es{}`6j1-22oN&2%^w7$QgAYNv7!2Qk6Q|U|nw{N;?Z+T(b&jrlO
z?Kj_I>1Gi8!Mem=`_blA;%qa5dt=TOUiY|@P%~lSp;d+tlbR}y8(yqsUXk>BPg2>1
ztn`Ii&9RK~XNs=wX;@Kl#Pj&n>{&gU>nBYRSj#<k()#6Bs`Ha?h@UUo|9M$p)OGKj
z<ue%L+6;qS*uL*!nYHKH(!lNS;_bg|(s<vh;var(iPOHQn2u6o=FXaXYi0j^TB23@
zdn331q0hfco}8Y*{c~0D<dgGfJTZ;qoH3(#V(hdtbF|(s42)dLxBBTN#mO5Vwk+XW
zdwaowx=$jLmmG-OzL?KcviQrVr`0c4ZYuvV=UeJcW_h!imx}pII3iD&^K-`hzsr1P
z`P^^Q@36$y%=vzL;iMODx~|!m`#o^Kx4`txZI<LilP0=sjgYRmH(~b28%O6KSYDbl
z<JY~rES*;$s?^uaHxIiZzwv@)|AUEpPI5lJ!!oyo_qfYl4p!xrDs~GD?j790`dDTM
zt3AiQq$=j_nD*H-pD%JZ&3<{chGmD%zatUa`(l>r&VO(tg;yo!-=7P+Hr|o%j`+_a
zY<e}uFndAF9@)EcpZ(TZJ)WMUJ@?GHwQd(yJ)ZO>B57uLKjV(fv)?La9c+&MkR&?E
ztm#40%U|-pPn9<&|Idk;b1BvSfLarimuAd)t_dB=OuTJD&msh8Ty6A;x%gt$(TU3+
zz1;C}iN|-FWiK{`&B$Yn{U4cJ#;{PVfhox%`Tq}d_GE_lC%qzP-S~5^Qs~F;1>6T@
zWBx7nn*XTU)+6@c>6*uk>Hp4D?i3UBQ9gKO?yn@7<yJ*+F3%|4$a}(b){=nFOT4bX
zpK#~T(uhyrPS!kB{8H08ZGls0f_Til51Q?AA2j8!9av&5crW4pgQR823=NAsdKaFs
z`_8}<labV%%<?~(Q9hR8nf3>R4SSP97VsobSa|+H1wTjfj580SO>e}Uj9uuS`1VUk
zZ|sSf|9@Wpk63EAw$G2b`_FRQaO(ym?aw)4A2aG79xGd<{$>X2&A9;^cMD2euU}$&
zl2>MfoAkf9A4_a^&z)uUImp&}nfl3!`O9ppEgP!h=WGvKs@wg0(pP)?x$f6C2HX7n
z5qGBJ<AKB7J3Id0U|eGRw(fYtbH>HGk7wLBum9*WZ;8mZ*^g#@-g$Ppx%Vf7$gjoE
zmfCL5yJ@gzk=&c5ic9z>*Umm-Hv84@r4Da9qI8xNh0QoNaluw*%@bXEZcBAfy<a-#
z^HQ0wqA^N+4qLvPibtBrFLz#BZNE$-_V$9TCkOQp80XBseD6fd!=z<S+rKS!nzuw(
z{{1rhlS&&~=AQ~%WM;fkuWqSp_RoOdGhhB$VqEdv_ab{_-u36}&&TB6IcKl^J8ezU
z$=OMZ9Lyt4XRhK(iO4+@AisZ!(^S*LDhhQB>h{Yu*0V&$Gn9p$$^U$!W|q#)oJD)X
z7RpX!;$JF1b?=F@kC%Ate|3$g^Y;RkR^f=9d(P$;UptrbaY^XsZ%aH@+gBz<Oel2^
zKKm(Q>!!yi1h#E_k$&;i*&R{JK`+aA79=M#tz?%M)c97MBK7qBiQN$!clj#0S|l~C
zaEW7I^i1$!>&Mj>1tMnLZ(xe~p8Rj)H`fQV!XBgvOA5ryp0V!1#QPO73u1dWo=Ll(
zQkinTTy*~;?-O}}OQlY!GbI0X{J-*xuyppq^-Bz7=j=W?rQ(5Lzvqet%5JG|4oWVL
z{hzwxe}3HsiOEVev)+~;Ve7fGC_(CV{X&_D*#BKK1eF)YzG0a7K&&|<CQ>RQ>r>am
z#s`(+W|ANDD!P+?K49vtTES=ft^L6Mj|&24AJ|>`;eXtZXU`vgG@X-lZGyl<zWaMF
z$hO72`Y=`Y8rK)?h{sFC`&c-f5;$Z2|JZ$WBfI=}?H_yIuuZ6U`gg<qlehDxJDmx0
z-k-M$f0FQWnepD-6*ntlG?y6nAK0L%SYG|lE@`8F_X4*=?yRv49q*EMb1(-lsx$w;
zbIFE?1v|P<?kfMmwL<;z_me*2Hy$T5T;Mp{sHJ`Fi_@B)E;pB$F834AkXz!udcwTQ
z#iqwsYE*Bk2%2>{ePN8a_Y%)FF*DT<FZvPnXqo57WpW!jR@^vVveQTXVdnb>DwRvU
zUp*1Hwp{+{-en$lPa4In*)UDWG-sJ?<r3@GCk%V{Y=}C+aCpxGWrnlW3%rt;98YbE
zYyZFCdT56WQ{eoiUi+Uir7qS^Ze6A@d)YGY{p(XW-Y?bAe7*C>2`<rjm+azCa(!K*
zd0SmV`u7rtlIcp1-z>|!tF9sZRi)mq;h$Rdzw@(qPPS5i@UlN<>6G$USJaI^X?ZX3
zQZw*QpVhW(!}|J4FaJw+?!I|RD_kBOI3?Po;#Idi#`Hw%(Vx{DRvxnJ-p#$H|LD=!
zvk}%)mpat$ic{Hsb#K7$5YX<ZBFpMKQZL=!W@$EvPTlkVOvIIM%N$n5b@11{x%Tbi
zwf^tEfAwy5Bz_Iite(62=_HlSOC3&cZTh`uiQi|{E`QY=)2{g6OC0Vj<$wGtb<)Ge
zD}@&Wt}SWa^(2RVndaI_3(~*1eNUNHIrZ)2EgcgY|Nr??8UB2^=FZh`w#-sGu)O<K
z%M#7Kdh=&-UdoAob7NiFx2pXTi)KxTym8}fWcvOSik`QoT-^R?0{@q)_{c?CpJ#rn
z+#r4YQ&qi8?1H4fA0Msw^6+Z^-BoWsgg;*Bagl}j-%<zThs_cFG0Pl%A3X_MqE)oS
zE^L|O>l7yMrCLj;-*kT1v1W<m_0tbG&5loBqWyHv?G+0(WPdMl-1_kU=Ox;o!%j%&
zd<<R_xJ2j8hqwM0c6@sIa+zjn*^VVWE1ka9d{txN%DKmO^YcWGvJdk@Q@C@M{CM*6
z`OCl*CgvB`8<#rXyS{CS_O5e_v}P$!x)Hy`G57z5&k-M<ef&}tb+_!XUW?Kx#-!!i
z>vzpLu<^@|*jvlAZcW|x>*F$`dnc<-EHTRdbV1<D4!>g!YV$W;yV-bo^D?XLjvwBf
ztDgS7^Qv8?m&-Eo(?9nne?LC;&oYPd=|L`gmPsvT{lBzp4d3JcDNoKV)mmRy$gtto
zgpjzeRhyS<g_Tdzu92Mez`cG>bK)|`yX)+pFDP1GwpHsG<B!&*TK7t=S0w*m=J0jC
z(d|F;<$_axwb@LatQx?SzeMZ$QklftJ2ZaI(favm-jS`l_}BaiN&5P7@4DK#KjZ$$
zy`C0iZvOby6~4K@WMX^I-#_)_<8p`i+K)`jwLfZ4VE?E;N8r(No$Ty}-Ft#pY>hZu
zd3>pE_`L?UR{pQyroVp#ZSY^F{cMTe>kl!vmgpQ?KchT-sXlWu!<CqQ-b?iV{<wcg
z_|liOqp?%hPFWYaZK+Y#?<M?K-(JWTt^X2L`)#)LlcIlfzOOa95N&txW&WJt+Mo+x
z+!aDUF+W{u7+O82YxmNodoFVN`E!4-ca_=w_e)f4^lawOi?27woO^NchTXpf%WpR^
zyp#_$eiRV5)MfXpE9L**&;I`T%!a7fF$<<|nDH~K{>>sjbq4O_FD6U*OrLj%oL;PW
zn?XHHb?)vt9rAx~hQFEnUDfjGpX7gkZx+2>YVbC$imT_zhq*6q$k)&PwcY7qN^{Zr
zh4R&NewVs+p5=RaZT|0|T8%xI=l$Nxp#7$iqo3pT69&`S@8mZLGT2}L&^Pbz*+u5Z
z56u0We!$(lj`M|l1=kLxj-r?T4=08uzk0xKy1#`Z`1vxE(+kAqk`6z#{=Ja#Su02V
zqiYKpHqW^6Y`OUPR?by(g7RyYFoeBq`nW{6e@64yKMgtZtNC|?h|16T{r~iwpC6K<
zVrD-5z<8jrYsOR4`UB^_&3wN3W9qR<v)?tv6gP2w6-=yW+7M$F!~9}v*RQA)srG}O
ziCPD)_H!??u>JkwPvwD${u?##Ezqs_^W@(7S(f^5Vh@x(nmOg;Qmb#DAMUuyt{n6C
z_lvhTm$|21YdEvHAw_%Ja`*2$eCB;J7e84&O~88Yz74yU_};6Y8FqFdXI|XQ^o_Ic
z3Ocb^Y5t#4`QwGwpCwiwXFd4L<vo!h<Prn>jECo6Y@gqi`1wob=bX6<`s+4q*!;P3
z)~i3Za&Hz$A7fk;{wcF>sm;-^omusd1hbXqG(7m7B)!C@aORXZLZ980C1QVnaKF(w
z!+ie)Y0KHYbype^T6sRyi>FUgU1&Q|!1L3z|8Kr^mY?S6UhbQJ_CbT;x`RPUYhN75
z`ZuHYam+$r^WU#dE5tN1wKvIgoIMj$bJD9`X3jIl14;K|q?5Rt683LgGvfhMMoizH
z<o})PnHggls%NZX61dM8BNDUv#i<WZW|v1SF<g3YSJjW%_Mev-@F)LcSZ=U0m8*2A
z;Z#2jp?h=QKVjhf7Qgk}oIA6Z7}VD^Tq>LU?bE3M?mx2|ZY+`BKbfKSOZeTIrU&1?
zo7Qzt@@e?o|GweN`6UM0Q=9&s{p2ehbBd4U|5+FN{MolerB~`QzHe|)XJ)Ve;`?oh
z`iURRuV=MZ*L^Yi__=hJqT8C~hL<KWH1qWe%e?uhlC*N!pJfVrI}Ln=eXh;^mBRLK
zvC8J<iqn>wmFuOl?3(lE{8BUf_e;~x&Q)B@s+qcR)#sI>Wl3jZgxPk*Xn&4;#S*!M
zXFF@Fs$rbQ>iQ)LwYmcFyO)@iyj`Za+ivv|vzVVt;<tUvj=H@xcF(umZOYv>E2bSg
zX!wz(Y7;a27yZWz_3VEtCNJy%_$4iF-cr@=XC+SE`%<%JnN6`S!>0Q0ag~eb{b~3y
z``}XH#45)3AG#~fK5%!mi~E)*?0O>R(cK;UxEET>Z`9(tyVRzOiMQg?*^u^_jcfUz
z#+*=0l4dr)pnphvLD8d=!s6c;KLk(o-tp7JwC?Q>NkuId<xg)|l5bR}UtkbdK6uX4
z<Nb%*o|Xm9r521rCsO&CPo%#}s$)H6(wxlje8U@G<7wAk3vT>)c>bA)(!9NVlb71p
z`x&#;eSRk_z;S>5$7stLsvCp*ZZCG=k9qWyL!N!1gX~5wOP)nd^L8rrUTxa;^)dI!
zyQ1qGHXdUAXJ~ir&?7;E6(3$NeD^T<-^4WWi4S%sGksjB68nUMz2U%pnHBRGABi*m
zk7>xd{^rX}b;j@aq*iDpup}jaDc_sjnLNpg_1ALe@;Dizd#|@8K40!Kl{M*sbJE6}
z2`^sgyC=V^`{XL~`0%fv9HI}V`Ab!7V#r<bYW{{Jt&AV$UjH+5%K@fj#(lFM&G<Jt
z>IKvEHOth#3M`FDY48ci|DgZvgx|&!eUC3%-3Yw#nVB`_Z_JInq$wwSd1KlRUz!>9
z!Y=;}<BxeCD;8+=eW<*jz!_8b;;3i^XY9X*ee%~9UEu%t@Kk?c!;jbxJ^eo!<bSX?
z{<U4*(95n6Bes!&@y(8Q?)UFIgwyvvI{D$1osR8=_eUfuyi9&;&tf{Bz@8+x!2I)v
z!`%|WKQw+QDJvxWs`#HI_u*u##1F&!4DVt%lmET;h-H*5c;lTNcIsY=MEw%I)4%@D
zoAXolrhw~jpW~;qv-dE5dhc|Yqj(SdiK^#6#q5PNy_5<qmsEdnT%&N|(1UMDOHB`V
zB`r5Sw(^97{hK2z?raF2Fqu#O@Fge5ACB@DUZ0sL@Ghd9=VoQh5|ceAv!0)EnySts
zp*nj(QijQ%o4X%!#GL#hyL;k9%Nt$xb3S}xzP>cYYm-Fe_ZYux4H_TUFwR<{e}B`W
zjGxQ&dk<*rxl#V%jBtQ-%%<7~xrSTy`<ABFRZ9F_@%+sf%Qp?d#}7)UF9~~UeKO>x
z@s-8Z`ek#HEIm1Mh37M}KH_i{Txud7mb4_|36tzXo%0`R=Us7(uUTx7(q`ebpwKjX
z<%haeA!|CsXML&Po4u8%T%+^NvY4XMO+v=Y%!8&27XMiqd->}okMNf7svfCxRTiFD
zc=TlXvo9CdYrV9t7w4{u%+XsKwsTUDt?~LbOU*;(s%>ADce7&e(wL`y?yt-D7JYrQ
zG^R*>OT*Kt_rGS&-+gq0dhFc0=e9S^kBC_&`!s)JS8McA?enL*Rxfu6ulu06@soJh
zhV6TvK2JZDK5@hG@0s;lS9u?A<$Ahjsq>s{&L;;-m%993v&{U;?WMX;r!kzI^LhH)
ziN!y@XFk2cmON?E`peH712!(R_W#5r`|{K5jqNFomY+|a@9cUNIdk>q)lNTmz5clQ
ze0uNE@Bfqoo}Bu$qSmotne^|IEW*<NdyekAyHrg4P2bUb^F=kE@YsLPoVz=Bsq<H{
zIol$|CNDR?IeTC71b6%RWiDk)%(H&7Sue3Zy3keajo<EXJAW+X+q2YsD|7XY{spdo
zn$l-$WdB)Wp1Q>E&ob-lCx00(wXWa4IOpRsm(;J>dyN-2f8t5(IcAl=(8l&BXTn1J
zJxeV1^3<hpELdW*f4YX%a+~XK7HmmhXz9yj{B60VRVB0Ia?5Du-hT@%pD<5f=2g9V
zsm;~gC9bR2y;&f2kTL1SLc3?nr6+qlTH>l-e}Qe=64!Na-o1IcMC!fqM|Zn9b9XPd
z4(;R!WISVW_svr4a&?1i*J5AYe6!R#Y;Lf^hor4vR`^vevtDaFrLSzM?&`@B!aG$y
zPSmp&Tls&|$_jx*oB6-DYuyy|URr&6iC0$br=$Z*tZ&tCV*0;SH+n8dWC;J`sVQN5
zmRWzhnv}xG*zh*|&72uwqAc%^Jc*5*^J0DZV!by>Uwpr&{@QtVnRR`=`i8r&moSGu
z@4R$$ZuI^CpBRp|v|Rc5W6ja!*4gFiK{ukmJlPcR;``00>AyCAoTRF}KwbYi%Y5_O
zuX=wiUE;FV%jE9=)2uJ&nR|cVwD9uUX<G}K_AIr&zS`trT_o%EFWKu?KmCz<FID{K
zv4u@9CPx2cW8JgNx-7Paak<MA9gc%bEp%UBxK`0{>tkn}_9y<^jn{uHvi9Gxz^o(r
zCfAo`F5gx@oi!<__H)asjm%4|$_%eHJS_W}@p-A$w8hq@V*Uq?t)F0Wh_OR$Um{b|
zmw@xj?CQQPvaVU+c5k9#&c@wg52hIZ{ukHq`)H3|dE`>t)#5Dw8CQ33BrWNjyJ`tX
zl&iu13YIr#)_VzTytGoXhNpZJ^YbOP*X{;wiml;^y|>i<_xh!$p4RZ}s#z@dDrUp?
zrL1Ane>DCt;f#%3V*h%2<hrGt*Hdmxy?f~R@7jnhKW;8%jc)R2XN+0Ep#NtH>s-zH
znq|I!mVIH&{h9b?qG@M<DC<(T{ZE+!r<TRrWiL(mwnpyFN5z{jBzPwD{N!(7;s4)}
zk=FTq%?F0`nKR_qzv#O8gzx;ksn4gC)t_6+c~AG&W$we7ZS_ky?@sDE;(B>G=XMp&
z^g5?&SC(@{MRa~U!8@_iWhtj^B**(7(rae;CrzxXSs?TzX2PnDQ|&Cv*v-rSFJ%)G
zkYATP>Ci%!R@oVE?nhWOJnx;nm_hto(uC4AUt%LS^_^cVoZhK*ewpyJrEcc8mx*u+
z#aqYJFZ0;GK+irbX`$4QKMTcbmd0$nzEI4QF;<Lu-_n>(OFhf(y?pX{5nsdt&&P)s
za6Xh<$l;h&A@g`m=K{}-OiMhU%@m1^;9npV!84=!cxUAPgjmKGXErw^<=va`);Fa#
z<&s3<^r`WE$rBcR-8k#ov*pdbj~f16f1nieucJMYziH;*A8!{(f1L48IYagad(6!j
z*{yPo9mf~&Phh=pcdA+J#;?icW{od*Us&~H1?QfQ-LvP^e_ZaZ#^9R2sO{L6Ig35>
z?Pk7z<dM<6t;6|y&dd*DO9HRO%slafZLY5D>KS2`i$qU&F?5{Nn0@o&-ydf_q`5OC
zg>|p@XIS)xWzzPDo7ekaK3pKHyuqr`L?)JD<&K2kod*xGo>1dX{`24e15+a-f6T3p
zr1IqNA11VN=Iryh+?vSY@okCwxk-!GofLesZ066txgQvlXRufG&Da$?aoN|&CHuF^
z#a4(d@v9JD>bqt|vO4qj!!s|xda=m<5Nky;V^7<Bg}57R3v|vdmZ*NiHrus>;~vk1
z*QJlyx?~%Z|J^;U5iheSneki9gsXohY?NbM-FyCWTSNcq5A5}SW+op0F|k0S$Gd_t
zDSifzc#>cRk6mZt<D?bk8($vhi>VWu^(@(Bf^#qPjI6Ie?!PK-cbsW^pE>z|LGnL_
zj!hqD6wjKGazCZQWd)lcqkocI>4K2;of|%`=}_il{E!qL`)}4dB@RJP4V?-V0VlDA
z$zoEg1o~GB&S1Ts7_-8AvV==dQvBu@H@X*k+TYYjTA*`&$viay=eXD<hINnEq%ARg
zuF@JRsQxczsm|-k5-m%3Y;P}dias1tvn=4vqp#(Y=bTVq-%*~n;E~S4#h)aueG5CU
zt|at(sm|AvS`kaEtA8(X+SYZ)ev|OrWqxlLMA|=|DA_aXuFYb`u&?2f0-@&)N?hEz
zk$0)Ygl?VV-y+MW2{bOvn*M}wYWK5`r)_3`jVYfdu%0C{N>bpHhW#?5C|zbN?#av@
zky_15y<c(1^qo{)XYjE3^?DB-JA<iVOD&_=jeaEETxzs_`%Hm}v5F6LR1}z!CL8>{
z#{A*GiiV1|#s03xN?Oil{u4S5GWTf5cz;Z^YDoGSlbtlFv#)-#l<Wxy`IDO2N@u<%
zX+@q8J3e#vjm{gU-7CLa7IYlfn(DE{<hz*Ga@G9{O@4MZ*+#_vH(X%qtF!wJ(}aif
z86E%3ni<h@UG(^ErH^l$yE>b`Zk+V~U^1h^f#Z5|6MxK~eR3kh|BYYL{8gpyuU>KP
z=Q8v6PZd_KWbu_g+mY0!aN%?JuKyDq`eh!SXj9Uhz2{l)fzU@WTUb9YF`f6>`T7(Y
zF9xSQGb88EcyYFO&+Z!wje9@!9*p<oju%_T@q39ysNII-o0`$fEb==|3#CuBOP+ed
zaH!`%?60*;%{-Joute@<xgB#yKWw?@vzXokrQbe=&vq8s!t36%>+j~}o|Be($e+}W
zGc4_X`|9UXi?vT#4VMO)hO$IXGSL+NctWf6iIL?pWAl$pE0UJm#UGbC`ZqsvN#^FW
zk>2i-a&mFg`F;7hBNth2Txu6zeL-+C)5&xG@q4}$Tw<3=dR)Dt^Nr$<y@%f}sL0;)
z@`Uf9^BsqGS8mwg@HLiE#O1Wy9zRckaK4vJYtNn7wzRl=sonie7uD50zxMA+Vcx#x
zi{G=a&C~ZT^}n(~I_BStpNBu4@Yd(Kxnq(-`i<K&UNFB&X5^_~!1}4+%+$~Ir$ugm
zS$$Ttaq(=;dKJlqx(6Ml?n@;7Neu{_{XsaOeErXaDKpymle~X2YtA@!VhxM&LNhgu
zsXvk#mbI-_+|6L1y{i6G!pWH*k{3A1%U^iTxc-CdN8yi2-Hn{~o{TnGFEuWFi2Yx&
z#Hs5i=k*4*m=(zk3tUYjnG~0_|2g$E?ZPhB<bS_sgefzYbHp+VCY1b7U)}LRsbT8P
zgoYUcviDeKc(>|xFxNj0|1tCILS62tgGoiJV@f~7W(%++Z7@iSwfSGZz=)wY_J2Yw
z!}RrwUT-K|Fpqyi{eq)$C%+|Te5$l<u!y-9`#&c3gxTGWpAk`VE0{}vFiz07UHxVL
z#{VCyW`A}3n#R#_;(mnGQkF?#GINfnKR>|m$y59%hu6vdkN50&vbl3f-kQ@NPOvd1
zslWc<^?)&E=48bq99h;M0<LZP{CR=CT=MFL1`$hio8KnwFOawqxIiT#Ea_Co)bI+9
z8Q${!2W@90-JRGcHlsFv^O8u@7$>=AgP2E3(oZxr_!k-23No<&W0L-`zKc(}lj+~v
z8REVFX84yk$1=(+kM&gwyf^ozAA@h$67|Um-7~U6KmHQtW_%N4J40ahLR;U$qBZ{)
zcy?OubP`~?p|HTB_w<ayuaC<Y6xio<$1K*WS+ykk`zD9bCGlnI9Qw(7w=ys=u)@y!
zXJCAQGXKBO)^<`YBmW$=ncw{d-i3X5zOiHNv#ujwBO;b0?7pUV`V+^_FQMKix#lm4
zQ}d9l)bw1e<ty1yw!vr58HdBmI%M8QEKTy}y8drr#>OQ{)45(BS?trkFlj#L?>FDv
zek=^_wfT`1{^Q<)V{g86#+(%BUt!xVqj&3omi3;!Uux2qCBOHRP`tO)+)w=dr`c^Q
za=KPXpI;J|6|1Xcp1;IlzSf5d#t+TMXZ)S5RQP6zX;|$^iOHu{&61e?W_iW+@=q%4
z%dBG~7n=GuC9cuxO6%*`9a=c0YvQq{>W%MhyDzh`^8ZkukZb?GcTdpVpsF{^r(Hd1
zrMdk2?ea@emg-xtdIlJ}y!;yasUUr+Rc+lulgZAL3zojQRemzZviIxp)BSsPf4MzR
z<A>x@k?r3mrB#KkDZM$zaobXd?fN$-9iQ*GZMOZ*UXi2o9Iw@VOL)1Y!JFl={@gte
zzbBMW5)nOMQ97m8ce(A`%e;?EPjhWuW>P;_g@4U~GNt6*KPQ}B>hOBga@*MWutmQ9
zF1~dgJ3lTrx&M9wQ#nt+ywK|j=iEQN_?hr4#xi!X?{$gg4a~_abGKZPJ?L`$aMJe;
z)3sFGly|$_zRBWx(Cy<{&%Q%Pj^1FL-y5`;m&L$lxkX}9OM~8j37!t=jm&+_%Y5_x
zZHS-DlHqIjXOW3Ilkn;<iBC4v9$`E&yLZoimkK6P6{bgX8K0@&C}Q&d#yvx@m)YUP
znoe`>fA%Mwp76eyv}YUpe}&}bs#9)s+<wvUV}WmN^8O3bM|=1D7f{jI#P}nYVe1l;
zvom)8XJAeeNqTj>VV2>>Udcr+4nJdN#WHMX)VS8u#vYV(Z~d-0HyFRir|({<*A)Bz
z*4D&jGbVj~@*-@)p1&uo4a7Id*KJ5<=zaZNiP0;On>%UR+y_02D_>0R|IEO-W~GdP
z0f+8fNml*Yp9LM_au@`;-XwjWA;Qlj_~7h5g96WcNwa%fyFd7t*6DX<2eJh6BzF83
z*b*~=C3&fD?C<l-OtWq;v3zCKzhK{Pj)#mJ^u;%wh?urBI%$FL^_+zc*KRD3INs6i
ze(=s41^sJFeBZ7<qH#Rt)7-AJp7-Cm80f~brbf^E9i5f;Z;8)(yPKL<ms{HG5Li>%
z@nhBk)vq=$GJ4c@Y+9i5wZ$m=--o3+p_Qwb`F<<^<ZySnYWyZa;bkWA-#5wqUJ{a5
zIZ5s4YJ-YpL2Lczbd@bNc^&0@<V4Ih1+68%uPhg6JUZ&}%YB*8|9`)qo-lr+Jk_g5
z?%$ll8<P5#bi~`T-0Ucw8z0xTOl)Fs`pVBM<Hi3O-}rFmtJukif1Pjprf4qJdi<;N
zk%PO3O5Gg(m*3V&e1AUo_vfB`J6(@I{mp{Qn(J4eT54LJJBRK0^rAgWZMUzV^uciX
z_4})GPT#+_MrxJnajuLB@4v<W-M%5YegjL=ESK2_diShQ={hiZ&$r;ng(kd=N%aeC
zla6fAYM9i|vS3SWGUqZ2?bR!Ijs-qAJO9Be+n-D(%PdYc{Cp><IK!SfdWog@rtAqP
z?Uq<>NNP#`-!M%&_6?)NP00`cmsn11`1JR3%kFDS9bfsW-}_p_vc#e^?0f3(C?~#a
ze{xrbxSG9P;;?rARd=Jcywk78^ySZ*uxE*RdFUmn`g*ac^Uj;szc_pD!>MI|cB|~D
zzFRAHZSIA8OL*e@8XEU6{&u(Uhe}G#gT$pwTkYJK8`sn*-T$|YLoa!S)XZ~d&+mS`
zgmJ%K^_TdY%Q?K|Hr6d?w5~MZT;jUA^R9uR@^V-6H8J~_aBejW?fLe6hD!P8j~vgI
zSl@09+HBCAv)p?7M^*FZT^~NE_RFrAd-dU`u01(Rt!u6=WWKjRYH|keychPrm;Adt
z`H7YM45jaTmhs#@WN#ibaVc-q?ImodR;2BVoaLOelUHux>wo{2@#N_r+^;0}_@P8*
z{!$*xN&ePb4cA;<&b!q?`^_?+y~~)-FY=K)G<zw_0gv(o&X}%+%$t`v$i@DjG4B{t
z$GyW$6W+a!=&-O)pHR0_CNyUWYwD&gIZNzilYg(0QR+&`|DN%P;bYRoruM%}+0P#;
z*1C4UTaclUWByY133;M*Y!eIjtWi6EZH3nHFIl&5ZZbLh$vaDK=B(Arot`$QDJn?H
z=YPo7SvKqMw^={l%(yGf$rCemhjh{FF3a?VO0@~cV?1_tBr?nq%~_FJ5z9D})joe=
zkljkT?nPEFe~PbOY+D#JBlO>l3m=y>PM!PB^@ZlQ6>{wk)hkmNLual}{L;bnaif{^
zr}Yc?4|6X1cl>?h5~i22jH{>oQkD~Zzrb6K!EyC6=g1kBrYD<h8A2C1XI(qZuM(th
zDtvF(j0eX*zN<@ORzJ8%%iemzhc_{u8{Oo&nU&sf{SHX~!<w|juyW>A+5e~HH%fo-
zp1mgH@8<@AzDX7GzgIlBm~ry`g0QxK?{}<YXOw&p`|nxOpH4nyPL3=6i|keyU45vq
z(01d;go=r+I#V+3dQJOh&Ru4I{J?W79qx|gZ!2nD8}}&%y#8d6t-R~HP&oS%|JeV=
z)}K2BVi_***nFAD;jPHby`U)hYe&h(y+VDH*Bo7Fmb~!rpBW$fKO~FqUunh6p7evi
zXW<q9rDlIbH*P(4chjD#vYAgeF9^wN;tu6V(&Lftc&;$_<Ai<U52TX5$9!1uD*0VQ
z@mHOW)Vi+ne#RMG_dh>u{<(5dgzQ444@t%o{$$Bbymvw)<`l>Kl`X#xFebh0idSdc
zz3Hkz;)?G4u6-^#T~58<neyLs#iT6=zPjeY=9{mYY8F215q`1fgOur!n1czt@;4SA
zdi`QjALs7~t(jls1^&k}idJk@;qKUadrpk{;;9`4S3e(Y>Rxf8l<{LMqrmQk^3PYa
zmH(L$B>!aff-BEY`^&ywS^oU1sqM{#<TGZ^Crj}xu`TuF>@Qnprn8`TlZ4}(13zZ?
zn(zMRJy*qY&nGK&uD9>coBp}CL}Q}F(dBN_*M4!^x>O_f*At<=i}XHz_l`QYMt`|c
zcI9l=KT9=&PI7ErV%;sNtuuLN+#-#OZ2x|-My7CI{u*K*sj_ZP!k<O<eQPFuT&m~y
zc8T`%6o&cB^yW`vKfBbXe&fb^Zbt3JHf2k#H-3ql#2y)?<auqGvpe%`x!Ek$FMmH$
z>|^{Avj5uxJ?;;ySEN=f){}p~TrXl_^~TvE5sMteQ<6S%<TiXiztmdmNtejgB`&2;
zSidgy4E?iQPdLWz^V0eA7q48P7r*Cg-u4-LTn)^=?O@ovT%Ru{?%Ps_<O$22YtL;;
z+c0^>rw#Yc+D7+nDx9-o|JfzZzn*Na-VpnLsk7Z_1?iPXk`@amPJR;T&S}EJD=MD+
zKlF9OG^R^2)`$0*E}3D){xYU|Ba1?RM|t%hrWGB0Ouv^qCoOn#eCD2gH<qgHnSIf6
z*DObcuBN15X3HlrhO720RZ&>P$$XQI_rO<wjW2tW-}Le(Gko~pH8W{BQ~iyXE9`5Q
zD}LP{;ieLx7wPlpYQQAhMM}bq!g41yqXXJ@aytnJXgsnse7Hb0S!BU>`RbWZ>Q;35
zmoNL|aOmUmM@!XSKV?jvxoqR?tIrl#oPBsi>)$8gkBfVLY!)*A-Ftk~5^euVou;5g
zY%$+Dc84p<MfhxbROK-9m-}~ywR;pl2nxzDFw1RJIQ`+2)2zTJ5!XBBn*U79n=$9l
zj6Wv>D(BAGt{e2{!_E`xFBj;p`?)YfG$rP&cEOI-g_rcNo$!79c|o`GCl=B6i<UAm
zANlN+gcu5ca+=pK5ZS}O>e;Noz6!>MGyTjHa^oLzujsq$!5N?z7-sj;ROwE{i*E^B
z_vCiOCI0_$zW2|L_|giw1vR$cm>0OW-dNyNE*w}VeJ|#RA>)^1MsDsMJsgiuR4&jB
zjd;BHYR=620#Zq00SPksKc4QHzCiKt+Zp$c&AA}Cf#ZS-pUsh^V;t)pJC>|JxGhOx
z$4#Y#d$;F*h+C97kz@Cq*IR9u@Tn#oo9J-;u))cN>enUIPh3iJX5wdDG4<{b#uZui
zf0$!FNZwr4V=LBjAa_%(pz;Ul=O4>u>li;6Co?Zvb=8B1qdR9$+(u3h#ue@<LjM+a
z{^?oXwM*5X+wk53o0JHtn{&=D6HHsgZvKyPf$5x!9~aIutzI#4630VMLrW1AOD&<*
z@(V@Y%w8a}O78rEbLuW)CoL@kXDu~d{iLz^Y{!J%9gU}aW@ObY7q0I3AiHtry_kiD
z9~oEl<hv|Mnjy<5EO(&q_)W7@m-;8<%vf=H<KK>zOo~p7iY^IJK1}+LB!kZ{*kU_>
zhgZZ0nZ{e^6_Wo8$1*1K?_PW-a?X$GlN~>CMb2sXA}@O*X3-mehRAQ<vgX}iaO?cn
zEV=#iD;&yiGHsnKar{HQTvAu<)ULOadhSL9FR*TBn(@E>;jslTA3H8`s9*D8%HsuZ
zlm!JB%P)Axagy<Q&Y7iwx2l&Gp1vK?k?_Jw(lsUF!&1fdISc=9%HUff78*CJx?N$x
z6Wch(j(JB{N@-Ux#vIl@ds51olS%fE=!tN*6B#j2@{7)#)>fRTz`v=a@Xj)ciAy==
z7JllmQTWNo<iEi;=3umdHRsu+Pv;fvU(}{;V6ETNahF@9fAyv%d-OL-@N@UP)vH_L
zvwZW?r#F^JCf!}(y!mX5{9fr5D=YWEFgn3G^K49A&W;t{YeGNdA7eTZQ<rmOh2OUY
zAsaUpK3)*~{|R^V8V=3%3)d`X>7SJINuJe@!}!`m?h|`Btk1;Mtx4(-Qqu5@(^(jj
zm~^uEA>)C(M1=*DLuDRr%|FSaFFJoknvzB-le>Z_V~lUo`;B_)+}@2Ej-X>3#6RBK
z(Di7Igtyv4U4HjJF?T;TA3l1bJnPNgkIl?6?a3_+jg!3J$Ml@O{(6!j1H&turQ)^M
zbx)R_UoQT9*52efD~xMzm~Pl*{*AHYN5AP4Hkp}*Jcnm>OLzSEAhfIY@iIxxN+$PZ
zl10rw&h@<Sc)GfBxuo9(_jyYtPi?rd`{rUNn<bLh78_1}bhKyl_tQ%x5AXP3ZXMT<
zd-g_J+Q;^TGh-G>C9Po6`4V|;!>jX)L)o~KP8?nk6S}}(;60P&zb7&Kj<hb7v|PWy
z(2#Y7`m|+Idm3*iFUk72L`LPp>*Sp)78Jf|lH(Klmb4-L_!2qisc-6Sn3gHnUXDC*
zYO!L4%BRHRixizZPUN54(a^Ce>krd}Gu<DSOul)UXTpY!Nj*%nnCc^#mZ&J$B%Qf&
zR!(I>nGR#jQdQ0qJB5<&g*Q~5?O@k85)jxR)$}5gJ?Z9#W7nIRl9U^Y|0nL=bVR&N
z<HU@L4_`W)FDLCd(IdWorD^hKvqq+*#2vS7`+uBzq%Xm^;@}D6q;n6ff7;AGxn2Gz
zv+70>)Bl~{4)ZR&c;Zpy0)zfboE5P*1AoM9y)Zrd{8E|tSjn3_`xhAg*tyjF{YIU%
zCE8DI7Ri2F@%!u*`$E=BN^dIrws0>{zwqo}@I9s((^(ag&V9dN+`HxdfzL61=WO)L
zKKk8Y>ToiRW8F}&X66~`b?X-xZ@AjQQ}u^w;j_L=Ihz+6b1`vWOo|En@x?!4(}S&w
zObpZP7is^Sv86Y8MrQcOk0D(Xr`F$iJ0rILO^0~9V25*P^8X7z&rg`!|F~T~{kF@r
zol8?M?A~Sbf6Y>zklQ<4K2Oofx*EAed*`;E<cc=&xBV<jWuL!0wc}2mjq1cja<f#p
z7s$q}|H!yuZwLQD&Y1Qe<{w$-sHB|XoNwp7R5ot@pPx4KWuAU8KmPH`&j%@+I~BF|
zI4$5#etGBU;UCp&j(4=r-g)VIVpYe(J)KV?Cd~Xi?;~?E!^+(|YS>tk4)30!b|5@y
z(}d?tR!K+xukdT;NcwoYIho;g^G@ae25-*Kkv;7d+qo<25998>`3nt2^}k8pJMg9O
zN1NU5cVa3to+eLJpYd$t?*4iuHp$COJNHl6@$=p7%;zumeTi89?9KzV^Gno>_I>0M
zWqz?Vsq=6I^8zl@!=1+~e<V(9XOd*z8Nu8YC;q!5I=$n_o0|pCU%s%_erQ_vL0)I6
zPWh9&OmjCg$*-UB^JW8IO=J5W#@Sy^FzsAs+Q)RfLU@MF6He!jgzGl5o9lkeezQww
z_JngEC%)Uj*wA8S*R|RH$GktXE0%R{*m2!(!tJ`RDzjE`Nar-h%@O^%yX*AX&kRye
zxff+dJ&9Sz$UcRym+6dJ>;a~v{YeK^t^f6Y`_cZY{OpV0eKU@hfBvj~Xv>3eDbqr(
zQl=k&#2Q#X3h|!n=s(Uhqf=XMp-I@q59&XdJ74d4Fe&nj`oS3HX-cyhkH1;MP$Je4
zHh(7P;%D_wxOOzvl+XEi^T22Gj||_XCzK!mw1BVf+)tLBcUE&SvBzAw$uxT+^PX4x
z+moakHuG~PwQ+r1#JD-)>*iS}^EPpGpE35|#Blyw_NPDh9+Y-++d47)?l{QwpYda)
ztbEhu{WrPz8&y*zHD~6uGB#PApUJzSsAb~MPde^Qx^=Jr8_$-G`xtDqgz4XOw@u3!
zr*PJ<IjNj9=hxYp!Ov&?@@43q#HlH<evykSr}`S{3%rjSzbi{i2;1FgD5#&fGDTLv
zbjB1xW&PxT{}w2oZFu^;VOsr~uOewPFWpTMY@hh%{Jy2XAI8Kicip)4sC`^#((wgM
zyCxiA>|V%RF32=p{-<{5glQi;bt4zMJ@^(=J}Jy!ac@uYuZ+%s(uXGwu>b7{W@z2I
zVRG!}SuKK$OeYzyu}&#fVd4|ap5M$hVXJ-qMAxzzH_pGv%QtJDb0YTNb%E}E%m3f(
zsIXbUWY)0i8%M+3qq9vq6~#Z!%AU-`-=R{!X4~~sa}LiAS<xQ)@qldJCKm3QRoy!_
z9G{c8k$Zt%-W#^glztY?h-VAUQUoF=ZT&dmy?qjECQGMFX-<Rv8<rKF-8(kl{ru$Z
z^JOt74|MUZ-rsZN5a%K(Y5V;f!X6!*VgHd+lk<}^lX%R6*&Qv6J0EDo9Gvwa^vxoN
zS&F-UEL>38%oO{7(h>O^u?*)9=J|7;`PMM`?8ZauKdkL6KOr$^5(8h%HN^w`{O@D>
zC+rXqi@4HN_ovf$wo<~LLw<)mx|@|G&dmOr@NlnqoZO65g<nbR#u7UJ&h+${Esn`v
zaQZ_m!`+$uha@KbJ<hSf*FJ^2@ZXDF;<FcR5}J{-UHFgS!lkpgOny9USZV%~CvMka
z*|68k{l0C^(64(TdW3t$)dR}EH*hbS@KJEXGG`W^u9P?2O%D=<nK@!x7$q6hU&bu;
zo4b91*rO%MzuzwK`tbTiU;m5c^?M%t-oV(gyZ#U7haAaO4jUQDKW$o9uOr&Ap@>Cr
z)tpZf8VjeLWD>e{O;1UpMVrSo?(!@1Ba%!HUmUito4D)E!q1(YH}-6(s-MU?^P{nB
z9oy_BPbBut*z$1}*J0in+}$_t#Xb>a`g;BG>lGaSmK|~@PyP86vtV`Oi&#eS?djh)
zH-7)IJn7tm>*-yI8(+@tpQ9~qKT~3p<i3uh8#p#BsS}%T*WJOPpir|U(VvU!>>sHG
zxk(e_COXQo%&b}S=d^*!60zwsHpu=Ibe?Ic$S82<|H8oM6YJfL-kGh^ImsyNxbLcN
zpMuR3gS3T5lNr}|*+(x)(`A|I>2l4$nMe5PQk(17SPaX$+WpzT{`{8i$$5K|1;diG
zyY*&2tE^wdPg03c30&?N`|W{lf5iL^Nq2WJ*9);IE1J%Y)S5r%n);2{|JgHG9FE5_
z%wkbJI5U=EPFo*i+?$Q(<+*pyP;$So@H}ke^>(HSJgmG)b^aHY_w1<M(R+SL{3How
zkBb&R7&9MvryX11%9@|lblQQ3Bk9VIdei>yeSgyIf4bg!HFM+g;F9g<Jno)4WcOoD
z-KQ-V@=iPLo#WQc#Qo+|(&MupTW@_WkM`DEZk&9tW3ew&_PuXKd%jj~O}Jw-W0Ksg
zDf>T_X0YtlU)XSM+tu}Nm)b;bxw^ludb!=dWv)jzr0t9N7`A!2&Gnkt30LkdI6paK
z^^$n=_lrXwe<@!(O(AFd)vwi$WGplu9q{{Zv+=^8&j(`oH9jgVdhvrvnsH6ixrO!z
zlmC5PsFprs)8;vmsoU>=P02jma70x7L{vkhXMulXvdgr}T|BnCTlZER?lQm0eeCWX
zZ;Ms`9{$c*ZW?)Nf$NFq_jWM&>)8nvY8G${3!a$G#KbI;ztG}S#NL}Tp6_f+<lZ$=
z@k++aI?f%zHyBTRI=W(Q(uPxtj0)GxgcjJAaefGYeC@NDKjYJ|1>UWX7O3<}GI8Jd
zxaqF>y(>TXCTB#i*lT<5(MQVzF{;V`PFy>f%<y-CvHOS2_-o7gHf{W_r@|iP;<CfD
z%DTW-WY4{Zs~<Oh(En7Cf6M3Doa)V+lRc_DBlzA(eyptg(2~r$qdrl1!#Bk>CR&xO
zKX*E<*?3Dn<{I}0+vJ!JX674xx?Xkd(`sOkF^T=3F;V44%*V`jJ*obR7c-Xp@Y_*#
zV$TeZg-wrVCVfwcjaj(hsHyrbnF^jYt79)JJX#^wUe&;zFeT~MhfLE)Wo0inELaoU
z5y5njY2k_3CkDG#{!g5HAVblrV`kX23tWlkKg9KN&s^qjD)n<~<@~oxT;?#%do%aU
z)E&N|dltA>OwbqoJyAOC1ONF8MUe~5lhr$pl&|SrE`Q{sg;U9g7U>qj$feB%L9xFV
zSX3-?{;9LT_YG&u`B`5I=4wS|nLj>wVs}T(&Y))>3f!}NQw~}D{8p&Cv8!(J_d;cM
z+toYd(w92VT(QJuZgpjn?!hJU`k#uFxO!Nah1YLer!G7vd(nhRQL1{i6HL}DH;?+)
zx%&K%q`DMeCeN)K&wqF8|KMo5en({5Vk1%ek8W{aO4oVKn588zcXGy_K!g4R|9+fF
zUAsF~=-yf9xn9C2CP|5OH8iYx(-8T1`-%8J=hM`rELLwg`RHt#{Zm%s53|KrFN#0O
zD6;kBy3}*&`_~8SFu%W`H@Wfumr3EPHm&%Oy@|22_e^zokV3uPfuHNoiT_?^Rr7mh
zWNS?CtN0@;r*JK)cs0SoXV>A?cQ@{1&Y$l)?TJSHm!PM992<|kYLPA6e)9EKT`i0C
zXY)(vs%&3Xy)wYqmt&IQS(i&`F>_YC2UM;(xkoVS>ZS<Bv+42ISE?BAnx1s!ThQEB
zDgHZ;e6+P+VlwwY>HjrbR^5+VVq&#=3DXjm<Vi(pTXaMPi;RqmEK(yGS+}g(zj>)i
z@yY1l+fVMUeczz}=4;xV4$G9IlKUEJU&Qb{-u*;q=a-<TdY+ZcQo+KUVP{=;7j>k)
zi9Hp&oFzF(=B?So!|QuDP1|y^{B>E!vMVvH^`AuEZe*D!^7h`BeDCyS{&U3-sW58q
zc^r8*YQ7N1#DJU3+S^ZV&$g^io#0^qd2QU*lkZPWC^{)DzU9pCsUqT^ww=rhKV>rW
zT-2*OKVRN^7_&n5=!Lh3lxFD7KNnT{^6etqdBXO8W-duzV)8U@hyM|l3(sVB1n%F+
zw8F6}ZmG$Qg(n<DXTSW`c7O7Y@)iI8K2ZvvIBU;Bb8cpp7`LgSOyXv&FK(va;9h2`
zF8|{8@A<P{-MP4C-?0;HQ6K%S${K`vf1U`Imt+>6E4BNBpT~}OjOzDJ2CA^yZ<@P%
zLXVSe){3xZ%l?@ww8{mU{keDV`m4qqZTDx1d;ZC=CdS;qF;j0_pFJ1(Uu5^{9qT_b
z9f)VXl4P8`;MK33gRu;I?)J}^@bP4~cG7_+;~OXC<CrIWYvo;TJpaVBNoP7D`8Taz
zc|@H<-*0KNJPT{x0@DdeVa$?eobO7-{{Ixh@n}MS^^rb~Ozj4#{}aATc%QWSt8Bv1
zqrU4VkAMVY()7j84=;JexWwHk#_!xp7k2qa99sjsBt-2P7sT%0l&Q`%`OrI-V2-2}
z&Kr_CblYQGHw1re;W(K5ui<}e#nKy6J!^QGl20U_sO&ua>Li=+jZQt8lMgBn|A`4%
zVtel(chbHsr&dip5&gY*cHXolUfX`^os`h+JeD^pAYa1xeAL(1duQ-)y?qi>D75Lo
zt)rU~-~IgRxAy#__F0lIjyyDA+mLPj=gW>AvkuuzmiTo(>gwt}KkR>QSv5UP;%wB{
zEJ?PX3j=09U|6YowpnxC&F+u#^(VK*9Xb8y)VUiE=dL-d-(|4FBJP0O`<u@q&O~iJ
zx7*Wtli;FHRkP2y-2eA&hr0aB{DlVh&bi$Fk=M7<@@g{c63hL+o@$hTtP=gnd*H0|
zdVj86DKSi}XO#B;Jvp;`+sVJXy_lYdoe-X^#k)1{e$KD?axXYnpN%SezwPAJUtSK6
zCkY8Vyq@|;R{N6gCWqfAHtB7WlJ4p2y0CrDu6zmoHEXxed&+fn&AF(!lX@Xb#G*Gz
z7A`UVeoBAOS(mKvOCERSf0vz@>Fe?J-_&>KCq3B^v)g_i>+3hyHl0*5pCR0J<NS(L
zmZxtYIXwFPX!F;c=vS<dr>B1YAbfn8&#q3TyUV@JpD4YYp!8ovm65S~OZ$lpu`Um0
zx-l?6VfP3;xZLKWK-_^tPoh|VtevQ#zObNh=jCgsy3S5g{khWo=mbmqPl4JSYG-W<
z{5zS6@3r31mp7Bo?$~poE8@f)`PXNou5BtXnYg}xsj=;k)k!JmBe(DSyJcPU-#;v0
z{6gnDNxj*3Th&4D{W<5@&`(h%O~qB`X04xd@MhC~^XyHjn<`#(GwatdHgox%tgQG`
zcg}hDz9k;FOzytlGl~7jqRh#aOLj=yy}@}T^!dFrCF`$F3i#J7v!o>Swee5KZ%gOL
zMYMd%={P^3{{0??tGlMLUfpu??(4jXlhQPI9X-zd<e=z<H7dFDSlHk9ZM=T>TBE(I
zQ%A~ko(<=t?jCvYh2w(w{~sTH{VRn)#l`RV6{5RJ_nliDmwj#HQ{Ri<C1P&B*z`#4
zmpo%fRd|H_?5)B~l9G`dcxT3MKJ`<3Q+3_ER*!YpH%Oax{oJ@gnd7uVUeX5L*C*eE
z{yF-ttZo_a=kq`9uXT3%GdKG+9%h>Urg<UH{GQIgtEVkEv$p+d3d{GUT5C7$j<NdI
z-Rb+l{M_TS-IA*-+eMCl6^t%=5Zj>q?F;AabKKQe-J0fQS!~*IdAUtZ+<ETb=}a@F
zKb}4_`#kqwtD77jJDyHFaB&IO|2+qno_z8DOWH}H%1^xWCQbRV^2CF7(MbvY4>mZ&
zDk@Fts9sfaA|`6mmIbWuPkgZMxYE6Vsht^R{-23~;s0-md9d{W6D(x^aK?P&<@^|&
z{EvT;L2?SiMuGGtJht;23}-&H{lAR6a@O}ZGgfs8bpBvn#$A0=x^YJK`EPvpIlrHd
z{lC65>NsP^tH@b@E6(p>u|IbI$EwBVhkq|I3%>S6AcgVnvWAb}0<K4Y71WOWpWP8J
zTgUaF=*>mR?t`2EZ(hQm{^RGHgNJ8*7u@cpa6d_0`_Y2wiAvuE^FRLj$o5@OO{wtb
z!LMHht$!+Lb~wsse--p=kdXQLehIH`_9DGMOPBL1#^f-Y@=su3)QKrtCiQRTsq@SD
z=CkbHvy|`Y6#1SRoDIRr)_b%wXV2pNDOCLPp4o(_r<Y6Jd%5WI4Bd_VOYCM%ERwIR
zT)U7bXTrxHvHup_STmWo;-L4@Muzld!Y5CAXa8GZb9TnTM+Y8<ujyRUwOFwIp@yT)
zQh`6R6U99Y)dg+iE?M~-o78<&eY1r1tkeseuBWF@?@ipigtc9G#?@BNiL#=r&xErl
zGhA3bqr5=&;k!@ODsR}>lK-7~Ju{%<gyHcGiMs@E>Re#7e(~p#fxX@d&R(S%*&k>8
zNS|T9xpQ$=4bzOCV{?zZye}wgz<71{2kwZ8xgR$!tJ=djvo5*gw`=3+H}4O8<B$2n
z5_`eA>jXpS!=w$H<70Y+Q*;b0H?WAmztwE9a{En*7jwRQzcdzW{L^=^L&t4~Q)_Zp
z>32rW7|_Kpi~hYR-n_C-kLiVD%$MYU`7Z)JlKy;A=C=N%6#3cp@kxc)AM7j3?7KVT
zx*U!!44gGn{Bv{W$B*-O-<-QWXF_A$1h+Sh{LdGee~4x1PmWlqvH1|Mo)OpL2|axc
zznEs^#P=-mS>Rw>$u8TGCc1l8wZyWh*9R_6;A9k>v_gLU`NYVV^?`5hpX*pM??af}
zDuX0Rhg8Ow97i8~;QF`mLq1d23O$>@UAzDMee{)MX3mQk>j~%Y&D;^pBWyS4TK+=6
z1czjXiyaQlzhhLF#I-ZdC=I{C`L+7<hYC6A-7|e|Owbem_I{E24cQqnM^~`Say<MX
z`9IIYne7}MR_>gPhZui9jalL~f7bh}lPmXd3RZl4c;w@emsJtTj0=vvd3N;2%t;$h
z*<0Ux(fwz+?AwP=&d=PGHuw1F881Bz<7M7Yl1tS{{Kh69Y2@9vc>cZFchY9?9$jK|
zbM9Mn>#rtfrRF`q_U(Y2tMf^@&R?(37@JBxU!2-eT)Sq8?ra{$nmKnqC!fj8`}s+C
zVz6a%^Tq>H=UZ94`C@aDn|I5Oe<ux!&)8I{GMnqq{vY;LKhALdCuYsLA0L0WTDtd}
zgT#b?o;+u`XEXFh@y=%1Ke;24O=`iOGnQe`zu4}7!f^1L!`5kx#lqHamZ^m7-5_!H
z!hu(nFSbwCvQeCLBXqIS!6fg72kQ<nCB2;S>DR|e%M_FYbSty%yY`)3IK5i#*PhiU
zCVr5fxT0c%b_H999q+$K+OKB6Njk?pYyN|`tF|qboj&W=_na9IKV^N{a659**;eL@
z|NnnH>0fp2;;o|#rtJT~c;NuI@ShlwgR+$k_eI~tGR`=6^X>i9bDn;aJ{fZ|(BQ_5
z4e7}nc_uP*-dIsHcbT{GRio?M=DgAV$n^B}1g5OIn;gBLJT6EmZP;?{$_K*;&R0)A
z&-`_aan>EF)Am2^<$ONCxPduF@ke#qLKpk$1;Tc_c9cC@vE<D{;ZDyf!awTF|1p0^
z{lT>3ZqI?I3q-Cb2*-$?l(!Xl8Otck$o@bsX}6^Is-xd#{@!!4q<ik`^Rt(yf4gXZ
z((-G^pOcFDYxGX2A5;3^EHA~sB42p-0;Nt)Wx)-nt}A|<&`_D7@#Dn9n+Gy06i$5a
z7vAvducBbYr~Vb*OE}C|Z`}86x#HW&PX6;5m;X5#I&URk>4q4)11*UhNlTP?W1Qz7
zXkVsizb2_<#hwqX7oKI+)b7X$JE18&;m)4=8P8P$7+wdyI+<vd@M(!c{QCtwXXl-1
zO1eAiPtDHuJ&ZqoReTEmyhI>vW&P1tY_m2@KYE&_(~|%7Lfx+%Z)P5iWxN?;wBfkz
z>_yv`irk;ZFmvwTyDE&fzf$+Fyz%I>_Sru(XU)EHe5q2D&;pCdMT>sU+_$q=(8ETq
za*@d*rOhW|>?SdG|K8lV$Xx#Tq~$l63=gut`50KST;+F4u+(L{zbPE4Tl)5#)9ebK
zs59sK*L#b!Y8JR{l03LjQf`0thgnC;1w=bP%%1hx+y2`7rJBD~Bb8-z&9n|=)X7c|
z+}PAow<l()LD;`TEk~ECM*T>N{uSBEd8qaK$0aIlN%<9LPD~E?aiTz5<xxf2iXQEa
zjQ5r*tqPGzJT0L*_m<J!{DmstzQrW&{cz&!*BHA!Z68j4tY3Ei;k%?Is_(scGIb^P
zzh9z1b*Zxbnk1K|yIhR<%aw(=!UJ8B1sirT224Bnc*E-@N^AX6{#rh=JE;&UdiSi$
zsyXv-uHU;*!&C4&*Wzm@UE24q?y$F1*t(Q+Tg%)V?{=AOtlT#L#`#UNH_llq5^mPX
z`;UF8$hmp9rfoW~dETw5ryk|ZxwUoWf{9O$v$5|vVURZS*5(U(`ew6FC(l(}qWj&Z
z!+P8NTYnb{{hWL2)Q*CPLz6#uc>b7wOX!K<)!qG5BzNnTC4G_q^TA4uQzCx;t$Ry_
zPR+QTw&U{olYje`2yfjs=kC?RNta_9-{i??FYq!+pLyz&`^T=$mOHv{&%OU<(-Pq=
zKfZmP^XTV}Man03tc#xeQ0!Bonf=^{KTkHSsFR<)Fz8jG?Lj7Pj--pbzI}YWOvL#M
z6aStoOGHE%|KI%IdFvhH0!?<~q;Ka>M6Ovbvf<l|1rvCgk{SBv%n7{tW6d(*C-ZOb
z{$HitaI<dK+dVJhZ$2m$ukL7B!#ZRAje|c#=T8*4vH$GL%ZV{9H})Rwu6%Vcmf_U|
z=XDhapPj$2<Q2B$X;$={+h#MQ<U5}K*1j?A-jgQP#|?A8i}znT{^jbeIk&I<JR#)E
zbokqw2RsjC7QF7rIwt$(!R$HjM0X#U$IhA5ez@Td*O9MvHy37`+d0js(4O<|FjLZ@
z3tp=WnHqfJ=Djm|qqJ>ad~rju-NeiFuja`;(w{x|!JO06PpUAqKIG?Pe0}`8>lLQs
zJ%%sC=f$6$`ygxkym#U!Io~t+&wJ-}BB_#j=>dVdV;>hSX6>JmaldAQ`6Q0tEQWml
z?dH#Xxg+=J=i_yDGaKq>Xe2SUDtB~VJt9~rGxK2AuXht!C7Hkenej5BO>X(zrgwAR
zz5V{-#_U;j^0Q~XpZ9Wp?wt2!Ck2mAwod<<sB=MXlYs1uSC4nRlfA*2)H(m9V^T4v
ztKGa$ahqnGK4HogKI2v2oX=<Hyy|MQIXd@K`kdEGbDlJrO?s02BL7Keyxq3sIiJ+;
zF}3#ZUe*}a&bY)%+<L~_dy7>!AM)y2=qSJG8q@j@;%PGs<k!#m@pI;zkCzJT?Pe;x
ze=YiWp~TU@*M1y6BFf*`#n|5v#JTy;(=M-lOPe0woBQtgi#PIzDwzuBz2i(O&wg>?
z-b=YP^WJSpdj0-+6W3&k*|UG&-T2z9qEXwXT}Nzk`R>URp1*&)K4<2BxkJ4D6Ye}(
zR{wFvil4JG9xI)YG5^G<@c)#|`~`mEW;Yi6*Piv}*ay=WA7(9(2>sY|KeE023B%+!
z?{$9)G%sUV(-D7+aaQ51mlpMVR!)gHsk`Sv=n00SPt3a?<;j0z{J{6-X3wlSuc!Yx
zZjrPgo|Q4?r$Fo$UHj^TN8{$c*|ePb=DatFmu3XW_wNv&aj=(D#8=|xpS;;iTIal)
z{*)td=Cod+2kY;Cob5k<);G~V42Ku+CSR<LuUT3ChVPYsWpmq_*-w5fkNh~R?4(5b
zQf{wr8wEc%HGg0{tt*`*=G)CbdqvRP`L_E{>i)dpZ2pi*{AAM1)xBF67>R1ndM3oh
zt62Jbqr`dENfpYBymE71ZLXfV;@;*n8)v+H^ifrQ4@=S<_F1z||NZ>p_Tv|qlwUDg
ztbb{hKI7TP9ctF!X2dqj#dt0-aAnAS^K*jBjSiuRuL~v4Zd$#60<%oPNx%Ayi^TFz
z#4>Q`PI{mGK)aoJ#!k`qH)lVa^GJR7jHHj8H}+?3_>%PGgKF{#?OFk?B!<-2|7Wb&
z`00!3;SXO-x#W+}`F7!8c>cc!Ge2<0ERmXWYisRerWc>vxjP*CzkSdbzs9v-?w=Ey
zT*+(xM|4{8GtTa?{^0pvMPLF0Yxh$A2W##BaK;>X+sV)=@UqUl{_*9C$FVbye_SEF
zA*S2n=8OV=X%)N9V*jOFpS08t&zPlu=LY+WZz&AdS1@e+=rU_zW2?*~U(@^va~fj*
zy-a45KjTwwHfPf&&Ls;K=FR)Od=gi}2c`2fj_>-}Is3++ANt3;m-fy4+RC}&>+ywB
z4va~hk}DKgk{K=tXqesYh>Z9i^Ynx4!z&G2J~yZ(od^tn)4-qhDX9HrN9EauR&|ca
zofZ~M!5>b<%X$bWi81fi2`Rg|?)aRim#$6SS|PkJbmP)fKfZRIocrAG+h>W5GfvE6
z+_`6A{?B>$cfOs^^>evUuKwH`o0*qLN8N6)U-Q)@V(x>={b#ryEr>mT*5+5l+()aj
z-*?<rll&+4ZR+&yDKqCh+AHpL?@s>wNBeKQ3*Fqo_kn9eu3b`K^`{Ra$2)%L+pTbk
z;EnO`5ZSXrr((k$^LtG59&dZ0Vs&rEoQX{He}}gp>yU4~bmL#Su%U&mr$u1o^g#Kt
zmDwsD`PS>p80RZ(`_5lH|D<*8WM|Q!#}P^2P1eud{N$_Q-z{vqi*6ikem-mRjHC0;
zM8|zIzunQ5+_?AbH<P+ak?Wb;7b?`gIcs-c$z`{t>(-i>XB*y@z0_OtHu8|fj+XQV
zj<z?M?R(~!?q9B+^xb6p_uW$>Rx4|Cu$+9oOkm4WfzUNyt*&=+samm||1<AtYYaE@
z{x|0w=CLH!{p7PbnJVAi@vui?>)s^>-HW(m|C~42v_!acpUwn^Yjb{2vY0XF#r#{#
zg{*5QMY9U+oO5sbxfR0Ff~)VOsU^RUlX>CZDm-Js@r4hh`Kx~vzTtQ=zhdTsA8bF0
zCNnT^+WbFRrcvbl33mH6%nR2&p0V@ei^a+n;`S@kzb(x=e$wc5#oT>A*jCwI_GkF{
z_T$M}hab&&cKl_)uOADxo&6OzD`K_Hj888AIleM7Nj_nhdVA|q{hkFq{I6CokP>*r
zB(5R9>Fkf}A3<*(ZQMC4BlE}KmGQzF;jecuk>N>tm-PFDSi<Y%^YZfR&k0A&d9&>y
zzuvwrL8m?{bcrtAvrxZ#LhtdF9P(_xC)_+Fvp_WY<%^>eUcUC=ovZcuQJ{}^=dZ7O
zR_tnu;d-#-`sWF=ChXPtTU@(l&f5)18@?Wl{hvPn?YSg|5a~UFiT5_})$duMmNfS*
zYs}i$6S&!9`jc){#Y~;~=3r9Us^xqe?dQIp6c}QVoSC|3&g(0Y6Hff;i2iwoGyVTk
z@yZG3a&{;rF|qDmC}F#iiT~76iP?<2KVoJ^Djw+w7Aj$qZgy_6ZY)e`X4E;N#~91-
zCh7ljiO^3{JhN4t9!g1kTi~a{5F7Ejv!W$Y^6$>$t_=Ba-mlC$!B9S<U_L|bk2i}X
zeLLUVE!g*eiR4<f52*|*&+5e9`l@}adYRN(t;iW%JKi3du!!-DO@89Lqzg~iES0*Z
zD<G^t*CF+se*Buoh(nBDysGZ&TAljoK55>Sb8qfce-eIpnDNKkb0>C5?EauW+eIki
z<QMOJL8ioc4fmC_7X;=UUy+vcW!}xlNiB13tpB<6yZ74POEjK`*o5eLe>&9Hsi|xr
z`TqW+;`I6V_SQv8&0q6d{q+~k*u5Q^<|kLItqPlS*YD?9lezDv8F{~$>FaU)+Rnx|
zXKK7+r)PZq^UeF)RF~JQ@*^7_FXLQ0sY7xf^Y@ev)xEXHm!$R|u>12P=~2fKMehS1
z>Jyt9e!gz{+VL}N<ph<xf*-#zoqX|`LHdHluOD{~%(r@f?9$CScV4dfEvdf6;PsZ~
zO&dPF+17P_<A?9o_4*wA)^qQse%IWe7s;`3qV>mv3!k0QDc@DHr{Lcilc#nQ8U9Ce
zFthw$7sCH|Z(sMPnJmc<D+(Kfj&;VIa@ptcKugltNb=>RFeQ%kWgOY>H!M_o<dWW4
z)yQzZv&3UV&Z$NJmdLF?z0j_ER_n+4cZ*7YFOoC=`R2$Hj<^0dru|`gajbIQ-I<Fv
zw%uKG@1S4L_Ej5ZW*nV!*H3cAzV#a>oMbfp%GUMZ@qwhWq`w`S+C_{Bi*KBp@p{hP
z)7=Ne_*P`z|9Hwo`;%7O#e@BeKYd;zS9h*q@BBwbv&&-U-Sc8`FPnEStNY^7`481s
z?`%(6;Irln^BI;M?^b=}S<VqZXL^V5gxU8iHveGbS&))ssrh|Y`r{1&Gw-&BGmGyz
zW8(cd_Wud>_T+hY#mgc)Z9ktBeEz}Z`wgbgFH<bmud3TK=kA^fW!FFc<gJ){Q;5+p
zNq^4We=E*TW_DljWa5hyW^M<Lq#H?whu^q$G#zH2akuN{<BBEA<fpIwVAZv8*Wbd~
zr~77}OSmA?&$;03!^2D2bbg$=cGk4@#Ejdmd_uY@?D}^OhU=Z4^Wgs56Bg#Hm&#9i
zaH0MO(+uv<Uo`y6H!0Z9zZV=X_T$X&?;3wP4OYZl$hLjH>F4L-uWM#b`te=TJ?s3n
zuNptStqkjy38}wjecB_n=bXvu`#)R0eB6A&s%)w0(kFG5OXcEUO=sBjMI-#vq7BE6
zb_mT{#u@t0UvbL4_e`s|tw?+Hc=w+UTgx4V>!%!6m5}Lvef>+|^_TjU8Ar~UOy4)D
zBI$Vh*VU6NLgmBlemb?y6@RPullgyV`((wNQ`xrG{a#eDT&CVnE77h+Z}n2nJA1h*
z_nb31RjaCW^ZonVp_ZRd-rR8G%ZB-vL+tgl+di_fE<R^s^*?pqy`?#)F27-)f3GI}
z-H*3brS^|Dv&>5BUe^Bg-!$f@cMq1XycT1ppU{)v{5bB+s`ck*cXcmW^gk+cRos~=
zze8mLw>Q1}`+08q;kylQ<kiwU-rPGFYxn=7@`)&m<9~l$dC5CF)b`qGj^hiN^}~+*
zb?3Nx?w-~AHTrckR=!!iE%NOf)yhxWQ~Awbzk8!1DEt1!rAM<joPY4IUT*fh*%P&A
zY?l51^?3NxdH0t_%XEL6_j2c-*_Uq2d-$+#+5YP{R(yDC`hWh{&yjN;ot*O`SXFA{
z?l<qgJe_;*<ji?p6Zp=~_`9m&#of(+8M1E9d2w_NYj)&chP{(*gg<I0GroN{w>r7;
z_Ke80Z{KN^PGX6Ud^F=m?VcM4e!hGAe3HiHC7j_|`{z7=^6Bo4gOgrAV2U{~=lT3p
zWyUvu&%fNhN|0aTFHiofyLEFOt$8`&=kb@R>I=6pSf8GM@$JDM;@q>(|5-i%We3+=
zsZOE#&pYzxA9-DB(_rz3ZRYZbnYC|z_0M|qZ@>ShkStZs|MOm!lr5-u^Je`?gQ-2g
z<YRunFiM*9Qa=Cfx98LLKW3a^c=*4=p|sgEP92~3Qt$17I@9w@7HzU%oqI$@`fvIK
zky(c~Zdh)A?d3I_`G@M}9k!Wy@ZPKcbAHB5aDM#g<>B%@vku*8NZPZY;3P}yyu*Ey
zEbY6y11Iy?idnCf@1DJ5)*|t1Uq872xw*RgL!9ZF*#D1OPg*RQvGG{!jH7*x8(JAB
zdDwP$z3nPyPyRQ5Mz&!7o0k<1u?)9_rr6EcZ9g+yfGbJQVEN1H4|#8xUc?^%{vx$|
z&e8dw7Vv5Pxi;g}294SGyAA|j-*aQ*jRhSK-ybj$fB)cp`s|}ecU)S%f%C=A!?$J#
z9Wy)hBLCmY@Z&F=`)4cNwOL?y?Sa&v89r<bA7lDw9GlT49v6FpdGm}<kLIjWJ}<$)
z`?Pq)oc)%sXZ&&ank2%&S~o%a&4vm5vG*8$m>m6?ySnm4r|c#^3C}4SIo%sRpBL?#
z^-#QeQc>C54U;75XFL+$DAD@&M``sM#t-^Q9kL%E>E}JVXz=%DQu&?*OMcAGdcu%9
zV_mDlj18fUyh&FXI&WAnU_Sm)T5FB)3kK;Y{41(B-oN;B{NaNnIq})E(<T(yXHQN2
zH_Kphvxvm|ocU|^u+6<4@u++A$LY`B&ON#^aks_J+x=5wrY_hzXO6YU#GLsno_45i
zocTX_W_GL6iRJYhH_Wbo<k0==hkb0#2gYv?%Gd0gU-5x;^YS?sGhh5<DE+iTn6dNn
zPo~Wc8=iRlof_ZI_-K=D_Qb4|`%D*SOxxULQdf6y&&Gz`om?0Bc)D->>ewCe#n84=
zi+}O%oOv_zKb|=IW{vmlx%)o8TBGcd_<HUSpI;uuZ-f@`$Z>1G`WZKOU(MVlk+ba1
z&zbT46N~92hlrkT*+>TeuPmyoZ*6`2oolO~kzn1MO>6ePuKdL1uT^tx-oZ~>YPJ5v
zEa8pX#ArV)GlPere{$Rc-usgcBEJcI*Q*p<x%cnq59ZlcEU}Ty%5y)vvmHEX<S_S>
zzB=2ku$V*ZH-EIKuRE*!{LfjgN1ZNL_Z+;N{*8O9D&uUUb??u}{W#0(${x9%<;kBP
zdX_6w%NSo=-1t>6>gb0BtM~t$^J(d-rw#`nJn>~<m0QtxP}nm0f9Tv#TAvtXPAY5H
z&G;y&;WjaQ{-LumA1&8Ulyd*GLjL+hOUcf#?;mH(=zcFWy=KnrzvAYr8yHrtTBXIn
z@c%En83RL40Rv<QBZEUEOA=#@4Fj{wdj@t324)7{Fm(Z0rX+@*B-^A9NtQ`Ud<<fY
zOhOJZT``O?j0~zSRxIpqS@>PdSlGGQECpj&%)4JQM6xBivL|;kCAwHMrN3j!Wie-B
z<%tm#h!KwY!Qd&x$l%MB#F)gzki?m!kR+8P?#NV{{O>L61|<el0R~GZA%?j>VwjQ|
z{>KQ#FvV~(a4LLB;$~!LiD73D{g%Y(5+lzfE6K>(#N-_NpP6l?7*pvlMfTDdPKMJ;
z91Q2>87{L(CUHw7|7Ysu(MjTGc(1^iB*@6>5c4yJCFUPvb4L;jhwfh{RtA<B#h8al
zj*PvUF%Ou;1vFx=GEHS<iIHWP%$RhwkzuZF(&HG$*$s>_a!DUKG8LF({xcj`5RT!9
zdCTyZ;bD?+j7Sn=EW^Lov5YcFjL9zl9&s;z&pBC%r{98|TP5i!hc}0(O3Yand9KZl
z9Ptt{pBWyjd}1|au;q}6dC!sgJ;srfn?Ht`A)kRc>01(a3>zl{Hv@Ch4?zw~875gV
zK?Yf2j>T^~8D2B$a&W{daKwDzQB-1QocoYRi6!ZsfZy*V35F!4B$*_(Bw?2%Zod7(
z+|?Za-U+KFy=REwPST5c6{EzG#Kdq~GU@w7p3}b>csb8@2r{uVavWvhoX!^WjgdiL
zF6LE|JWI?YE^CdLyDl*b4BX!tb}}f&{7;gJ`RgcP`94XGbLu39+Z^o-^(+D>W3I)p
z2qtkJXN-BH06u<)Q9&S<Q972#JE`kE%Vu_#%^n;=#cZ3Egl1a{Ep%ku&lRI5@LJ$y
zjFLd1luOKahVs8cdsPG(7IQi?aWYI+NV=KS$>7RyTbM&sK`@C&;J6Zp$l*&IstQs`
zVo9tVstv+P(_;#g-g5k9m=lv1!;z%)L}KYNj;~CP3|qG)Da1ILapopn7RXiMV{{Z}
zWaV+!ih0KnBbyYHv^qvtAn8exFXMxxPLAmkvbzQ63nbYw2_6z;=zqvztu81K?#v>{
zsK_aqbdck}Qi)VOI}@uya}rxjEh9fi?7s($Y{?8tZy1dC2xuz>NId4@SU-t_V>5#`
zhx3GFMgd2b>m09n{>mmzGU1r2=&b0jz#bzfb-R;6UqSJq)N>9E#@M9W69xN|7-R()
zJ*1fgIsYn2aK=b*dMfw|N=k7$#Bj#`dn3W9B;tID$$Sc9jLJfVY6Z?1EhT5AHxjWi
zOq@+C6(;J$JW-h5;lts&S7APf0OR)<Tb86F0>$hx_d7W_1r;@zL=~K3mN4?GB*}6~
z3%e_wVp7cEY*u7=9m~KFD9B;!#N>L|CB}zIpNWBY!uc3W24O`*7S8hX$^Qd{V;PxL
zHcpZh<`Cs*WRVx-kKt2fH;(-$>l_s$qsVvDnKNmxz*z_Tm@`TD1*#b|lU!ns#wfTX
z?UxWNXZY>JF*T_}Le`06ck(}u42M{TcS*Yh77GL?Z4p=*!IE@LV7+4uha%@zhPMK{
zCkaaYRm_#TI7MVO%UVAJr3;)%94d{MI9O$bU9>nv-*Q$famcRX>g>>tdBVi*%_GgR
zhe?z_rpcbeet8nJ#CHXSn7bU_9Xc^jnRuf)!aEjnL~}Cnc8E&cKf#g7k<T5OG)YiF
zy+kE9)`el2z)>y+K^GehdqyVL3BECxm?lcp3M8FpkQ5eV;C;!U|9_H*QkC3u{`O03
zHzzT;8XQ+z_=UIL<*vkUZJv6Uy$Ta6CFZ)cGqBflC_2P4yieMpAT1D@v`IlR%26Pf
zDaM(n+~akOh`5pOE}l#k&Fu`gg)FuAGdz7WkwuV0@H1Da$7|uQT!|_x7!*an3tFFM
zW6|H`$Y3oHW6xqMa5Cu*13#lcj82RTvwxD(JSKi6fncTMOp^I5>4L2Gtjy)HEdTB^
zb0jk?5#(rgS8!zPi1AI5oNleOp2eU(_P<C~vOM!uzG@|npSs+Y0x^4&sx2P2b0jk~
za+k&IV2BBi*%T8KbA%<vRp>=5!|SLR7b~8E=^SDqVz-*OQnX?WIc!`cKb;l2;BY#I
zE5?Px&*g}~HVYO>AzK-Vju=mgcPefoeP3G)9d4aVQs5GZ;Sy=H@H9~nsAJ3BFCukC
zCo0%b=Z4A|!7u?op;MgfLT!gNIXQKHc?!5l?lTHn606X_EBNb+81EtpCv7qA->QQA
zN+F&R3z)eTlNyp9C7H&kFiAHok1>rYVp8o`sdXfZ$xub3#>$5?!GoDS_CKe}UW0mu
zsVXt6J$`x4VPK3YjQwAg%%IfIklrh?y^~p3`9K^)^#qorfCa3c0x`#!dt)ZqFidrn
zVdZB~PvVG~6T=aa#LKXjFUFI>SmHmkr+{h<AJf5SoCZFO;_We$s+i=Xxs<Lk#C(eV
z_c4iqIhJ90OkV6i#R$h3MoC8o#u&qx$uSDC3;yfJGV;jo^my(5%=W@-l`}!IJLju!
zjWUuc(G**~-{8!Z5TV!V+g~klxaDgQXK+@?%gz;AdxFjYU|?WUfbIVW^WU8I^w%)H
z>=mPOC}3Ju(MpDRU$KR;3~y}T{|a?`eb-yTbZ$VW!}E*Y%q|l$v{*PDUMK0sd~jW>
zq>#Q`A$yXJgTB*Vg>scFH4n>96-N)}FABX1S7N+9d?&CZF(q|a%_!nvERbQ05n5Rw
z<@lA!bAcX%bcGR%oWnw<n3tYRtPA}Z)m`RP@OXH2t1wMC#$eeH`|nc{Q!Hb}8IRA*
zV#QCG9A|$>GEGuQPg<Q6H^HETH%W5A3h|C#4)2ryeO_WCq#?O>g~>z>)ie#wiVZG)
zFC!WFl@hP>Fo-uvFi7*oh%+dv%y`i_%agHuHUF$PR_xiHTE;DETJ|o+TCS-~tcyAq
z#HJ{Aa5G7ZGbv8sjbUals8V5=zoEsm^4BEs>T^MxIoMvRGrZpw!}54K)8%~zYDP6_
zlTujN1Ftf?ono5Yc*tdEju?x!3J>E~CPmMhEUvc^t`lPaePH%u{_F8(Qy1U!=^XEu
zbY}59{ymv%r+cu+GYNA^dzA|+@?7sdGxQ~mC0|ZTIxP`BVU<S=*LM+qCF#qI73ve2
z6~AooVAb~E*wH2MKZ;dTVt&i(-1gXidw#3zElTX2^ilF-MsU{~fuv}u?-Fxmzwg||
zBJ(|IRg71h%v;IlS#obXS<*K+#Ihzw$-MVuSfLQfCG$RM<)oO5%L=)hxm2Xz3jAEj
zASm;88r#zi2VTUmKaOL1$|U>#WsGT(&`AUJP19n8{1<-VV3lx*2`YFRz<shIX+=zO
z%x|8nF0*}@cPc4W#D1wrRFY+qWIZ6jxy$543u97~_<<cvNd^nrJU*P5cj6bz|4w73
z7-hi)K`(X$F)78YJjB7kJBf`!aK$-|nJO2p^<{-ViHmYhO<EKsBrYy0uKbZ>rmcv$
z*h-GgW~{0#nPTEoS&~iIm0oDw?-Uldj5cXV?vP*-_G!(XkhEM-Q$}A!lk-4{P?D6o
z;M$l~tR~VPpQVM_CSMVg<?l#($+7zi$6*DD7=H%cMj62v-k2`}D?Q{J>MhqYaKyY%
zQjd8t@q*ZU2X+SDhU9-=V*YQ7Xt^+hF-DQwLqXs!gKJ03DuJi54Alx8NlGWKOkq#5
zYwQkX>5Wbji(y=l8*?m{p_P+W0<>UyQk#VK>H{4A7d(zpWiw;AoAe{bE@sv)7N6vf
zXqBB2D%FY-*>hqTZ!4)vlr9%o+}Rz^7|i4l&%wsw$r$5!vPvlC!G<FYpu-;i7spKa
zpUkjGu-l<og+>3A#-#0>+a31an8I>n9dnGEF3TDQX(g5$pI9xHs{9XSl6WxP0W=O2
z^X<X!Nf(V-CmG!^;bDkrm=MDg<DJC!;(NoCnBpW!sTdDNrdf<DR9Gaqq{TdaI~ezE
zn%K1B-QyTruL=&PSLQQgnml=;xRbPY9CTqe|8wE|1jd+uOdlpDbo{;3e)Yjx6^6)D
zM?0AkFHB_mP}^a5%9NSmRfA>Hl_X;Zb`KSWEfYjlHt=uvVi#06Wqe>Kiy*^8HmN<Y
z1O@U86dnk%Bt2jbjrkcPG*x1Q$E#!&hRq%qIV(L4`I*W%j2(iK)+dECi;Kj}H%@AJ
z5&J(lhB5iT8->d;yEzg&w3Ad6(!U8@R(WugVTNa*_k}vfIZaBAo06Wgo?&5VVM;P*
zN%}1C-!|#=L<Zh-oIBZGH83R!#aKy5d$7Dn5aeZ%VtB(8^H?QGfQkKsh(Hoc(!~cZ
zj|FGdYrHu3o|94cLD-cfj~z*FJ1TfB6qu5dVoq!k<Z)r*&^zb(qvS>gi=fKa8B=F8
zPh^p2`|R?=OhqE_i%`>JhSfGnuLK-b6gVGzQeu>7UoGI|6#HWjV@yD!(1RUO#z_jS
zF`trDKNxr_ePnoeVZx*v7C-+63#)vn6R7Ic=#TK;q%qauOf17(LEny~l`j$tnK(T@
z3#_;}w<)lUE9oBtuZv<7-|vr2FI*Xut}`fd=nEWt7sII`u&^%qpVMEC7DizP%NBM8
zHld^#FCn4T)}B9NBa4J`oituCCQ1HMl1yP^_~Y><MvCD8Bd-dFfU;#06QkAx#+bLU
zM*kT5n)OwZ0xvMCD!t&?#mM0{k&*k7#SKTl4#pQ(S94T6Fik3K$Y^*QvpT6%VvYi5
z4DXNZNoooS7FiK9mhAo!^TsLW69><fbe5kFHB}hDTh=J?Nyf)S-P2^~|8vrlLo+g8
zbDm4uiVbluSd}c7@h9*#aY#ld|NGS9<}Fa5V#S=!w7>JUCgb@iCjL1M!atZT7#rFx
zS3YW3IZ?=fMaWF(kP640RewAg1RdHK#F>~xW3I=jajI~x{J<C^^ipF2gMRF!e+hyL
zX-pyt$&Au3OqG~e`;#JNI;DRKa-H0;z~iFwHihXb?gCF<ZhR<^7o*!C%)pp*FqUDV
zBS*l?q%?(XEUN@!lVVPAGW<Gt`)7>MN(u4E{j4rY$2H{bID}H(oGS0o6Nqur+0W)F
zv0vdk(<v8LDSbhSivkCeoEh#g3&lvTlzcmlA^CrF5_2-cD#2GR3Jg`^E-|w;q+}SF
zS-gHcP>cO%^m0RU!)yl5n9SsV&y#x?o;A;Wpuy8|H%99t@1d2Ms(go36U=_}xiH4?
zACkBrJISbDA&Tda5XS*)Pv%tK0|E?6nd*!ZUndIA;ARqc<W^uz;x)MFxpQ^ST#*y%
z3WkCLPR5L;F_Tio9N20ZeG`)ZeeB6_VTiV2ef(d^CD4*Nvs3$rz_aCoZ7KrqJ~Vi;
zaB`n#_}Iko`AdvUN1CASMh;Jb2H^z<R}00Q=8$~t$;Hf^P`pqma;EO{XPpeOigzyx
zeNf>L7Wkng!657-aca^Ii6>ImDmJ&sUXx0ax~9V1oAKLL_SOeaVR47T$mmJ3HyESk
zZbU`So+NdnLH%TLM~u`RNij(;rgSB)(<>VyI~7+b8ZmZ!oXE(XXn93MRJwD4qsqOQ
z)FiFvQLNP>;!9Z`YXu6mMzcNbb}F;#xZa|7DrN=aOBbbcY$A$oGd&r93rVmtiSu|$
zvI{+|TR%yJJ$JrZkBY_vr@odXBkrp)DJ*~Eq{X*<kP+v3yw0B|@=GM&b0rm43;x#=
zxehMpervQYMf(3jdljkg3Dr%ELGRgP&KYd-VBuKIz&vT@af1V%$r6mS9x2aUX2dAz
z`Dqbr(;vmc*njsV{;AA7#l)woCd*VU^i<=nvNR*7u)F}PL=1Do^<;*L6Qxvc35YFV
zU`|R+`sFy|R!YoK3riMvfgsMLQ;r_H9Aow+u1NAY;@eQq!L(o%Q<6}8@O6cXM*>N^
zk}}zNr*V4RGAfCguK4htcJhNCeN~e<pL=}q5On;wAjyT_A)3)4a#G}*f|{k)!UA(_
z3JXq1EJ#o>c~P?9&`CyChUkWt6V6X3TvhyU@9=_wDVgDX%$JyZ2NfdJVt&mqp2+VY
z%Xm;l$0L%*k#%y+g%|@hzp1(lBOYn2c)!4nLyLi1HfEw>%c>PcZ9671w9d$MPCCpq
z--R(q&57Z@!~Pi7B<X}pE*2hVCo*sseV8_>Ss<C=Wx@-7<rPH%ZiN9a*rq2f{2jx3
zakA6i8RgEg|1JMciYR2bF2vVyH^5kpVR^?-#@k5?*A~x6RNA1zm{H(abcgM{?@hjy
zC0t35v<$f3&M@ML(XL9;ZcA!@$~fb)@)7on6Ox>g4lo>MIPZ~^>8W|+$b^h_MU(DL
ze8qBp>IrY2+2%|uJ)L;xsxX|_y7ndJGT%fcEuEE|lRLG{x-XpJoZiC7pLB?4rWljp
zzKP7IWB6uE7EMV0m!X#U;KU2&^Q*c%8_o%=zQa1l<dV)TzNMa$hn!bTi2ZMC)s}HU
zg=@9c9icm%Yo(t3=;U6{#4W|KUuI$Il}Rxi8yT1LZJwgL&0>!SXMw-r7nL{MJ1x#t
z>F{swWX$)m>F3$~YH8sV*~sYvy(TLHVlK%B-c?~LEaY0gQo>s%*pI99i<VrdKO@V@
zES0Y>71U+Ig`^@^oRp4a;-0(Lv+_tnLlL9!jO~6aO;vst1sAX2@lyM%8EX{zXif2i
zWQOOmsZ7ostVT;1lcHE;GntC7Sn53%(%l*@5;K7@_P-5dQ@}$Nj?*%Q6Qwe5OBXY7
zdwiEIWs;oK@mODzdH)i*$`~`xH_V4C?EO~gF$S+ldM#T&oypjD&x$@B`#6igSCY)`
zPGUIkV#jcE#YNfnJkQS0mYYp)*LydbxmN26WL`D9tIqmd{e(fM`Bk&~>Va}!%^vEq
zUj8Z*-K`_8Y5sK8RlbG4WD-@4jo4U&7Mp%#75BTrl&svu#`-$u4~wFT9e+oZ)4@c}
zB##LFNmVOYjVlgxa5DHivjn>wj9e5GtQ4rk+%cu$UXo_g-=rP}QI29})8v1X?y=g<
zXo@IgFp0Sx`=8w-nUVcwTgNdM78Z79J9BR1<1yxY?XB4^Ps$Qxe_jy#f6Z2Jt;y$&
zJ1yA%FhBK(u}ig(KV+2}^X9~T&(rd&0+l9IePz-1oFK*#|FX{EYK&3RSB`8ImW+iQ
zjOsVy*VJB&V|;l=R*7TkqXi90XE*dK^29o=<w@m8zECW{60@9pM-tO>o|?iKmSmOx
zWwE*&;)PT_Csv8L>GE85v`?zx*kE^HGSA5cl_@c2IriN=ps*n+XGL+-Yykns82*A+
zE-TY_bL<m{i4mCLyH1efgt>^!LzdY8JLV=cES|`5r*Oq`hf551Vj1OQ|0z9Qk?_0Q
zbMdLwCA*?JUTNjZc#3ujEV9%U;@Kv!;r!Lu9Nh)i?#5(!F1T90FLVyegO-+<I0c(k
zW&8Y@pT4rY7afphB^&E05xyq9bYHAD^F^Cm*Mkmdm+za)J3CG$eE%c~mco5cVthTj
zS|7b(66M+T;s~R1Lqw+==Z!L}O?P61RA=vF(ca5B;nB=Pdt)9|FR*BzFmVBEQc>B7
z7qO)$;(0GCRXfE@v{7$Zuu0Y8N0MBJ%A`*$lBFjs{8F4#zF%@FSY>N$c<IFNvJ=8j
zF39@0Pq?+0gH`CKr7ibuL6&;ug^!YwoPMM;|BzK+iIK5bCv<$q(T``UIGGpM_9V4T
z<nZKTkYB99vGT!EmZYY`7O%pSHhnnFXq9v%$;h?B?`5DtGQ;c7r=I-R2sp7aWF3nW
z$6U_X71xe6S~R$OuDY?y_|Re<m6(s0CNNsOS@UEP$Hf}9NxKqP94J};WRie6gZ9g%
zihG3h1uaSsuX=HZ^T$sv&KM^_2H*X=HZ!NhNVBlNe6PS5Qz>YAl1Z{l;YU8lR+d<X
zpqDI$TR7&<>`>w4l=|)&U?{NvHouCpz)5c2Niu@!0@pv}3O2pDYRI%v_{YCV9v}GF
zx69o681KNC<Si)siRoj5?1Ln`N%}5<`$VN&`yL)s+{G60v`H)>DrQ}yL}JY6au22l
z`vW-~K>N8XAG9kyRPN}Q<;X1jKc;w7kj$2b)uxSH!A!~jg(jXlVwrmGg@FOn@3@Gh
zi%kq1{~Lb)`;&g9^W|+723zmMmjaK)T|JWpTqmea`q{s^X%iz)lRcyRg62P*S0^M%
zCkeR!csX%p!N#f1j4|BSDS`~LU0)26q<&Nya3*oR+$O;&^MAD_NA3|b&uvSTx)~z-
z7F+LDZC4S9+`Pi?UWX>Pz0i-#42=gWg`yiWRan@Cvy(q=Y*Z1vd9=NgNl`eN@n(JT
zlN`wjOcRp|_?haXn|glE_&;l7OQ8ybdzRIi0MA3WPKG=-ZhDoS{NI6LlT2eBgVFh#
zZ$A>1wA_`L`jvh&OqGt%?o^n|!6|j3>ckC(#|=S;lNK9HWV~R&70kl8H~HUlN5*Bz
zj9dzpHXJQ#Cm%0un6J#RUv<m36K(Asr;>CUG}swqgnVY)QdpbR8PTb6@yI2vo<GZX
z#Ia<SIK?b|{PR!4eMZKZGf5raV#*irbYI{}U`#6hG*M>bixZLz;u8uIlC~!Q_iCEM
z!g!mJHR;%?4}m|I2{2un_Rdp)_l6C_(sMU{HcVA!VC8O^d~G7baht1s3j!**o346r
zFr4Qsb@`IODU=vD>7w=|F~N%+hXs^h|ClwAQL$@n;fyaTd|c00FZjeJc)$6kMCVCY
zvB1?UW*idq<&61pMB>8|i!(6~C%6~MYsz=9+Z=6J*~D0>5p$67{fC(Dh9wP5vH!g|
z(^Uj!G3--_WjGh(WhyFot7J=o0e_*!Le2-vd*mjl6ig9_5jx@E)+zW<fc0vIQv_$r
zd7g>Bi~=#%F;Way89v<-j_WY)xUfgbKsbV<Y5kF#e>fYjDsfGA5^<cK^qAw}5d}_8
zt%t=EUkfTqXmUv3n8lH#-pPOQ-h_WIk{KC}-!RB&dUbBsyUzO^m$&E%oUVA;@U?=e
zBPrs0!%3Y^YXPPtp&x&l<|KV;V4SF;_?015eMdS|x!A)F?S}s$Ni4Ap8zfRY6eXtr
zmB>}m<YZ*;l#r}+Nn+KVvtY{%cW1_!f@MsKoU#9&B<20<;qJUSkMSXU!w>f^Z@-yQ
zT$_uupLQJk#Bs1O{((r$!do(jVqyvvof#M8i8ZkB_iD#J`S+qg{Gf^o!vf~#$`f|0
zm|Ey1y;^Y1aiP6r%y$97M~yXqI!X%<UY;c2E}*<nrEqhs&Yv!CzghDb#plEvj`?|C
zg`rh)x%&(C3ktn%3ocshW|3#|f0Cry-xb|)LzrPUqhpiX%NS9I#}gQn9x_S_JU=1A
zV0@C#a^po!hVv}zJ++e`I5C8_O<c`*!|MpIINL&5%OA`_j5`WmZ;_W|m^yLOM9JUW
z9Dn8R3muk<ne>!lccpV>VG8r^4l_X}>F3T1R(%w>DIk^fT}V+$C`pi=ll7NnN5cW%
z?k=&0w#h%7)gSgu{N6cpvf_5eMvu!(tF;fxHU0dQWU;pEOagaIv%q7qj=YCV9m^&P
zv@<SMIo`z)+GO)%zGV!bmaB@kx8li7j1tM6w<j<*vT7yjbSh8cs?^Hop0C1?%Q2n3
zvxzZD^rXsdu9q`oI$j0vG8|M8PWq*>m{ahmCbL44m{j*lq5l&lB-1}kieaedxZlZp
ze4>ie_l9Vf{}OW<IkV-as(CWUdN_N$-K6ocv!j4<!5$?}ZXTv&p&!i2EYU%_EH@1m
zxx0=fo#;%mHPGukZLxB;^yKuINj;qQ4Emj3lbJf1wJo|=M|&E?X)Iyz6JSg-3t{2D
zXx-VvYrBZqw2L)Sh@szFk~{OLrYBRp!5-FAD_J5{nCCL@x9VWsA85sD$&%Q~viaGE
z`yS^6OE@GZF&!6Zm=IsMKviglICE*&MlR!z9g!3GxOF)gRV-o@cO^eO`r)_Ck+zub
zsnb}s#W;!>=QsT4oTMV~PGZ_i1qGJKFqS7CG3|W)Z1LTUi7^)bPdM&OI4R8WNP&69
z8_T3)Eis-FcKk`ZMU-Qhk5Bp}9Lju>`}>@Qn|Zz~bvap1vgTkC`Oo}Sg(I0U-6iP-
zhv5YQH@SwH^Cv_ZzUt|4<euNrDHQveG0}u)Zkr|N?*(&R7-Ja!UtnmMBeCK1ls~N#
z1SZ-{h!;{0XJHkKNwV4NuEZcV#VNz%ZY+b)R2FraxhG;}y1NybEfr$_XDiGII1bve
z@2@a3mZ6EAkJI9fhQ52!r27VM86V#$Enc9`<KL0ZYG~oDwqbfpB)fIe#bU#r4U0Nf
zxpM?KT#m_4I$hw(!?EG4tfz``NN;GTTvt`!qXh@`3^p>zB+W{)NNPGRka9jIM50>Z
zNs@-a#*-409n5#}OY9I)ls1d~FEx9jK;27^oikNf6=Ratb7=AJ)K!s{=I}hcVU^`f
zA0^K2iPEBNJnI!(J6>o^zsQx~qB!{r-_uDJYD}hcz1uq!*`FwIAKX>b$t^Y~#ZzJ_
zvnH$hB!P=T?sJpbU&eS?BpqW|EO?^j9H)9xFoSEyV<t|C9p;LRikB^!#J6bMwNH4W
z@-a!lj(PQ@ZkBSxL_r4+UYROZ-K8uyRTSq4I2bbaChd4JiTNhudbZd&mJf>*A11N1
zKQ%kvzg6*>!R7wWcTA}%4DuUlC6YGWsASKav8p3RnJGr;@e>aFl}0K|$63=eHDy`U
zRe5F`9`<C4=6I~YG)db;KAJ7I>M8HsO<pR>ESy`HFYaUAU#H6x%_Tj1M&-Qo>w7oM
z=J_{;$1L*56R*0t7hnHOo^erU5&tHOMKj-?Y-F1Ac9~mStCVW&|A>7ld|!SoOc7o-
zcWt$&M5bd<!KX<AIsq$$jh;yG&1i8Eu>0A;X7DfO_l8A1LbJBbx!a#~V4;gZ%zc9*
z0q!JSjvmJ2GbVC3xSmXOnf%NBa)3jQ!i@e23Q5-#oUC^!JdBZKv9$X%<DUF%mgnjd
zL=(MEyiWcXIQziS4X<ZDxfqk9XE%5EKGwOrRh&!s@}KXRK4*9F3AP%kSv_ty*coQ0
z2x@R0c=5z*&%}q$3Naa*gtGp49+Kz`kgEtw@;P>E?#*z&T_urRW^<Icx4g4@rJ^{^
z^5*P$8|OZFTRZF75q6~jwuS6!6MhM1M!et=eQ+}=ZMJ{Sj3T}ZZt6)Yk75|Mdp?^e
zaqoBHKZ$1@uUYgz?3Qv&x<139MS*Mjo20o<&hB_<Iq!e;oF|((XFai8?APh_K}&?S
zLrM9kqQ_4L-)YOHY0qk!;-Ya$GGR&E$uiaqjRxT)jhHo}-cLk=X9^{I@3^QC!yS9U
zO=us3XmHUh=FfF8Cb262GiG|<&|{H_$>e2>dBMLx!LO5{f-`4#Lb^(VGJ{Xwy$4?x
z-jGu^Wr|=vXu2wvA*oyIP;bY4K|_(rJW021JTAMbd2P;(gjk04AO4((U^BWry;D+u
z?uER^Rtpw#OlwFvA2UfzKsVu>>5ETOx8y9=`@BS&H}>D9lZ=l!6qkIN#-U#`|Fq<T
z^Ya(*uh^C|V`h^;p?%UBj<XVsH>%}aCS0~tn02PFq~k?PVa<tVi|h$opUs%Z$QTot
zbYfdfSk30;T9f9)rYm>;<X9od$;2+;#VHhHu+<`0<6r`}LXz}?!b5T=b{a^m(9n6e
zC`%xgQP%ciOr+-172+vUZgWx#cg(S2oT2A5Lq5sJLQyG^b&mjB|EwQDuZ~o{WWSZ|
z_&-LIsr-l&$D1WHrZOwUobFz*d+r(bt|adHXI2`-xW*j0vDzh=;j(-{zQBnXM~1Bz
z4#fT!ie+S6;S;gEXxkhSTb7et362{VZaB=bpi@bZQ+dM9Y3iQNmYuAX7eC2QnRD^U
zi*qVm6NHmDC9U9}E%h;J!i9tw&AHOXD}-Gb8*`HaOgUm|xu(BQYQG^C6a4auMbgbk
zzlalYhTl`TI2sq65qu$@Jc*&ubB4dufep$3mcENvuK8)+UmXs2RsMFT`Q3AVFI=h8
zAuagKjDLoD(+Z763NiOMY9DCFJU!8s5FN940|y6-!Zn4MPbWE=XP*7gx!|lo$t2+w
z8#Q=r8XOGoJ;>1sU7#x<A7dTi<h)V9{KA6oA70O#;=u4WDd+shq&da0lO&%AFuG@+
zc*7z%=R%R+i)+#xlM2rt+rJ>FU2x7h_2vyPW3=a<YmMn>?M+fNIZ?@yIp>x_QmaDT
zrh4Yud1r5Qv<oEdR45B&N!qMX6P0w-Q=y6B@g&|!4AZ$ME@6Kj&NJ~egYcq#PDuws
z{cc{}z&XJ_=FEZPD^IoR&gkx6_`oYsX+cEc#VnmKGcRsPYEPbXkzqxQd(5GYGd6@T
z(4VNt(J<>0d(63yTs5!PK1`i|`Df1$dyxn8?r2Osp)2-aL2r9ZwRQ#zXFx1N<IkRh
zGq2{%`BPdYa@8}c{B9?w{kHkHv~Frn=@e7pc-nmP{`{MBwTpY!tmPG4^+e$G(pc@A
zf?D(Se$~!cU1YhVwRDvz_hjb|4#u2$x5A4QU;f%+H{)1mche+~W6a$(b8misExtTk
z(xh=IZ>`Gq&>)SizL8HSpPa1m@>tHtIXADaRX2UV)S}wu^?N^$*Qb`UObAGuf6H2a
z0;_KPoSWZ2Ic7Hep1^tF;GA1)H&45h+W7tB{OfZAYg<BPlOhj%&YAOd`H|)$FIO`@
z%sj!7JnL54lzZ0mXJ2pp;y!W8zWFzU*<Nm+AowDN_3_lR++7U*0`4hBE}t7;O`eeu
zaJ6P*-z1i+r)+25dor`CA^6ED-?=w`U*UVMKSQu8n)Sb@glUfACDCp3!>{o^jupD}
z(LMOtO@^-%uX|ibna=h86UXPyV>jm9a!Oj3blUAu6@#H&%QN-H^+NLTb8b#wcJ1t(
z8`n0*EV_1*VgHhtZF6p}4`xgEek3T&zUlVV<yZI4z4`tU>r;J>#kZGSUB&V^=-Kye
z^KTlT_&$L#Ch6t%SB5;V=P)1sb&~PLsfvrSPi9`6b942&)}K+y4D)ZkpY&_~+?(s4
z8kWv{*}-$6;?o7+&+~8GoatLLLDFpgP0ydtPk3)C6`Q=~{@k0BnB;}`GMIme{Xges
z?ut1*6Vm40Jl`O><Gy6g%$pra5gJ}U7S>PH2%2}p|AqS`iFHhZzD-XgHD=#Po;~+Q
zwsOPoA2m0b+~?nLikZ6Q*c^w+p;KdyFigKa<M8nx8xlJXE@EzYe0JUq@r@g&R5Q-G
zkvs9koE;}OxG285VBlxiu+;Kd4&#jLPo`V^>X1_0#BeT&h3V4LDXlFh0~wQMUhfZM
zlg^oc!}>;;{M;KcN_`wA+FS~jFDC4`XU914tmQ1xAKA*2HyF83n$9H5)WGSIGy7)J
z4h_ati6>5QM9jT4ok{se;GCN(R{ad#o=kxkud>A}fR__LZ*%R?XMf-^k?|c%@)L&Y
zIX9v&YEO}3Y3AH@gz=`#s@0V@8=kP6-(L6e?w*JlwojNYuVAg2bEDoqY11mDsyR1R
z9bU%Ixlz7vUQo`Q8}mIj^1R#;GkccgoSSPuFA$#?rzC$`cJurjrTgXt)y%mumHly6
zAlLqAwx``%>WmAFH!jlk)YfMZ-ZuTl+>K(MvvcO&_`ZQLY5Rgp70b>&lbU;DcdPKu
zq!^2snz=XUZ)iHd_`;((H(saQ__|7PqQx;0$!U?nOXAj>ufDr)S)3|cwP5kS<fU=7
zb7lxh&J~&%9m@Ljbky{@H>OvtwprtC-Pk;PPOQVBngz2qF?G+sS$d1Va`UtY$^Yiu
z$iB+|IDe1ioE2MV6&zpiVq?;h-r6kjvnQ*U3%Jj_F?|E-{J#Ur{QuYUYmO@kC8s~(
zfALe$dE*yB1#>qAYj%~Ga}J+9&)@X8Jn!Z%7luo>1%8;#TycN?jo3{hzwT^S;ov`h
zaqi(c#oGdUYUbXo?Od=!cOLWG_=H>g=G@S#G~zflEk$5<4}%KlR85cD^KRaM#j{;i
z$-~<&e$I{hO?EzSxR=NW*WD~Ep7P^YsC?*o#yK~xt2<S6U&$44R{7Q=9lKE~{@~J$
zx=g~|4H_##6IpNU`@osBO5&ue&zriN^KS0CBvY>|)!4n&W6q8DRx5q3nB25_Iq{fm
zYyRR-%gpb-dcyj$_u<Mg^ZWZ(+T^U6_;r%;L#yUD(^Nej7cSTmZXP;`bG?D`l$}fc
z&7a=Q;#+t!Q-QN^d&YO6>^4@`q)Cenu9|#gmE1J{X6W3CNf8_;j@RrE65BP;%k7B5
zgD(?e|JNLFop<BCb*ar0-G&=~Rhy2obpB7<^TG13N}bY$?JHG_?w0J+Wqw|2cklh&
z!s?$!W@n6Q=HFOfvTtAR+|*4L49DYZ9u@A3=~O-1^=X-o^pk}wvzBZ=p3o4pC#hyP
z?}nJxj+AEBm?Mcx{XXf<JD%uYwBpGM%NtWti#rSQ?nIg~&SE^hx3A_-mtl^|+<Sua
zJ+{cso^$`>VUPS1_e&m}U;3hXBkKf>jlLJwZnWMr(c(c4W0et0(z)dS+Rg98E?!rk
zwEH5v|M9%c5+}LXeUqc-J=`wJ-FKHmIA_)zyBYV69sFT7^Dg_oq^ip5PkZ-+T=QWf
zi;!^RS#vv<6|1a!N>kU&Tb(=Sy5NHwu_`$+f972|dU?m<gHMuV&-q?@WGk37Yr^LT
z5kDreStPxjWX8DS%KQaM9G`!zx}nt}y6VA$jvJz8O0y=aH#iIMa^K8b7s>Rx!*yfF
zwZqI4WY{=kCd*GS_;<X)qxdHOvyMvMWQO#Hy+1@0%wm{1e$Jm=Izjk+2g`BhN$qaz
z3_=xbNgdiblX#Lc{FCSP8+Qr1eexHmwy{1Yqc2_GeLAsIYV$(HpNsAs;m}N)dFS|_
z2O7uu&n60APk$l#BJ6Yjv&Z*te4J<$c_!(SDtBkc<(OGl&o78Oe)vJ*VYvrI%m<Px
zHmY!UPRN_c#Phu~Q(lb!L6scO0UQ0!6p2{I&dAv_m72NS8J>P(csx(5^6a@=^N))?
z+9<%TG=clD^lXRc6PQ|M9_>1MBc(m&X2%g(Ke>-O5~*e#etShZrDm#Fb*d^C+V&=W
z6h8NH#?5VRj9UWCUNY7F@94^zUD)^0XUY5`-k2QqNoAY6lqO5uFOvNJ_+Rpi_?#Q3
zbUZee)-YU<G`soAjPc{9`E&O1e4MlCGsowg`TM3eUpxDQL!IOEMx(1zvy4wF{hhPZ
zEcV#Hj*q=;j~_)$WYlF*%$d9Wq_N=7`Wp|n|Cz{NVE2PXu2HIg2G_hT{j$udIS=Nt
zy>Mh$&E2>w`QQJ}11XFzFW4NEis`e7_xl|qdfRT!3OnHm8_GB*Mt_L?-+VA~#<qrJ
zh6_njGpo*D40-hBRK@wOw>mFeCd4w_%-qQM;z8`c9}`TD&(czt$gz`}@H|I2X;yOB
zgoHV(YBFv;IjHej<nfgmY04T!44w=}H5M{+AGxEo`hBCdvO#Nh<NYI=45p7K9#z*k
zYTfbi6NB@_=RfCW>TI~4q;Z$+gUsd`;*vl9fB5${mT~5Sn^QDCJ_@w>nG`=K^O3|w
zt_jgk7;em3xOrk$)0dbDk+L^0dA-ojnQ=5HX-2!>jvo@g?IyjPKl9<NC6f<7TX3)V
z<tMv_8Qt9(R|8Y$&sn@^_t`nwVMz?Ze=lu#__t~8$B9Ks2bIG9PB2NamY<)Qd~t_E
zqQXSy#F);O<SQ$p9$QbyXxDm>-Y@)O>G6g4kEt~1KA(~N`9;YF!JmhI&P}m-F!K-R
z1fdVfOXg?FJe)oA@%$8j4tBA&FY{-naE9j0TrsWfMb1RsPaL}!uFvGX8}?^H_YJ0b
zvK5~vluTr`ZM@Zfb%H~`!b-=p3q0KznGVNIX{%XyW!||mSFU&qq25P~i&^LOUS?Zt
zHZw|lqO{#ZVd-XrpEn~9&OP_3k;iggQ~dl%(WhtUEYLEWFsqZ}BV#<{tfJn(Op|kV
zlzd{E-Sq8*fZ&1&YH5bEXY`3G>vY7Qo0Bo&VAsQ=XJ=%jO%QGsoKPk&J-3MaBqzUw
z=bYLyv0J9I8#^YRmcKo>coK`b^1?X{xi?wj=M<(TPw3h_zgks5_oq;Fx2(?OM?y^V
zg!lK%Z(1^^!j-Xo6SH~;+exk|vnmcJ%`sPI(Ee#vzUXy|;@!Cw8#}rs7Su#CTh11~
z!jgEB!D&{-V%GR49_#0<@@C#U$@4IC?4;$#XXY+qF<#>FkY)dLrh~I8Kr7docF(N{
zlDp~CKR0)_+tIldmtsF8O^BIk?O`fos4{O}`BAT@lP^Rv-%Dn&>pZ-@bD^o%pE<KC
z+SNOSUD<@~F4Wj%E|||hqjEMUqj1zOrkIN9Nkt2ac#iB(-ta#(#(jblvvrcU{DC$m
z=^v5R6Otw+{SQ!>S<xHCX6B;F%jjVlHgTfD%%l*7lTAztJDFnGE=2JEd-Nljk!McD
z6JyqiY#Zm4h5qk!+#wqzmEtKguY7Wl&a7tp*+2D9d^|fb{qaneUdE5*?h3~z1x%~t
znA2k0zvK9fs`E40f6h@oH@Etmu20QR?bZi>EjSL8{&b3a!H~klKJ9~lv|LyxQ_kEL
z)tr*Yueqk&O7C9r`-EMh(wq%%rx&t0^hLbb_*KPWTA_vtV@yJj!y>WU6NKd+P1sq%
z5u>=^^a&ZSD>Lie-xpZ1d^EBaj=90T;+K5)&b(PS?YpOapR-|Wln0C4)VX`~VrCq>
zIqzahu-%M{n>VHWnBn$kMq=dL^}i?aOkSeAKZRlL{9x@!hW!^TQY;eYY~YP?=01?b
zIDh8F2SxnMNn#}jDz3H8cy^+;;>d(&$p?PynYXrf+MKY7Nq3o6Z;f-0$eJ``2_x^u
zzpj=gPZ%QuJ{oR(@#p4@M=TY4V;Q9<)-1Wiuz6n0a`T?nKQXgbRUTNYz!<Z5qT9)W
zJAw!2td0`9P+`aTi9dZt&YWqzE0{EO4pu}k#>`o>dXi9kX~4Ab$MfQsZlAS2ZC<?M
zeurI3<qd3|4u`ns#_#&*a4vX;{syKAmz<9=aKCY!v#xYC&%{)z%(?NJ+LJzgo4bZ7
zDLQ6Rq~O{)YowF%`&V4-I2DmJJ5lH7VY7wK*KFpj{`b`P%LaMlx0i$_7$|9TWY3wI
zJ16PoiMt%RbCWjB*l6E<f=NqtO=E87rWq|9lXd1Ky`8h=y%*11U5W4BbCQ0}UDurC
zlJtOSqQv4ENyj&6C~ICn$=SWo;p0;`#t4U$gAxbltX}J<H8F1PtWy)OD=m=P<g2iB
z1#jeXDV+s7%;qI_AF!E|z#r2VJvX5=R(hqu?fD7MR|VzFPk7ppbaY|Xyp3l?^XJXI
zJwHk4(7J7wr)+MhZ9K7ueg3>{Gc3jDH?D8kaDHA=nb?H)(esjSUNE&;sd;biT%%Px
zZ!pHpPfFWy;>^c+bHhHdn9omodZyyzyt&sl?d)yPiP+Kjan9WE+jEk88ZI_|I65=M
z&EUfQZ*%5to0Ix=bJG0zX)75v&rQ48v8`vL=?3${hZl+t`RuU&H)sC6ldGRUe4H~s
zEwZ8YvCxbKy{vQ7{8<D4%~;^_QSBWY{~G2Q<rQ-l&gPy`DO$!p<8Z`|c?+xEVpiB*
z`f+Z@!CifpQ}6zX{XgMk<PO`9^OH+sMU$@GnccEyM*Pne4-;c%HS;G`&z~XH$sqo(
zZDw=MlzV0CAL&KUNv^p+A?A;2hu4o8HJdk!C3jx=JW1l?l?7=@J3gMBa(H%!{rLug
zpL2On&vHngo4h-B#+*$|v2&uIH(PCpnYW|+kn9|p^f|TXJJ|U-=Onc^c+IG`Z%Hcp
z{Vw_6lWm`Ow4}|e&6n?vN#Zz=<jTUyl2kvh?j&ROtE(R@=c#Y~a=7QyWKQ;+xf$sP
zFKoPFrp&2bmb7D83YYQ3mA#A;OwUisRA&;@-m#}{v&s`D`BQnmoaT1Z*4J-&_PfJ;
zBBSbyIUCnDOq%=t?TtGfVH@Y>RL-{8Jg-G8X;US)`MmszEljPQsp4Ub3wHn6sGShY
zaAT$*M=Epvg1&9@i|)T@>kzY7?wBf`{PEt5W?$jRV=Xt&II45pJp6JO<4oQ3IlA8B
z>6Q(e^DkZ4;4T|IudMpu>&<gp%5!37miMgv**U*u``(!=9wv2m?Kt{D=tskwn)y|4
zHg_}$m~w4rlAc$6u6j;O+?MP=b6U23)inIYy=_iaU-G70`SecDc~!wYp7#!DonYkg
zp5d0(HEHw2(`lXaj?Z+GW6WN}du@KJ1oI1KJLW0Q&0h<W|J^K*PyV@dPV?@c_c|7S
zoV6xx#>d`6Lc7<@YiUg?pYg@MyYt`V54MMYUM`wBMT$YcbHcHg74w^Jos<xq(@}0W
z>-k6F#hh~+p6yUejNP>6_{48&j6dHf#mvw-si8PSZhprcaSP6v8y&Xt6C-6eN~FKP
zIsfp>p5U||yYn|D^sDUOcB3PyQS9Tq&YK<Vn;WGn4qyAz;rVkC%f?%shhuJZ_9?Xf
znOmE>;I#3}mpO~)ceVXEQ7*Mx=kW7M0{#j+1w3;mechy~vCun_F==jte*GfuHL>#>
z5|b{?t3N+CVcuM)qx1Tn&j~U9p<=gabKBPs`-B#X+IH`{H8ZpK@P?c6`7`3nZfv~4
zI6+jAkD+z;ti@f^&v#FKHm_B?l1p^s`Hw5PI2n@}W9k<QmEDZEae98eFw@^7`Sa_A
z1UQ+syR17OM8y98todhlePWVVcL{$CfB%H=d3C1pGbG;C&n%RPVg9p!(|IB88MVif
z=hRJ~u(V%d(mK=XIkl2M%<4ENusryZ^kjj{*$Y$WZ&WqSpW%ORMvaPMGE;Eahnp)R
zUe1XB+*5n(!lZ-!^QCh0=T#-fh&6;h<eU-A&l&UY(ag$<(3$PZ8#K1YDL#;0Gc)_=
z!p5AL#&Qpy|722K>GQGk+9Cdlr@Lp$pYQCIV$9xo#qGyIgO!_D?wpKL*Z4hqam~D{
z{lybbY+|^*<NgNT8!wX<mM6>1p4Oi<BhFXgY>oU9o6|zsi_6mHw`+GdEuUYxw`juc
zO){BtE4Mum6_tCs;pR8y8S82mTu3rfWqeWac}_*zhS$6TG1YS_{yoS_VOiP|@^Qg|
zpG%ZK&u!0L8SAgO^V_^KFPHRfbN|-PZ~gs{{|SrdL+_&pGUv_8wP%zl=T3T<B$|Do
z$L?lpk|g8Iq=hR#PySUg!*8O*%r4QRbGqW}=C|dt&0oO$x#yUgjJfj?o2M4%udOWF
zGq-c<+=jQCcHVrpRQK$hhHbM;&rg}zHM7MueqQ~(g_3LLR^FU6WunLAIkCFci;}j@
zuQz2ejlK7OA@83#^(R?k=hk2Qe)~i16X{zk|N1(%Y+_}eQ}ek<k#j}lpWE|m{`^}M
zcO>Ew=LF9AGyaM{jCk`gW?t>_6HEFxR)5~v-jP|!bYPFU4O7emrAf*i4jLQkCR;Ih
zn!Pz~UD+{7Fu~yBhM4@A)04zM+AMfF;R+Myqjp>CB~Ef{c@>=!7`Y7ftS3y}Wc_ST
ze@R8s?*%3YwUXvF`E8#YDL;FWLx#YgIgJrB7ER>X>OA+)%zYa^&98VgvBsU#+HR`6
z_WY*ym|vTIA3w24nb}`^PE**4yfv?-f6Tr#F{5WrlWbYcoQZ!H@<hyNpQsVP=;ztF
z)4K{9o@DH3;ZL=mxm0e2oG0^IHd9vpORP6pV{gx$dva3WvwqLxbDI1<#$29pJZVmC
z7vuabY}pf!%IiNgh?}#jX5r;~uVS9Cs!#rS{)tT=Q{fcW!)*F<w%p@UuUT{?s9+0A
ztSZyd=qVkWn6AFfxVEX1eeqpSUTv2B*C+AC1_rR~-8SLz&3Uca)o*fRC&^x{cr&MN
z@3{pJ_ynsL+9#=T%B-+u7mndyIsYH`CV_w5D_@=1Y5vji&A(Y`&lk>Zy*ESNzkuma
z%;IAQlmD~435;69@+L5M^7hSd8=lWEI{)j=qm#Yv%^S*A`u<>Cbau__>XVrs@2lqp
ze%SNA+Eei^qx2t*7uA)GejgXSP>wK&`?g}+4aF7tijRY|?kVm#^yBReuOBaGBqyJr
zaF>}o_TSftSVob#ovWWpNj{mBK7UUBgxP23cSTJX4&1`yobl*}<eSRu?0KEhJ49~0
znlUlr(X5`w8WSWRGtO`Ko$=(`%gQ5(9<OK2I`-*Aul!Bx6%KzCX6SQ7e0!ZN#<+iP
z|4Qb$>+P%GmS3CKDkM<Oo<FnY6C?W;{hSpYuGZq8blguYc((Y5D?@pbz-rb5x&1Ob
zCLEo;{n<Tbca!;bD`iwNE*Hew{eOABe!;uAjpto7r|v0uvZ?ynoc3qU9IUbb@1@OY
z?-FKs#UW($>0VOyg(FE4O7k}yR5oQ2+_N@$MjK;)QdP{<{F$vclFC-jJ;<<eUd!yY
zzU+)K*>hS-Pw||5Cl~igqyMDWp*;)!aoKOwn0<P7Q~HB*$7iM=S9qBzm6TM%qTGB@
z)Sr=M&%YNnkq`E4ei3|#ab9!u`xg(7u9lF06lugabCQbO119M|A7V~Es(ARf;_b`k
zjSKP|V;N;^U)b$azG<oU@z4aWm<o{#OYN<Xp8PkbZO_UXm2;GZJLlTkziE<NXqr8z
z&FsLge@o}KzL}M?v3pL=u~l<g?(d!PR@97Rhh*6I8SiRV>^0jp{im_O$B#Pm9v)0u
z9rJom|NBPq`dN+l-Y|3=uy80}`dDnEagtE&;_ut^V{cBFy7T{@xh+>cy^m>p`ZvW%
z?@-K6W5$>{9da=zyYv`rn}0|#T3?*AiNX6tyON~jMuyO(3+x}w>C&}}`JuJZ$!x&^
z@0;_wH*auMmwxb&#WksI#&cJJRht|W<`jxAwVv_njm@0CzuG!d`foe(XLb1VY`-_7
z{X<gaf}8Fg9t?4BJ5KDJVK}EHdb*VRo3~MOIfB=`dFsItyVCXAte1b@MBO~y{bzRJ
zhXo7Fe#~q8Jg+EeZcFF#IqmzaUvj*YXV5)h@<>9&<<&!9jmEH9vm)9qE>~{UGW+-b
zP1CMarQYTLG_!B~+GHH@;;)C&-U+`y32c6!Ts~bWa?QE&4v|2vrQ){NV%{$OH_4e#
zVWLU~Q|N~04}QE&e(Nn1`bT?SS*)(n?d-R=YcCmC>M_0+TW+_~!dPYNWTo{lT1>yH
zZ{P3XTH-tV_nYL`T9E<WFRncCG5+50-paFZb;LyX*Vk1us#E74nNzlY+ev1%jLqFY
z?)&M!nHn7N=1p+}_qT_+C$40DZ)7|XVR%ZXdm_`HH_2C33rh8G{IYxGnZL{XQ$g$7
zq;l1ze>@9bPmWJ^zPH=w$WMR98{TTn?DKDV-c0kIc<0~76Mvp?9{KQa!MwMPTccTC
z{=byPx{v4Eys}9xj2)|OPi7g{Y~GnXkBR@!yt3L~?0=^>tPd$oVY)fjRQINiZRgs_
zUtjY+em9xvu6uCJ3xR8o9-X)#a(ZrAoMOx}F42=*-+6fFl*Pwxf01l|QY-K$&x^(K
zkL%wi&)4eDncI7oc?UN)xBiBs-{zL3Eq<{zk#TNW?vt1u9UmW_`aG{gm3j6@jnB+4
z)ZADuYW<sCDq+52*R`@2{Bs(PZ}_-zep#82r^t_0-@nDYNbXs%V1kKkr;(el6U)aG
z`AVaRrOPK?ylQ0^5i_scI#MTRUis?3je5tK=5?8Gu4D{&lQf(4#s7Zp16i`y7;hA=
zIdF;NP~Fd%H8Wx!Fn`!`DB(EcB*)oYd;iQTnHa$=`X*sN)63ZZLG`Z^EModMRLVrf
zEHr-eAZb-HL(iO?iA*j`7d@u`-t>1z*a5?cFOR-$Z2Xzjl$>%#YHm@h<m_74z&xP`
z@r<fW(ibwX*Cf3-5!diBi7ocu%a;YBVT%uzi?Bo{&OUjOoqx^7qZ|jOxg{}g<PM41
z{gg#>=Bo3vio17Q65q6!!SO{ML-K!(SO)ufB{y$;+PF*l`GKtCZ<D?5s-E~^J*RB*
z$_3sPbINwEfAZnUoHEsq1&2x(q&$9k_x#+l)9Lf(s%pPYo@$|ZBKAYTF54S#?q%Q1
zy79_*A_M1-N>TeaN!K44FO8YK=IER<|D~)?>uwfpnOk=9ZPNMuT>cp`yw#P~+vk*3
zer5gpn)h)u&+{#jY_DH_mbHHS_~!eDpI5}sZkjh|UYT%={hOrk-BM*YCEE7PFRM|N
z_>sLqY0}X~g-P?urmlLT_2-TD@<}uNXZk*z@GfcjuctXNcf!|BvNU>|Joops4fXP&
zt0EY8X8!4!bM@zg%8y(2s|nctJgWF6X{Gf>sZj0r^FM#;Vfi}i*_^VcR##1K=FTa5
z8fSj{?ztagZ>OC;xq$1|^m*UxrmuR+`uu~W%+DQntY2UFwBXGbyI}R%pCWG@f1|%L
z#Pp%=!h`nT>}yZ6UVf4hwf#kEi22jye6y$R$dO6Z>&$LrV_p0{Y5qR3NoH*N^ULn9
zw0V-JJ*VvYtMYx*9wg7_obR78O=YEWQN{x0BQcF3k2_=6_^z*7$^6vzUOdCgSLORY
z%_+OA8)c#YAjGI5>D1G@*LqJ@*stDke&WkVZ}ePO1b<t*Ght6m&4qbC+Ga+7?AY<F
z;h4Pjq~HJkEc^B5XJ6#Bq91pEGEIK5_W$y&URF=)-m2Z26YU@OLhVoE+{ii6oJsjV
z1x`=AC^+40h4!4N+c&#D&WYI6u)=0aiuwoD{>jV_{%w4ztQ=UOJ#W3u{rMC27QI;W
zCZpuvB;(I-l$1XGk6?`1H#hX-%<cy<9W#TE&hHkAeZ%nLhI-@+#gB73e#|TXIG5wm
zobspsoS)_9w#@rEr#boB3Fp=)FO|h36|?6yXFXm}@bXE7_5=r+moL2aZyXZ(ktq<*
z|54z+-GZd!EFJqE&t%&;FGeWIA}VHm6Px{<=(-z6<}@kKYipk{J62ZvjmnYD61q$i
zD(dUz#N29Fp>31Y@jdQQQq6>?W%FaMiMZxYIv}Wh^x@$LY04A6B+u=xoOWe?SGYQN
z<jm!3Ub_o8&6se=dTvZdOa()A*UV^nNj2^l;)iei<-hU&1J4boW9&1lx?kyw+s}`Y
zd}6Y?MKpBYoQg>dpJ$b||GnX1dX0ag-<yWr?Tkq`nPt-(PR)%w`f^gm1LtJUDR)w>
z=T_@(@!G1Y**U}1dQNQJi`5a!=IAHoyja&h)!?hn>;k!oQ~w-~nG;p9^WbETCo?qN
z6%L2ZiGDM2P1-m6HE$E=%vvMgJ#(d!@d*cukX@%|%`S9*oNn`JR^BJY`7?4XI_%cO
zGTun7oVRCVM|{7A`I~n!6|-~RG^{$LyGXKcUiR@0hsc?AI&-pr&#?bEJ0fXz)<ll@
z`Q=9&4eCF{GOU>!`AKde=i`?%Cuhsr&vH1|xno|!<QZ$_-8&C|5!hb$lHtvp)B~~q
zO()i+O{_m0%dmp&#EKuYZTlz9znasVTX#o5XNH>5lb;*%e#9~?njDclBTi8AI_JNn
z&Z`xS58^j>TsxLDH~v&)!=3(X6VCjN(0EXIq>({mhIN1EZ|3*0|6^BoOs;6~J3k|~
zZ({S;Kd+Pv^nR?}!}w4#qT!Ig!3Uj~xyEIammB|ZjGGzz;B_*?gW~g@!SjC@986~X
z`R-=pwBxf(4nO>Sx#DH&#@B(5<`_@haBoih{68<gL(WZU5|B*(?>#f{c*6qie>cwR
z%v!Q(2iHQq6CF+8|J=CYt7yyf(*B*0`VKekxv}aNcl+lX%!-k(?s)Qschd8;IWg+>
z9d<`l1*U(P!NDY$B+fpeJeg6xQo^_4>+xR>Kj(hFIWPK@`mT50bJOGIO}CkE`1_^#
z#yKt(a|}1loMka#9`_6*VeMI+lO}93Uu(D2X6EF&IZ;-THoEsuSliF2@#5=@;(jA>
zqsn5YmG+#-lR`UZM0Op#rL)pv6BGMPe-8;xCgE$7kA80YGrv<VK7}X##X{HWFPj*Y
z=NBJlnOl6Wa$daNNsX-%#xD}Nl&xPppCFv{GveaR1aY%hFBeoldK<U1d(8}{wj|L2
z(LXQUCtQ^{eq)n-Wq|U;ExoUp*Vc6VhP^gUVYoa$^SSN3gr5^k_slH4@lNUFqKwKn
z0u#BXPqsVzLaCe6M@521ks<rxyiF2on%yS!cujv}BKnhAcY=0G!m@W7&s7tTGe>Jb
zoIc6=ox~od)IG2GPcSIF*Ek*aM)?v;q9+F@%ifdh$xpv^y>;3f!nXe;<JJe?<}tmU
z!hVox_nx;JbKWY8+CSR&C+3A%#e>q~1=BupGr#srdU@b%$FsvpZ~VBsE17aT8IHg4
z^P9w_%lMlqcM5MOYvd)Ky>=63W-=JE$4+EAYsK8n8~KE<_pQd#y)$lCzSFolck-;)
z(W2IGH2h9<v)(HT_`Q<5?v=(A7Hy`3Z{BM>dGPD#l*4K7G?s6gmlKx1B;euH&%W+P
ztHqjjWY5cO+a${PLC;=+Dd}ZQHD}tIqzUu$Ok*~MaP`gb=;NA}lEU#|_0g__kC#;O
zCo@)ma{1)eHmBfP<eZ>gtM<%Hm>}aI@NmzGs}U|UC+WK#Y+w}sH+Ry?HFN7GzcraZ
z$>huQp0o4Ai%v5xUc2G>jB-~Gom24-9!-~4dOv^Cmu`=&4^=6D7XB8zzCcZWqeso#
zS(CaGzSm5@d~MF8mrrf3b?^K9_*Oe-%*Ld%FI8vFnN<F6l0z5g;)*?=W^P`YaQyIr
ze>dh$a&=gdayXi&;kxJv=9rRz4?AZZYneIGUTlZh>zUOG$qa$E@8?ep`NR@D&+_Mi
z-A@YNd|G;T(J$#gm5~CoRv4Zv`eZS6#jIyD4vN2?KT#*5FMdk7YQ&Y!nKF?(80Sq0
zUpGCg?bxdG6aF4!nqyZUH@D<h3fKCJ9yN3AV&mplne7l(k}jQ}F=_w2$tjn*-n^HX
zIDujAjMwgwP5b6JoLF*zV{V<_^o2j397vmaPk#TLDOXerw#CnLd_K4A=)`sHNedEP
z-%O}Jt}wfdKW6uwRX0}V33nYyKF9DOdrtZJtvv2o_A^V(7Bl{zASqZqp`^X~t<|(S
z4*!2m-}rRC!_&!(E(<1yS6u11dv<=4R`d*qH8a8=t<3tkQgYtBw7I(ToK7-%&UFf!
z#FTpQ${eSq<~zK~-iV)@>$K_SRomw+5jQ_qFdpdrxWf4)li;E5*?U@bW;?CfF)w}3
z9_2Nlv;JA3YXBG+m=aLt|I@GJFn<V`H{a>a+@@f$87})Lu-}-Qmic&v*@0`D=eu7i
zn~_?v=R-?^N|M=pkB=KBCGYsu^XMOMTMCEi{HFaszq}A{W=cA9<DGpaqxOv86eitH
zIn#Ugvy*F1-u^c?aZlqFWftx-j*i4+wiok~SsJ#QUlUjnfADJ3yrg^c=6L;`-I(_J
zqVdLao0!vQ7E8|jxrvwa{H)L$bDP%w+kE0+<UHqJtIy5#mX7J4Xg|a0c*90{R_&8_
z<r?<ApOaqsWX|DOhPh6`=kGo6n6<LD_Q$UaWgnkTH@j*U^T4P2`Skqh7Mj&_y(8zQ
ztbO{!{bk6S2DON1HxDN>%t_9U-}JF*{tk;v^X6}snV<Z<=*E1zHD1pzZTyk4`RSeS
z=kDaqX{(oysh{Wk?S;gg+cRf#9iQhC{cTQ4_Rrt;Ez3_o>h5~6^8CEOV&6ObmM4;w
z|6JTzWWR^;@%@u5f^&0b%}Kvn^q5&}+NA0^IaB9{E?+Y<AadgW2+_G2Z>Mp)&&{`g
z{@{G~iH{RGXU)mD-X+p#)tJn1ZeGS;xftf^w*Ti|9G{bsw|5q=?fcm$BIjkif5Ni<
zgN`sy{^d%c>2ovQPhv2h#bN$?hfqYf^yhiKx9`pKc2Vj+$dnv5Kf{}$Qf?-{{zKz$
z^Lz?oioUqsd*PqLV%i;Ww5vOE*4occ-aqSC*3W-a^mMMqM8?Mp|CaBW=^Hs$^UrJD
zlMH`n7(AYpsrZM3^Z%^0PJvkI3Eao$`ORv2@_Ax42Sa7@ztt=5KS*YLn0@}W;=H-}
zTR+WBy}x~a?(w;)^>GvXKTiB&@!=u&?Ae_4GZx0JsNLN4;$ZB*>n~#?pM5{w8T)g>
zLt!Dt&-FWxZ(`(J@Vtvrpyr_Ey^m^hen?8>M!cGt=Fg-q_mF{oVjxcpU!=^&w;w)F
zN|-M<S!Z7P&kd>P=cVOO|0(owZrZ$=2CR=_k1%X!*l0GxT$yn;|3W9_>=#xwpFiE$
zBQ&G(BV$M8v)TS<XHKiT)?l!4=Bf@J)@xJxlC5T^n_JKNb8T+Ab|)8$_Eq848LUZ<
zR!{g<IcXky?0-3}nep#urJoeK{n~W?L?`k8Yg!v)|2<EhA$R;o-NxjVjQx}2ZL{a_
z_)YjCdHoOLgn#LC8#Uk0$<SqL?|)F+==yig3_;`cJuBGQlK+R?RH&Jeym>}M`jkWB
zl>!H6AA0j*?MB8&FVYs8e0=y&jb&<G%u3tO509pGsF+4F+@F=X;c3$9C$rvI@BK4B
zGwj@~kZuNf;q{#wCpk2~Rz9D(_3Xp-k6(qKpBa*0#F#oWXA=kij50N5PVJ5wyQvHM
zmov<3dpc)U@BEm(lXz}2O;3?}KI_HC9hH1PUeC_9oVC8}@yzVvSzGRP7Jr^wn>HtV
ze+r}Y3yqKSvS+csp2Bu;a*XWisr@(Kx_+LUeNUC4^ZcBNZ|5bxog{E{{-@*bRc6gg
z*gn}JcHT<!`q?q(7WluHjoM`N^M$OMl4$+x=xa+^Q@pw7baW=oi?Kgxxl^WjPW$D1
z^NKeu4*D^v`Rwd<mJ9o`=NCVn-)8xG<^GAE1(<idD1USF+K&k_4>@nVIle$oD#m_t
z?vL32FSb9iD4koh-&-IwY+nAy87=a{|2ODNV3a(rU2pTUW)nyEoG=wxCjYsu*U!ex
zFU>B$Ij`>B`MIT4>Ykq6i?1&{eSA)N{%I${o<MacS?yUF-{v=No>y^ul4Ynx<eXwr
z`<b&p6|*mXS21&~FKg^+r^2}zUN;YT9dDV_&7OL1Ze!jm*8SV&w$C!`PvMfDpSAYQ
zR&S-|iq&%pVn2CQeN;}`s4&^`)WOA{XSzfj&ObjRY1V`y(T|DSz8pxG=gps)6gHvc
z&q9I2-xjgk{hRpj<H1zv|2auwTO#T{W~`Z;eT;cdQoN_tiHb#Hoo}w`J$<`rWse{u
z!$a=4m=gtmX64;HsNAoxl1G02rmYLvOV@m=^kB|(YPvVKaPypkvM3QJ0jYm;GQ7PM
z-bj3yeS-1r{K8v`Ns0}34n0UH;7<BDqkqk}?`vkn9cNr|BU|ofC;v>-NA)k5_i%pr
zSutbsni&$6oqVp0rf)tUC`+4Rb9TdC?L!R8N#|x3&7V<s{Yi%LhC1`yk~MSd_O4qJ
zwMxd~2}k6dM9;!c-)8hp4xBa9Uv$&&31Tx9`!*W-7Mkv1pHsJef|0<>Bu?vxCo46$
z{68M&`cW1$uTFSE$RF*MGMgsE%&40;A*tfyjEu*O9cx6t@o${7apT0BFET%GoGd33
zIj!R5kBPj#bIZ00CFQWKpIv)WK>WrprN#%9`Ai2Vo;|px`rZ!TMD7hGqSx4Gm#&#p
zd%Zo$Z^P^MJ@boAKg7hwESy{PY{$OF)v*jKFY))qBvlD)o-(tTKc?(sU&DsE#m{$~
zFg}q!i8FRa#hjY2yHX^Sr>@hif4aTyhhW9txz%g+bC>dN)HmI|cy;75z4n6(cD|Wc
zGi}M!h(&XYKd;JF)0B{ZYTOY$r{?4GIkmU8*VyXp6!^BlaWV_<4R&E>&e+!*?LC=A
ziodJ8W>gfqRXrzZ`WyM_=jJqIZ>l*tGei2oM~3wBA3@I-wj7_+kbifnyvKBdzJ-t1
z%%A>jPM!HrgJlO|&(E&Q{=}lZU}1Ai{klelcS$=r>etV&y}H&UTUkqMf7zR(J2uZd
zI(z0v@r|>Xk`~<PI@s~`h{fkqi*_f^=yuoo9CjetF=>y$K9y=CMrQ5(vyM${G!*)G
z#<uM3k-F8>gC{Nu7hgT^=*c;PYt8f5*w2{!{7C6`{gn<c^p32VpI4^q(KP?-9oFxw
zR2F70$*-Q58vaSsd0tBS){e7Z-z<#YsJ+Fnn|J-H67l8x6S}s<*{NJQHPa_=Qo@$q
zm*@Z9Ykg9XTPAXDeO34_asGItbE|we|Cy8feJj)H5Eau{&yF(J*LT$?T&kX%I)6^?
z|8>(8|DR}$Uo$uF+tUeLH)7|;zg2bb)#}|n$N%ri@VRqK>-8C$vsV=UYnt=<i1F>}
zIW_MuvAxXB3;JnPJ1=*=AOG`G>lya{qUW||m-0Q<b_=ealRAA~?*CVN=k!gRlXunX
z^|6$%pMp0Y&ANBmt919v^p%C;`{%FOJ2&^gUMbsC>jh<>VuW(%G(~&HY`yw)&C3nb
z4xK!bQdeHRjN|i>*tnB2raQ&^jve_wNn?Ab%3Yn8_r)HTzTf%y=JAyGSJ*O7iHI)H
z@?eNH<}{o5XOqc-@6Au<FL-c&&Xk-TcmJyF*n7jMGV1U`zt0Cex)vlUD@9%Y$FZYi
z<8qDf-;SpIj!WG0Z$|Fqq{EGdf99n8{ml4*`2*7irnLE~`DF_y967-vz&Jx=mVNw$
zm~8^W6aSvS=>GhjmhMld%$YNLnL3oq*EB49JSR2(-=7I*Z~SaM<;r#M-`w2nI;I8R
z3>R5$V#rw9`)EdrFLRkr%>4G>^K;if;j)^SvNmn^2G!#qxgO8S-GA@1=ppX;sqrb(
z7+pKVY`-zhN%L6Bdz#Dr-|XDUEYe3(u68|NuxsO-bn}Sr5Bamx%{Q5FCclzV`w(<f
zN`Fpz<`0{svvXU-xc2&X-YWapCC=)q^p=Hp^?|}0E9_WbB>iMe-m#7KMe-hor7Pb*
z+xRDig?WBPYQ)JHw;g+r&PhMb%$>9^iFfwnG8X4gGX!R@x$?QOy6afV)pc)Y-c8!{
z?dZ{zth*--J{?iBJ}Yrp&D!jvRoJKFDc1GV&fJ{&^yrb~`nf+d{O@dg6E$h>NAV9)
zH;;HMO;K8LBxV1a0})PfN(RSHh#gI?59RdWW{l}bjyb__%#h*KiJuJL=A?L+Uz;Md
zd5+Jmdn-Av@E!WM(Q&e6^znw$KSz?wPjZ}EsW$6)^8HnF&KN9wW&ZzYz)F^<{#O*k
zj;DAtzdd&*cj1rchXPGM8od6pVfyS((~oGa=Qxs_|9z4`yxgULixs8wQg)^aZr?TG
z?9t@<`SU~XZ#&W&T{%m9qS5+83u~W9JULKi8Y%H=^X9X&`}6M2%UwNLaI!+u7nY~$
zD%(!7JZE~@utxin!kGh;=QDku)9TYX@oS2u`GG0sKe_miC$IlJH}}?B*1vg`3@1PC
zUvub!vc`e;NA`Cx-H&8?dWG-#RGI&K=B2nKC1$Q+Jo!RXl`)QSUhZnUNQdw(rJfR1
z-{$82woiV;yscgJB<IXP=6Si*;cI1rccoTYP5r<1{}YCzdnZnvR59oG)Q!hy-t&~Q
zbgrn|vNlEG<($-|tM|+?FFWmYXsuSooRpn@Q9D+d+&niYx2{xw@~g^*v-@6pn%uwp
z`%vqeqnEBfotL})RcMI$_JbdMcVvE>llxjPvM6g`&&0oH7}$G$+}Tnhbg=C8|6|E_
z_cuJ-Ge7s+c9phQ+b-z8h|SRd#xf`Obx`TPt47SPAM`xB`S8w6J=d9&!!GD0${*G-
z{i&hxfP3>Kj>xkCPe08KzOB2QbxlmmoRDj0nRh<U+T^h3!s<i!CW!W(Ym1%4aC>gv
zU#*{#@w0>dCrI_3{=;}k_<qWCwYkB2pG<J*ye(=!$Lr^fpo5$-XWR8YFQ4l*`RQWw
z|8oOXCv@aLR`?@(A^F+FeMfijcQ^f0VQgk(?!W1K;P9W*?T=1Yi{?LD_=(~3jwAAn
z+hXSR`STu5pX<MU(v4Y+3)dWsd_Ko-yH)huma-W(k7MTg?SI0te|G!ht23RB&-1I(
zT|MzrQpvXm32K6GKY6cNzQ*NP(#`YQ{~nmC%>2P3xqidT#3a#AF>mJkPiIlQv1XRm
zo;m*IcC!tt-{{|*D6(gcbHoK9)?|j&^8zZnziqs6=||Vg%`*)9=DEzD%vkw~>CYR(
ztEUB(=XM_ZHK(KO+MJ-t%pd2rue~N}dvl)G#{*gO=LCN(diJ^UVC~tt!PTMr=9K(+
zG+}z9Qp~@(o}%mL26wWwv(68`|AcvFgMS6nyps1zEt}PqKh5!+JgcyG>73xd)0883
z?2zBaI437(qI1|h@0?jn?mf7#qOe<$TYCnN*&L<C9Z{;9-{xm;ZA>|PBcN+;;q^5W
ziXJr`s+p7aBlh2gw6l{N=gpG2|KvyATSeXba}~br%=oxt$Lzy)_t(tx`Y~fcuk3`Q
zvh|Nj`|e#_H9PQngm2@P<1?fArQ~PG@!e!pz5Zn~2mid+qSa4+e47(^`rwWma|3fD
zFZ#C_-Z(cm@cfg<_rA>u+<jD_^XkmNJSB$uN0%1o-{g*)P$Vd@@crtY2`3i#%?Zr9
z_ek|1XVSz;c6)BkP<AtA*ci9deDjlgX$}4xXXr)F4dhS$_u)+}!^b%;OtFjt|6<;X
z*39>>n-{2kxT*T{!{f6UlHVNud-KJF&5t#n&CoWU6g7#V_QTPp2^(ij%2d6-d&<Hi
zNv}D&W{Sz*lqsI?_vvL_+J$ZMuaE1gGThgn>#5F?|C4F<9Iiccg43FA?m2Yr=ZpjW
zoDU}UKYh_?zyD$D2cC-`W^ow*P@Wm=zw1iR{U3g_c5I%{cJsidvok~T#2Pu*Pi;?`
zRc!iuPDre}0BiPp@vu1|t0&17&&&Oqa*AP&&r>gf?Q!!bN3hKCewnJ!JkMnFj=hg(
zuWkP|C*!)_@0Hgg=ebQ3Tq&~l&-~~Q%yXkJ%XMqM`Omcev9bnl_Iqx1hKH|@M}PdW
z>(~65Z~FgTTweObfO%${yJw(Whemfp@7jxB=PYzM<o5N=(?44$xP6@C`D`ZF*@?aq
zzn|_ts`g`UZ0=9R`7^d{e7P%PR_N!ME%TZ6*UVO_oSX1Il|Az3t)7Q(<|N$D`+ZdZ
z1$&~ZvOaVFxsFMjW`)g~n|^hYU?<bjEA0DEyBwICUu`}6@8b#YkJ`=ubU?6wj=p^)
z^XYk^zpb+uwCtHaCn0?L9HsZKZXc}vF*D!hXUd<e2f80G*mHKavU=2%_cPz!IOx~U
ze5k#2%?I6z*_QqlHgRtpK2N%Q^TUmeN7z!PT)BPJqw4-7#=V8J92e(Id+~HpnCSeu
zK5rfVdZiqgH|Ix6z_K}7uTv8DonR3C{mwD+(V>qowb~hDW}3^co{`zf;CbgkRPCQR
z8Pge}b7pG&c|R|sY-`ellt9ys^5WJ{@7~;b`RGj9I=k7dA1D4vI$_0^q&+9*+Wd^&
zWt0DXdufou@pYlEd!mt1q}8Umk2)A$&75%jK(u~SWN0j-WYgj`OU#rjSFC>#cJqkC
z@;P%f*Z%nPicc+S+Upq$I-}+t?1*~v&VKsG@3KD`rB6&f+9=2`8_A?TH%tF5YiP%Q
z*+{{^8&o#W$>WcG|4QQ{<La#RIoW%we%9Q%qcTxKd=F2#&BU4C4ysR1OpejDnJfDB
z+MK+}Ehz_e<KN85E06!gv3dThsmC(j9B@_QczEyhj9G`7VrJyjed2gKQzBwkj{lLK
zJ#7!(Rm_oiw&THv*3dVu-(NjtNPOq5p8WFv3rEx6hf05}nD%-0(L?qpYOGrBNU7>1
z&CCA!Zpow=Gv(a55_4zgd`+3O<fW$Q`jby@%v1Uh(>fz(*2MiabHw7~)ps7gKVMA!
z5XbwY#(QUq^)k&1nEz?MgzBM)_<0hRUC!}yB=ip~etpzr>kNtWyPnOz$)2)z=?g9U
zkB5>O=SYa|SU*2{>!SI4=0#tNo)Q1%L1E<^t+n50haP5{7vTSMj`Xj;^8!DZ%#*2n
z^x*u}d)h^t{3cGjHzzReWY52uVK+|B4XW<GB>l>I@`hsvJ<3y<xn~BQpP3{5<oJed
z8XKx6emS*ie(=_l2^;<__@MttNRaWtOm^d>u=58>|I82i_-&4i?&Suv|0ibro)>-h
z<~*r=yUx#XtkZwhP(PciZ0FC%taBXqX2s8u{B!@=E*-}F-zy9xZgJ#)Q2%2z$MorZ
z$L&2gDt}D7`{(KA=W`{kU(YZ($rSozSNDkv4;RdL*!peXk690Iiq^3_{nyRZ;8|MX
z`mprleEVC+PW+uGSAP4T;Q2eZxRZ{l+z^^*sef&bo$(FHvWB?hlg>$B`N<`F^5B~J
z!Oy=2%>6W1Y3@8z`~NfJJDL2MpZ>qP?de;om`!a=xi@FsoMZF;)Lf0}bJYKTx^cVz
z;kEC3X6aSVwmJJy$AjrT^VhNm|ANK1rEjmfKUXLH;LOc)^~%@8>?&2~C_c9O)r8A6
zQcUlk|F3*0cz&*JUiIz=Yv!bgZM1%{+iap`8Dm4$!~e4kKhM!izukX)j^VU74Bt;Z
zy(sZes=tTfdvxfjrs+3Ywk0PYwcmbCB>RaH^TtUD;`R>?eS2@QxwAC#rP0HfIriH(
zed^_6-2WiKvOy&ILB^#(c}cF>Z?)IYafm#kGUtuuob;=9yXQET9%Wvctrhq9<eE8-
z*VQ>r-c;Lq_uKi#lJ40K_j_IlTzF>s_=WJB);CYjoL~?<qFhxu)4^9jFl^#q<t`1!
zGR7ZqiHwitw#PO|e%(CJA!@nG?!R;G!^I}-<UYv8_$QWOu6?-K#Dmpy^iK=*&P%A4
z{#l~^@=@Ik`^kcrKkvU95%W^z?8E^54U93T<~ZDIdt&rWit&I(%)z9_n%zHUa`60|
z-l^T08WH>d;qo`Ljc?9#c)$1Jo=%y-a~kduHjn?GZ{*Y8WR&yd|Lw{NlIQ0+WdEFH
zv}dkE*wL_=mh<L2Tt78IDf^8;*U=v{{%oG%ARqgChC}2G_46<EW=&Q+`e<Iif#RFl
zG3j$0wrl@X3Y}wPmppCH?AXmu{@y>SQ2OX)7nkpe3j%MS{LQ;J&tZ3*Ty)vfm)qt$
zMBkims8?ydeV&5$PmZf|3~tSpzkO0+@*Mr}=sCe}_s)~@G(IhLecv3tYb>>&ndj);
zy?Mj^iQ&zedgtfpO*cGUGgt5a_L)A{RW$Bc$92D1>rnM(uFv(P0PQ<-e70L{Gzj3D
z=`+tDBI45=pWK@U88dzye{tZ^9PjOu3|d}px4#+T@#|&(F$VsMOEbNFnZ!?U#3ZUL
z(doa}CL0mU$i2qn+atY4$MxoZo@f5${SROJpIo<>%6@*&?H@hm&&{{2_NO_rZ}08;
zJ>lpqyR2)!PE7W4T)o$qEq4EApW}PhWLM7dTD#|^SH(P*lk>y#>=xzR6yTquv~h0u
z>^bh=H_h{%9Tc(W&b1RkDRaE?^XCSb?`4n7cF$SX{D~=ej@RwAEUEFV`>%)1jsN`0
zu%D;<&pg-i+x^V@zfJvrjA^Fo{K-mZ4=m97*2Q&xu6}j;fpzk`Pnq4A;e39=Wh=Xh
zXRa~L6ge`%fvJ~e{oL20SD&!v&M|(Q!u59wN2>j^E7fyMeot1|*xS*ocToKLn~i}=
z86WcJ7|X}}o*xvNDR%xQ!{Iq%n<l*JdoOWy*A{;73adYJgRW224cxO}cEroKDS<7G
zMx}3N1*r?Dzi*UPvY#Hu`0DJ(hWFJKvHwrH^m5Gzy6Pg}y|+Q<-@L%n6P9!TuS=gF
znEg?pZNZ$txcY7L*dlh#4Dz4i_U7c=Aa!Q`H!%kfc)A~HaEg1u@kAo<`Q`S;pZ)bG
znwd@3oQ~V`dVX;DX_HxZ|2<m8eso5=wcO0`Ym5&bd_KW6n?>=)pHsH}mV9sC&FWIJ
zlt`Q-AM<)vs6F>#w%9$4QgcGLv)I1rc@$gr;YT9l<S8HLh28)AY0BM~$7jD+`L6IT
zY4;4tYr(H_-dtqb5b;JLdcQf_r@6WDpNiJc3z?^JAf+;P!fRKJQ~!FnkIoCO@78#-
z=)mngbAqQcn{G(zc;Vh9u<6L0waZ_)+uxfXwA!!g<GlH@pBfCRCy8GV4BGv+f47Cf
znlA1>3yX+TZ^h5PRH}Pq;W2-1@b=WE@Lj)?ljbXRE}Iu}ci$w3pt(1vetIS}yN|2>
z_o<Un>XQQN=P86M=`_dfSh@b$zpqa_l5F?im5o@S`DXz`^6UojIE$~0hZ)|VliT)9
z()|7Vytz85R<Gwf*QzJTyuS41M1sm`-L!s%yYDt%4!HSZ=Gl2ERo^|Oczr+b{qg&W
zl=HU*A6tH9h3ZxWtiQvNGH>J7eM=kke9Z54asO<wcUL!!_w0ysdtHBWg2P;e`{@(d
z#XSp+mF%rr>z?Lpoa-DFzKLmj%jdfIN%59kqAkDfuJ#H$d#&;Blb1*51a8;M<odd8
zQvE!IlR;M(6xV$cQf!rZ{PBi=X79fT=OznTw*3lUJ!yp!|MOLo7@l^PncwAkd{XN6
za_xC@6!vq+EIY|j$o2j4TJ_FEPoZ|M-wOJ1PyW5$G+(joZ)MXjQ%jAto35`({x`?@
z+tZWZKCav_YrgZ{Z6~JpO?+a%{@Fj*?S|Vw-SV5G5Wa~)y75>2zlXQh%v0QG+4!se
zkwMPa3Ga`${aSsJ!KK|`|I>ykKX@+OYv(hVvEh5tOlNt?pG;X#|LIq<2tI#$bG~z)
z>Y@9)=Q&@!wsQBMIf|m2ZaO=*{@VY9VeQ1P54q<!Z~xw5(KW~Ex{4wDipof?i!&9K
z!!0_~C-!U*G1WZT#>(9NV%J=kyOSK(&vN;@XT~~DCg&47?lt8+ak)6hW$LFFW*g5v
zeX@<Ia?c!><VR0@nLRf#KHDLy#!|m}rt63OGo;x*CI7qjZocBDc}n7p?>m?h;$kj-
zHLYZwJVR#lt63XQ%ut@l$9;_L`VHomU)xvZ%+V;FFCcM5Q!sJ9;_QZBwaRG;`ny|x
zU7sqof6siy(D`%cOx-lk`P|gsfBrN?JnxVxU$ap=ZJzV|{ErpAv9neu&vl+_{ZrDk
zquqQe+vBQ<Z=U{}KG!++-&L01n<VegaXz=*H8iE+=RE8AYv#poopW<)sz=ZKxb>fB
z3eI0J%U+eYp84hPUa4t)jOD8*Rh54H{bsIn(0-0>9k)6*$aGxZ-2SWjt7;P4{`SnE
zqs-H<YF|Cc{PaN1?45fa-|6vudTUOc{r0S9Z)Tso(fVuq?nw=ndwt~BFeW`sQ7T+E
z`=w2<Xz1(D8z0|nd}6NOQ>|-J@%XmL9L4p<9kpe1oL}nSoFLsJw%4yKt?X#dnz_!!
z<&%PoW*nZQUaM8fpt|w$@#($!W&MWlKQ%hMknfy+Luty<zyBt&J~w;#Yuduwx}H&4
zC+eoC*sY#Zc|~{C(wknzy`p>fFWp>j@;vKgb_WaVd}qB{b*I0(+kaiZ`l@_i8p|A|
zwdXo^uDdzm#L>q4uTNUMnk+G^efQVfH;;F>-T!NRVamPUf}?ZQ^-prL-#Rd>w;=xI
zWaf{hr`GM6r&-il{vf8m@bAYtn%;Bg7_7fP-&H^L*=5uGM-h7t%+a{~?aATIJHE}H
ztx>vX!IMXGS>)}zFMsQN_vdNLv$;y|zt70{IZGwt_hEMb6-({rDzBcS_G8M^PyIdG
zZ+Cq)U*BkUENPyq*7WF}4Kb4*U!FH#CH&h$Vf7Ci>gTXlHny4GOPZsy^7CB1r}I^&
zAE|S>Hi4x+u3_GrCvReJ&QVqEbes_J>%fM^clSKH{Ctk;)ZWKao>X?f+OYKdnptX(
zru2#Kp5yuE$(8++oZlow>^Z7^{pVasKS6W5x#ItR&k)@BxarvhLE)lrlCK-Lrgtna
zU-RU8`leg<yI1(0$e7f<CVjr>=gBXhJ$b!;a>j+GTeX`TZS($h)E=MJH>)pc&pge`
zHy_>FJeNZ(W_9DO=acP@Kg^glC#U?}JWadE89p^L_S(%B+&xFrCOL&sK}`R_PWwoX
z&N-UuksQ+>-E~g#75Q5?!E|!Lu9q5DYi4uZo1@`ba4GEKr#(COxw8v2md(>h^j?^3
ztA9Z&?8(*J6XrDkd!V9t?l42vwMkt!zAnhv^JGnX?Ejgp;hP@{{FuS;XGY|sSz_`x
zcYK>=(aJtcPJB)Bzgg-^3>(*f=>M49dMhhzhPq%y7T2|;C&xao(CeC~7O(Yq1;;!!
zdF~tkId8=1$IRf^JmH;v*n}5HA8eR^(Cz!1gLcm++L->HtM+3i`(f>v8E$NkVj0c&
z7tC7!mU-g&3Y$5q@pfiD6QAv$ry66~@#0S`!+h0CogCSW6W-nZ`1J9-XP5t4&7bq`
z>5;wL=c{?o=h(fbf9Vt%+c$IUlsS2;pR~{4`Sbdp`Km>q1Z<Cs&6@ImySLKke{-xV
ztLHJy6+O6V%Kz|8Ug1gu%_+Z6&lQ+ALAL(m#9zn%?P{<;x6@{4gWVg+mJ3~Ni)(Mb
z<;?!WG?(w^3+3~>lC(D|vo|fX_M5|`u>aqarB?qB$VPTfd#Lzi+S$!}=RJFJcaqf3
zM~lpFT64}`yRr3twJz7n>L)AWW^a1abbppY&!5kKlII#sohIoxdx!Yy*8A5l$t1H!
z3mM%pePY(X<Uq@#((GK8{S8<Crf4WY=l(%!02mmU8c^o{=O`bat8DkAN9y=2uA?tw
z=CkbIm#U=N_ULZaNyUWV$b*&DZ)|27JesQ@|9ZA_L??%=^~usTyseL<yCPXmw?EoG
ztvkQ<@qOiXt>wF)zS+YPJ4xkp>!Z@uYv&jQm2R@&Y*?Ya$%6l((&MJhpXca%KeA9;
z)^X?a4E?@<sEUd6^`9QK2$P!RIj`$+_~(vS)tel@PTJf3`l|TPIHCD^&-HFke^mZ-
zhvnyn8+B$2v_Do%xjN(3?73#)@1Debn5_J9uF|R74<=7e`0?QG^*_(f%8H7`Y<yGy
zX!@oMwWEu>=a`4SGg`xM^KKH$wJG=398f+kxT-v9;g-!4Vt>41_s#gI!#E{2a$f4*
z)lV58{bM*f@!YdcJ)6#p8yJ%g&apVHlymFg1ii|L2eU0)r-ZdV{n<1-_3vcQ=lkx4
ze@JGSn5`W*KXv`&q8U>zK7F%LSuG&+lbqN8gVqu!6_S1h@ygA(X4^fH`QrxLN5Ty=
z-p;q0`jlmFYtYNRC+!aT9GL%jVXo=^dDb<m5vxB+9`0D+7dhq9x4BlQr)HJdOw7MG
zKfUNwPT3Jo&yKcDg=teSJ(*)MeOkx5jm+Zf=UJ#Q+rFNk_HFNk6FF-nPfob@-Z-yv
zLbs^$&p*O%y{BHSoNwWpd9x#F{tP+BITq#9CIzg{5WlIQ_|E<F$qPwOzHYTXIdj^E
zBlCQ%)8|>v)w;PrcFN^-@9!_XcVqfxyYy8IQ~$4jdT~<Y4%_u7XH;BFJKxZl9X3By
zfA*x~b3;pKCr!QjHsy%Nl&h~(8FZ#!wYJ{zLTvK<>^Tf47fqbU5T(juo;{CYYty~^
ze|GFhn-lt7uX2U)#1m_pe{>(<5nnx{_%P!SSN&@p0u#9uW`_R#JcA`|M(F(~3&JN~
z+C1%M?7wOMb3TfM?f(7P_~j$xM`_!p-1<A^|I`IeUq8;#h^w3tY8uA6^6<nJ-zI#X
z%<TT)*7r42zg0e5@R;?&ar1Al9!&Z(@!!Vx4{k}{{y$IS?UdW6=Z3xu&7F3A_b%=1
zsgL3&zAZk$88_o}Ys?(0Snd3u#{VXr+qm-pAM5IeeLp8Ee4bILRW@<%o;jzzMOkLg
z65i6;ez#%9|IaH~yE^v9K1_PB#k~6BpJNy9KJAmcx_Ty`<iUseQw^jKKL6i7XZwfO
zw)PF)|7HuH?Kxd;H$!YAqh(C%-ugcapKspYxHsPTgyg;7f)cGal<c@BEPp-MGCcjs
z<ougVQzvt-nPV9*c_X#{*_2OAn)CSXpJec!_9XB99Nzsd4CVWos;^CZwrQSa)!%<l
zr%vN%Z`%8J^E}I{(8`D0XCG{wKjn$->`CvYJlg+h^7-e}zT3WU()#)A+S=X+c{^s;
z-msszbMx~%YEqkC&$Ya!E7{z?>Esdi(yH}yxKf`SNfAz;WBK2UDYEV9<u)P4HM31V
zGA{mDb?oA~nXdbPG^lT!5jIOmanFM-Cz(vU_jFzC71zGl_w9kwnwAN3=kZ)U*Ye|L
z&%?8`d9v*$G>M(xxv^5Hcj6NJ{D*JsrvCjYIC+kxy`j)r$p<^G&EY-$+B#y#!lRA0
zv!8vq{~-C_vzP9Fe%wo7<ed2Bf6kO?b&rk~_gH?L!*y;hU;TZ%xlF(3T77$@mB~7j
z?dTKX`iR(nGrjHqAJh9W`KnCEY>w9xeyel#ub*o*?EuTsS$z3zFOI!#h%TSVx&G$P
zuX`@8bmNnMeQ(C%KhIZ1Hte%aesOn>@6~Pdtm@}a`Fehq)xM806VDt>X4p2*YTZ}6
zX@7Um<(+C^_~zoh8}qHU+U!{It?~W&C#!Fj&9!=eZqlu1FL};S^w$11-)gOXBv<y7
z_orvs{NMOwj#d5LPXcS_3#84p`fm5rA#kp2*8WDln^RV=dAck7v_#<ihV(g{+h0AA
zcsxfm`~N(SsUFoeb40fDsNS2)mVdkTvHAS@!c#x)pR7_kC*u49mY?&4qgbkcF68<1
zO4&nE+IZq7&ENZf_0JbRKQn7r<Xk7y|Bu}z7V-Vm;+!X3m&+15S8TgYvqRZy^P0KB
z*X?6AO>l5*_?SJ(JA#FE%HOHt5@+u2e}3vu+#HwndiK+Pem@>F$7SEw=((&P=W*Sd
zWcf3~f1dF56jt^5?tiCc<+j{Vtz_a~vsZriOqXZfmp;D!n>;h=`<pplxA(H_U!~jg
z?cW@Sr<0S?ZKfaL6>nL;<yEK6t|D%yH_un4PdjjS+W#nZuC((lcdoUZdjC{$-;}Sm
z*>f1KTd|(bp7OumY)a(qzMO0O?oKSW*q|7`<|F5gwI@vNeoRPP{q*wGnLgizizM#N
zzA<rz)c2gpWnmL0{n=oxG-I0m2ZKXJW|v|nmZr~Sx4-siuJq?A4Idv&oT9|$dhg>*
zX<-)UXVOzIBwaf*M@E=ACUy;@;L~eiuX&?S{O0;RN9p_TWqhgCPZz3IRrRl2w{pGv
z0wuA%iR=5U9!*!>+<vz@{lHIi{*6q(A{Pa$IAVTsMM2ZDA20OmCZ3(x>35$|d4pBB
z3$ysG6<qh`$awR3{`s}=%8v=x|ICn4=ZU`eex|SQswsc2&3N8*;KltTs}{VT<GY@d
zktdmPpVZP*f9A`U+f8{d#<Jm^r@&S=-mXuZCJH@^+0HXPLUP613YHn^o9Fmd-Tx$Y
z;d36_t{CapJzmG}&5^IWy=TdWm9aDZvXi9#_ssPBeng3L(rkq)yV)x1pDaDHZoc2@
zP9;Nbr(=5-N<N?C_x0BkrOv6Db5A`#IB$kiY~q>OB4;N|?wk0w^vw+6#F&zfu=<Xc
z4j+cOe)X^ar6^3AFQ)l>KF1Wr!Zl5gx)tucnaPnn$M5?Sg;$e5_qQ#OIo|N_f5p6v
zqc3^$ZFVkD44dP(e$^zcYnDNK78H3fa|bHKEyzD?8nZE3j`4qo`!UlsJ6F}-p2z#X
z?xsV`T#dJ04!f?+o1*!DUeNcw9@|26lt0EyDGHz8x!!zNj5zn{H9H>7mD#V|zve=y
zjn+v)$)aCVen!{-64#8%=#l&Syv}}3*YD{~uhdN6c~#7+TUzKV%3JzL#dPY=@0Igy
z_y0aRp*>~+>#oQ(d-h*m;<0H_$Xvbr@{_TQbA_w*O>$LT>Q}kEpJ#i0D*y9U(^rJf
z75?s*$Nq2XPrLVXY|Hm9c=l&vcKY0?^AkPm!=C-mUcG8h`m|5x`=|VyyTW44mY_Ft
zZNE<P>3{y<`nHMF`kwr|Up1G#akbl<MG^DX-Tyv$#Tk~T`=+=W&*A;5_5RKOjfovU
ztL$zDzdx(Bk@=9v^SQQv|E2J4oX5L={pK_57Ar*ar~Is+bV5Z!dgr<ij4^X~<GLB;
zStM-#%;gnJ+CPW)>LC`(*#EP6>+encwP(c^C5@>6b9lcdo!t9<;f|D3^Oq_goyC{6
ziAiwkuQE?YR|S@^d3>*XS6(`(W4?NhUHQow5(ih!nz*q%XQte>Id=KKA07NT>E7li
z2WHRV`x|v}$G@q+Z#A8M7diFM=^5_tPAJ@+!<Rpat%|9^W#gMEfA(&A{V?fSpZ?E_
z&o|7Hy?L?jVeOm7Gipqp8*d$AT6nULk@E@1q?y{bWh@I;pPk8HT{h9uU&wtEPs$8o
zb!N^;u3dBN_U?cCr2lI8rY}-ceovpIT)%nB@38sLCe&}5!*}obLwD2FGnykOW`CY<
zTOYcKC-m|B?zwhXcTdjPIQfYE?zIQPH|4Bfx3jKt!6)T7<^4AgJUr-gejZ;{Y1xE#
z!j|3JR?o4!rmJ=GXJmS3%Ey@t=Fd?te?2+EyYXx6n`s}^FR-tkZ;(5WZ@<+~rpI%l
zZqLzMAA9nU`&_<kPv+-L#bIkDHqYm~KUaA16E5bLm(6Q=o=>0mT7~bmQ0VIw_Rm*;
zt5*?9R%V_5d0(Vq#}@@lHwD`t><d&juYP_qLV2#;s=ITge!ZEa9AEl|rDsd*&nYcy
zr~jy!BEQ$Vdh)$*(<f9JW-MLVz)`nt$s~;h6V_Z8WRO1e`c~r4Nh^&%->F;Sx^exy
zI>~Rhz9rw7ZT$6C=(nlAf4!Z);9CBT>3^muUQ)I4**`}yd~Rjdnl*Fd_R9yqT07<U
zSLu$XCyYr_;hRpVe48d6?c8<fplqyk*O}Gx?7r1*%9dO4Z{C^@Usro|p8O@-dHbex
z_mtmTvsTY3{l1^|<(|3MLsy$WjNiC0i+6uyA;Z1N8`poRem+OCeAl*{dCCUL|L4~H
zUCuXmTBZWm!ZmYh!#rPyy@?5%YxnkFc*(xM_vY~Z-uB3fkMsS?MD+rp-E)1?rad~l
zUH@M~%$~W*_g6jbXq)<J?<JKU{nAsrCvT8^)a-t^Z#RQ;T%*vIl1uZHuX-<-c<rX}
z<|&_Vom{wf+CQmf|K}=gtFZgiWn9s*+I0QY&#8|Nt!bMu?~zUXp6Q>buVT%abT4kJ
zf%}A>xLJ=TTFK2(e|v7~m;CMQvp>YN%~7ns8#YJq^z<+Fnyv!pu59=;NAdmF<HD17
zKb!X1bmNOi_J?i#(;jX&e{<~GGhe^SV%O$~Xz!n=SUz=*%K44a?>{_hXl+)Cnf~Ew
z(Fwml>~j_4-kwU^(|YaR+b2_}?%DV4S<8m+n`SEIovPikvu=Mu(PAOBCktP1n0)iZ
zvt*A4o*Vu%?0noc_2c(bOZ0xuVX*!`{o~2ov3sA_ZJW=$cJ`Oq8oW2eR(v*J{ps`x
z4au1dX%oHue}2wkoc1p{_TSEHM;jU?f3IA>B~bZPb@bFfCzVy_DZjcJH|@*sN{a?r
z+4WPuy!|ufLGr)zbCmv1x@5#~`p;aYcvU8&YnLZvu9<v*kMZ@6?aG|$g{-`{FEwAA
z^mTI5swrRZ{^__idxQLBro4u2^^=oUy!^g-+Sl1q7b6%O>d!xPnKeNzJ|Xtsy9o@U
zl>*Fr){6d~r(702<*M<=x^JtK9`im9kbV7hg~i2NuQ#+MCNVX8Y@DlHU%H2J(&x(g
z%3FV>3WQ9*wt0?ne(AXzYxh6M{yDq#^OR?0x)MCu^Yy}}e_lOFqNn{t{pTr9!%s@>
znR;#ds?xL5o<%QZ{kdn_)2RWbf1YQb{qdkCa^`pUmw&HKdpJq+w)Xu!>zB@7?8W=P
z=>61xUq7+9?w*&I63bva&){!Px7ojjURQ>;ds8m&nW^8kn9F=pchLHu^H<b-oyexi
zZIPn%d&+z9lZtH2hO<?6N6gimo7HLjf_Zv}Q1%s;R8NgXtov&>MV+0eXSJ1If6uhn
zf2Y5&t$j1~_ocu0o<;rpH0|J>TC<;y*$b9z@;W==^P_e5!)+!V+T`{4g=@F-k(3$7
z=P=7#mb_eaA#%puk3av+XHH&iv}VH9$7>Yy-_MLn+jnkmUL@niSuE~8$EQtcJiW<k
zU*vq&=TE#8CvN{Z`9t6IvrqTTXW7g$wc`0EQTy(Rrw(w(POOmH`6$+A;@LO1=CW>P
zIjl4B?7excJN<YTmrc2<_kLYx`l>~tPZ|Q|nU}88`#B@3B|xitR=Q$x%Guymi|-$q
zG40e`M(a&kizhCRoMSH9Ib##Ec5B0)^3Bth=gw!EzD}h|T>tAMEe`RwJCDmBUa)sn
z<IQ)GXU)&=II3SaN9^N#Gwo2%?g9a&c~h3Y+db`M%&JL$3%j(>E#R59H2CzXh3h8$
zo5B!nGihnvvzglaS58X)z29cqa<Qg&{?nG9WRhRA;{A_3+tbfaSuXh@%YMq^=PNt!
zA7S|QeYV=~6C5m4Kk8d9I<Wug%-TmxI~Xrm+9yB!aFj2$s{544iN|Z6%qolS{ARB_
z<08{??Ws@RPg%8d`;<@qn=U3yefsE=Sn&^8w@dAFPKQl-daE<zz2wE2zo&efGUe&~
z2=OWJA2Q8TE4P`vsBG%91lFXd52mzg>{ujTKa=UrwdZq$5?0Uomv+nYLz3|vHSO5Q
zX)AZFKQ)i%Rmo<Sr-4Z~HXiSo_ip3wiN_vK+d6&id>*gcTcd5Jte89DzU#~7Ws{Hn
zneyzz{Q2B=`CF2^m+yP^|K_bL9}dps*0S4B`;B!D_wuJ7B&V-^dGZd^=EGmDuFc>+
zdSh|K<Ahe({d;4lG2Lys5xZx~n=f(GZ>&uH^m+2#)>fuUo$hmQn5X_wViJBopDU>H
zNkk++^S$XeBAL?b_U>1_%vAb+`i&_YUYviJWB-ToL-DiuT%qqGgeULYIQ7({xm=;E
z*6ckp`Jt)k@2P(blpY+vHu>$x`CMA%Cw~<@mg}Cw6~42mi#cwd!O@vquPwTG*5CEo
zu&cvogFuJxkCPl{8^a<l$WP{I-_jcXrhV>wp|=r>*4K!yo_Mul>gI19v$!}7ISv-T
zj=$G3;nAy(l#O$_ts-v(ou9+KD&*KL(`VUTQw~l|6;PkYU7EAwN8Ce`HB+wzh0W(q
zP4DWO`fX}a$BeosZaF*7$W6TSdLH-XpHmNQoX4#<m21Wmj+QA`=boOjC3!A)?JJu}
zon`a6pB~X-=DW2c|Jvkh2a_33m*{=FQLyo31@}Fh7?$oCax(+}udA8dnR~Wz->=B7
zqc<3Ld+u3L$i=j~Vd*i^4W4Q9x$D=ZF#Vo(ZTkNwT;)@aW&WPeT_iUl;`H<bxwE%S
zv|jlnz0^;ld)}1&+otX=-lQNrz4^`lMbr0A-!+9x*k;P!w^MgL&zYPrHeqfY*VC;!
zO<r-+c56Ll{Wxvc(h`=J^QP=wJ8j#OM&XYwA{En)eVnxNL-Mq}SN{K-cyF?d^~I^j
zyAIEm@&7Yd^d7gOOVX|Xb40JHDoy;yF<aDMY3`a2bH#3LQrbA9-u@F)$&AhECtSa8
zV2YV1mglK*m2vO2d1C%bFW+34EjCN#>zWA<)m7B(7cQ3Tl$w9mcusfdn*P%d8984%
zA6@FzHRaLYs{ONy4>9fk{>~<8_hHtwgCg~7c7E@lT6%t>uXfqXPd1$ne_k9==DN80
z5Yw}rJ3dZ`)&3NCarV841I+UO_kEqD8Sdh7^P|Vz6blCCIXwU0Oq^3OL(Fvl3@dex
zEB$j0>ZscM?YK8bZ0)8FmbytSYZ?9Lh{k@NdUE!oy|Nb>N<Xkx#?2EuKjmcg+l4%z
zcYU^g#ITlUb;;(r5>>h^*F#xiH|hNS<C?Pnd+fJ4Vp*vR)h2RFvYvc1_0jcz({4-_
z&E&|oS=e-n?c|iFb-FA^Ls=p}F-8A-pEFnNe_ZmMOFTDOQuPH?cTaz}mtRt6QAUtJ
zB+GtvyVjp7?iZfExt19nxnR9AUuNR#L*|DW4}|`Ym?2>P`a<$Cp#ws@Kd^s@I`sK~
z!0Qh@2L$Za@ZQ+;@#_Hr`!!rA*75yYf57apLWk;qM&X#(F=8n(FNLnn75O`fNpQ)U
zqc>Qe-E`~Mn|k%?5zcopS4`JMEIRULN`@z^G0*MO4BGqNzOS5i=KRz*Rd+MRe19_h
zopN*i+X>AlImDkkL{(2nP2tHt=qz46wLfl-{Q7;9wAA(b|D0IO&FvYp&?!-(^AF2>
z`FUEwH8<W*iagB5+^JT-`N%V_*dzZVV(xLwQdo6TtNEaF@_dD_LYi}9-~3OV{_grC
z7T<YO-tGODVi5Z>Xk+6}>ud8A-miP=Aac3fT&crBuGTQE`cL+?i8G!Eu9*6Azurx!
zp6Po}&E#Bn(%{D&`Q54(Y}J3;&1T+SKTBTCLh9eLA0BV!$;T&I7%ZIndh1iCf0NHO
zx5seLkQARk<Mp%TuP2r<2+on;RT@4?`<=&>Y3HAwnrZQ>GwP7m9KQJ7|5CM5r%d0v
zYLdjoD?#y_=9%RGJ<V|cP1kvKwa2e7d7WsonR2VHbg7u;`=t`>KC`3MO~X|eS-hTh
zD|A!I&r5&$YFKkxTkGcVtzDmD(A>EB?2m8rS8Y&fs4<xO`!UDQi(K-vcS>rsrx>jK
zwD|aiE$>gRynb6EZDYmPJ#+cu;y;<C&bp^R$)esgdD5=$DHi?HZtb^=wD>(G_V!NE
zd2{7LcTaZ6=6k+s@}aP)yY`-+$@lx@p$QXa%@Sii!7|5b%B{Nid(+lMakQ2_oIUv>
z+thh`wSRKFUR>#Z+3DJ}-M{j)A9zpN9V!t%hcEtjI!nV#^E$5ie7bQ<4(h(y_a^Sm
z&P_5mo@A{}QMoviv0P_D(6y;M-z?mDto+0$t8-0Z9~iQ(O}VxD$swB=5C6>JlV*H>
zak-L~%1>X9Iehk&2U4codi(i9AiviCsl3l8pZ(ZS)b}7x-to|izcEvv%&gn{=Iz^=
zNBX9pQ8(N2B60nN&FLo{KR&Q{yyI6p%Z|C<KTg|WHtR<+!`4%g?TicAucmPB-Sy_`
ziAWaVQ+9frUWHAZ#KFk?Dt68Pzz57p9g+8c^qp`r<%y1IRDZqt;fbF!`D`b#e0DpP
zFrV-H?9Uyq${(Mfvd)#oPw59^fJRsMfq);}H>4h9xf&IG`=F7`GI4SFnq9BE8k`O%
zvCrY#{c+KOgW7RF1Or(nT-*0LeS>9^deTqJ<8CXi*ll2piJkF1JAxx-ft@Scp|}}M
ze<s~LB=cj-tRKau|1Yxrn8UaI_nRl4SHkN)cpYYpnX>crnw1C6PfUJrW6G|nyU#tC
zs3-UFvi7uHpBVU`WuHo)_E&ZFo@<{Xr~Ey+=gsPpZ%<a-p0N4n^xe-VSxQX15<1QK
z)s#J<?|2)0W78)D%oV$OZkEK&X}6wx+y48+Fnd0G-brreJ#T(*;d%b=c8~UtxqN%q
zrAn#%IBNR;<kDxC(rX{ANlLz+Uc2hvijW`k__n_{+F)2W<-p1(n#bnxeSepg-EyOD
z%IEcyrJDZ={QonLFTQ-K`NO*TyRO}N^Z(VJX}5~@hg-fn$~lv9PMuz;(XJcMlm9H9
z&lh*UyXy(-e7^eM_b+h%JMlxV^~<wen`8f6xjSpxeDU>->B$@TMgL#knm+Z*^?hre
z<P^Vc?~Xq;{mZXX&l_gOZu_QRJU8X8*4%w3LpM%2zj6PR{a&XF`OaBwcsY50cT?v1
zDZ9I-F7ti7`B=l!>Bq0%eyD$R^3mhJ)+YZxv4Z{Ms>++%XQzGM^>a_9`tIxZ!#@?B
zoAN2YbW??0^WBXT7tHV9ajNv=^iSEFg>V0RJbl5@8}6@uOgXUp-jgExpHn_<owPyo
z&*k_(9V^nOpSE5-UpVaEluv85-ajpRGWFBlSDPj;xVh)#{I!>LZa#Of)So(siQ_0|
z?0*N#Rc{)-SKs1Eo3dwadn}{E&c8YHTH_iPxC$`WP5q?%^U%_!RkG_JI;l&s{uEX2
z*yTTg>)OjLn;TPaPG0+F%HGK(E7lx(+C9PO&EKDoFaG<wX4<~T&mHH!oqYfC&(EUz
zC!U=9ExXHM|4GK>6OQlyxo64Isr&Skn4U1MV7wo}xhzned5Lc9f0OqOYtl}<ZH=Ay
z`R`;V+Xw2gPncZW!%jE1-kf~kc#B!&j=aWXhC4I4?(VtJ+{yHn<Do<85vKpouUWi$
zv-foDx2d12-)~Sm|IAhI(|oxFN2~5F^!U6<Ls_EnpUI8v&yV6CUot5F(|!2agf!#E
zJz*2S_+K&&YfwM?ptEnnm(t1Xt`l#`+CTYa#A7<c{oB+pYbOQVny{y|XGUU!Y3!R5
z@8tL%&q%uxcz*elbvLGb*<byWxp3lwk5j&6ebp6OYTc_eRc7;_sfRDAOPT6FSFU^F
zyz`07|JJ#s_og1vi<MmT_WzW_C7Toyr(8bwd)gw+$17x}{gr(_<@AJw?tE8IEABpX
zdi~FaeihAoT8~y=37E`oJ>^aO6TbOeG0!I|8+9{vOgsL4nuhU|752NQ?3=3@bcD$+
zsk21s=jGqiPVK$o^xvB$QeA7&`$zr}6Tga|WPZdPf609PNiFl~r>fVpr%vO#Fy-dg
zJ*}&{S@!RGYLqf*g)j5Ld#!7aHcef9Qbu&@-*;EMSmqn-FT0p~d}eyzl)tf=k-{Ra
z8^w3;47O<8_`5OtFyqybeICX#+%L-}oZ3A7*6hmx@t4_SC-L+%X<OQ<aKC0bJ&~c{
zxm9pSqc2C$8-?rc^DnIUzwx?z{b_dl$&d5nuDP$B%4=$|{mm8kcum%KPh#4H_Fizm
zyJqVD{z>1iR>WMKFZ%kT<>3o6INwi{Fvx5vEZ?~AhJC4!;~A%-Db<(lKM9nsh@Q>4
zbk7U5h#mhQyYIYFej}!3;)j?eGuBp4`YZd;acNTjl)tfAf==fp*wR=c**IHV=DvB8
zosi7<D){KsGxd9?_N@JVe&WKeDZA&snee82bz$seM)7%U^)Iz%HrfAKw`~Ty)gvb9
z=QA1}GhNtI{^rSr`1(x@JE!JFF8JfiaQxbQ_NA{<1o|hJDYge#pPp9sZ!X(YzYK?I
zE&R7{vaO%M82B`!L}yA_`W&|SxQs<{E04aJ&*taE>Y6k$;oY?vY{%O+{C13GSlUqB
z_EFR3`Gvo$qlymI%?w=geEzfrx4!<Fc3HjP#mQTx1s@)qf8AN|?erYBt1I<>)@pw^
zx_O&uhk)Iq2X<FC-~DzieM<T3ReI@-jnm72_cSe;did|H3FXfkO78!e*jKs0^5_k<
z-w``6ety2KZrilVo~~(AD&O`rU;DK_XG-OEhTChV9uD8c<Tj-;wKMYWlSwU87OPiI
zp7PXr{k9c6eUG+Zoy=spD(>s&DV1+0tF396`{>nq+eoGtD{nM1Cf#n3{CvT>zV1cC
z*%^<MC+ygG@B8$rU+Xo^*d_+Ae`FFd<?#F5i_iZ(n09treOcv%1rK-Mm~=fsCCPkB
z!|jC*d1Xx#j(6O=aq0h>8{7IOWKL!~{b+mW#*T#S1g50ZF;kmPH!M3Slw>{W%cDt6
zpLbODF}mMiT2SK8$o}o}^`lR>{r{kOW10QEi3|7aIp>wyQFyh2aY5$!Un<(0SXx)6
zSpS>yb!mP4w5r&@Kc7k+pR{fNjcK>1>P1hfDr<~rRlSk;rY-Yy`t&Mo`x`$#U0OVC
z`Dx2Dhm+cFyyTkTxcO<XR_Uf&dP@XPFiq^Pnf<&s)bl~E60_uGrtPn%-wrzVW9H7^
z`EjdU&i<HharDXRi6@fp{!@QH!94AfWc`~dD<|$_ihd>?y6H);l`f-t|3B4+knqVb
zxOc6t|9EO%^3=}N-!IBp-`nze<HTFqn-~fo>vTWrEuYA=eQM9yC%wO)++=(+W$*b<
zpH-%uI(?ead1~kU{VAoJZY0lt82^7`bBR{j1B+J12_f;HCz`uIW%~Fh>S^!!(@ddf
zfB)S4|M*1T|B+An=5CrY>E_hV&l4x!d?LN{&$OFfZ=dj_cXDY8dn!%bb+z!uq<<6I
zKg4``*sK4Ei}A71jR`TPl}wt`Z%$wLXKH8V)SJ`yKV?3?XH99*%jmGl(;vRMz+5_~
zQE}?c?<-S;^ly69{%JZh>*jCK**Bk`WIn!O5?3-00|NsCXzm|`85o!rF#P|`x(X`B
zaJXgn%l~b>8yR;j(X(50f1`ph_vBkzpO~C~`zLocoo}*x_9Se2&a_RDtz~onPAHYP
z-+kl9qlsx(*K{6p<5XCA?`iMTH<SG4J?ahLI5A=4qY1b4`d%@b?uf3pIQ)w3`0Wg(
zDYxFec_sA2<#Dg<`VF-=FR~eSI*UE|o!|V^;@{P#8}=7D-&j8E>zg$D?9^MY=SaIA
z;Sm>_P&}{s(c^~?!pryc?07!$R{5I=XCF_wReppkQL?jT){Z5ih0Q<iO^G=EK$Jgu
z^~BpsjDhd(sNLueU-LwKPsj1APnfEw?>Rm7_B$P>`SUM4da5q3{Z!}Q$6045-(LM=
z()2ZrGr1HaS&lwfD!+Qiv=dCTJ15P*BpSbQCX@BQ-iV`fC7(Ssa1~L%Hu28!#k=+&
zc$V~M&mlXpj-u$PJMEgz?Y~)ik~wlp%<Q%kXSX)xzY)4s(8noh^Jt-5M{}6ij!MN(
zoRj-I+PEy&OuW<o>igy`ZL>Hm-%PsG_mS~)--h(=Ws}8or?kdP{fUvD`IBk(OiqUA
zHyf+xJ#PB^bfUc~OY`q7y*D4Nm!Ch|;Mt_Ff-H)U-cMZL!NM(Sp}VK0rEmY1*pH7U
z>6>j7xyResbac^Yy?c#H{(}5fte5M%d&4f;9iMXacG#4=PhX`7SWY>ay?@$<DN@ln
zQ%`K2ayb0n^o>^Wn<NaUZ+kXv!}Q`G^S4bu{(Z_i|LQAF;+0y~la@^mkeznce17l#
zX~*BbdK@!-`_|oSrY*TM_bKoE$<b=wGizqviJST;|D@3xPo{@YXHD5Fx_;`@u-j8k
zZ(d}5bL!LWRk<wtr|sJMG-$%~#p<Oj`%i~NJel)5=8?r2rWrps&XmoMo3eP%gv0$W
zZXTVqn2-B)$6sd7n6K6o7T<eu{>{Vg{)vl3e_HSRB`g1bO5=^GvnNI#JHY*M+QP>V
zCFLXS-#p4UUA@9nomE$thc#l|>nGDDm00bWwqgoP=B){g`y%$An7(~#Z`V_Y&`B{}
zZ7cTwow9K<gW{j(vX7=6n7?X?yggrKDhKxy$EoM1y#04&dr^kY^l7OR9@VdT@p;w@
zw*PNdemKV16_kB%%G>Avr)8X9{e8_Nex;(b>o3o6KBT~N@7ZegjguxX-g`>>-$4&o
zR@-|o*w0U0XTIX~l((PtJFfno$&@zfZLCVi!zKE;y~n@KuHAE><IzX8&mVlMKS@oy
z>X)bA>8XAH>+NZ8<Zm*hPh8S|Kttk2`II-)*PnmHa$@GADbsF0{UBR@B8bVj-RS<F
zB_B%r7w3uY;fVdv#J76=gZ)1j=WqVQYyWi83UTWOo1#U<x7V6oKJ$k$X$DJMj3e{3
zJ^y*V|HwX&I>hmV_xFd84;6N0GbPXe<6VCw=|afrn-6c=e{_g?_Tb&48#n*FknaD(
zTYvKLhINJwkLuQZ`pXy9xGknS<`3W1&l}k6_aw~tdSH7@;GyjOm9w9mJuLp}$4sr`
z&yN3&-DI_Us`;&{eubN!MNfOa;_vQh8Xi+W*6yCHv0}Q&)=d-tO2zD-vZ_?o>-hVr
z6|pbHx$EY}Do%LwU6`x%b^gP>Mv9z2^dnZpY&p1h)04?rU%j<?9NNmJzFq%1M8)*(
zX|0J*)vM=ES@nJ0G?m-akAD9>$-L3%^`9xLzNH`9|1f6irtQ-hYA0HnuAVvR*_W^P
z?@g(SdOqdY{ZD@+vQ>?pbxT;7UjCnU`?{*B^y=wPzOUtf{%WdQXroQ}6T$G0w)WN=
zd4;$>FS^dye>zj9dCjxQadRi+#ee?Td_tOg>YZJ&l^u_N#7=s7cIvA7=}$KOwO&7U
zRqQ9m$ESARnEb~4EBn)vN~gE;_5b0!``eFi{k17~)_(pcmmm3I@6j9IlP7ln?OCaC
zqP29*t?%iRli%F5oA*cX@9!sCe<$BPKjqG@(6T>#>u*onm8~OoVaByD&zBzIkbe0?
zX4l6nH~!53#TWZ|ms7+nyUi~a&)@m!|6}<TA@cnbng8<L{he&yzz`Z58p`_e|6eIB
z28LzH3=9lxpdy_iGB)N|EJJGy6C*2Q5@Rw$QyU{s5@QmBNJH|!$1$p`(kv`ucawfx
zh_Q>=#UkpE%rG^EnO%*E!E#Q_l^71wBvl5^<w>(lStOGf)ft#$xME~t7(T@?CH;!A
zjAi&=9P>Y!VPR4eGXo=I%u7~xr)@C|?Me*YObnhK>`C7lQr%d6n^==rnaveq|A#U)
zG?_CPlqdaR5LxgehKFTR1}n>YMy6F0VtA4w92xq)#V9bo5@OiLs?6dNBhDgtk>!dY
z8`Fc?0x`BRh76oc3^D&>m||wecrr34CvnGU#W=lZG5wRopskSfFNTGYospscZ_Ly%
zbp`=hrsV$)Nw%Jx5v<G%(k!<bTz@3lvBd1=bVy=KQm{<Al4Q)l-lW1=7t64b^^ijB
zzfW9~nv5AXGRH7;%xhpy;!1kOv22225|bo{g2K<3X$-v+n3EYcF<j#`RA5Y6%6x*6
z`)pEB()uK)FjnapVU{j#Chq4+3e2(p17if36_&;HCFyX<HGL7_e4S*<FiC+iiA7j+
zA>*f{>1-zy%#%Jd-0?UQbDl|)d#jU>b5a09vkN<uu{e{o60_?&7H%eXfy^68&tjO|
zn2xb0|9kF{%&?T{0h{xJq@<XIthox7NhcZf7aWcWiP_E4>cX)liSaT6crSGfucwG5
zYbVF0Ca#v)f3G=&6>O4TF~}>KFeoc9%;fM-TFo#&Hc5$-VX1_`PazJ5!wegfI9Ovo
z2^?mMQDWm*@|MHXfisCC_TNK+Z7lzpyprA}G1)R)e;H#M!=V_nN#OmNSQdu4jAbz^
zlRDnU6f!k@W@zhJCE*jx(8s|gAk3K5C@?jNFNTw2h5|>7tVEj9b%quC9C9A-Vm?Zg
zaNHC~{`Z%oiBU<I;Q+fpu!B(2`{aM0nF=^!-ZRBo$0&Vf$Vr!8BoMPADURnJ8zaNF
zFELU{9GsH77+6`8IE9Z3_A<tFyp8?G*u%)Y%j2zJCx?>D3np!2CPS4=9M2g3DRNl&
z#&8M<a$0R=5H4ioka!!jI;oUVVh(2vZ<2&Ul3EN$5<@qmz?4{q_c8pQ68a4M>`Rpx
zd~GD|d6*`pv-}n2aEaoW#KFL<#K|be!0o}8BrYH(z|ax%j$w8Z%gh$8kfe_c+ASRO
zV$v8o1>#~DN@Ik?S<^XW{t12J<L45z>SWGkI=Ye})gzYaykL|HkHQIoLlXt+Bt@Kr
zj;M$%k@?ukASr0WD7>p7=6Z};j52ozlhV_d3P~&q4YB{;aw>gc5=mxsk&Y2Kl+4oU
zk@QCTrU;+QNdcjYk{m2Cf<N429&<8r3lzoZHgX+47|XDbBS7J0QW}R)(<%w+<d_p2
zGA#-bNk19H6&RC}CG<O3la32y*>RX~yy0j#m!!n5pykBWsKls{z@qSzQ~0(fBV$sN
zphDikBxgY<j~Ic6Om7^fCI633Qs8G<rI6wnqrk{eB_1<7iG?LbhJo2D=`ur-TI|1<
zu?)*ylGr#NWJ*=KJd;-bubDr=Cg!7H8uLPrB*6o`dlqqQOXAz3_JQMtFYg`+o;~^k
zWs|I#Q@MAkEcb}!-6vq+!YwpQjEOabSLA}HR*Qy{lHsLPF&j>{dPd*mf0I6n*fB)2
zJzd43^qVopvXk|tPVaJ|B_1)t(<G!+ScMiayccAc`h{aL+e9`=4uJ;Y1um8=SVWj7
zFvh%=Fk|I$QJ(nPG(kxs>zPEJB1db|MWG*^5|1PpBqW*|e3Dit?U1?FB_(~0#aKJ`
z-v?XSTOX#1iZjMu5fi_pxKC6(L{dy#h$)pzd8H?>rh<dS6(KQErF%(NMMPEJab+t#
zn-n81%2q2P>cvz$NnwM;T+!b_ERW}_>`-BOD#R_cLS+%h)1*m}Hk*Z5H7q>1SokG4
zm4wWBB)L5W9hFxJ7^}Py;nnq+s5U9dV7E{<hY@pdOp2zQI1i(vU~lrj4>FRkd71qM
zUcRu7;d^fH==7U0#)9?bB>DeJj0$g6SOk?Bg%~|<IB)&J>0ui9o;_yLIfFe0I|70{
z7!BANWnDfqxk?%+Dh2Sw7%_VWczG;lFlPC~SQz{7o~K2=q7D<Emzum{wMWcTjr+>7
z9*jvs(hQ;k5;40HStbe!DBWh@lM%EqO^sN#+R?%`Ip(N^GmE=`A7|1jM~`QYG5ahe
zUDz2oeI5P@FvV~%^u%*or&_#xl+>{+DU*$NT7V6UQCZCN7zTyd|Jun6%VQd<V*fo#
zW_TVD)*%@6>x}}B2QNdh<NhXzXUYE_iS)4jj**C&ARA+n<lxBg#l_K`(eWjd^V=BC
z*#AuS7J^L44Ce)6zQo*fFjRAh`IRJ}#P85PiSaO30Ed{0_1~Zw9x)eUG(=cam3+>;
zk36a9aYHsnbB3ejADtLOmdP$>Ir!}yTvZM`{FPiJ7^vsu5V~KGqfT0)%|g)Q>O_vN
zB0<N64<p@P3NncDTWB#UC2^{<v0q#$^~XAf?eZk44GgaZV*fibvM41n-b@k{P`b;I
zswN=e^po*!(kB5W6=?=$PEn65F~*7^Y!{V$AHP$wW76`deVZhk)D+{8pqpgq6x6_&
zWS4Y={j5WhQ_=zE(;Z0`KC34%T<<92TK<aV^pXe`-kI~6Je@*#XA3bL)VdN=b(ybU
zP}3mEm`mF;vsqwn<dO-DF=sfZGs$Kg;+c7oNpK(E>?X&k<bMyC*d{Q%;GQqxqT(4;
zkg_F-m1i;6(ic{IOIzJn#r{v^?O<einG{^=affp)qq0ZL5AO9$+*_o0Hu7!`(%sS&
zq0gD5#gzEs4bRSSADfVVp1uCe5^t(xBRLsPn=FaBw3qK-L}Q3dpghw{mdmoi*;<Tz
z)BnnZPLfi0smfUBq1kj_Q&Fhtqrm;so&t{*TBQ899F$FD63*BwpR6bq_wT;(OHYl*
zvgu6DDy&8;8vJx+v$ce?Eah`KrSe7cWB*UG$>HZXs}QRqSuEMF5_4O+l!;sDyKFfV
zucWSyHlt1FgpVfaNijBRZ<u#^R(MO)OFa&GE!*hLWazsqq)(>RpQYeHmf3wziFOwU
ziHRW>WjpszJoCl$?sh|~E4;IVG~~_hmj|)FHhcIzD6-J(@&8M#S52RO&*Gc?S0+}-
zSc{c4NoldpLoMU?uB@^`jM7}J%&%iSvs@is+wlrn@LTaFP4b8^?pecZuCiisqO_1R
zORzz4(xgQ(;ekpgm>81oC21!8bxG=Buq<Xa7D)bgkF_M3h2dF(uSv{phuHt@$&Bo$
zqbAMRbkZsyZi}6%C6kN6LUVh|_3T$wiqlwFJ!1cx^Rp!9d!0A6P*(ZFyxu;UlcCxw
zIp&S$f@!B!CoWUURQ$@K?b%@xpc=W>;cARg($@e(6_$*}9E?IS)6TeZCq=zHqZ|<J
z@@PTJ*$u5OiY$BAvaoY-Bwr|HiE&!aygBJPi(Ert3`_F=vS?kFjOD5^Pgs(CH|*b=
z<bE+=xf>6oLkwf={~C@Or(CAWn2ZN5NjqnBF<cT*P0Fc=p6$qB;uyo<;poCvQN5ev
zg+NS<fCtAqM~(%1lB!w!93IB3iT%IfwLmh%Vgar~#)`=-$^Y)eDlp2&{(HP4OX&CJ
zH4~d{w(>1uSfEmLOu&0?*)gYUDi@h}_60KBI3H8dpY()-#lwf;Zbec@(jE>00fv_@
z<(KXpyyX$2a*Dm+hS15<iXv8}n8G_JID{|d@8~FfAh0~fN#M^Rml)p-zSa?eOhwN?
zSJX`snd0tsrSyfCr^7+76C7)lDvl&QtXc3YNiK=M^o5FmOJL7RF{82<Exi9?1UXi_
z@V>muai2x`<l7{t7^Q;(O)?D39{ZB20v<HRw6s5%%Mw%gMIn~qHG}NS$^&IzlvrN+
z3pP%8z+tJP67whHNXvvyhWo`|u14f3JV}xj`=@z1xTTFVs;uN&(vyin_q@46CH*Ea
zCf#6U@b=*6JkBKQvT}m5Xww3R2TA{9lrCL%c@}ggib>u{$}UFmL7s~2gQA8_E-{mD
z<o**lIZ2_TaYv05$3}tMOfjJQ>yrQPsBuYVX#AtaplQNgqmwl0f&?RHq{DxP<bQu+
z8F>T+6gdt%|C@A4a;Ai5t%F@Bx2a3q{fJW>%28K-Cry$OWRH4K>!5HwMp$6s#LgZ@
zHHUg9KM`T|gw-xFiXy^?Urb?<doklcQiWo@PfW*$tx8NH;%{GU6XIuJW_X|E#G$Y&
zmQnMH3WtI%+uxTAKjq(gBv07#>6?=D`$rP-3Q95mlB6eWbKLp#ZIaB#v?iuzwwRj&
zhZW>vzH`=36tHE{SeUwFiny`D^d(gp^6!-%NWE`TkbA#0MowTlhrGb-Gcis%%BnF_
z1XfNcN@9HQoq@%J;RfS{sU03M8deN{Dm)l}n$4Udbm0}x&x?Cyzs|B!QLLTm;5p;e
zPvK4@1;$v0^OaqmFXd*ukbSShIWtQmwR7U3nLPg<tXDbwQbJvmOML1brkF{0VxGkK
zIx4K(n<OZaDqt6LWRcOsi5jcrnO_PRsxX8L&*g~ycb|oWVWs2CDarr+8J|x$A0u^O
z=8I(>F)uzYY13Ws#!-?}IObbHRtHy-#&#<KA(@7G7gUm@I#zwq<SceLH7)s{(AiI#
z4jjoU3^%#XojB1D`|n2*cZ}YTBOFQ%-BL+P-#_gAC$ZUsDMpBK5)-qK<l~PjR|S%|
zlQbl}A9V_GGjiTl68Ct2<Ka&oryECOG$sD4JQmWvxm-z?;r%TILBW?fCJdqvCMp#2
zE0hW@Z~3Xp9`o=l3uoiMH_4M2UgR;_&wSy*Xn3DV+~uY5_BYJELJZE;bCgtKWFB1m
zkvS>m;N%xdT=6O^c^XYTKE;U0d~daDdC3v*--}5^fhm?jK-!Z<{sR+><^=8-KDOkR
zLlYS`UVGlM;baoa_4{J=i}W08);v#AQkpwKGUhwON6#Z2e<XYvC04gEaL4@pu&aSF
z=5NwB4sVs4&KEsZ{>I$MXS?sovQcO<<4Tu%FO0vjtZm_9W>2~#;GAHc^tAE2i$XPz
zK(>=oZH$tDpwNTwT5Iol3RHf)wd3f7*niI)*jcPQUJ0oG<MLBTW|X?M!6WwHC63w7
zqK`Pk*&0u&aE3E6Pu$5N&$0XCE)~w*AI@lQs@fwnC+U5Y<Hl_!qMm;|g*_Q;IhU$@
z7RY3^Rb&WEx~j0!Lm@_5LYO7IA?aa~aEu5;_LRL9HhYB_=d#Xaohy+!r|~xjv&%#a
zb`EZppUmD$=B6?m^(GpueaX3A=(vZ3!gWSVB}R8fiQq{r9LpK`J+oCFeipna$;jR~
z+l4X4+ER`~Qi3t2DMGz7mB(Mb@iM=^6$`Jwa>IJ2X)4M8cBpYY_T_i{#p5`=(^B~r
zbFI_==tYb%#-A5)USwu%oW@-HBeQ1`r^Rj;#+{4?jzR)wEl$306+iuhwco8nnd9^%
zX4XSpBFZW(&A)edp41ZzWa4FH5%|iPq|lTkT%P=&S=7Aihl8t%q^0C-A#Ooc7Q<Li
z#@if)hqnj`tY+C?q}*8JP^o!1h3Bdu*L880$wj&%Pg#CuGFbAJd$Q_E7R!_`u}Hec
zmah{1T4JWsQvqgk242p{or3lpNu5gE0+P=c9P9XKr7g+Y%=96~GRcXLOEalQ(#o$h
z`QTf($r4;n9x+`a-&wU!3+8qF^f27PP&7$FOd^0;-=mx9F01ZokDktJo+sQHBZZV*
zm~}fQssvg+;AiQcrgB7ptwr!gk{L7q62%29skeI;u3+8o#~Q8D$vs=5TFa7UzaZ1j
zXBTYGP0a3#VLHli^T|)M8Nntz`X7%sbnx~5n%KqF-6<n@v69!5C!D99NmGcmT<B?%
zQM*W4^LHn%{v;mO*!fY07FHd_LY9V&tlgdNeNUf91sySqicpv_kHIqOSd8bBqz?gY
zvl&jr6lzW^)nK(R>R>uOF~N)Fv}o{_Pb^=VGFznHSj0qlO^C7J)Z}!YGvPy(vkULV
zHYt0R&*HloEISQmSVYAz{_eQI5F%l4gJ;US36o+Zj><YSu|E(?vRV2?NGN-9<A%HU
zk{LRe-xU^Wn&W72S|&z4;inKwlVD#g%m2&|2ad-wa8?R>?q*C<V2YWZRFvS!$fBD3
zKQzYOA?Z?#b&_|*6c(n6<JC!%T$279h^bjLs6_GZdofXv(SRxNMoh=dq!5D^CXEXU
zOfhUh7d(;~c{G?JgM_%w7~V^2di3F&UXoJ8zlK>!7D>kiVinHEgm4HrJ@F9wl*IVT
z<u`{M(+y1)ZXw3l|3N1?^(6dPs0k!BTuI_kj9Jg2$e$$0y)}vR!RJXy9G`^^1TLv4
z-7suWdcpAYBB!rsrq5A-=B^`c0%D&QT<~yM&0=1}oI3Ni66eks;jKkU0;d+FPEtta
zJi)A~BL9iYig`tmMbfcOiT8rr&pAbO1amxi?3AP+5%ZHnd(tnCIM6~RkB^My?EWsD
zER`Pw#DoNlSu49c1$HoL#?1aSS&hRoYR+O=PF?}V7-`KUPd0s-H7urI+F4?|eGXXi
z-W7;>CZKxWlk4?lAIo`ei{qGbT|V%a_VCzFl03+8xa09eM}{T-6FC>MXiszLU{q`|
z%wUXRI<Ata!#(>Y<4Lw?RURR(xw@>8DlC%RGiQDD<Or4cEX25ko7J2>ak}<?VQm!!
zCIz*NVu?Mh`}gv+s%Tisr3yV|D4cojtfzE&#R=(U77|G-J)D>-JQ$NKpSgT%c<?Vn
zgNJ2-KFjeH+T0svIdaB)E$-lmkqLP8Iz~uL=$)5FlE?2P3CT_=29MbPR@V%+v%EK0
zsu%N0QNe;K#x+6HQGyLLaxJjah%4dG#vek7|G0j~2s-N}Glbh-Wyw?uFcJO{uEH3@
za5AZ<Go!=tQ4)*0isvoXjfw&Dgp<_dC-N9t@mOYXwMck!#yIX%V2pXuVaC4jk7Uw!
zPmb-GJq+xVs<Z;;CHTm6JA4t02;yYmWfx2+`c@DjFInZ&dBRhAyH^rh?GfgTJKP&?
zaQZjJ{ug3Vws&aco|MFA%XC?Rk%ggOFos(t<{PIv19uY#$3%sg8;qPP43eH7BrOA1
zKbw>s<iazvW7%Ye=WLlmjdK`XVyuN)-!Vjb@m31hsz?;nR8H`mp~Aqc8R){2Vewz{
zj@t^+OcS?-R*bGLiVV6BG{jGECl%y%Y?hp4aU@BDLG;Ro$pVZ`b7VXjlrO3zrnoh9
zYV4o1@;{?sL)?iZi^n@kEqBZ_OekQSn5MMBoH4boBl+L!hG@ow87kZO6CPaTb7kdm
z^+*yGZjCr_ficELtW`-!`nOcMDZjg@CR1a|p@~v!*%FViaJDGSjQv;P*fV!+=Ne(&
zd^T6s$-*p?Cq?kdOx2fG$@<B4x-&veN$|ON<|KxV;+aPTnAs;J88Ys0Q28dno%rF&
z%NWkzI~@fWV;TkSIInp9NP>L=M~vbGtqIqJ4=fixzQIs##XX6YA{@doH)gz%kKuba
zVZy)H9<hwF6_4yAl|+r%Sq@L)kg{bvEIR+(jsOqg`ISCQGe5;BB;9m$@KuPB%qUQJ
zmn4{EbyUzwExF*4tzn0V*oFue84m5~F@@|q`s9-4$i(DLSo}ngW9KmePDjTHceW)d
zC@fd%{B(K7izq%9c7}_W3=fMO?Cb1)JL3<}$_1-bVst0G>i9A#spE@;<&H}#4!1c~
zo75%Tos*74M7tDzJGkK0krIj3ts5!@Y6TLLg%~7wcW^L2J`{9Pe9lb04L6Ht`pjWG
zu!-g1oJJnSp9-=`CMAy)i=HLPF?e%YY>n8dk>uGiLF4I6hZM#c2X;JEVdQmW@?_cF
zsnKbo!pKnVX{7KvhBNtJ!(mOq_pLk=b^NtBHc!6B%ow8+GjYX_q@6n?3{{wdSAG)`
zV2q7nKjbJ;%pP;!>%b#nNyZ7!Ts&tjvbrNQ!G*2=l&96ZnGaZei<l=)>6$dbi%I?<
zg9BsC;TVQeAqIVk^D#>21L_!C8yI5FC;u0YWn}W?QIr+rP@EJHs`k?3txDG|g|p9l
z)lO|vnUOrVqSM=3R@zE$V$sZ~35+psIG;-zPDonG^OCFHg-LMthBGYoQOi#y-FMWO
zs~O|M#OrccVDf&AwKC-$teq1zw$^f7-jL~-v`gclKycC)2G$72h_g&Fj<X)QBrmwd
z#NesIT$Q4k>$&-u@LrzZw^(oPXxuTsRmFyzZ}&u|PVI>*43Zw+7u%Tx3;2`l58QUT
zd0rvrV2^=L%+rfK6Oy81IMy<(KXUV>=#Co#Cm8(m1ta(;GnkerZcJlX&XA}$vCAeY
zP*;)B+BasRlalq$iX_iUPr>9_MW>sBq8wTFt251<DxYXv&mv(c7-KJJu<*3NS0;fN
z?HCsZ?jwR6`FlB{D+O7U+c?teJ2{`PsEn0J=h(r(ADZ-N6N6~f0!sy^7-ybxmDe#M
z&SpoKiaYaUMlm!t$*OY3>}BBP5a38Ylf-mKs!=D&B}r+aRJRht(n-gq%sImo(`8n=
zFbXE@JZP}h*E3<irMhA>lZR#Of05+9;t$2xr+M}=GcRG7{3a-oG3n8bwhk30`Q;}B
zidP6LF=(&k`gwvQCWy)WVuFW3ZuEqvsA)@Lrm_SUaKzr&tg_l8?R8v3VeJ2^WQKl*
zJdfTD-?LkJR-7=1?Bu8rbYM9VEy(5-GpSYJ1+)3YnZ_q`T#m7<kX>=yfg@&4Oh`tW
z3opYSzKlEp=9nWa$5cHJFy4@4xH9M22?d5=hnV)5DkepiPfXL=Vi}kkm^ePg{`>g+
zhWv`x3VE^r0_rReC|}@JV2s%oQ+*+ceZ^1xSO$(YF$n>Y+hZ05N_GEJw+@p@)Rzk6
z(^`{ZAJcSZ!!(zeqXNArEJ{2Nr)caw^HoOFdOM$_wBUo>NiG8261+vibGD?OvCDXO
zEaWds`y_$;u8-AXmk54l{a!awX{8nC6NdC9v5GqVMGM6zF?2ra<EZVhS9*AglU+sW
zwMM&Vfv}anPq>o5Z|sGDN&GwhNaVXu4^m@QXDWQ9Ey|s>lIwKHX;q7bOwyBHTQ9bn
ztk^c^<)p^)7TfQqp>zF^StF(c4FCVgKY`66#Ry2cFu9%-mQG>{JaofbrD(grX;W9l
zSU-k?JaYS|ODIo~H`n%ek+9WNGyT3_$$ZMxnGGyQ8w5I>Y&c%Hu{ck8ouuo0p+Cm?
zrBa0Ja)%Qql^m7I|DN$wadzn8d-?K8jFPv*G?tE=>Mu7rewyfbZDl|tW3UHPg&>1;
zTLYV%!@3Bj1~C;z-j_c(8<sk}Q;1RWa5})05G&cR{pSV=rdY-gACy1bba-o-d}9}9
z--j7?={IHyuG*c{6dlpen<QDV@Pzmd`!8ozl{48t++d6`5ww_@mcYGAB__^du_JTm
z%bJI)_?pDdKTJANQ2FcCiR#|QbPtyF1m`QUtjUwKT5DLfCeAta<3XmN=ZlppF>zW;
ztvgRH*y$ku^6CV}3ysX|bN;Yso>uW#t9i+?nfszhC)?A6!!hiS-z_!DcBynRsy1@z
zY)N5ePg=qFR>f#)X{6zwMKMh}k36J#en_h9&MzrG$@Q}9^oM|x?0+9l@nHKaB)lln
zERy&6>M*xZm1hALC9Rb%aJR;AefH$|{_~0CYau1=isWUU+`mNxr7x>6I)pOI3w_zp
z&dkZ^+;ECnn01oVeiqFLbCm^Y!u~q3{~AIk2}jKq?|kj?QTC%8cZr1T`%5RAW!_7F
z^xrD?o+Z_#!8lgxy%tOIQ<?Y8b;0uQe>a9+k$LaU_So}gkjho|r}KkikECY5Y>;(6
zX`srsNFm0*v(q-9R-!^tVRcM^GHap4-{cMDLeh69M>3zBIa5LT;lzouO4^<6u8HN3
zR@yT*%u>F#W91`*9l0MmqneZd{ZFh_V2V+$&}(}!qlRfhM<P?lBb5i86%G||W0*Q(
z=FHr;T36=b(odbjqI~PcO_|R%d|GD8ys6P>g_y7^OR`#PP?QlTOQxoX<fIo-vxOxs
zBW)VvoDRvx_^6##SuSf>U_8HbXQ!gClzNfj{2gXh=JKCDOA0se3B(!A7Te1Dd&B)?
z)2{yqC1PeQ`54n-rx+8<lzfCY#&Wa#M+wk@`WEU5>M<`mJS5&vnA6C>oBZ!f%##m1
z6Ej~;RN+)8c+BEH>BGheTMAz+^RlaEe(uEfRxD<N=Dew9Pgb%_H~Xo==+dEaewtZj
z!?h=z@h?s$BnibV-0<O7%v6gxO#U|0EL0ig`x|~vu~<HBL4~i1%4AthhW=?59ls|}
zHlDjKnWg2DGh>WzmyzS0-S;-^h<QBKa?6h-ovD`UTR6<Fwk|lyw8ztEiiPruifN2f
zEY&yg@AaQzd2&Mb&1?<<fh2>60z5)VFYZ3!vY2AMlP&3T%x>-pHYzqrCKKG{Cfl6!
zJnR`d)z)~EThate=39pZW-=vBuvNZPEyp;?w&Fz0`6>2d4<v<-CGA+>)u^V}=*i|E
zsLG`v^rD=B{o+P}i;p{I8ftDRWckb>&YpCIzu|>j=fdMG*-TR%RmGS(ER@b4IL^=7
z`FKIr6dTW7K^C$9A55BfBKB3qP6a`i6VZ$k6Q@nHTJ@@E#xt`63LlcXV^pVGo{5=e
zc{paGCG*~-D#jzJ4`bHG{+HgdCieUk%jq2z$4^Ke`+Z>9&9$#5-S~OIK;_uOpBK$8
zOz7|Kuj)UgUU?$0$2RNG?OWxHF_Rb$$4s<3wBW$eL$V#ek|#1H9^dhM^MPE+4Mi55
zN$nk$$GA3>t^aat#RMBBmZZb-6E=j)ByD=(X~lj+`%seWLk3p1q=Ov_$qcX0)T%dl
zJ=pC(&F=j{PMa1>nWUZKKO;H0C-T><{e7BIboD;VYt!P_{jofuXy!ZdkpEPto;xod
zPjzZPz~o=S+}JA9v6Y#9*CNKG%AW$-8)HtiG0ARBKY8=mWOwD4ODh?9r+BQIdm^^u
z!{*7Z4$3jVnLgIYY|U3<lf1&TxVz);lDGQjr~ABJVYm5I{72D^rIsfY#TX|u$;3Rp
zl@=xVD{~DKx4=fGTBZX57M=PJn3DF#RG!kaX<j;q=|kDnz*MD89I>X%#{5$P&vAF~
zF+G0~eY4-@glN(OX4V}qK5pD^`C-cW=>g}Hw<sKEnKbXwlz@#hrk&um|FFoiv**(<
zPS=T&5hr6^8173w=vLyqd5tUf_(LY9Npgpz4(v!jsH$_~=D7*`P6?(@vMzk`@!X|1
zO&cnA&PZW!->&T~QtW<Dpjd8dRMH`?^Nc5*if0{b+0S`DseDGx*^h;m9rqtH%O@3`
zs_VHleFpR94&4(h=A9o-GBaPkWqDbx^5c>@QRk-0Kc1ml!Flp=&Bmp26XoXb;5iZe
zan-huN*x^s7-OUls2%$BqP~#x;ZC`ZVll>!ujd$VtiEuw@a3Ptq%R*XwOwk|N_w}d
zgP$>pds=+b^M(VR$2ZQ5-jFo2@5joE5r-$K%(-%PhsODibICK58X04jbT}PmjF}Xl
z+_6%zoMSqFr)^jJi=&J&0^xIxC|;PtoOB}T8%s<u$M&ts|K+@<CC*7YwPC}|verwJ
z`;RYB6y#Ly{&((XkJz4o=N+p)PW~7%VU5g;RbLOEkPzD8|NMls&6K1$hi6PVdF<%Q
z)5rL_o<F+XJ?Cbke*K)AT|Xbx>=U<MG+F+{Qe8{M!r8ZGFJNO9wb*szv-^JLhXR~(
z6K4x?GW{3yJk;G$Kk+WJM$Ew^OAf})qK$0U9UXTXm}39?GA?h3WjL4Qb@_!b$I1^I
zIV?Y445*yoXTkBX)KcQ)jeEzx+>M<O|M-P~&4dyqj#oFCf|6(OcbYtu_z-bIM&j$s
z85@~8K3KebZowHN+7VLpM4<6Rq>a{zIwb-5*J8Y496LVBah~+$VU%yFxZvFzRQT_v
zO5cZ#+y;sjpE~YGh)s~Q;M`I3gEQvQ2AL24!za!Z*dXv$QT@dh!TkQ22?E!TFdgOk
zuwU@21SeDX0_l$olQl#a2(ia9=7`5MxS36O`~3FI(~Boc)=y1SEo6GY)M$B1XXDIg
zN(?up8%%hgKe#ya@<W-2w`&?UH8Gw|W|V)oee<MiC#)4uY<)IqeoaH?yeSc<CVBk4
zxKHLZ%OCewyeus3bN;-DZ(cT8s{Fy)##n|63tnu#A>1kPTK>b9$4_r?KV;tVLzz*c
zveGc|CX<Ee%$M#Tc$p=v<t9$$pSkBl_rySn<`(Yy*ncw?w8u;dSekn&qDaB_<#fA~
z|M(}0aWB8fA-`Q`y5HN09UrG8MNIK4`pGK8{UKVE{Z$2HjO0d6@1(YMmQQ~5_nltw
z<?My!k0zB}WSco}s#1#5|J`pk_gsF`AzC3Z#Y3;sdf{}BqOa3#Z@Q^7agNw@4^=*W
z;k*44)mQBPJbil3boWRe)%TY_&iPTg=HBKhZd+I??@iE95@|g>)ondX_Y;okm-kGR
zcyADGGyRs>bhmR{8@5e%JH#@@Ehv-4@Fdf1gRRruE`>gfnXqb>&8#m*LAi^&bi}5)
zOCB&eHf5vQj0*?u#4>c`sk3Pp&2`ehRnf#O9Q!}}K_27rScX}QY|4x=Gh-Q)n%Mbb
zJr*6`>FfUU+|3(DBdj0p`w;W;friDJZ21%WnRsH<UfhawWpTb3!EU#)Yj(qx$j%!v
z6S#8@T)&YYvvIzMt;5PpcC*H6&&+-&*?@f?Vi~y`lWw+Fe46eToBBfZW5esn=AAhc
ztL!HDnZ?Ym5sR6X^)n%Hif_$C8M~>hx@?+4nzOro9><=YCNphHsxMols`1|L=G!y1
z-C5ebxnCx{-ZstebLXqb=GQe78_Xu?c0cHwlHt#K(rk*q^wfr){)T2IbrF`DnEO+<
z+g)UuJ;i@&qc5BBq!>LuRo3V@R!x;IyQ|T6qo?`T#B|>dNn%UPWZB<6<BV1OlE7}(
z{aQO+X5I}<sSw`jym7jJYs}{dP5pcwpHB#Oe4prd{O^WOKPUTjd<uBTJHfYK;l;jo
z&Ii%0vI%Q%yqao~Gezli)SfAR;gc#v16-3sbEY_~6`5Gm`$6)@_xNcsCoBAWk4@j~
zKbhh4w5au4_^(z>&6(nUlCiMsy8Dlcwfm;V`Lj<v&CxhD>icp&+i6Uvr^LMX=c&{c
z$i6=%>iL8Cj)xiRxmP?px<TXlq{L>8n5&Z_eJhQRJSy^6eDK-nah7R~;tkskZ(}q*
z1RRi0I^S`HjXU<=gRilSA~Ai2w`X2_J~dE#s>;+UI`5zG{hu1Xdum?&wBx;OQv>oN
z1s8Aa(pgz;H?=AK(b}n1-qOZ)(_ThT@mFG!WH;nK62n&1Dc5k$Nxq_*@1>wd4F5*|
zA2l)R3&iXu275@y{B4X@;5_oWqyE!}diR?h&MFEW=PO=sc%95BJ7w|QslI0?eR)2?
z=JNz@PiM1&&mJ5xD>vKDoK(GDyV@vtLz2WMxei7{wgXk?XGT8XSpM;&qKETjW>&rj
z8A;y!8~vj`&Jg1|Al|{iwpU@j%H;nFv4sp`><1#v4j64p-#FvOz6~<-3<d5gG)T*D
znRKr$>ExjWFIYBye$2QwnV~yIu7Ag#j;TqvcCeStinZ8yVauclqnVBEj+F|GF>^P>
zn_10helRVjDCYcwHCx;hdynPMo;+bneSZHI3xf$K-ZOmeIhOVzX5)mMn+MY7yj)qd
zT4%>50l_5uqQ9pOa!h4RQt!x7=j5K<z;R;*KV#CQ5+-S8ZI3vGWQJx&0q$wKZx~nf
zFvbKXZA*y>o5L}E;>ni3n|M~(G%uB#soJ?CPb=Xe6RXY)lSdp;cVC<_KKVp!hC}Df
zSsXd<8y7s`DES<c_-4{oK}NG!9Y*P?*W@NvS2B6FpF8!T^AO|YvZM=_ZF<)zasIJo
ze0Nj8X?OYwO~!8rB9AS7@!nm*<KKpFG366X9(2b{HfCHE;~FD(WWj+)!3^K!C!{|-
zG0~VY=0fcM2|}@qj0^gvKT176#YmV%z=KmLW<%2q7LM5)&fjQCOuEsa5)dM?t5P7N
zEl`N>5NAu$-dR`9B<+;o*G%H>jz8eZ!93%@qCa8_Z-~`Capj-Lv|G@kDELGkV_VY6
zzY!lS{T(MA;hNF#eIo0j#}l3^TTGdMKy*%0&CaTMQxg32pG3~#REk+SMTaqFO7fE!
ziLhHnihG!h#rV7Oot9r<Qi$29*P^}S4@=BP#r{PLoZ49y2q`GUoLo^NR4GxgGO6RF
z#T$VMJ*CdeEq?LXJP5wWy+S$kNYa)ShpZ<|OP*ly@xhD@!Y^J{P7wUS@OIAXisgYi
zeHK%BicdZG*%YJAQ{1tS;eX{1SwT;OddFP`?~`^q+!F{*+U)Qo>Pga32G*&u8z<c9
zaLHntA>hsvJ3aNu6NR!fUAL#1U7Eld6Ubyb-7M8^=^?R=itjk4o6Xta>#|arX~$HX
zs0rrhetw>6E*v}Ie`Sm>%iL+^`4zj8Qf4qb;E0(v!L^wsCUizaJ1+-s&NR#0yBE|<
zwRqCVq&>xwJNf^Fj97+wOed#WwJv^OU^CUq*)XR6u?s`&fBj^Jz8JZ>8FCw1Vi{Uy
zPHtpak`(phe!GIt{TFgPC1ElZ?squuspyKZ2wt$OZr~Sdd#S+GD9jqOBPN{xMMjMD
zgi_c3Nq0}0on3ZvlX$<Me5|fi?KG|FE_IPg6JMKE*iUKvw^S)w=kmP<moCd0N0fTc
zbnaEQSmr(5WiGGaJ5`68YK4`_eLC5;@6Jt|c09jUl>4+%=8|uh=S^`IQre?)^5+Vp
z`M<*x7-Ocmtn?RGy)L8~7;r?hR@L<Gr=W#Xooj4j7X00mpxw!zG)eK}1NIZe3Ufl0
zJno*J<}$y*!+c)c6wdg_+D%b&8>`hfZaF>0WjR~=wV3zQS`K!kGKsHL2;b8<?PTuF
z9Yx9tnWv|^EM=>m<~^%viq4c8A;zZ)XJcM6Fta@Na;rSe^mUr^<S2zV4O=|91WgmC
zO?UBU{kuO|@d*cWL%4rd{4Sp7){#Qzj|5K=@SZ8uej%saW~y^*%rfDZPxz{*SZ<u+
z5^|r@(khlgdDW}O+SOBR>vNbcaMUnuSoCeWt=ggsEtMO8op>%XC2)K4rms6^ZJc5o
z_Q>KT%Z`<Wi?5qqkSg3MZ?Qty=I;f?nlBS#>~_BUxZ9Yq<C`)IXXn&)Kfd$K_`q^w
zd(5{aizzY1GaBa4W}WKO&N8#Zf1}^LDbAaw*_9<ZH88#?+t~RgeX8Bs^gWBVubFP=
zx@mUuv?)$MStbZR;qaMayS`%ji}VQ$n>o`N_e@Ay#%RrbcFzQ70gnlJ;gzh?Q*5=B
zUaWZdf5w~#$qdVq4mGT3)#>oF6=RzC(kAKkg|}rbI!Bm#TNflt%)WP$iPfWYvhCrs
zQ>`~MHJ|W1wP!=K5`(3X1m{Mk$#3}&NU$D!RCOci*$uPgjr9-MlmA_~uADqWLd7SB
z`!{QH{WROeq=X&$jGRfnF~<%cXPdbG`6S!k6Aeo?KIqqEkxXBi*u{19z{2DiVug#Q
zihWG#KFK-NdFiXeF{^I)A5WTM7tOQsph427WC`6VcIDqAJL*49vr{j)UROG0=MSl=
zcDr2#cE?pz9y{o_aANYsMIWcwUGropwp^qWb3^>tE~m-I`X7q_nZC25!q$2^lWF7&
z<&6P0XIFlkko<(9e45?cO_TpDXRUtv*>P&4Zv7OyRqT&djk^uLXGOBT{8_c~CBvTy
zPfl2GJ}}dR;jNWxSdUDK#nIgd{!EzPX%)+3^!<tBi!&D=#!R(adx?kfG&l1UyZF^S
z&;PqR+>YjZtnc)<db+*mjPqPCKY1BWvAec)R-)*pTM}Y7ggz!sw=177@uxy}n%(PY
z?V5-r?Wy*=qYbzwaZJ`SIWx;wV^7@7<P(eudrnnGHdcLXn5dlSl0VJvZsp9&A6G1k
zoL**aspK=czkZ6{-<2|fc{eNFI$vy_vNB9xGWcdq-q}fOrmc$o$T+PiY*R(a?~@xY
zPhWLC$*FC{f%}s$#YhC_><*svVV-on<FXG)2dCS8+bh#pchq-J-xRz1S67+bzWv~O
zQg_e!IZ|<R&F}ttI`QOOzqMawTDuKSuas_HVsO>u{_7{K&p#*}S($$4!Bsak!MFeB
zNUi!R6Yrg2V)8Kl)57ApZQnL6*fZs9`h4m4p(c;_vtBMSd8%8mZvN8<v&ZkQ@XcRk
z`m}d#EED^U#TRDp>2%3Brat?p%ce(1wq?AYW;ef4ua|K}yy?k9sn&v1?4tymB!pEb
z26Z~K6n5B&=TEhFh}o0O(8wSr_wjMqy@?JVVj3GhwX-Hob(s6BNg*k4s)M*>;=6;Z
zuCY(xjClGXpn)@HUy>U~qlViN&y5@xVkbH_#XRen^do%E!_N~Ol{yy8ZxEPJVtY>T
z$A_to-0$QY?(*ADcI-RQD>tFuG%RMKQ_dtWffGsjBIXbO#QyK#{yD{S-z25g9ZTdb
zH4eU1u-h|{B`jvT<C<wIHzv8?I55TS$G(X!f4UxQU}>m-G$FD0z|;+~46mlBBrlhF
zzxlw=n^Wx{-v5yE`Q^!tzbC3anrhR1?S%W|Co9v=pF2^xR7U$|+51Uqg(nud9SHWE
z8#keH&jPu~1q&Kkl0<{9{+Xu2k`xuQX?@h?DJsdG%;&qZk5Br+_k4m1drYzWre~Wu
zUe--fmJoXJz<$NEuxTnajWfcgDaSFiZ|hn9xnX1aq9}g_rLakjCzzNcCwg!_+&Cdk
zxntSQ=`Ly4CMq0X@ooN8#_5w6WjMyFD1Bt8{^=b5;p`HI_8Q0Bi3u%=^{z1oU+m$K
zdvV}E%%h44Pr4?`vnLfzKD+Bf-uaG`$C(=3=KW+pKgBrxpv|A@iaMJ0l??e)9Dn9a
zF*^R>!zXto#>P)C3a6+fO_58MIvMwbWBC-7qYan2*=`D&cipO)s%!UqZ}u`B^_Z8B
zo~)STbK^nr#jSP|YRZzP9$7O*Eu~}4<7GXsyK^S!^iExIsb;d~wS{><jz1KC5c{8@
z@A+hnA0401pK3}!v3AcCt{?qVH!hn!{a$@C<HFhf4jHd)ek_igpfcyir`<L=lhu<L
z1K(eC&gpR3q97w|khZ7u(2r><D$*ZcxO5668Z_;hWO9DG^0R5m$2%WAo8afpq<SRj
z#iB<abDwnt9Zvp#V#dwx!+WMEr(6^|@!(bBpPkR<S%01^@w4mZ$HvZQ9i8rl&JnTy
zn^te^+?f!|(3!WnBkks-%X5Au_h0eldTe)LgGwyJf}YI_wL2&O;c7_P$T))~ZJOHC
zBMP$DI_~mo#KlZfob#Y#;RE{vj7jff|Hr(nyD>#|;{{6w&KUieTk;Rw%r?a+oameT
zQFsF5&CY9Y7Op?|?{(}1Wj<~G51alKJc?!H|G7@-i{E((Yy19hf7pA!Sv<6opH$7c
zA?9n6$b^kIC#*?G{_i_6{rJxpCmG*P2v(OU-~Q)zv!T8A^!;{Siz6TLO_ki4qA<Dt
z`s0fW9`q`7Jm}}`Tyws|X!bNQ+3W_@BdQhWKQ!dY34TZtkNwwq>E;TTj~k?w{%C1W
z+P>+~yy<pH(}b5!woW<lqGrO|Pm)_Ze4kGd^ycV^n;iFMis%(h-hS;VqH|9*>}ju=
zD&wlcGx6l6eMvnJZ%>p@pDwyw<r0&$;r-r6n`CsGYM)Qk+dQ3PDxc?@X<{W^yq3&U
z1Ey}9y!+=g<}k6PZ+=V>xnmT;@^Ek6bd^8TM5fG1%$d%3lI`m>F~3Zf+do+jPUAb-
zdFUk9^~>!RKThlNPGvW}DRgkP=H@ABCm9>N^&>jOHqVr(oT3-Vnt9s6c$#20C*u(w
zR*OaR=1tR@n<8u7;C%K$-wB7@nUlLYjo(a@dD5|gT`H-*<BAhgdrTM8hkp~q{`B0;
z?dWiQkaKT}U`0~*l*ch0rh*p#tg9yq{D|Rey|uZsDQTxcO`~`X;|;T8JI%UV<zno+
zN+&VvGVfwFe$x^Af+aTP+5L{!{;csQl{lx#MCT+mcSW(LvQAyax_=sLbk_^}>Wwoe
zc|GTs=9%?ol8h#w{K-TshC5SbqJM6fx4BpTBv0=InfX)wOtm}KDRGE>;E<AToXz``
z*P}tppYz_ojfrcfg+z94G2Hp%+Ai)V-2FSm&i3x^=6ZdFE%x42_BWHvPHHqvlAXoU
zEyR2>CVz@d?b}rhj?-cSzx|u>FGYFVG}*HYG#wdZmT55Gcp0;h@!`bjvTFrnLM&LN
zeli6u`nP9+&B+;IP0n)3c8pDKm3{|1<39JktGyoGxpMOq6|tCWwjGs!rYJv*k=r@%
z{ECKS|98yxC~BXO8a8KhM{GeiW5=mrQ^jvn1#YlT73dbtIbO4-{q*LoohxTOR(QzK
z*(vC?=z0F+vVYT6vu;ib?%8vy<NWh!73X&_+*o<DX34ELNmF^|PCj_H=gYNER_0R_
z#gjJ3#k@-D_;S+He?q{l&g`Sp6lXOY%<246^M1#aq@C;EcS(O-8Sls#b8W%vZxc0A
zIJrAM^u8{fVbhZz+2{OuqUX=4O4=t=6eD}5W=22a*eW$?(&imMlsJUzC;0uFru<7a
z^2dV7_xo?$-ndU#koi#l4Et+SR0NWotL7f6TyS}UxA1}6%qlS@QTrZunl*1o=<mp1
zU?E>UIiVw&q4NBm37WQ_0vODvs(q{Mx%7lNy5}}$((OpExJeq1W4i7>Oqy8ym~lhd
z=9!t#Co50xp1UD|_f6~;MwyoN3<q`k?`)r{zJ1LK=?O~?sZ3Yjx*;ZKNn~Oy!wbWd
z4eTpbk55sJn#<8DGF?0-GPAo+;&e}A@U=<uHa(3`_6JPdaPIRi*@+zib9?Tk=TDWd
zJtZ&Pd#|{%^TFo{78O$zPj}sa-1)7SlS%r|6h+0Dd~VezNs}^cC$wztS)KNv@kdf)
z{bQRcO7G+4BA-sTQ@pFOvA_4>1O;=sq|S$*+7l#uA686}-#w|=w8zn=@8SPFNekLI
z8JhTG`d4Txnx%9(^gRCgF(!A$Ik#y{7Snnjb0;<aWYL|%cXRcb`IGr<Ig%L`aR{@-
zc=tW-Na|x0P1>1kF2>UFgd>)bM`n96!|csVrzAZ;J!8uAo(G!`oD-~G@w@%!i?==Z
zSM55#(cwq`eY^CHNmGTM*7V#Dn<mt?XtCDj`+Dk3{`Y$yo@C0s$so<Pk=Z<U#lL4e
z+iH3qsx!=*-Fto4jLDO>P7o5k-}7)z($3zU%Rl!#ym{i#pV=ZmrwOe*vS~eI|D$c)
z_aFb8CbV34q9zaH0$$7fj(0uA3k2`z+uBbRO6__4d74o0lc^{6-Z1Fh+<WhQ|I={k
z1(&ra3tzioP}BWPZpZKLhn2h`39U)G(}mIxuZTE3UGSVmjMc8HpBEdtp0k{Ac$_3s
zeMk4)$@fzP&WoL>w-ksy`AdI_py@fu3GY@;VLy0{G3jf3?-Lug!*1JpAHQCID5<&Q
z_WXN>TQ|fkx}lwZ@fPdeWbIwycKwg)nl@aj>3MWE_O79Sso2CPZK_|SejKQ7<d1oK
z!0G6HL;vcI;6HZ_D>^1M$|n6iIHmAOkyx*Y_I;!2eUINZB|T5-d&Hk~F8zR8qik<i
zLjkK$OqtMK<247Ce+;@|eCXYQnqw?GW^gg(cQ|ZdNs2kplhzsDzrN$Aec$m1XY+b~
zu|G`W+rE)K_W!l;ho2v;Ny>e2`_D}ihGd3|F@D<jO}B4K-@G{Hpi0cIjd?e(|NS^s
z>I6sF0k2ssyq_8;d}Msd{p{S!HB+Rf_P<(dap%PJ`sot)x|Xl$@Q~bm@gMW92R9fy
zuO!Euv^w9rQkprs=Y8CT;uOZ|H)4(-IR5Ot$lGl_A5weH<xf%;2;dg(Sbw(nv)I-2
zUCft$9PimMt?$d)=c{&T{yBK(X4ls}eLr$LzCK>};_?Q@nEoHrrb^qtN$U7iWO>4<
zzN5^p`$ylF3$CWSLYkS9|M&dtJ=gu+UcT$c#h;gM^nN>iFeLKmn#VB*tB>~oEZ>m)
z-@Ze2Bg;M4MIxRjlpdTtdF5v3t{<N|Q|6pFdH9+ux4GB{56cIcH$?v=Ngdpsn8ej}
zulRJ=ze8b9Bu?}-Y(B{Hc*m}qDU4hDKHE#Lz8q6O+38!~mroxS-e^>wbNZ1;Y#QSa
zuFW%Ye;j%Gc|yD8uCI2Co#B-%oE^U=D<pNUJ9~lgB%khw+4C-0e!k;cY}R|Y^3#Gn
z&3`_={Ly`+W{Ha3ME#pDr6zNn_|1^p@niO68B_ZUKd<%toTU6<ikR(3sjbN;l`1~2
z+uZ4x{^!i?#+YM_)1`0Uh>4lbW%Kd9+=m1I7(0Kj`Jw#tHt)tG8=rOm_T_MYv$W^8
zE(hxT{{xiyf9r3Y6W0If{P(f=P*qyT&a-{L?p1FL-27tc@preKyLK>}XLo+w^rNls
z&arDvb&oFA9i1Tfyytg*Ay@I2AAxZ<xZb?zJgLw#Nlq^L2*Y6x*4GEh=KZYyvvGCh
z#<s<LD#xGvc=F8j&GjWWCdqt0;Cp!WoC|3Oa?c-HFMnoE%)=XZx_;Vz6fiy7|3i)O
zbxut8&+B0g4||%JP6UeQcYHq)<HddYlN<Zi7<2s_Z)6T1n5nXnL;Z92&u1G-lihlL
z_FuiJC42nJx9e9-HcB1zNt(jGx#ws3xrZu~bfrYJZ+X9YyhH2e1gYlO|5}q7dtWmY
zc6{PsmOjb#`DD<ui_;ro|Gh|NY|u8ZNdEWmw94nZKAT(q>f7Hp{nr1dB5C7#<*y9s
z**gTkO|se3@aaJE|B%TX^E&?8)?X0#b~5wN3Fc0Y>c0l!H9v2DJ6ZgMRsW~%(VO<&
zo&RmCyZ%KyN$Os)v046EFX!h5?(~ipVS{j{{JuY@l^)M5IVQ3xclNFOM<+(klH%TT
zV3uOc2Z?4*26=|(v5eU#JnOD}{&elZWKCU}hV$QVN^D-}y5^py#Ut+g{y$G2$)+&0
zPR=<yA$$JK4M%(a&bnnREB9o^<LXBFdpm;m^#9Fm^7z0X)AM&Z%f?S#F((8hJ7rEv
z&Yz-r?OWfQZzrpt_5IiV=%d3pMdq7X-*oN!nzuh}`MAm1^5InN`<nlzGy2Ib{MY#|
zXS&9{X^egni_7j=*IeqZVVojAUy!wCiu}{_%g)}p5qXz&;}piQPR;#E{ReclZ%zL<
zmC-Jhmv_4C=BbS3QM{ZU!FJP+-JUMn#j`MG!kzOyFP@*&Iy+VN+PCQpla%M`{rq!-
z>ja1X4WsTUvTxT+;mDmJ>(6rg_jLX(e5X0<*IW^;>|ngi+q-SL>@NP835E(gzAs9f
zWK_q*IfY}Yc*gg;_S4z-&#*E56T^LC@`w9B{v}OgXHW9)Kk)g+<@3|n&POC&+@kSk
zcKLy0F_%9~7dRa-g~NG4u598Kqa>xbEq1f?tncfT-|lqYIEV3Q(li$T@VKc$HM4Ry
z39P@T^LVQ4(R-4o)BfD6bQ0^hKe1ukjXR<zEk6mXP1NkWXFmTLYxHTSjANDO?N%|K
z?2xit)uLGyH$|p|Wv@E>e(QTi-kAmxr&sYvt4P0<_-;LgMK($3Gh=bb7Xj@HhwE-=
zzj^rc=8v++EGOcQPGsVjl8foKOG*Bh7}Zm-afzVL1U>G?k9zj^HMcTs-1As&WAYu%
z;_4-qL2Q%F<{ZlJi>#g*yz!2za`p-Rd*;_SX*9R=Z}Xf}@$#P8&RfeA)ly&CUtKb<
z_kGUFt)B!I-?a!jDJeSPBLA1{2M?cUGI4i4Jbqwt_bVRTNsI=j8_lQiOkeXNzjI>8
z!>;+}+AlsTNeF)05TE^0=mTTS^B-%JCoT&+d&5eBJNaMX?gYkIMxmIg{7WY_?h*UG
z?ViP@%)CeXcTH5e3@a<u);!di8Y;HUFtYR8y;M)h;IJvYS)Dto9VK6Ii%y7n(A37Q
zdE$0P(KNp9mW%zrr}B$#WYPa{*tjj}`hjk7{e$tJ3g=DLywQ+;bV_}(+*D2NX@Z?1
zwyY07nxACjUKsGAa`pr-)0>(9SLv%PUa?`>HOW`X5$uzNKHjKuTI2RSvNIyW`=#Gw
z?w#8d_i2c0Phj07U_V8WDaIuB&;N`m0wR8#0!f@XyJPI92`0qE#w^?r_j$5l(m@Z0
zRj~}+MH6&-cg#$hSu%N|Fl$U%XW^1%UhAici2h_Wx@o#`GHb;Yk(HBq6#Ktz-*ivl
z(ay;qFFrVQX0mAa4L))0ix2KyTvk!iStmSkPIG-nU0vthj{OD>fgN8&wWkWt7x1d!
zJWyzOaFu{Y%>5awrL8-@T)R>IAxWa++sAh|-P7+><({0ZEaP^yCGOsBca@6wD~mtv
zk@&FaM*5?5y@w6%{9r#c#rc1X64$jwg*TRTJgQKb$h&c2!ShAa`MZ*|`+w|NIfd^|
z_oU723-ZmaU*CSh%E*6bZLapqsi*Wl^!)PwwqWg>TlFV}lW$bcyDMyJe`9Oei&-aJ
z6z<&=mO2n=ab%*pVwc64?7NP2Qw4raQ8{<VaqGDi{<Eg=%|DP79kcks)JN0!j!qIf
z-Z>$C-faidM=KKeY^Lx&jhBD*$v~jzchPA<{~rQs9t!n$#LhDI{_#pZVbv;oK#H9&
zMl5=BO7=}2p}Q6a3tKu5c6qhic=Y~RaN$GD9r3go4T>BRJWbOXteImXW~>sgV_b0c
z%>?Ej_r*Uqypx~sB&OQyaPRNlCMI2*DZ+<alb$r)uKwil>aK+QA|{o6Q$-#mEsDLN
z+uz4zdqSF#wd;@ktjGf&Zn%By`s1{8V@i^bw`|{^=i3{s_!#x??W?;JnH2MR%h}Gq
zd<!>n=qgP()A8`pmk$?BZ8(#rNgr4d;~sNp1<R}zQ%wKFC_eZoctm17$B&qEu@eIl
zUq4UQx1S!6KJ8ofT-n-5LZ&G(E;6+fgwC%#p|;YrE~!K9a4f@wlH_|z$EOI#&2@47
zbZ7mfq{=sUontc7Zf+D<$$2qKSzx2}-D{N-UQE2`F?-MP=}fog3P=9AelJE>h@1bd
zy?y;u=c}89a;GqrN1nLx@s;rjp@q+4lJBT2ue+#GtRt@abyDS9gTlMcbG270O$|xE
zq;6VzT8MwCzkl3?_%#<TPZYY}Rq-otn@}2imwj%2r1bnN(>~rY2%fe^DOD=-a`Mqh
zO!G4Ku4xpHoX+(6iRbJYFMByV)c@|e>kxC2ap#QG6|AW#DvR%{EcfGkd^d^bdE``|
z^`ZRFw+kI!^F>D`gV+9M_qXXxryAy{3%rcnw)2ks#ycvXbEg%bzoYE`d`j}#HJveO
z_f?)>VM{$F!rHx2|CG$zMLM&lH*9@-S0&~b>*G|V(hghm$6Z1b<NaBlhE7sC>1upO
zW$LL}&$yD3CrB(*So89P#6p!i=7p1=960+iMp0HO-ujNp)vcB~e<sMQb>vDeELdTa
zJ%y=$5@UGh?v-2DOi{R2!#G*=+Ej(;6&6BF{fC~ib01)1R*7-DJ<&ulQuy$6=I%cR
zD<YT{6iwW$He2-bQ;+AfWKwQjyRG8RP~o>AVn^O5#y|74A5CC7J5$i}#y{U4zm><b
z*1U<Ct`J_t<UVuK8pe+Q=@Z0Vjm~_VqVPI()1~Rkvo}6&?O9MYRpI(12Hja|6--l^
zvxPQsvaL#DREb&2G>zx(?goL4?h{zt!dT>QE-#t=oYA%-b}EbV;SEA%Iund1a_UV|
z{Ob^NJSop<Wn34#;2ZVnCz&R2Z$2n>$b7|({*T4-`YUADB;8X{5z5Y<!uB!gQOM)Y
zx2D>6R5o$mo~Twifo<~yC8-ZL1(|QCKJ1yIGnplMy5j#uQY?*crYatjdG);i<0E-V
zR?`)4mFMzsh_9Zi7+U^GYWWmqv(+~vN~bWtKG(N6zV6}aH+=_8KSXA9PEtJEDbTOf
z`lmbX<}}IkJqMyUew^&rtq>Zqa-yF*gQMH7s|wrYPU>fOEc(O{-19%~?Z$|9#;J-|
z_w*b{ouatci~Z?V4c;3uUCqI)k55iXpQe}>+0(48-Z4RAd)Sw$io3;%E^mK5Me*us
z!{m-*dTSV0)&G3xv+-fz<0;JRH&5rh{cRd^)QiqNjH_-{PGMfXd5U0E^vY?Qr!Zgl
zNLpnYFK{((!?!OiFZGkIR!?P)d@ye!(_60CJyV&lMov|HAIAUuu9CveXobB$AG|uS
z&2Z=b6^uzDXZ?J1zfDopuGG-pU^-banSpc7G{x}$o1~g1aLkJE>6xfFP4Vt3KMA9-
zRXHjN58kBQOnB8IeY;_+wo<}8r=&Hnrzu{YZ|(O);oF)9^-j+H8?p{x+A^7E?}n;9
z)55}2XTCi?g(YtP^sxJ{o_2cnPkJjZrMu#!Y_z2HF8|ptJ2&@jn0<h6&vfR$OL-o~
z*__+tyK4$_`P{%8OB`xUZogVGQCGHg|I&#&<y+%#6kMCBd)efE`6t$wC%V;FM(0mq
zF5e{+uNq<2tgw4Z|5wk2n!2vKO-HJyFwZYGdcv@9%VYIe^M|KdZ%%m-zt`~bnk%+*
zFRV44-Th$t(d!N|dy;B6*!xz>w07PXU*C1@LvlyQG1e}H=hKdE_dcTdt@pEL<c1mD
z$;YQWj8@&7CU_`jF~>%o-Q8O<Sj2B`dNGYv+xnC2w~MWNI<h^P+^rS=b$(6E>Ns|G
zlGm(VTUi*lwZy2@Ph(Y%X>s^#Cp$%R(+P?HFCO3gr2cU-+o7J0bF-(g&3(3{;q_zI
z8Hv0_F>$viDl;>jNwTiKq$GDB$B>1c`ACU3>qU>uH|Eo)e+yz;zsK$qyYN)ydrv2}
zpPiyzw6){G)gJ*<l=)+H-Xs~!Fgnc9pmprYWR<M44%3HBo$2Ritg=4E!`!g&*+llE
z2V#yLX<}`vjG3z4I#<9(;{Acj$3G8EQQ2!dS$oZ%hLGn|v?MRbh`oN%yOBHQ;SK$y
z3q`HLjXQc5U+BA`vO<1y$HDX|s(Y=hJ67z8naVMZ_lU}gy(brT^4vRd`r?YH&(k<>
zc^b@^!THG1AgSxk(Wb=y3ZV%}dsaRC5G-C6Gl47R$JgGs%PV3IMk%jrdj5Y3$J`@q
zrvH*>oDsfQX!d?OhwcO6L+lU4*^;Jn)Tt);Xx}s3+j&luf1>`M_mkOAUU>L&a`MjV
zAJ-53R+KIDJKf{CY1Z76BF$V$jX~jm=7}xVk@?*BHo4>E@hR+CojNj|op0rLPtmCS
zA^7pa#luXUlGb6DeEv*l3p**`HdX5IqXpqoO8OW2!+KuU%;4T5bMaq?!YB6WY~P>U
zxctF5h3)bEJax|`C8v41YM(N8eEMdPba1_p4vWK~L;X!>dR|P`nIax{<=&<b*G?ox
z{boyQSTE~6O(^W*{XKoJDkgk-96N=5>-5AeJ=_1k>3ub2PNYuvziTsoZti}q<h<+W
z;n)=iH%{P~C3G}G>LafTBd15(>h4{fPARefBwt9qt?Zt(hDmu%@1Exe{myra9g^)m
zwfQEeX#X^h>6}+TbsRbNucL=8mSOe!$s8Yj`rl5T?(JG3S2oS#&y}AWggfqT;Otc6
zi~aWhWaOF-`SxUnX&m=;rf_{o{&)VCX!41B2V)toKkS>raXruS<-;E;?<a708rd+c
z`DCHcDbLNQe!$02;{PO$lRuB$(BfXcdLnCO$A`jKv*bP{9%H<aG^t6+=*;Sl8=V$$
zY$x9rc6$GtakVj)QR?IUKU2ljxlZ1c-hOfF$No2yCcR<(5;IAJgL`Jjk56Y$zMaQ)
zcFkmsXTK9#dAk#B`+H6u+HShPLuNx#i(J=S=~U}$>pn%4^u90hWLmpGK&)@CcGrin
z4SY8kJIxAz?BB!L^C9Pkayz3&`=1+}9TE2)2#C*_AlUo#=!JLvKX<ey|KH)t_x9Qp
zrrmx*qW@-|{oFh0#uTRYUR(Z#O<_NGLHML&OV7tsQ+eYzO=pgqYBvAP#ZNbSKCDzx
z>Nif`E3&cY<5YjvpOsUX-uvmapJcdtr8BbQzdz5-x+#3xmBQ}*N4D@xWw(E#&^*m$
zW9Q?D-VYa5b)#7F89KFiw6%M_?`KV&maM=q`%nM3l0(9WV<e`s`~RED7R|8jThF&W
z6TKh3z9RE_qIG@ehq5U=-okvJghZxr&SG66^ZI?qN-j^9*vVSOQ#h~Zozz^woH&hd
z{#4QLr+P2WV%?vW-*<f#>)xkE##1@B#v5kcVmaCO?cV*$8TJpSPvzYD?sM<ikpGbj
z6*o^6i2CF;x9i-E#$7cHU#?C1b)>?J`IXMRu1n^xdmo-m_}24x{se*RDvTBtLeX1}
z-J343dU91;|HP+j7F~GOds}m3@RNVH%hRXlRc`v-koF?;`3BMAU3vE|yv*6jU&FRR
z<PCSv@sBTFs;DK%-JIw*LBPLBW6$lW0^6EwbULs9dEa$h@?yjLX#%CHG0P6`T+i6!
zp57v7xq5~}#SDYvQv~yBPfu{$$YF7~WcEg*kIL<DCJFr4*-(0dQCdX5^KIR=p7qv|
zoi=Z?g)jIs&fZ<xvswT5Gy&BK+xE}eck@++GNW{3S<DoH$q_$izWq2s@Y#l%&l{&y
zyf`?yp=M@({hulP*HjCR)lb%#G_@){XXD@VQzPqc_iW4BJ%#UNg<R+Ns(T%OYc}4f
zNb2nHUo(ZTJap3C`wcPuJJ+7usoizaUXkzM-;;(?P5!;VswW?N($cp}-~Ptbdlzn~
z%$B@y;damN%2!(x8COKME6iB%_XqQe?#Vj?Kbnc&?%93pa$?`}Zl=}`%rX7XCo_xJ
z%+ZWnk(jLC^WypG-ksM@B;8@EoF=UoKk1S(r|#_9^HXL7M|S@E*t;VkW^eC~gE4LW
z+dr4?ov87-e|t^aB=0#}d*jb_x;^TgR=i-7S=Yq;g)_>gGu-a*fBf^*@$G%q$0nBb
z?Y#VnNxo<2opTfB^zAIZw!mTXWZ|B}M?2qjPYJf^-}!mRbcVRoT`T){P3p3KnB4d7
zX$qHG!|pZP`zKFjxbJs}r*8%~(}HtX*Gy%+wr2XpM-w(Nshn)L>Dd!%_MmkARK}B>
zcf0p0&sdO>l*^d9IYRvK6wXaOAH9Aqj6K@9;n0ccjM=|CK7PFTEA7?!qaF4e#V1&7
zVBI0+F1KK2+=kT8HzbZRPq0bu-2ZVpqcrzT7QvoRD{T&>9^3kK;an}TuD!`q%nn4I
zoxol7Z7SpHbx&5k>)E~YcfC&1o!;GVVs@Auj_EqXoy1nX*&wDZzw@+ROY!^tCNXk*
z4s&<!zAxQSsQSNSQ^ba#^Sk}5H*M(Y-u>Z9-=)*hJ-fs<9!NUfvwLsHzvHjGKV)z4
znBTicb$v%;LTu8@X^)-P6s_6#^KkP4&b&3Uy*G@K*y;~WWK7Dw^mI*9L%cGF<2RNo
zPy3d>oO`tErk+hr&%QmYJ8vfU>}}ki<l3`eJuJEBRwOU;ryWIo2WqM(y8UU{{2}Rp
zT=%c?&y8;%buJS%<A3#}zw_;3Y1fn5btfHcK7^h7)qT*l|FS{<^%W+u5wcsSK0Vie
z<g!^~?fORZLbsncHnB4IA3bw+g?P5v#OFzK4;*~AYQAlf&w=MVw@#a0@qWf;ewLWk
zn{ziXU$B4hK4ztxd3Q&L5J$z81CH!WNlg_jX%A!8b|l%_{7>mQ5s_5b5%anGC)>)H
zvftaM$B1>NWw$=xqulpm8~Y=jBZ{Xb94<ymGj?~jp1FQ{3Rjl8l-NA&zB9@zFEGDe
z8GW<orgeYUS%$w)E~xjs*ng_;;-i&;$NO&1-r>)i%uwBX^V*f~KazTGYCrz%zp+NP
z^1{@f3s!HE|6e>6F@@2a$2W2VZ#yH8|C^3mkrNq>ck11{;I!vJk>sYIuN&$kUNr7G
zFh8>ERx<N~*^il9_S<J4DEagxq~qFrE=QZ5o7?+tn)7$uJe0g=#~~|!g)en|&wg)O
zx%_$0v+enjLcV<u)~s>b-ud8Y_w%YxT+s(=)is{g_g=a=BlXD3w#B{A>rOKT&c1Z4
za{|X<#t%#9A5i+(_w)GcA5(w!KG)a2@kFlU(f+>Yd#{9l?ztTP$)KwD`TQ$SO|v^L
zuX%I8v9d$J-(Xr@&-2q;`n4ka?szGfzhRu5_OtP*uTb>8o6&n3j~+YSe`Oxi&x#}c
zS2j;zmtx$=q}|nh_1Qu<@t+b69alE@J-e+O!z`m%fBE1Wsh#@|-E0(A;+(d+=UMEh
z4`CM%KkvBcZ+YO`L6JQ>9wqfW+iPVPdeZw%EJOdZuy0-J$^2cbHg-RgPyXHU?B<Ji
z8yk0X-LGVMu&~%yAah#p;}oW6{TFm^epuN1Z2cq#=RU*bm47BIuQ_=*Y{SHf0?&J%
ze%HIn<KJ`c^ab8WeNVTqe&V&I=WgZd{%2G7Ogr9lJ?L%!nYT|A=HFL2-}fv({F8?G
z^uCJzD|`B$g=>j-=l7hM&-(Gx_VWIoXLnak(Q)m$vV7I~&bL*Yl*D(I&YrnyA}e$6
z+q+R#+uq#nc^j_ob@d)+1Mi>C&f|<5*t72Sy!H0_xG8Y@#MZu^xBtC@1l?X1Sl<74
zQFhMEl?yxS74l<Fvq+!gSg`qJ{EbrwKhJxw!e|!}%gA-cYI4%Do|{weoj4`Oynb6#
zX=LxrjgyX_h*-YxVBQmp3bh+{{>&T7I#)$}+Fsb(Wpi=QTK;1%H!P@sa;ze3<686V
z84r{=41*)yZhCC>eS6dF2NJ%_zF|EtKc8Uj-dcZO#j=`#xq9NiO$_C|kLGP_x|<TX
zc=Fzjol#v|9smF7c{i>1(bYHGTeq`E`Z*`bO}^sR{yCkY_uh7c&D)#q-#&3OMc~ww
zr=ARF!+Kw4+s{e(H^ZmrV|h=rY@|?P*UgXp@1kb0%<W`39l{drnR2wVYY$`NrISL3
zHyq6WBy@Un>b(AU-&OcydH=_<>^;pMJF9#Vk8~Vgdsxp+tM@(cJ~B4Rckf8Aebd1|
zQK)k6i8uE46TNe|JM`CZo%r^%L+EgfbjQN%BQLAx|DRA?U$!-W^PKHE6XfjsZpl3?
zj11U2d;goBD|3`&-}LO6(r`rfeeb){O$@1h4}(t0<u@m%^}XAl!g6(?-p0Pilcbs7
zEC~3>y}5e!qvfuewPo9Sw70Td?|O0f#1Da|FDA_1+H=23m%Wqm`|iGr6Tfq0_XVH-
z#Bi8t$IIsvooqK|?q%8$$i?}(qq~DqQF6u+`~6$GYd4AcZqJK4$+@}bTh>*5g`-nN
zulL`ZS~XSNy62I8WRrT&*R}S%I+K&cV>WR+g@2IjFwkY~{dzscDSL*bZGX#EZ^b<q
zS|=uTJnN{c_&=fC)ga~%=g!}c8&50lk<i!fy?t{-z3)uMi2rH*-_{>>x-!4-c3020
zyRW8sWZzuhzGuNy`}Z@%A10md{c3+xL40G;*$sBqf08c#t-QJ8M)Sef?u;yZ{=M40
z;cfrdXkCj9+&4WQ-Sm1j(KY$rg*`_vwoCtGi#b^IA;x~8pWMMW-`;k85uU-qSSPcj
zahk=4DK*>o_THJ!ApK`k+~dB_dw;8*^^edv#lphfk<OoVsFs~S`QL`-`cJ2;7ACsC
zTKVNiOz^E(!;?$y^?cpExo7Fk&M9kpj@((pwYvJz%VhT}^4$#F%Qhs&K1p8HcYk}5
z@{F0b|Ia@DadF|F&fkY)4s3dU{j9nWqX2vI51ZE;_IafEF|9wc%i;;w0l}(!E9~}6
za5%*A;rpTKy+`8Tbj_Hr>~(0P1CMIo`KMd+wyxO`pe8V3lIBic2lFj?_h)Td5nTI&
zaYc8s!-{U(HIrjD=O3FjBPx4M%?j>~Z4(a8>v&LG5qsh+KW9?dj|Fr0EO77eF_7sy
zvj2XAlzrR;d7FdFuT7KqJdNT0T$zPckrKxrdgUB!eIw9w;Q2|X#Q!V)$tt->JYL|S
zkllB)uJ6bZ4ui&hM-DCTxpix=Ld2SFj?;NOPW64Qx*L@iV%Yhu?}S>+i3gQc1{e5K
znAmS`KHC4Zy8NVp_=Uqt2D1H+?)N{vzHhpa=&6AECqnZ7z8~#58FhD)RK!%txcTmi
z=ccjOfA{o|o~rh#blbL_ev_1ql}tOn-e1DRu5P+-GS|!A6Wh-pyj(viB3;E%NcYc{
zvY@FAUGWuhy-(LqOVFHn_Wu(@{=Q@TwQf!^`^hRZ`4D4eNm=jH_uec||647&u)hDP
zs*>}km^nJFiTw|A#pf@aw!uC0iBj^`vg!UjkN<lwk!zMMd;HLYTg#vKdAO$$`<}X!
z0^U6*qFZB@8QH6F{a&M!-E*?^?!AtulLM<Kn||zhdT;*B;P9Rm6LzfJe2?Y6*v4NI
z8D(D{o_G4up9_8I9r=P5_U!fj$Ge#nnKwj)KKZy}Mu_O6P$moW4JLmY<(@UHG*8~)
z+kfJHN6bb~K8pnr-%bd(7Bf`!Y`SQ%VNLJ3$gXF;Q9a*!PwL%t$>}?}`s7}wKm9j^
zHdk^=ZYfL6?|AfWVcy1`6JZLok{Px*l=2@mVeAanbZBPm%Dnrg^Z3LFHtG4Y_qQGT
zKYM|*?Vn_Zj;Crfe*gPEgFlh+(v99}7moiwQLu=&^U$o>TO$~EO!>HAYxi<x77i`*
zm<@|}iYzgAWe^SEJi3YN>cZG=j?HU!{8fGtadJT{*Wnp;`b{6+#r}KW@$_#(Om)nK
zeZefjLQMHf+hh0q_nztDeuBYY!Yyed&;C0swleQ`ESj))!R`b5;v16xYs512o!I)P
zLH1eiv%TxQUNqh7Ik~AL{n^j#&pjv0<2esZXH2T^J9)38J+bsgt^NC(&J}&n-g_B5
z{S(`F{rV>Z_lJQ$yRQ3M2!5NwbF1%J_WY}_T^Pb6S({HA>|Nob71r~7cR2e?=_%2x
zZ}EEGY-M;`b|*Qq@~DyZ<%v0QJ<ncGVtoF=?)=SY?Hb7s>+bEEB*<Ky{Q3^t+`e!B
z>$bB0<$b;{RlvIM!QK?c-}l`1?ztnfjP+@qr>Ec&My70`*SQR{m;b-rc!F{Az2vNW
zw^Sy-=<f_K=Wt`#&mN<6j)9lOBQlbK`QT1>2I+enW~6vzPULtJnSF<KfBpaN6N)i6
zJ<j!?bm@Qg{grpbzPh#SYwogLpWpxN`o3wZW*0K=|LJ>ny>yj)`1Te1D!O)^?_jTv
zyC3RpX!ptLP4Ba)(vyxhQ>^l~di&Ho?ES=K*!%3>-IeBd@Aa;kC*N9CIm50q;rs--
zd?N<V7LH8}!c&%BpW1tJZ}#qq1t+Bvd(Ko(Wm4bMbYw%)os#(Z3;k{DrxxjE8ClP%
zj=Nt{U4Px_X{UIi>f}Px$cPtrSMlzNX<y(P)7H7pzWSQOjbw&9tJa>M$S~VLdr!xP
zpHo8DM+dpr^;Tp*UaZu${A@#+`yzpx-+Gp*<uh2<?-c$s;a>Q$6+MgRUp^K8r^D}f
z(v?%%Cndz&UUl6&_BD$6@h9e|9ToF>?l0$$S^T=^W$8xG{yQ!$5})5F&v+WqcQ<Wu
z&z*<+dT&X_H1ki;V%gpEQY4m#;rWVbCjH$v?r{En_xSFLZ92VI*8IG5{m{Dul2y}s
zFa7Cw?s-7P%5h%brEKX#aRLADatdloOgH#g!^(Ey9oMrzN!}OaKki?lA;&cT<+h$P
z+YiS)d$8K-&6*PjEILd6RK}c$Q@*(UNX)zw{CO81a51ib(6~YP9=H5E?T%}IqAzGw
zbYG2F)v!&c|LU3z6PN@pOT;8^M4A6*W<Z($pR)4dgf*QPeh2lPGyTXQ?bCB5;sWc&
zJ3R9{?#2IUnd;f7Eu%NLgZ=1aqwfAQp+R$$z0OQOadXY3&ef597w=tv<Q>`hVNcH)
zC4pbZ4qb5QKV$cE*^Ayc7yJ|XF9b^PoXD^4I(hAsicaVKKO84je>QLaeDTdZ2^X8@
z{rCF1K6OfXFfq@xy|;ixr~g1@$L-@?`wsnm5#+`%;UK}7bkoFo=g&V(JAOp;oK}7P
zVDbmfHG1d6E=<{Tp;4WqGrRB9$yKqFg8EM^EM3!>ahUPqt^R2Orn~!2mHg{Ew5E4e
z>dEhOjvecm6Z&S0SW@q*^(i+dUbtX8$w9zug4=~V-%~WCdQQzh9?N(jYIff<+x;Ck
zPTV-%b4vT96-(DE{kk=ZHz%|{x}bf0g3i2$x;ovP?)5FV=_<N*a{I)Ed#%^)c_{Yi
zWSaQvju{7%|NHc>hz>i<v!-EkTJyfMn@sFBX((Mg|7dfde5XL`O35!B2aaD9wV3kd
zN9=#oU57SrNbcTXU|OjW(Qw<Hf%RY0s*SxpX^&g>Y|wo4=$^RcgVhtnb&?q-{aKUT
zv6gdXDrZvl!D|tWNmsaL{=0tv`?uaR=b{(6Y`k`Vw#3>uJ3nsFJCJsqabd{p6Rq(*
zC+=@E318EDcJt+X_urhbPG0y@{utxMl7-Rp8upd%xjHXm0^{eN9`CvpJ|DMecO9B(
zTE8&i4`<I=Bk$)At1nzKKgq%UV&aA*{S-b1&h|C)_pH!xx8-^Hum9}Nl)}CZ)#9uB
z&y{SFJKLG}tnch}Z@HP@dJ1$-q=)sN{aG~Y$h<$V{CK;qXFkxr(mlU3;P{npugEJm
z@-2VPU&OV3duBz?s@*Ea{Tz|6`yTJ<Jr}+!NHnwV+70bU3Hue%z5>Bz)A?rpU=ZCi
zu`~I~&ApQ(O8c%K{~nsbcQ=yje$P48N+I=a5y!t2s$Aw?fBl83;LYsbsg+lb1+o^N
z<~Z7OPCJu_QI{o~;dOQIjhC#c(}cIOPFE2w7Ajrcf3>C}=6QGH$4yN0`!AJF;#k~w
zB|b{@&m*Q+Nhi;_8_qQQDf+ti>Ykp(_OCBaddU*|M9QaY)A1{(w4+Zxo5;6Oqy7ft
z)yGGBuGlYSPn;&S?85s{o!=8avFcvB&?hjtgnh5K3J**CB-U=0{Y*Rm^j;}0>pT8L
z=+%`6bEiv~HhPI>Pw4&E`&O}=%W!|!l^^}L-MRE9aqeX*y!tpciqUcs_il#2ERlXZ
zy+KUfY^OJI{JHYjJ2A=gLcaVP{+MfzH}yUh*S;XXiJ|1m<F1=tcT<FGTc2*e@VJjf
zQ;2Ezi`N&v{g@bhyi@q`t?frQDp?<!^nHtBeM%db?v=+=-~E|f_8?~J<X`SibIh79
z{qMP=BJ?b7>5Z#vI<6{lwyjB;(0}#wCMl@~y%SPOC)~TGwAL&LR^~jm`$}~}GULX1
zvb(Q7jhWWhwM)-^W3P<Ir)vuzyt%RUS?`_s)s|jMCSL#Db9_(7a?zg-vRB_d7j_6_
zUwwD?-2Pp2Cpq-~U7DzOQ|xS4=cD_=qSk#opZ46I9~a^C<ijlE8@ufH#9Z&Zt;`@;
z_V!Zzp9lMY_H)1KxqbO`jN7yiN(_5{Z|U9AbGv@m<bb~3{G%_d>^}v}+0o3Ow7&0l
z2NOTz#k01rXJp>!xb4o--}kVorSo>_pCz1?7tXp@g#PQlwekr|a9`o$q>XIy_8luW
zRXx4=MD9-{6X#9#;|o<IJMJG@agbw%$D<uZqQ84@>2#I;6yLwqQun5SXJ5&!t8c9%
zUj%>by?MI)+<N{E|Mmavxp*X@tmTDCe_z@9%3TvK{RrOR+RxNs(0gpc)po}#ugiC(
zcCSArzUNk{GAsYaj^0OCPoMkQUzWGK>+a3I@@LUa*)|_5)Q()+ztQ$)Z-o%U`eQ#?
z7I&2&Ve*RTDgVBSDXp)flc9v0$@0&YGiCp7h`UT^&}4|?JNb|?=JoZnyvYn3Pcq0Z
zJk{|syX?ZB%_pYLyLR?|$4m{LqMl=4Z^W+PJ-+*NzF2>i_VuQzJylD8+?^4+rtkSR
z^;LRv<#(uP==4<G?A_@Y<1*vMkp%`ij5nI9)+pTAlYa8|iF_9QU2F5h7R)zDW_V#9
zcjd?KFq1jowk^Ni_dC0+@Am3REqbP<->zNp+SXt3ZeLI5<qgjFQ+{flcFF0h*m^4Q
zWADmKW<3@EuU(naU!{9|lI)HN3MY3??yH)1dium4w|c7HnoV%Icct}QU)8n=vnOu$
zyV7m@y8Fz@Pg8nVo`2qzy}9p9T>gXZ;)dwBo|SpCdusYtPF0#Z;psM(p8C3f0u43~
zRXY80dK$tyAFpY&P+I)^esAL+?Y<Q~G5R~^wa;2;TsWIA=|C~lfu$RFdZ*9u68$-&
zZ-?L!CQ0Uw#r@q)=Q}^8AGj>e|6t3j-xuyi><B!Zbo<&>t=m^Rx|uF8n}0gT;JA?A
zlTmy1M6;LC(hG%oB}JcJ*cRVg6&o(S;<$8QRm|*;suz!YSvO9UuWs1Br@gbUV$Co8
z=-#UBGg#05m$Kg5ZnAt&PiMsC*=HMm$S-`pLbk6p{ZrM7We-gbwJkXRk*h;9@?uwS
z@`t;z5l%P$|G6^D{K)<bvmSCJU7NLc&O(uE346;H{Ji(ea${fp(<`N0_gv75=<e&6
zz25!x(d9+edk$=PeD1~a-Zklao!b|0@w)npXXAF}`Lj89sqk#v-z@*4NIARVsmof1
zQfHRRdov<Fzgj+fC#(4S4+l>$i1sY5Kao_&#ND%zE9P9&qDLohJ-)cpy#D%x&#}Fi
z{J)-C<$8&MFX{geRf|nl@*m?EwJ+L=H97R}oOUbNokw1W@xes19|h@~{QEbw-soNM
zbx%X~{GSib{>`m(I~2ubE_T`{`|bG~zmj&GKYsd7*Z;ihdG;@_ZtI`?`OEA6-YIYY
zT$uK=fAWuWFFqdcu#}Ero4es`P7K?e4HrJgJc>M#yW?MhZQT2g+D4|BsE(<<A2!^7
z$g(2p&5O%QTwY;J9r_jTHt?N4=~Cq)ClIurSyUnBYEsgv*?o)$BBi@l@Uz6e?!58i
z#e$=KH>~b`{I<F8h97J4fBX3}_h0@e{`;4A#g~U?`!{QQvNYaYQP;UjX5WsO-79~7
zVBF;2eQkZH&V$!+{aZ9AUZ`IsJ?}`*=WW5~ZSH;WirZo$$e?}jCl_b`X7!0I)*Vc;
z(tdk7HYZ<mIKPUsZj;2U&q^nh#Tw1*uQi%~ypWyVm-hMe%kG|KZ`WMP`+4EW6UO?^
z4*Aucn>Vm@`W`;Ni{D;|(~wbCsZxRAgX+c~g*X12_ujbSpVJwWB75ogLGz=X+uHuU
zXfP}PxVo$B_v6i%4|Fjo@9Ew6q<7=={OU_H%6j^~eW;E&W!C<-cVqpZj&+CG-#oRO
z5c}^sNAtDRy?Z-re{8IE)y(_zxpSiu=VF`K|J}DgaVU3e?C08g<5ffX(O(;GzO;7d
zI{t@e<pDJ&PWxLHn>ehSvb%b>tlitU>GNi$n`Y0uHi_EbVEDH|J&|F?PW%5K3^(u}
z6qtQ!rEQq<f#^Lwn-0fx-sW=M-@U0rqLA^@tI(Vq&UVc?D*vu29^zoVW_5AH#-2ry
zy_=Um5z@Y$+A92`)Ai%6J&!s!D{;#wpSaO8_4wt-+TXgaCURU@BL4Fy)73?flRKA+
zuK&|g*;HLK*>Dq6WXrU<JzL7-m^%M_>D;2k#97Ha`N974om;icdKzALZ9Ty_v-6Yo
zzm_eZKh`DpZIyq@V!3hi=AYMooKn~`k?Y2bSFA~Y`<p8pjYVg-UF_WLFZ8vpXRBu9
zuWO5aZwODYcp@lyLhT7dYU7mnKOIMu81m~c9W85!_Z2k#cj>{J3rBBGxR4_0{xxN8
z&UCwdeTR*kRQ~mEv#exNz4UIf<YR#iKVq+JP5po3ZHM6D#~zDPl>VOBBcFe5!?%Xr
z=dWzjE4$g!^23!crf+-r682EG!%w(PkA@%ZU7~gGzxU?8wdd?6=B;nLsViuheKq1|
zr$mYnXW#az>r-UfdyZyn_e_3&a;EJ`g?gdmy+_KbJ5A3vX58#OmHvJ;|Bb#?s`fVy
z*IhlS`BVG(Kc`&nQ<7nQJ6=AKm^hu|Xy0OQPpQ8m^7%c7Z})81F5M*byytM}SLK)q
z3QMXRpUK@->%XbdvvPa+46AE>`&QoXTYT$lWbdY@Dv?W~3*Yx`(eXM}-@mAGVb>F8
z{Xf3jZ#|WrJ(K19-;k`Y|DI}wu<q66mtNm@Kqph?W{yJZ4Aq+f|2hr&&$7t({88)(
z|K9OALW1eV{_j788#r2-ZY=zMgK0u{Khpwh`TyN}Cy3QZO+0gVO@G_e4-Y>73%adZ
zu_?cAxvEFX>#zBdO;4||r+<oi@o%z^Uev#P{{kk5aP%zL@5Od?f99t({}RgenI5nH
zYxVV$p=9sMu%$ekw_QCMznAN}iVAl`-}}D_U#oAPIeX<)Uf3?z$B()X%YU4Z_qp?M
z_k^-H9h;N{Qsd6`9NySja$|$P@06&YS35s%^^JeYYpm0IXnW7$+Epo1x@|`3Pvx9@
z4$qI9(f8(MNA6+9Sy!bQ-~TF&?1<TOq4n{VQ|8u>R_grOa8j%@D(N+o=Esityo^cz
zZhYX_eB<`)32*<t+32f2bJgxf{S(FlSB~HM*L%qFXU3d;2J3A$vu<+u)O*O^HtC<=
zg!_tn7PS6vHgCHZ({reFQ^$Gc)v*lwZ(2L{#Z)mY{WGQepwc>>xDCArH+Ecc=hZqd
z*17O^&%qK;4pAB1=qn}K^&QsV8l73~lj_d&9$4wWXY!<`=vkTV&eu1;d186s#>D2z
zT>@e~heKWVoIJR}@C|#{VW$FHn<hJ#_9U-cdEqx07wSyh_46QaM05X9*CV`k8?V&A
zbg{cN^J9d2NAIj>AG?wne{8nD$yBp($C@kW%F-Vm_h;UmB=Cm$#(!DuiL)oMeq>~y
zsPD_fKS?k~qMYr9&XQ{?FWEmz=&kSB^k)y#XYb^mg=-_0bQG=m5vRlyUfsKpKbB!<
zCwryktk?zj#rLqpy!fleD7GPKMJz+-p_^ZWSr#(=&x!T=&oh7Lk8dx-Y;JuzbY>D`
zOy5@Rx>rseCs>k>9jNS&oxyYU!JL@znViS(u;}IV9H_t7yD>uTBUf|s|M~?V+mjzO
z)plg^1hX_SUw_Cw@wG667K`ACkI@b;>yN}3Fz`O?cx`Vt(>>1ogSf(z7;T*=*FN-b
zTK&{%!IeAq-G}@?>~U2%^oRdvsS-os>z=D2OVzEWGps+kaLtY%Nq<U3>$|qgb)H`R
zBO*~DX`+~|QYDLWJI8;gdbLmQk_7qpgkHHDrq5xjeNQ)ahNb-M4+joN^xI$9dUs9F
z_Q(s*&-R_jx^86?`~Hu|)Q0l#k1GO|1Xv<>RV{e1d4g15(D8^<vvezT|18wcpID<(
z9rT!4`(DdO-4l&ck(<}qy@_oopWS=%`@TzJ)wlm;KhK^Ud*!cJ`MSvp7kf|NT~{Km
zy5B(agq4-mtet%Z=6ia6f1B^NeNXSn@}=sg>r<7?`*!Sqm7>YGV&T!=RaNniHvEn4
zpSiv6ru6RK1Mi<YU%s+)``-y(k4_#jPyhI-v(h|&rvJbH5kHswny=!X!o=UVLihb&
zuafQqwJ}$2T&)Xb{p+o+%f)`}H^;}GYqzGResnpJ9?Aal`z903-WA_&_U%}IujzOF
zC+Y6LUZ<uCzJ8(-`rm7=)l0Q}*2jKKPnSds<+3~tmi-vlvt#w1|6Z5#r|F#i<E1^f
zL+^V9<8Lc>4a>65)0;cZX8rdn_hU=e<<gYAT(UqWk|Fe;*Yh`hd{T_+EA)FB-*?TZ
z=*a)r@F3f7K@fxEznR<jOxBlNarP!d;G%6G8<e#taZXwMVqtQ8#pl0X&#fZ`6T04*
zr>~y<P-}C~o4k6noonr1>0j$v(Y3HE>TLgtIE$EVYaU1UTt6vg+&infiNP}Vf9Rw&
zdpcK4{KMqe^QQK-?#|2Kdfxa8=zZ&1K1sl4XY%IW<>e<?O#61!?Onv7(=nxNo8#$?
z^~#I%-~aW>S=8st^l}eZ!#gg<_YFespLq6t`{}i@<I==Uj53QX>p@pYEp0r=bd4v;
zt!qc!B*s77(|dOOjpC5{*0&@1$bxU}5lsGXetuxyl9XfLwf$bt%A}<J9eaNobeuQ!
zWN@DQgQ@a~FQfU-Mg9txMZ{U1-~MFbeJ!;4VSjhSHS<63lKbA=+ShZT=b&-#oA0mV
z6hGYSx^}$x&F|8ujmvz6Oz!o)Ip3r0y+@+=P5j%w-nnmj-rRqF^2(DFvwGiL)%rcb
z;>NX_qYVwe4H-9Ue_LoNtn`58BonLftf?2C|0uGpWEVY9`=;kje62U9g+u$Mow2={
z^Jfa#uI|}UTGlu1bI<m;^xkRPKlN?Dy_CH=Rq#yjoBvTlFTTy-|I;^hIm=_ao5j`*
zcTCGZPUUiy3MuOdI{$D|-6o#c?k@ehzU`|gNgV2Z^Y^vCGj~x0_eK7&{vE3)MYK*~
z3BUdFVOr13?Ru3Oaedo$Cu$YMh=?Bf_4&%qTYLM@U!Nx2+_QA=_g7E*S^vIzQgyzk
z-9O=Z-GKlXrr*(AjK^+ITO?I~ZO*CBy}z@+cgp;?=zkL)opE;(*Gs04ecQjkiszX3
zt;1llK;Ocw<9%=bg-*B8+q3M9ih@yf%c<Cp%vaLpDW2HVu!@;8No^w&=Z}euS;y|B
zuJ)|E*7eJ`(Le3ZOG%c;cjN!J?Y+_SYkRbgLuJpKz3==C`r_79dr3!s>MFUu^H%)5
zp2pp(QuV&OuJ!dXRlJ&T@BFvb)$<E?yy>;vtCX4I;xOS(#KoSqQ}0btei!<7=BKkg
z+q19go7~mjroYnUZnfvk>)abn?|;45J9l-eROWAmA1ys|*WZ53q%1f&drE+=ROaEo
z$1e4qSa(Ht_3E2)?fp0GUia>rd#&#Ko8A+rw{JF`%yYEAqt<9e-X~$xo)cPA*R(`t
zY`E7TJK0kGs+MUktL%zdd-`^jebQRq)z!CV{lgU<m76!Q$i>`vkd)P-Xm2SLxpw-V
zq_&Pzd@*)Ooo6RKQ<9v~wCeQ6&hrx|Drxtey1%h2tbe;}{Exm4Wz*N4rw^5^-gC3}
z{dSuUuWvh6|LN*IEZ%*3U4wtj?U)H`?mlGcd4K=ZjxEW%7A7Y5oUT8&S@48JbKl;q
z`=12o_g?(?tLwtY_Yb~Tt~zSCEqV>h3bW^%UcFiPBzZ$x&&L1V=ldD^&c8k1abx{Q
z|K5F4x=)hy7tH9~XWI6DL&(SekAFX3Jk+;!im>AK*!A7}6k~Stq$wYm#&NE!azauo
zW0%&|jXOdfZ@So#C@`g|<5KPBp8dD&x=&XxdLMT|E2rypS=Y^vou>*n?qHC)z3JU!
zo|y72XCJOV!Cf$Q!yLXHzOnz`--!Hq^~lo5*b6(3?)HuNvMzOfU;opt$>$H6A7lLB
zm)3K3_M;0nqI;BLdM-zK3NMq0*~8p(`MwaVs6+|V>)wTX1s?6$@%G1o*fkT(e{>qk
z|6Wutv2uI*L8a@*#NYQWP&F|7xAZ^%$DYgiTQxrZ>7AN-N%}+VzZKfb22I&LTc7tG
zuCDJ&+q6UYPgviAxmTY^t?JqLxubGk>k8A?TN&Rx{J6Pe-~8Un{MA2|Z}iT4|McUU
zZHDq?t0o>e-gkNT-#wO{e~%^i&#N=Cth=%x<(yK}0WsOQ<i2^Uk1+hcI-@BoY^B`i
zA6)$!DSKARrGFF_uV74i-+9^g=OeG4{?j|=^sThG`{%-x<O#gx7K|r&fA`E&<#7Mq
zb9vjvsiiqD?C<Sdn7}9y^H)oDe*cQKFGK(I%nSeY^Vx*s`u8?4PIO3{d|mw5s|!q>
zm-e50Sv_%L`s>Ka2Q-<qb2?t1n=L%8Tl?D+;m;lS>)s??F0X7_?fP)<zm88SPJ4Pb
z>&Lh4th*MN;_#&J(tSS<7Q3#x*?q}93%;i??eFUOJYjeKAI{zd+nH|PQ2wEDv}vOC
ziKP1i&P^ph`}@~S2<`fK<?O{b5v`Nd_fL}k(KqAw6NU2LlKnNk2~RsYa{7g%H|iYi
z*zv34!jg93t{s2({Lo68qBb{Gu5&B@+`k=%=5;-|zh;Ao(j&I&&fl9p{+%f{uk(%l
z`?E*3aNd5?*FUX_WzChD#i|@TXHRG9UvPa@ipbjkwxauc7L<NEtoz5x|9Q{Ct*f?(
zh(7-Iv8!Lpisx=6*ZpfV-FfDEvs;QClzydfBKCig%+KDkFi+|CtoFNDb3<9LpEij8
z{J?`@IqTK^EH~HmuP8gIu(WT>Y^LTly^FpTg@(U6TQ)($S5v>Ne?_%*Z~1Dr*uA|q
z6S`P#_N=&?_m?GclAs6k-rg+v|2_Avt!Lf)#AtEPjqk5ScuSJjcU)JK;IZ$U^Y{IU
zl;b_i^Gk2{mF)WGlDR~tz@TlT%GDDmJ~li^Z`kv>|6YFi$%-fM6y8kpIo(rzJ#3fM
z=e~P+|J7G7x!GaWsAK-SXN~8Y9TOYA^@Shlh<VX@wfokF(3a|@v5a@xuIp?#f3N@R
z`7KiQs;vGuS+hHg?Dh99o!66byJs19()s>Oor^u0u1$+Rl=VD(`-Dkyp~CT=oXtN@
zd|k6+LR!Z`S8m>EeR*px&OFB0vH#!3Co`I|T;^?HW0@hc;e3o&vt-`C-iK?apMF0h
z<jspD&$GX0B+2z<B{r(W{_Dy9d2dJV?5=sD-n}{g&lY&jVLNoD_f_vP9-a!jmy7+m
zBvg)eD0511cjYA6h3#-CWBe2Ud1itVqonnfj0Z{TNsTWok2d7(zR|UQ<3W>(mpu<3
zbY8r_A!*$Vb-PcD+8vocZ=8ELXGewXKZ^-^DHqS&U>1LUgX@FNhN%9->1$@_C-vsO
z-qv;KutNWf*Cl&x^iK6$+Bc=Q;OXD)ZE9Vy>pL$!?$~DE*_C;iwRMAPX^JxIB-y(*
z{dr%@PtWA&&-;9Grtj6cddC^N1RqcSY<i8QZ<%&~<FDQV^-8ADz5=gVhv)SaM9=te
zZ>vb=@rjSW?UbEWHCLy#<PFQ7-n72U|Mk*mEbm*k_g-&dP+afjw>Eu+Vh?`5?p<a&
zEq#OZf=t=|zU-dj<z4(;i{<4^`bwHN2q$GPP@2f~cJ{v`YnV6nEcW(XD8*S;Grep6
zrllIaOD6AXKi^sZxby4B4+777etc}WRM)pdos}WjLTAHG{*8}V|0G@OzZ~@@VaMc?
zOX@my95Zf6+P{(WRmtqdlWu(55#Gu>>#*U1MaQPh-7zz=Z`s>(Jw>0teh=&I(CJ|K
z*3<31s7|cA=w542_v>xFMMv&;sOrb{c6%@U@bAI*IiHT6;P`sO=-h$o*Ztj(+9b5K
zd)sgJte^W!ck?5$>5-L8Kf41JRaU&%-^rNV)nGrrMQP45S0>eOKdLq^S?G1|YLMpY
zt&%c#b~nh}=wCnII&!mdPvf7HUn+aco<7;|VY1-HPIv3L{!+{Hy)EZfbo8_qonOA$
zF5>g!<DDB{ZAf}xBe~I0yKnWw6-gUYHg|5&&S6@ad9C5o*`L{wy`67%J~%$<5JN?O
zb*g>Gb)#L6cFOK~upo|&Ddy+KJ)c?^UDW^9VeqkIlYL6j-bWo15|dAu**g4A;!FPb
zgiWM_VFSl~(@xX#9g_~7o3Qi!fzL57HYix1dwuKKx^0b-=X*KB)ArihvhXJVUaELG
z`X}r0o~e4*I=8sq)C}vAJbv=K6#u`N)7&?hnlo+szS|vCSbuuW&mDIsGfi$(zFP6G
zNy1!=y>IeA<`26S)j2}fcStKSh3?+F@56_NB{z@n+kAaZ^!wiK%-IJ$dwNul#GJe#
zY1iGZ&ara+3G<r`O%)z9lEpV(@Q!KV>Dq9mnJFf|;mz>|uB-=14KbMwosYNg2(m5X
zUUaU1&WT4Ijwd2M@3?C}zq7L=wET$FN5%&al}=^--e7-%(fy#>qlIVW^E>2~E{WW}
zAob|6Kxov4Fxi95>c3)oUx*yne_+z|UvKAgary4Pe{W(6J8m3cN&0=MayA271;gB{
z=6)=n{)zCX_WDe|P}4Q{`@|bUm#uBrue@Km@M*Hr4^G)I#tD9Zy6$#$G{yhvYrENS
z;LV4Zhm$`Z)qlft@_739(uoY-UtflA>f5RR-K@KJchAn?)ScT~V|%;Gqa+_+>uH*%
zx$}x~cuh}t*sh{v#z(%#H@MoHHEm4aobppres^Qk0mhh9Jze(Jn@YnD_jKQE+V^a8
zT}0={=7iY)K0R$``**D0a;-Vm;@q!aVp0$HO}Z)lNzkZsP3gv!C*(Qa&uTct)U)IF
zo}T*iz1@1DDPfXF`n#upesN}_Ku@<{+lf^%60iUC?l@=Gk@&lRaq@>>vXNYd{oPL|
zah;t1Ha7crUw4UF&z0W?V=Mc2Oy6C6C~03$^|!tqcIray`?m^CZ8-P&TA_Dp7sKb}
zjCsF%cTC^6Mdouer|A6dX<YgtvfKCdSMR>vv;SYo*1nqQ>ux5kSm<ua9@cbh&84>y
z*J{@9?eWg(-BUizz___aba(ImN$inp`VV=(W{p%WJjoCn{f75j$HlWV_wK4VtFyU7
zVAjQ?|0zY=7k~UbvGV2wCe!~51e5!E#ZOw!WLVtWY_H45^m{4)<e4YWFX8-kqksQY
zFZSqb|6M-M%>3Ek|2&js?_T!(9v!Wr9B=D-YOKm)y7#vWH@p{p-7(=rM(zWK*?l$Q
zuY2kumM~AvozrW*qCf2YiQgN~Jeuax{posX{3o&c^S`FCn#KuiF6*r^S|$5!L4+?`
z`rB92ZCckn@0<8^D|>!}@SeV@#jjYdPUAeZOdvkfbF!|)Vfj?ChSc;=ykFi;|333i
z@=V9G3&U6aoWd`=e!1YMDwhA<Z9jUOrt3ZbRM5kpI)7!vg}&=gI9K-^jeQlRanMTa
z`um=#HG6Hv*F12(dGYU&g|FF82<m_9Kl)Vrd{4@z8TGws<rPW0I;S1)DPTI86coAb
zVsBoHL2r_J=kd^qOv(#W?f)(6P~OuuLy5)obYJwF#+6R;%{Q54dZU_>8BQ(O8o%*c
z+r9KncR%(_RrTO`-5xRR=b@`9OotX}l<sMen#HXDXQA@En-jjyIm)|v$(zQy`HcQ+
zI_EjxWs8+t`Df4Lm>GJHR!sf=ggN)Dh3)GVWl0Oxv&J%p3H<Pz%&oq|q4v*`XdPag
zn;y%qWWN7)<Lay#jj@lJyH<-^_hqxjGEDfmLsRv_>GTiFCUY%Do&Q%rng8D)AOB~D
zCX;{6p}3eCs+$`V<zoN*FYnmYb@SZaAG{0p3v;N){+{_=nL%_Tqc!8r72O-e!~d-O
zn%-H+z9uHFv6JJ$jHJjNdE$0$9ea~ljP@{JxY7FH2haTmrI@}L!v!bI#SZSM6ytla
z_1foK4>n(@m42=e^E;MdbAZ%6mK%?5FfZV<kGpZ>!sCbyR~q-ncy2t&&hT#aq~Pbv
zweEiF*=wiISX#$9L2B~KT|MV&SH2IiF`L(yvgXv5$5%hO|C}-D$dU}BjydHzT?uba
zUG03dMEmcF`2il+I<?ot^yK9BXLc^@n_IhYQd!9FrmJu6%Bm=4-DHsOJ2`i~P1m!m
zm<iTB1y6f+)c=YSaE&|i?DR6_Rkgpno*wVJwK`<Jis_$@IZ6uA^IzGE@&B5pBYw4@
z-0pXW)M3Wn9smF68yNO~kUJz)`=@dJkDlO{Z`$@$TrGI|>8hb$WN*(?Z<FtGA1ALA
z+|Tm#^sL6@{(|LydUp8VUB&-6j_0}D&$hcf&m(93nX<>nBl*oj=~+EH{;%|}n7byn
z*L_O>|C<FyKOgm+U81mm@0FxjEA0l2wwbIky}|RRhm}umE#KU~>GHLWJm;4({wrO@
z_Sk$<-k#{5f<N*9x^^6&+x@e5$9%1ymHwLz*7WT3lV139eSgoJuRo`6UgiAb^G{b6
z%Zk|lT?LHE4C|9V{bfA*;W0nU`t2Uy|9$#7x$<St&RFk)({WdeH}~w!-c)e6x97e(
zQ*(7+;nta@rjj!%H`G@572fRGxpy+N=E@lx`wO>q^!z`unTu=VsgI1EZ)e})_h*cm
z!?j^eXMz2xa2EOgg8NTi2=et6^dH)AEcx3O-s@|+3Ke5=8RXYr>hP@ixB7Km&(8IG
z8V>W%Ip34@iBWq&yc*-=>;+1b7PNm9z1Z{rPshpGGo|7M8MK-Aul%OYP~G%}(LDLz
zyZ*whLJUheS1+)9yiq_@eUl8s*4GzK-0a!8|GiC5LFx1p%=iDywmFp0v-92Cjh=ts
zoG^Iwa<2U9#&3t-yl~e3x838tpHlD~#zTLvD*gS_SNM18iQA=X`gh)+|497FL*2gS
z{d*=h-t61C{oT<U<uSdJs<K%h->kXUm+O0Dd0lV!Z{Y{rvAV32r61P!6z2CI{9YCL
zpuBI>-pPkN`igAzr)Zw$knAsvetvM>(J7XFJ+r=knt1kk&&vJPE4{e+AE%rV>MPQH
z-Lvz1`AM5|{qtUjZe;EWi=X<Sa!rrix9QAJ|4cdX@y-vvik`yPlNB%Z?Y#TC?x)46
z{>%HdHZfLYw;sQ~$)K$HYI^U^zx%XrPRi?_w^ysXaj$%P-A$9b{bhT%U0{25+1>Q@
z=bXq5nu)9p8?J9)jp;3jI>gDD{O?q|RPyev4dME%pJPp5|LiM#J4uMOyTm?wdeE8`
zJw;);kL^G9*c`v#UwJ9IXX^gdx_$Yb3=fk!b6bD+PQAN2ZZrSCj^A&3r^e4^i;Xzn
z;c&En>g&>TYk#fz_w!2P>u25_@f&-qrgdz%|ATRZyy(B^#uqkSIVsM}g*$ydZ*bDT
z_VMXsrjA1KO_eO7D{o3ac)a0mU;4*QvRq6lNriha^rTr{i|yE!%(UU_`Htk|m9NdG
zvG$~_dMdd6!zR;@i+25f(b3(NS^nliMpA#`%_TEu9gsJ*Klx0Dqa)kyt$7*ii_FKG
zD;As1e`CGi&*rBuB75T3?Oic}pXpvwZ|R04?T!lq`c1pot1q1U^P$1<(8taKyB{(8
zy7q}~I;n8IV-k1Fy)|8Xd@pi~bti7}3aIY%k65AUD;QX}LF4F#{quG?ivR2DXiH4*
zOZeEmuU@V*p>E+E&*L3weFDuYm&9(eS2Aku^4NG%b=JiOo6dNpnJeBgEL#0n;=!+&
zmlMSg&e#|GU#HPKU#u%(f5TTbLE9fJ$^SYv4ztA0RIyGHxGQ>FX3gqlvzva(zV0jA
z!?<cqU)iM%$&3nDGS6+2u<uz=SHFkll1IdLP1)Cd3$8_<uxyX*2p8Qy^JLmJG1eIN
z$G0oHi^YFR{O%}aPi9!%v!MKa*QL)r#eF-@*01SX5Iui`e(0LM1^1`-l!&kIFDf}V
zW!?Edr{nr8w)HqBU#gS;J>#jmSo7<X*_9LYpJe>*_w{_i@<*!2_seOAi~Z((eG5W&
zTXnxGnE&<u=f1+zC+AG=+q7<PPf_^4ClRXGM328YreYYbe?9zXkK50`m(?Y{N_;fx
zXWVXR6~&SswbV++{3>g1T=$YC?D=e0^M112?5}wK`9r&slH){gvks{jeOcveIuBTW
z+WWq<?8Jn`nS1v|URZv-XZf$Il|5Oib6FyFWZrb;KA!4){9|eLB(?Wk`!{J^ojl?9
z>ZYq}dY9j``)Kf!d2e@`E8E`hW}gD8yF%~Xlu}`CXW1VqRN1$D?<7xGwmpA-wH~%v
zaX{<aHnZ~|+Oj^)c-s@2)}Jd|-%<TZsHS&C)oG!pD<|g1^=v*rF(|UP>GP_Y{Vb7H
znLNF0(Kj#EZPDAhsi)Gr^rS*k&$9n_bNjSk?l;;faIGhHQ}5cm`<o)3_dT1l?%{W<
zn~aC&Yi0iLudS(U+W#c#_KG#%*IbB7-xR2`+3?%P7Y_xyaz!_?^1tk=?`Jo95X&gh
z+WEO}ZC?0kUg_Dk6}|S=-CfdM>&k_>d=LKLVKOl&dM-Qbn+40Hn7BJ+7<YHBS7Y*D
zz2b&K(jo?Z`NsDLt7n|}x8nX}CgaAJ{uf1$d}gt3>R$i;BqQ%^tydF&GfQ;H@+K)p
zGUWGf*zU(Z|5Mbi9@*HkiR&gtyn4wa9>zFP(^u5_ld$*0B4wuC-{iWxHg+g{<lU@Y
z|7g`#`3WaQ-*<E#i2e7oOXgW`=X_Px{)*D*Ua7e|zMb!qnRVgRM6S4g>E4(L$IP>L
z-YQ#If3#DkEy;nSzT(7-9iMmJfAqNQ=z^)gHZ*jIC;l(*mAk!>&pK&miOHwMwswu3
z@(C|uHY5ej_#+?2@#^}<$92q%NrC#iYOgJrzGlLe4NQw%s^3KKs9<N0>7Ce+Y{20^
z)A#N}iydL+`WKDXu<tl5HTh>lb+1~*rWtGhJdkXUxw1hmG&$lxA?yC6Q=W70&w2QN
z&cmmokxO~oneF>`Rh>IKea<iATi@<}NZQ+RCV!9pj=Wj@+y3s>pK9{IPigI=q$|my
zt2YO<CC#5{`=_UEdym`HKZo3ZOiet#<5vF`htS=VXKWRJc&@L#E_4$^+tuqq-{!43
z+o$mP>(-fPZTc1F&w8`ws`sUHn^G2~RdmcV*YEXIU#Rwe)y2qb-m0&A7KWc*xoZVq
zMW0gKf^GMD%QV-25R+{D)8D1ky|8v-MO2U1UYkjr-}=0?ZnBv7d9B_%=bXfh*xx-1
zi=`)uRdQz@_O;F45OyNTph0Pi+B)8;F|1|DGw!)EzM0pfR+r!Hb?w0X$qoIT>c_ji
zX5ZMivB%3SW_8b^OB)PhX7zb)zvgv6NwQP*;{`8i{*z4XjKMp*H(cCNrhl!|)8jpZ
zEhB$fe|hCS&PCc2cYW+x_<iT89@V1Q@Beyr_I%NQc3?^Qp8lxK6C*$NsC<a+Q@OJ7
z?t$;mIvW4-EcpKO{R_<--}TR4e#m{bE@sby&#jT$7?<u@bKkFe%KblcXZqjniCI3G
zDY{$HHmQ|iD%Xz>dwOGnpZ98>T&e#$vR80(Po0)3hwT2=e-ke;{@xj1{_$M=^?Cie
zNp}vgobRdKedT$du_q7b$E1(>v-)b|S9)!ZExR!5$GO$N#p@d{)}48=XNCK$^Djl~
zx2`$2V$%_;^^q&)%D<mm_xM}N-ZvMyA6?=W%|5eAth=>;hE$KoeXXAdYB&E}(^q#s
zb!%|Xmhc|8<+Ht*{nzwGxwZ<dUZeQ3NOFhX|DL9tQ#rgbODm;#`+V~^Rj|zLVy@^&
z{oG;vtT)p4K%Uvkt^F7ES8neuW<LDpz|(mhk>>kX-gA1!BJhLZ#g4b4759?=H=OMF
z%OE86wB_lZo`|Xa{(sNx<;`N@y_Vc*|M{SE^}ppaHytUru3Q;l`jcT}U)blD8T}g>
zLpLtUOzI8G&)#^W?z+sMmE36``t4sgo=o18Xa9J|#g150<y}`!bgW;o;jsGOyL`#_
z{_RLD-0ScomhphIZS!x7f0uWzzdDiiB!}FdqZOx)Ds=R9_4udmpCM8?_l4>H70Z%N
zI+e@3xb4$FA+sxhHR<F>jt092TaF8KS}G|luVdLHpy(+f-Nv#adj+%TgQUkvFI4PT
zpK$oVw8A~>-opz5+hQ15dG;^L`Pmuq`2EV~wue_Vvpm>0>%n7J2KR42|Ncl^ly~;w
z;bz8|g(0;y9gf##2%X*e==p@I*fkxe4>PW4`=7v=+_5&)ri*RQnhOjcnReZ?|HIN5
zR@vce)5-nk>B+qty%!euch>){5bJpM!+ym_(cN3w4_#ZiH=;Li%@+3an}nA4cG%tQ
zS}MNjus~jahhAtC)BSTXUY72Anl8$33ybONSo*ooEuyz$s<)QlpV$LsvyVi7y?v6q
zPhjPm-VVRLJ+Ahz`#XxJ^W^JX)VkK&vHaW)i-`W%&Ak!#RRx=W`knqM{$}mVZT;>4
zSL#>qN}Jacv+d8J$R5i#C+8&HIlN|z!fELS{{HTFYfgT@#^QXfr{lT(TN&e?vPfC}
z{N9|243=xIf7MF9aqQfmv%99w>YKFm+${5?g^T~MS$}Jqi{m5<=Yx*l6O#^Z|8Fxf
zEpE=G=RJ>v*F3KM+#6F9dm?6nf=J`v`m&>%8xKCH=!<{5uOg|>>F2GUj`uN@y<zKB
z4ewY;z3=I`eA3EkVg8SP$CE!x>^?P0P1|;(Gb)f}Z~P=Xt@>F9W8>HK#)nU`w&OMJ
zO!;cl;&;nM^v8i8eRi80zbtnNpTef=BA8-XJ9ks*q>93A0;QIf-18Q&ipFst4|Hcs
z&7GrBywdSod*0_WQzm!q-*e3(Xcue#Q-=9HqU*n~T-6UbezGf+L%7H8mgMTh$O!@0
zESFy4@sGP^@$)O+)qB0J`$}0)Uz>3<MZo-cL~T@q$S0BYYae8V%{<Z0GRwjIZS2iP
z>B)iS=kCuJDh!Q@lb8@&ys@rq#XH{_*UC2ZY+mvD#>R7JZ|<G{ac1a^zdL4%S2r%V
zVQiWt)HFplEvD|~g!IGQNnKNCFM0oMF;fRa>>ZA5olc&A4}@%*_TD>LfAnE*|HhgB
zKW>q*w%fp~YjZ+S`|(DfvzuEV%;1dw-1%28<rZ7*hI{twKLme#dr7XpNAvH8m}k8T
zH@Y<WV;Ntcs7tytLp~ywQLumd-t}A8_^#M;<52^ryeeNV-|A2ICI5FWs+Q}L`*v%B
zY5VhzSLXk_bAFt>ZQsgjo^(^d{K#HE#s<Y#ADA9xrrn4?+Q7NWP;QU666eY%2j~Bu
z(9yZOie*nk<D2Av9kZ?`Br^)mIDdY3Vr9?F?QbW__4n>M-dkX&zBj&7;PA}(pO+Y@
z=S1qCIX6`$_)Tw7aDR;7o`2IM()vxezn!p4ZHMcpT@PY<O8g`bfBzG+vZG`%%kvvu
z8?MW5+`e$riCJ1Ap8It>-^3_)&Y8b^!JY?sl^ZucVdFVqSFZ3RCSunf_rnvo*|@*;
zRxonJ^u>fZZc}<bjd!wE;+vlEZ{32C0SEHSKW=_}qu<f;lbXVUJ&&uxc3kF_O$zht
za6A!fabm~Z?p<NwH<}Ji?y$GGaiZZx?8c8zrK)!~eq@jRU$G{M^&;2oor`4GpPYY;
z@xzYlxD&5gR$N*W!~ZY#p+L>f`ZXI~#2$;A@KtZkiyhTBJE!%oQT($ZDd5MWkE{#g
zly|aJce=ch*|1%@@8pY;ogyFKX64v+e3oH5aFlKRiTCYOVmc;-CO_P;qkd19Yod9~
z)$OKb(*4axFV4*u(yY>`WB>T#9B0qM{QFxiKK;4&F!$`ArH_+(@@0Q|xg4xD-`|yA
zasH2EQriRRvImM26{`--x%>IVpS1ph>F=I+1oxkqI?1E-MdX^E9p0NfRIh~1{>fCn
zrYm2WMcJ%%V%MiePVXjVt2OVoDEjU7__n9NWzv#KIag)OCY0|#p~&PCb9D8Q+-v$v
zzSQ^lPVei!|FW(!!K63uxtCJ-DwTydVpeFFMkegL-2HL8u6_Bn?gPjB*Y1naKXT%A
z@0?=wb2=Zxe?9H#wyxFB_!1Y>Ymr%LwS9__uhrpy&Hq03c5DAV$+)|3(*G@L?$elF
z_r;gKI#N*-+Z$kaKZxls|Kre4uQgU&w<_zMw{*^IX9IQ@_t0ksqTf2r|MdG#?diV1
zGtS^*N^G!PV@my+LnX71hupVb-RJV7H}3hTi_7~M^50x=R%R&mw03XcV`#kB>#Mhw
ztv)#OPfzCClMY9FeY7HbvR;2-dwj~(xTpJfNz$K&gbSZGH`e{=>z=Fsx-b6E-u8<d
zuNa@2zb8gT`KrS0|2^HG<$AKa`>Tw2_w>XEoo0^jtup@exW}?5es3I;<F(!%Uxl@G
zTX(GK>6y(SxaX>I*~uebeLcJGZVD3aaIEaBELs`;DM+t3{=N{iE;lRV{ws6Bu66kD
z=`oCa#c+@@rZ=JLb|>4}PXF1P;>9f){;ce*-XYhuzWMoS`91wTvmAsfzIFAOrguK>
z4(Mn4aZP@H=j%tQt|rH}OlR>lxb@@3gWwbT4t@{vl1{|keX>7yB3lLH!E)c7zHz;Y
zlReH{UUNU0Y2pLf->cNzSsc3TUOMidxVw{)ee$Ix*C(?6oAJ=zl{H_Ah4*J~z~-Kw
z`q<xB*jN5m5k4BgbuXdc|Iee>Kd;Q$`%CA8$CJ2>oL;}Tn_Koz?@9P;Ro`;XT})%y
zAI2-)@#zcAOz$yXopZLcM{iR^T=Su{z6|r9jNVt~Yz=39yx(Wdnx3Ax*h8sBNn3h)
z)|H+X-M?T`*Nb=V6;tF63irPV=_w0cEpD;Idi~Wl^@<PsukN1Iz_6#!sZ3R<y)rSc
zcYQF+<M~TW_VoCt_Vip|H%+R&XTkkzJdbNns<8Jb)O$`iR$(&9@$`fXANt*$u9jU|
z<LhugY^Cf8w+6NA#`#ynI+`~&$~?Pny!HK$qz+;6n>@3YD0wGX#%*Xkm~^;{`QHb|
z6&lAGcivxh^MTLtW_x*y)mP`1U){9gZ(mP-z50&5|9h5&%QE$^6g+d}{;xN^JyG9v
zgRcDEqcz$5=C8kv)e$|3Z|BAIMqS^$!(Xo=$!^VU+e*iatJa*I@z^@-L&?Vlf3yE}
zm`+HlDZaNsv!8o{PW<O?rW^g$>xC6V8SAI$l!kSEIo?<B|Kx(rO_CpzIvM+$-jzRD
zW!vo@zUFbilZtcyr&qEE4Q5~A`hO@U|Hr`}m$JkwIluP>B`@nS%9a1s%O?G+pY{C}
zZrze&yRSxns_Y9s-P2T@e)huiH76TyoV}2Ea0kbqE7{#bZ`X7?Jo`9FcJ-B<py$06
zoevr;j`yXnSGn<+zqj;Cdc&`t^!Q(&7xO>wm|<JpZ+h=*l=6jyhDb^42LC-h4%aqS
z%{sTI=+k7A856QL{aDo(HH|~lrmJqxpUc@@FF5z~rk}3=ba-CR`D2e7b~7o({HyMh
zyLKh}cthmR-t?({pA|o`vVPfoetN^T_`@+-4T~x#$sXCar{jf)*0mi;z6_Q3dP4Th
zl<C^B@BinAhbj*#+CPcOWtsXi=<)p(*S~lCVp%$oVXaPIbi&<aM&aJ*&Q}wB;vZ%-
z2w#10C-#4bkLmsoF$a_X{aShb-_5IabDtdXI>>eO3cFuqPwc+Er~XKM^t&cDYwr2p
zl<dd_lSL&j<)1KkJyANccXG<B{0<@Uo0q#gf;V5~ZHoQ(vBJ3L)4ZOrx_>brR(|XY
zdcNX(KjVr=f0b7kl!vJ{C|0nXxNrW*S0H`w28;g|{vB=n-Jxr)#mt;|cA{Bjcc_JT
z$7CfIjrVgoqEF74#g({IZr6{8Zz`HjhT2O%_?Z5YSvjdQRKUqVn#Cn%3(w^Y7F)B2
z?;;rtJ0^bKDffJ0>YIr(53y`esP>zj&2jZl!0IK37GKEUHNlO^`KE1X#Gi|djLb=Y
zVpABlFbKaEv;TeYTf@ub|1u~4$lvqWZ;--Zyl%_fx4p&w$9A;!c<9|cV!ye!SpW3W
z?knZLYlN(9uLm*CNjmpHYstsSB3FMVuDN78kKyv369)Yp{2dxBreAi3+5VI;@A7hG
zart*uXriR%lpn$}vU^@{;#Xr-yD8zhLcpKdpzWm5l^3z5oC|#zomgXK{ypFMMDF;G
zqhbdP_f_6VHNWvCmT|^q`|f78t4^_-I^(qCdP<*un#B3M%Ukv&$4sW|A8+~iDjARW
zmCnuX<WgpAz4sz2cF#fMd7ZYkC$2cGzgoUeMZo%+N~hOEg^9hT<v;x;*z2w{?^*LP
zN}a**6FbNEi=Q7Kvbp-EqqqBY!Nyq!^EPd2xss=+Dm3x^nvZ3w4BKCy`!8{0#rva1
zX?>y7*Pbv=nlj<zynWdxU)<`>o4xL1?dvB()7v(E>}a3OT7T+^>XXjqH$A1N>o-Z|
zAM>hHd$aPSl8r=fZ_B$mN`-&ceVolRcN)8^h4r5P($mvL-d<z9dr2WvbZg}-g`NwE
zELY!6bDS)3{7rw&IdvBI_p7Z>vcB)BTwSv14$IX#?b8~2t_WxS?2)|r$)WmRU#)tj
z#;cyNkLUl(b>C#U*;6w&-q65oR!>;WC*5F{<n^q3vomD^d0iu)O}yGTeR9YKi_I>~
zXWOL$<9chJ?(IpQ%+>v-&pTxP1l_NDdIK(9oz`pdKfkg;@4_5=`-hEh7!NIxc0B28
zz{cKbsdV^B1!K~K8&A$RUpRE@#4~FhnU<5&daO=<VixYOQ<_-%Ns2|W`C>(fbw$#Q
zeX?RN+b+FdVE6pSoAxK0)OwRzE~l<uyQ}sz<JT>Lle5<9v^}4?OZ@AazSiiuJX6IQ
zG9SssJovMD%b|waKNl{|UKRYN;jU*x_k^8~RwdrL(s%XK`PF7OEV+I^3=jLY!~OC5
z3r*iE<T@l<pUgZX{{DdS;V)PF_nn-P(y=`G#H!xdtNr1>qtdzLHn^)lxj5_owMW;o
z)~hh8FL?KURq+SL6F=uMo9|gOHR#^i{cm2_t8ci~-~P9wFI1=LUrJoSfzC<CUmD3D
zS^YqknJMOE^@E}$MqQ>GSLFK}{+~Q-`~Sd0r*5vAYa4g8GhX;$x^d+$<^{IQYhwR@
zs%4az7-r3~E@O65QcM00=dzh+&OZ=-ce6vUpJ{<f?2S3IZ0sc-$J9><EBm-WAflx~
z(f0LDp2PPV&hHnHX5c@Ql2ppTy2GA7ru{?m|FEPN9TS!<D4Qr(|4{VBqvV$x`a*9g
z+)O#Yhx7RBe#Xz&u1tNJy5q(~Rr!+&K@7_;gw@@<yyob|uqwF;SJ(gje&dd)X{5lR
zi?=_|J!HSPr|0j=_bRK}7ApN-7cuvSTdcBgQ$Xe4r1f)K@9tP~{=$@3CzxbAd6O?q
zi4|edZmndH@8jKeeTsgp=cENUJ?s`suI^bJRN3FQf7KHqoj&f@`{EaReCu$Vywrc)
zqSC6H3w!(A*7wYsHLr0`pWAi2wtwsU%C;?e|77LvD-!c3O?dEh<;M-}&(B=djql+-
zxx%u?<y>XkzBOI$%1jquuT6>Ua(8DE4EtVwyQl1T$ft&BePwpr7p+X$k=f(2w0z;6
za}y^lDft-F>+<QwtmH{IOixyx-pSV^P@dcCAzI1(rN`B9<&UsOdB>-S?3dia@Vd_<
zWzm)h|IVn%2V;7LbNL_03BB$wpFBbQ?&iL7yHAYV4;(uWO}i){@Z;$Psb21jzb12j
z==UhUx1*9@`{v$|9d@$2Z}lqeOqu=2?^;FZ&+k2gUqkoy=+xh9`0=x`U}H~=UAS56
z`S&m0Rh*x5`p49tT{6c{Y<Tz4=o^op^`f-yg?>GPYqy{M5Fa)tt9AbQ58uCCb*+}3
zu;KL&cZpB^_mW?5pFQ`X{^p^;<bxfNrAHXgv7Y-_cX|=i(cpRWvi_dj&@=y}qkZIJ
zqb&``PfW4ew8*qi?CIonp=)~dlo<Q%o2st$=m|3MOU-T&Z(Jh3zgzCckq}$`9>2Z)
zdhuB|8M|+lMKHEr?qu%GjTK~C&Afl!l5f?$J}3M1?A5tM^?QB7E;Dbw?w<E_gW8`t
z-Tt|~LEr!7^l8@hYQO0Xil4f9#o1{J&wHGw?&%M@_g`*zTpUx6<j<nLq1_C;i)YN-
zJZnewy%TFyj;v-=p8t5}whP5G)~$K{qG#Ujmi}Ecwmue^u{~#DYSO`72fMhAZsm;V
zG&nxNSM6&0@foLA9FSR+$e6To<_Tx!=0mHFclL(9a;;#Il-p_X?e>D&^L-lKJ7nzV
zHy+p{Y5peZ^^BdfCVaa4A@*ONSM$rHlk>hlESg|-|HIv+i=O#y3s~4buKLg5k^JvP
zEQ582Z_;6_e;wg>Q&=aj2>7#Z%d@MV*H2yKu&e7;u<V~O>*?Isk3FGvhghyN#ymat
z{_DPe>58Ns1%GC&;^(M8^+0S_?E3|k&b}Nw>pHV<?CF;K5Oea>uDqO89r<NlR)1DB
z9p`$m_uq{saq*s*xhr}fUi$xer=J{i_Kztw?Hw{@-4TlE46fZfCR|V`k8oMh*1P2u
zFE3||QUZ%8GlTj6oq-=WUtp<f+bPY#;Qr&$i8B?tFKX?}m^++K7&3(4oOhV1Q&Uf_
zQ+@S?=;JG2@IO8o6=A(2*WQe|BW3-^gb&O+YPsgG@6(>}jG=d-dg!0VwfzTsKc8@H
zkKyikb^h6=)fS&v91S#+8E%R&d~1+Bf6?*v&wj1vDIy!X<c_~+SwB&RF?568@f9WZ
ze_np*P43A(dd+WLY)U9|cTe<Rt&JjE8E351zIWY^H<_`2=MhQCKO1lL+4%l>aFIXe
z9NXvmj%vGO8z#2LJnPY!s`q?FLvQr;RZqCmJ4)OIa?AR3ex93ImiDAScGGd;`m#Rh
zlMl^b-E4oTBB;Spe!rjNZTEB6;P@0dFW<&n;Tsr}u6u4fDpY>EM^!8T!|#a-(sR46
zpS&cgwXL6h?fIuco4AB~*tYgYU;pjR^?F+m+kU;@-AQWEz0McTe2Sm`iHWl}sY;*K
zXiw6Ob=Up=9SH6<x$yD3%)@)Dcw#&sL>s?e?f&RSM>2EM&;K${U+=HJVb)*~1G?Ik
zrDRfq1w)fVdn`i}OQ+>rDbKXlmeNM253vjrcO2Wuy`nQ8b^hOh;s0-*l?)6FYzzzx
z41BM}3uE8>uiqf%?$00{w=%Ew<tdZe2eJQyCkWaeeyx!-;ji@nBv+PLt=oscH^g+s
zGEAB<+4S&BOXdfOuYcT)6-?ZB>?4bo^#*gU69-wiFT@1CT3#*I_4fCH%0ur?8SDMq
zD0g&+=DwRJWX`{ijW}iO?(yr-)0<cNZ*2U!apunrEDxIXZFpx)iuf3N^NPGp63dLq
z|0d+`X-JvRaQcQ}!-S*rtyfo0I=FGdrQ^0wSNz*EVYjKp>!cqqqCWlNT=RIA*0&}V
zn+fHI5=8DN9qf}=JrHv+=3k80D~9zOHEx`;J0ixw%e&w()0dcdouoB0=1oY6nH5oT
zfur>Ei`&)=95I_>{~N^qe<1z%g}Ka>l`Ni-EeT11)9ijc-2Zsh<5NdIUrwF%bXEPv
zlg{(IKeTqZNIc=ReY&dtP|TM}cV<0W^LJxMhF#odTa}5j%;ulkm@}WQvQO_-GyQ+u
zEN#}}IWKyiud27)y~^SQ%la#E>uzlE-Pm()6T{0l4Mp=7e7L{nN6HE2mHip(*09`O
zb0yI~t~dE;PxiYlELTHVB2RK1?OeY~;#2KUj`HWLrcdW}=65~O&g0taoS)lgWuMA&
za%T3&Ugx;e{PTOuey?DOoD`$SJ^#;Nv*%sXcb^FO_GgAqV^8kQsb85QF#XN^NWs~Y
zGBSh{uVj2b+3Q*Nigj-aPv=_Suag)qHwM^$I;+Bejj_MMRCM-@IN_UrdR#)xT2h|>
z%)7#Dy1MIhMW45)NSfs1$Lo6(RFdPjvY2xJ+SBj)qu(I&Cd;#bAJz1jYS&+2xY?=h
z&YHV*)yp+K`O&4Hxa|M5Z)LcBge&^_fg|&uZM|=;%kQ0i_I;n{9tP7lM>f3av!1`V
z*W>cslFuq~M>quce+f4ESt+!-$9HECPvvQWl|A04pRaiN@L{Lt(HH8gCft{BZ`@{o
zP2+0T`)K~}uD^MdWkp|4R7l>iKwiH?p=_a8iuCha=Cb)`zol+E@Mh-gA2VNXyz^oD
zN~zk96Ygi-+pv3MZ|CWIS2Newo%H=H(c1d|bdJad);DGkzIJ;i-B|pi-`!)KSBR!(
zMcKlj%@42Dt$FaGPRgf}MfypDFbgmDO^w(MNi0m#KVsHf&$|4H(XxYQ;=BzS!Rl)^
z{(t^+lI=gn2i@lzt*rO3ceZdc`o;eLmpaL~-IF0YVM;8+gQnv%uSjLQzM7N##>o8e
z!F=HlK6PaWH=KRiEp;z4Flkl(@sm5cC*H4r)5*1Q!b{Wn2baf3v~=hk-`W3><AABv
zBgPY2lWOJH{ICCL+<kJN`Tv8bl^DejbjQ@h{(HHzqJQDNh&?N&AD_tX#3Eqxpgoyk
z+JptNn<@f58W^ODxEE}TY2Z%&pBl?>X2ajRCmc;IF5X@jaXoLkpTV4aKjZ%N6`ij=
z?GQYh-Re{1f{r4qxP#9&Zo2rR@s+UPyWsu5Uj2B;WB$6Q=(DPYcXmH(>K}p4*K+kY
zIsI=gQe&7o(W0Bb(A8q{jb#(KK3>hW>h#iFxZ}s!E4jN>*lj;vkmo+^RvoraLUGxF
z<bO8TbC+hNnoYjqvp?sTU)`7O6B$%5*`_e)_T<Lz@{8(>>(Tvvq^g7QhW+cG=cBg2
zS##d+?zc<%e@++4+3$b0vs_oCc=rRro3~z7obA_rpLJ8n`<vKam6@^<E5DtZ(Ae(6
zB>!jSxknFYo}Uq<y@^TxO8B;w=l=eAvh)5_QSM#QDy(5^&p+RMrqC~}E6<(9-R$qN
z|5yDlO*N?2c@bpy=*jy&-O!U#;qCpm>w0y6Ut)Q@UrBySPp^{xpPm^1lS=L@3i_4&
z*YwAF)do47=X+eb$%u8jxcf7Y<zbylpI5pnW!|fwa?$RR)ydMO2RnWWRZlu}$m4p)
zv(SmfUp*eH6gn4*&0ks3xq8i``q?h+KR9+AI=lLN*TGXNESdr0=~K!kW}jVoXkOQ&
zl-;uzPTlxuM!wjO+<$Ss64^fkB5%lUZvFUOw=ekjZJFmi-MaOCytQ*bMb|%3HSOnJ
zpKNyFq5SI3SIH+Yn}!KJINfhBBh;3KDdu5|dF=oHAMPo=oZR_3XS4O84|mMFfBLZq
zt0>sA$yQE&bkiY%vE!Th|AWeoj4_$>E{NT<tlpCOFlOS1hSEQ0tkPz$eZs_gzPI$p
zoDVM_vfVq<_$_9}hT!<XC9+|&r@pxmIDgLR$I}-aKf>4<d4pT4?&N*tRxa5(krz5=
zH=q8<c~ETL13rJ|wR`?7)KX>={K&YMbw;r}3zOiU$uGj>|Ndn7J0aY5_eAaIhXmvg
zeV?86@Arxq%HOtrWc;4=^?v=F0}F0-^O}BR{#fSEz`MrlM&P_oE%Q3VnI9)|D0j4P
zNM^Xvb%H~0!^;j1lUE6LZyKuRF<7X4xXa-(X+IBhX3)a#jTteg8Js#C86tRA9y=Jb
z`30l)AI^qLd>eLm#ytCF>H2cwwX@qdzPwN!*J1G>`G3{opf!_nj&EJnmLInB-$X{O
z4aVp1UT2H__n{-1VGhIQ&kz3pjmWwXApecyMn>|B2|coQ?|;O8YkwJawnP7a#mSJP
zi@o-JWc-nQ{QZZ+?;|>uH+Q=}J7~6N!+{^09{V#!hdubW`B7#1gB!N@l3wgO-x2-p
z$Hxh#`CVS$ekdK`Ud((%XkEzDmLDs3ziGHq(f#B`LciAW4^!VwOw)+(VV%4C)MbUd
zO-A;8tjY|+Vx>D;U-w5}n(MIlO&{xINAEv<-sko^9DK9(tasf6wapjwXIb5!bLGYB
z&Av0<mvyA2Sbe|l7p`~G@rB3k{^0d0%>RF1@hj2~P&SMG;PbnAUvgJm_?teDOZq|5
zp*)Y(BQ+xfR`zNwt#b^y!WeTsA|b3N`gq56xucN*8Q<^CUALyGMd?^dWkOaT^U6~_
ze0tmeOkdN_(bcXtzni&7Gyb2yk<hgsft4yu>c8jwKlA9za+$zgl}tU%JA>te%^7d9
z9Bue%|N2D8jU9gW_kR6nb?umQtm(oEgK8b)HbMPI9<Q(XU8<inQT9bq4}ZB<CyS=<
zp1zjzQ>!+ybUf}A@H)+0_#ym6^QVoQ>a6%aZ=7MW>fMQZCp!baDcaTb-Cxt|aB6xF
z>(uH<naO>8PbV4wz488fBI}yXrGI*OgVYsEe@bkgU%l6#V}INgp5@=#o+hp7ydSZD
z!-~yK&mJ}Y*mzaOdQ+rIk4}H1u>3cco%fD5rCA+eV`61JyM5lBCGn@%+gGPH`1H);
zZT-Cb&&hd@X6})VV2t^7Syr?%YFX=tJv%<ldl7s6<EzKB&K%nwZS+2FPR8+vG1+ko
zzPfG{`u1~nvfYX2Z?4FmQ@1xy+#t=IG?6d#NAaQ8%9|yt{(oRf`mpT&o|acrIs@)*
zY<pF-iQ)dcC$ml|+VAhwO`Cboec_>FKewG|nEk*jbmN&0C7H+Tuggy6QeD%_x8Z7^
zd0uGr?Bf#^FMndan!@n0*ZlgbX<Hi4F1~Mc{P4Yb&o@pG%ZlqUe_yoOqU?f1KSQT}
z?Veuq`zKk{o=2^USdr<^VD+!pT(|Sk!DC7>r*_-c&-yrtO_)is?aoqzm|eRh=e6FR
z8N9Wtql3X&zH_3i{ols84@>>IMRe{rocXuorMpq@8`cAN`a9N&u78=v6#MTP`wd@)
z<w>s}=N#VpFl^O^fW{+-eQySCWLW7i`_sz1!l(BAE4s(XcKPAFwu_rP{Og3y|G3Qb
z&bq_c=*x~Rk0Y0IK23=IZ?b8_*;nuKCowu6Im)H{#M*P>7hlHcwx3ge@8SLUZ_cJ}
zi~kkLy|$*E%(fgeMOK`<``Gw{VrjyeB#X7(wyS@-C^5-<aKt!p%Clsa9o+bku|w>k
z(vKPCDL+eg%Jj4Dh&8|W>w``!%gsAiCu=D()~}gaaPsH0l_go%K9)cH+_2*z$F{|#
zDvS$R|K~3?@^qOf%3A$yy6m->6%B`z{xsf5>N?}f8yeZ+sI&LVo0~7cb~9}}a5wh9
z|B0#pZ|qrUzJB$Z^u|vg8}Ds+v0;T)U61a)nTht-R?qsp;&IZ4z}Op%57K1olx|pV
z{xL(FNyv~Z_TQ6=SVolvlYN<X|4D9m`hZdP^_-0#*HsBIi3p3oiqs2id1`6j@#MqT
zB$1A%k1lD}pYY~R>2rQnds0cY<x$s%jn|WTuW8k0MOuirzgyGi935_?dh&qPy%YQ0
z<yU@kVBc-8Ce*0CaqE^lEHbydCaQnEs`dS)@uD?x?Z5x@I>)6hIQgbm>+`)H=ePfR
zrj(uy-aCmw_Nrs7Wu%f>%f*)|K|jx}wU1;fJe$8-m@zUb`%KMArp#|Dd7++?XAQ2F
zwLMgky&LiPeZSZD++H!+*S&V>EpPPye_ij?6SXR=Pcl+~_1Z(fqrHlDr+GH?sc47w
z%6$B`-u~V!b79NXW|1xYv;AdP_sHn58vpO+%V1Cbm?2?()lzSbc>}|$RjXDpF#P{3
ztj)l%(3OFKfgRLwVTi8^Qx}kBN@D0rvQ1))VN6nb$HZ#R#OlPuBo))cAjDu3^C8AE
zhL0tQky*Dp$ySg-`#pocg&+enFN=CiCxZin5F>-83X?7~e<!0LvuQhHvOp3eQ{uA;
zNft5Z7`Yi@ILw&YlOzNg3_h_W#VqP(D*eb(s>-mKp>Wm**3xdqc!e127$!|Y_UulU
z^Y1m8a#_!ZvPLQ~MO(2(Ph^knU_Qz4pyN4Hof%ULgF@2!B+Dd@V@aM&58uUf@F)G}
zmh9qk%=pCP*vT-TVa-Q|4I(^_U7jqbnKZd=op|_?+IZ%>yx=guz%jwag2P&Z)3YPy
zGp}JM*Yb?dJj**7mUFbc<T&8MdGP{+lz>FcH-43Vm6#vQscftaVo5eJ$6^^;Ia$Rx
zXU0rsNa9Gkm(0)^!^O-hHYcV*DMltnJ%)vKB@1I;?ElP$7;rywHmhhr3=><j17l2o
zOcA@VASbJ9vcvz-826-09G8~FFxIj#d&Y3&GN~~viD6258B@*3va~<ue+;ugl4{Ho
zCMOw}m?*Xh{7ix@Obqf&F*B#cM6mmF6u2-LXRy3gkzijX@TrT5m6wr4x-ItKU4Bl6
zWJdNR&Ljbj<bRK(rQb73cs`0@Nz!9TQc99Znw4b1l60J7^ZA$%MhUkkG5Sfb9g=<|
zF~$7iH0em<WqPPAu#Mv_)9d?5OvViTsxb#+1pdW%B{81Ya7bDaBNn3|(ZnJz(Y7~+
zmm%g~(wCU{q&0#JoUROlat<-GVz`rh7>+Vrj=2|;7Q?|5!{8ZnB*ujyi81!y>sUq^
zMh4UK$^V681sIuPUNS9+Rr${%*e77G^io34T*AmfF=l;?WfG^h%0K2qk~U4B1y1qB
zFbW^==#WW~-0kv$<Nie<PbJBdU)T*NePT4^-o$Fo(Z-w^^Rbg*x4_02Wla^O941{s
zR`pIUUPhJ}D;D;bF&2sv?u<!_?MmmEq=Om$Ha%uim5BMtv6<tS03%~eC(C-pJs(+X
zU08!VSgMzN;4o*Yc2U@s#H2lmRb943ae9m5MaCFTVFAV%<-bXuZ2BB^JiL>nGB|o!
zBDDlru3L&uj8S^VVSAp5*OQ}Cpjz^9%V)iiq!0YgF6{a3Jgz~Cr+HjedNejM>3gz%
z)aBAlT=I@<BkM^OHhuO;Po)_QCs`t=3NgCy&VS2tT}a`(kR-RJusKWOT7F&jz51Rk
z8x7X;c6%f}HPGX1bver{7{he7$-qe>=Cgvo3-_BO%~unCCrL=2k&;n}{a?i0$CC73
zqCzj`l|YPA5|ilH7$q?&hhzrjDYi*$rZP@KmML5=F?s?{FEyMmFvUo*nr5?S2QsXY
zWJ~pyTE(-1Q(y&W%pyIh120%};{{t+#7MAQ&FWNYlJ|I{qUFKCcw1>+$aA*4-Awnz
z`L<R$#C(qtj`_i%=pw|S%4IQuh0B0LAxX+9iN*ilTZx52BGv-R!c9riYO()=c&^GN
zeV^#CUr3;bft|(R!T%V+i2^g2G%gv`FeH6R;*Q}IG-zQr`1MU7i8Dstg6Y3Xj3gtg
zNHG^POD=QLM26fXmVT`(DjMH(V&;lTGhJ5ENMN|AAQ;0P^Nsl?BSSN1j6%$fi44y<
z7+xE8sd%jMS*4NupNXYXi-o1~60<Rztf7+9pYI%&9*jwXjI2)GDl7)~n7%6|Ifnja
zVs&&ZRg8Ja#W+DT=01nAV_}0v%+(k+PI(uOY8hsBj-;y`;<iaDk7EKoBqn>r$R&9s
zIBa$KZ?Q+1!=1@8<zbR=Opu7ZjP15XysKGxYz31<x$XaTDtuwcwzlG$B7abb+vB&D
z8oxQOXv`Z=k3Af>70rb>?=l=@pUCm_CnG!KOpb>!%t`NDc`mZCPM#>tGMTa6!-m0E
zrMpv6K1tM5g<+zQdZ&;lQ~EE4R1UTP7Ve}5xtLc;@-dGrJsgrWV(xO>XL%%Wkt0UY
zA?AOQOw3<_+b-`Jlo=y_3VA%_Fl7{oxgI0PV93Z2^EQSv`QPi9MT`z{u`b_SdF|O*
zR(o(HN%FF-o@9B4nVI3Git)b`!wE@`lFR};8F@PvJH$ybX)3VAunPPal;oexV#(nV
z;}BzTgNav3@~u1rr=XzhJqcxzP7fo=ejY|)7oku_fj|{bj^Mz0M#07hNp>-J1d?=<
z_5^Ha^wfOdc!lAo$}I+e&o@dB1l}7kb5BT;j@iYK?fIpHi9`LP=O2b7(WH4vS)2^@
zjK7l^IIhHaGW<?vP<k)0mnV=xQTyk=OBPcVq>{vvSUvtU2q#UCDNK4R(B3d7CNGA=
z;{u0`!!_ZUCPxnUZAl6S1st3VqL-60_>=fToP=T$xA0!oVq_?kO^Qic?GU4z^d!kw
z;I9h@1M?n9<0gjlj7f(h=5#!a;8Pa}?r>(1JuDF2A~8Sdpul&I5}EZaNgQl3wIY$p
z41$4Kdm;=1JUOH}bs79QV%Ry({ClL5%*dh6a=hua%w^dm6OqL(nLF4ef?XIHHZuyC
zD`lS05I8AxhqGTulhGwcTHxj%uK6l*8K#-9I5}&js$)1kV*kAfm>{xq%B7GXX<rU4
zj@55tT4ZA)zQwHK*sh=x^Mqrsf=>)*%wCS8OadGy8DngdnvO8Uvd7#HOjlt@XQ+2%
zV0DUF5>(zI%cz_r$E4YDib*kt!IpzVU~w#i(#oKjPE67shhuz#mMbc&oDcZLd~p&x
zgKdl!&uJCi{T$2_Ia0ZG{UfwI_!u%ZIh`1cWB<vn-x4F!%eP%9L1`}|$5;E9GfDRu
z1$;G=Tw;z2MqJyUaH5=(X)9wbW0FvN!VQj<F#?Rq{~j=&i528nyGx)pm?de8KyyUW
zF-3t+#~8+oogBvnrV4T#cTv2{!T8)uq|tGs7yDMRRBx{B@g+^l_c>#<qTYDC;p~k1
z=CU&Ck9KC95=Xo@6K|)0K1cR$CP@YU7<(o~mSstrD-~kyI&!q?#5`r<b%|!OUcfQk
zg_DV2R3X*n1jo{RreG%~*QALY$5~1klVkrqP-J3bSZe7l$RKK?ahlPQ$=^5T631;9
zYsRNZk#;V^94}vLXnXt@N#2-Q@`~m25(abG$RM7DE_Wr~Yx690*;|lRnejl0b7sAP
zu2QVT#~lj%9id5^7+9kO`W2XBoOvdCypCbwZnhI##nY_9bUkX5Bg1U27%hf{S~9Gi
z45F5mdl+OD1Y+zNlsQf(-4Qg8(GkpimBg9III*H%p&&;y*dw1sUv$1eGQ%>CRCh-O
zQN|eGiWn8fia5n;rn3^?|BECuUS!$+PxRwUm4(yAUm65^=yJPC9Av4snBAVtz|_d?
z6tg2HTp(ssOiaN_*G8dpu?(+c{LI2c4yX&w*%~4yaLh$$$7|seN<v3gh<x(UiZK-V
z<LIO`apGygBaA{XPR4M>xJ+b`JtVL$$xwx33acik;gku7WB+kFbPBI~6XU@j!>5uV
z()YE+kVEHOl7fyv43|iog=b5$Kpk7|ey00dTn>oms2Pe_Ogbx^!pSW#!Q%)gJBy@~
zXizM}gomqGzejW3jLH*HeW5EL-O2D;`Oz7bJ07QmMLC#N4hsvjDS8Tsdu~zLRq|Gh
z`|2c_-%>Z3xfzn4CYi=u>|_)-=;5$rVqxNbouoT4;9m^0ILGgf<!qdq%8n{ZhDw5-
z21it!4bHWE5m3JpW1-cufSoBxz*8lmWwE2sN&}t1FH9;iij2}0Axd&g>@S&k9anoX
z#mrGCZ}DL2Wr`_$!N3&z?^6<!ODv<qsn5(}Ldxl$OFl3pnI@$ttxk$_T+qs!BpKkt
zA|4?0KKY;L<giempb!@mhUsYxvz0vKVr5<m@i45O*vh98BQE%rf!Q<R4r6`~!==O)
z_T)^JNKY--1;-hDRZ^L_ogIW?n8c++xdoY&#hEmDC&e(cOSvaRsc1!KY@c|9Bgt?Q
z%i~v<)LfOA_>Dw-C#8slnkGB^Qeo0JHCN&iDHRi`oy5cVok`IoP=)Iy(_ha6j~FG4
zy_dY1^^EuN?nzve*KC|5Au4I!aY6cb4A*;=fK8Ido{|r*e(_9DV&#rx(e}Lf`iz`I
zs8aEpFDJ|<-qqkx5SL(85s^ISArw;)Evy?m>EDeMW-j@U8XsjpT1m)$T)A<+?8l{&
zujM{oV^8)J+Ue}=^pKq~M&{#If!|9Ml4U+dvDd3BtiBj=B8K(xbXRkxmocVElTI3_
z7wqDg>*0Sn|G`#=pqSM$0Wx=+WdA0_{FZs|BK@Cv)-eIbm@gdHWhZjoW^GV<#mzGD
zSdw^*(zS#PgBeOq9}KmV|NYPS<&u!5oRB5(B1VpZ^{pTSCkF#Bn<q23f#Ah!Lc#fw
z+djFPvWts~x_=ZopJl=xBxb-UCi<E^Swuwig{RVOV|L3(6%&Dri%gcp_(;pz`m5aA
z-1Vii<H&`lN?NiW923~g75zW6{tXn96;@7q$+5rb3deDY7=MGeDt7EQ!W}I)%O^2g
zt!3fpXi|@PF>%7a_bciccpZ}eeTjK}MkVRS{TUW2j4_gtH>azzxOa3pDRo?6PqJ(D
zROJ;G>_`%OaGUXcT2m}To4RR3^1sJ1s%&OVcawg^OtPCWUCD-7OCgzIs#B!kTsKAs
z%PSKP96xjD^n@P*NjzNsZ(3sX4OR=qOweF?RB0ajU!^!kAhEJaklp%_z-Bj3_GSlO
zrR73QQ!8%8y8Mr2S`hpuLnV`SoztDpiLR>GCa!2y={WkBTak_Rb<AW*LB=J&1-|4m
zvb_5iGb5H^QX}hci{P0XR5TQ&<sBU+Z;5$4F|UckHZsZJ)l`mFE=`e`j-VK}NgT&G
zB0HSCk`Gx-c40mIhe>u);}j;}6IVT081*??9sb7rN_ye&_Q>}hqu;GQoKN>9-DZ@?
z{E=jrw2P6+*)r)$lCeS(b1cJE-bE)X%snPGrV6?+E|2MK=vi^afFamHDE5CLo2pAX
z)4UA~Qzld<sR*5$)b4Tt)JS1#Xif4LWD?!Sz$)80<36LHA;XN0RSb?96Er#Ae*Bc=
z=(s_jC+QCZtIH;aNSOnP6C{&9E$ClxbwZL*P!glL2P4-j&Sn>;j%tysQw5U$S8mE+
za!4{vQcn7}fQx}6W?Pa*(r(9&1#C$yEYd1f0@tq`bA0fLIqCC<y)32`U*wa%Gk(~A
zGASTw`@{t;D;RYd3_bn`yktme;?m_z5@2v<xF7R1$uUOh1cT`hj^qyZ7(RyZ{|u@g
zf)ar{9|%b}&-w9qqSnq=4Br$4T~@F#N_4uc<}iqDQ1D=ku?S^~W(rhijro)`N%e=e
z(npT9DnC+Z<ai$YxKoZJLr7z4g!iUKiOXkV8RjY(d8}Mf%+ajGVfvY)bK(N;B!QhL
zcs>3xlqg1V@WpHt=ZH~Upr3S|p`%kmX2tc79H}fD)RX`HRh&`BD9o_HiG4+<P*RLn
zCsVBT134AXKFd2Ej4>-BDwsGGlGu`XHSP&XaYza7;pi0DXPLsNb+2WIaqK^ao-H9)
z1RuEwwlE4rDG9#2q;SYFvV$?nH{zTUbMRJ$Yf6P25^os<J4$0-&UniEpxZ-jgQ<h~
zqy&*>zL*bOF`ooE1k#!Q#(8pNZ8T>5sbtx}XOQE<7_;#0JBG=VV$&j?aZFN)EZ1Do
zl(r$CF(#HV-z8ufe}a?>$BDfQrYe1EdJy9@b)tlW6?3|TmjYwVN>0vBPE(<^j3?H+
zDDq3n{8wRNVi!*G%rOwS>d-bx;I>f3fmjbUk%P(%Dlav97$j9<|DC9i2ykQ)NoJH@
zkt)EH{ExXk$um-hyIqjY<77<65upoBnMLj~kA?2E2xP_RGHzr@IvC5aFh*&$0dty=
z7$-xF#EOI%p%Vh#m7jldB&|$hlw?g(Ixdi6C$LcIO;<a+0=JTr$!0c*JSA3^ORU1T
z9VHqLCOJzi5@3#b&GKd%L-POVB<5s>Re}nxjuV{U3v#&3zHmu~k%8GO>2i{qL+rno
zu?))vj2Jj$GL!#3PgY@gCM|ozOM)@RW`c!g#C!fdD=k_1_RO8w!?#CGqM_fjh<{@c
z{~jZS-xFs}N(|*(!m~?AVmh}c=eYxi1gEI*8l2`l);ZxXgXmk4Bj+Y>6f|ZujS)%}
z+@<g@##3<BjSdm3CdR<ze;-9`mMAi^KCbWN+{kd!k~vd_dnUuoNy`P7G4d)js&JoV
zc<;#Y@k@-1#33Qg2FC9ko&q((a|Dlg#mwYloWvYe-^^;-@l56FMW$R84nc;}iH@Ir
zq%UwP1|)5fxuhtSBz4J=d1~yx53<+%Kge8D_YB`EcTGX3<-{?@7@2F!B*i2rF{N^y
zzak=8q;zdUY?b;w&zEW{_rxSU*=iY6y~HG0AJ-QdR8KUW#PXEsxz4d^+EqU<sAx`$
z5LRSVOyZH`^kmYmTQ9;rsdc4VPs3&xW@jVriySd2x))-iHKoK^{yH+&Cja{&Be|OQ
z@h%sc{}vw(Oj3Hz(=GpBjnP4hQ|fy{F=I?&BqRGOUlkSsNe1T5L_vv}pQYyZH17E5
zqoVaYuv@t)B<RM8*njsNt}JZx40=9)p%8PlCC^h%iz&*|j7gkA(hP|bF%G+u7?T+$
zsw;KGTxY0|QQ%QXP5Sj9Tj{8R7<B$0GHk}20Nei$=DW{e<KRp><*~*wMrohIEOw7=
zMZPB-I{79rCB;W4djvH+O4^l_$;Rt3&EuX?5kt)M7=_sX+6u`G%VVlOZJqR-LDFm1
zgc1Y(m<7(0UR{2{*xEk%b8~_Khs2ACCP@<`?=ms6DlAgD(C++L@x{ge_7O`3n35UJ
z7u>ifC~!+HB0_%R3;9nPKJ4FAI9+riPQ+Y@G0<q+<>^%V;e8ADh41f@-u_n5jWOh?
z61@2SEJu@_poZ9CrumG5K}t>x{QCuBSd*j!-dhNIoSewOT_pI@@F4>W!=#rHtUvu%
zl(_`lVq-tQNa~9<+r?>$9~fUwi2ZN*J3>;0;kuBJ(%k@kH37etpGge2lRgPVct{Jx
zBz{!6()^9>eCJJj&(rS$?g?-uz4UzBl=OQqW0Fopg92yFIfk>7>>iljJj-<6A;~G}
z0K-8ehO;Y#T^PBNCq$fdDdJlGish`@8iT()GlL=*^3L{_koi>jBIYt*zblvN${lQ8
zXGJ)tI&u0Z9pahZ%_O*w`Ls;KBhE=lTr&;bzMNG^W_ZDTzA)l2V}s|S!~#~Hg|1wS
zt@sw}8%{fv#I!s1{|+b41b617PR6Gp`FA*1yBO{I!M(P~=vb%5B);{GsbY;&bhj`_
zDsU!g8UFALh~(PN#QjB^f2R<WI#*!Qs(zlm(;2QZUH>8zDWq_x_!nbH%q7`Cb0*J%
zZ0?mBvv$b__cO8_y`u8*mrSUu(90Fl;X*=Rzs8;R^e9WH5?n0wW38HMOlMMq2IpS+
z_-WFKJ)Moo49{hgU6`C%jh0+sOk&aDd=w}3d&X1`*$Jm~?yH&wJBp;o{<pCVoFrkx
zaa>E%#U|jkbfHp^-gnvJi9t4!7ELmxL9*q36ZK<rRNgRqHiUFaR7-ij);4uv5!aHb
z-^yg(!m>K4Psj1qQo|i)cc(GjFX3@vczIE#UG|B4!+u7qT$w#Hm>8L2%<d-LiBT0a
zy&q$6KPJ&YFlO(8q6E&E_6AP@)+E!%v0qIddrx%GwiaU8Z?QkgabfYVB)J1YvrL{|
zJ@~~UX@ckCBZX#9%@0IdB>7A#pTih4;nob7jw4R7w?*_hlo_8UImyH<oFE|UenL)$
zfm!8vMqa0!P?g5)#?@^TIsCa8#I0F7Rto%OnNh<0H6}cXY14!mR!K*aid-i&O}sWC
znc;PfmFKz@HZB{dDYi=-RdLxWw0)vAw~E7F%e@}5t_K-fC)ub9-F29{#LV1%r;42>
z$3sgd?x_>iSLQYPC^6dT-kEU8lZ#pMGDG;0eNvXpGv{_Pd}W?h*31}FnV`|L^N+B$
z1>=nCMMcuj=WtJ&WceX%f$U`)-@OZ2r`Y&Ud?q|um+|BRyGovw!G??{a#i>jG*`+9
z+D2bxIxeg}<AEpRN^xDA#PXS63mB8UXHHh);^$Y0ePS20bHRcS6Ou#&=g*ka%ow96
zrOffv$uTNs(mF<V!Bhc#52nCkM)n0s`;#hd`aRkm1S^j?FeUwuDGvJUvSmStDO0Kf
zQ}Tb|D4RltkLPC8q%dVXJMpX_>EeP{0?bMOEgJq6{E21cnQ3hi!@Rb1@u`jCnaar#
z(w>s>2Kv$RZnIv@Dxbr6Va|%PE)MO|f|-6RwiOpOb*C`KT;XC&5j4LPTaYBR(n=zU
zYvSBFj54zgRvHUSD8F~#!KJl=<5Ik(YP@31J%+EG+_n*pF$X7dr82H$mdI9MjM>k`
z|9qkAOk<A@CfT6HlO#F?Di;+QPq<{nVtQfCBo=#t`4X#DcK-cw>m;9IW5G<rl_v2j
zNtq1EoQ(C6xyk>H9Fq4n6r5l<pVhRm)`L;;0>hCQPIc)UOFN=VId?ifPTG*BlAxU!
z&l#D1pfdU2!3(*`jNBKGc<{?JC7z1yT%qf^AuFQu*u}LgbQF{J25>hCC}i8k9Eton
zXRUUCrOZutrz0^-Z&Wev*jmWA;I_cGm~sKnZpVrPj7i0u-7*XdXPgj7`W6!$5V+MN
z`M=jrZ(-j9v5c%qrxtX3G9KL%#&po|Q8L4;=PTqkE}ygGX+ea{?A@`G{$+j?3%pR&
z!7X&3LxNW&$CXE^Q&9Z@N74a{$?Srb?FF&_Z+@60_-x`{iTxf|G#pv@jF>rZ*a!&}
zTWx-+d{cwFWKzt{Pfpcr`W{R>{$#J6w6iDos0EkIj=dV41rxKcvz+R%VBEnsiE{;m
zTkMU5C6QA^rXN{RpxaSA!I#5)MT0Ph@EP|VPno!ak8o;SKf1$}lX06y%%Ovv@iE;8
zRyH!l{`cC*)ey^YF2;+?d_gS3cDC~p1{1b;9cB~0Y~iBxLhl@}Y_|ZXY}dg?p_oHV
z&n;XwKDOjYKakPOsu}Z@i+#>U#f94^AGBBatHLFHz<A=3L#O$p1(FNQV|IqiJUC#b
z^dv^6!u{YLm&)m!VtkisIN2sUadq=1?cwcW34VR*wM(V_Lk)hn8~+#=H^lzeiDh^t
z#VNwOK55cdX}gnF57*4Okyl;G!76Z8GfDk`7L%>Ozo*HJES|{^{@sgZ6x#Wa;~BG6
zEaM`1mC)07I9M6JK8i8pNjmc&QOS~J_vS@{g-ab89A8aj5tZST{~_g}wBVRvoy#qS
zjjR?|d44_E!{QgS<AL{mrAJlloHsmu-b+3YROb2oAd#c<w7OFKFOK{<uh@4bgz(uK
zF@<-nIT3S*WAOoYPcKIqHYe`Dq~|QVg=Sq~=)B|Ua8hD5OPE12!^Q3+b7Brnd?jl5
z!dQ#NebPn4|4b(@E>Yp!%JI~bKbQ0P12q+X1#1RrPg&=uM>vW*V%RqSd#Ny8Mf$a%
zz90JqMaGA7n06l2idE4rJy^1o^|;t;7v{&j4ZlzE$-kS_!7a}CLjEED$;T?{j$FM;
zUs(Jl866ML@aH)2`6Rdbg%nStrNY14UMN2kkZ)nujs16X?}^{cR`VP^BxDWS9rTi3
zB`H}=pCB3YU87oYvBjSg&y`=!6=D|DIL*fL^p8u@H-nulth_xjvpLw`%P}UO_-`9K
zA$KQN;g3sAmoyVa6_viHKMFXn_~u`XsKjCAkL-@VU9a@QSs3e8zFc)kl$3ZoBbbwq
zUnV#9UoH>(s((FiO;5B=WOzS0x`p#HTZ}`9M+~R?qjVz<?w9veBqdl4ckVS^dh?R<
z%NG@om|i^meqtfVABT-hG46NuK64mOI<RWi%7Y(@FO(I%usgV;NpL53(nAkb4&fIf
zj4^(aFO;Vk>NC!@Vr8A{`QWol?1bY{yjMGok9Cw@)Sk#FsmXD_<6Fe`zfw0<EF6Ol
zt2Zz*v!7&QjSfD1?BwI?SC*(oDRy?h<TPaAHH>n8t8_!mR@u38XH?fxJ;ux@KaM=y
z*CF*`iLk-UIR{(#b?<$alK9fxnWWFTnVG%u)Qxp3#h!Eg;9Z&Y&n;%b3I<j$o|PQ^
zY_HW~|9cA7`icu`sxYyZewgdWd2t%cdleSV_6f@~o%f1~tN*y=#5nzlrSZ%O|5+r;
z)uNBEco#KhE3HcIlv${?mhnkY@r!gJWlo8WmY%%4?3Ts~lV%v!FXpIp;i`TvymAst
zW#U}+N<Ra3zt^!$rBO1*?5l&AqS?Q%Vog;QUd=jPlKJfqUC+kX5_4HHPct3=Iiq7k
zWRhs$C6=pK7x8QtW4Y<da@T@)r><0|&{K}}0dh&5JAAD=IT&BFSWSK&WBB;TxepE#
zVx9|Cw%k45^gem*0iD+0x=NqATYjy*_OVB>q+1}t>VeHc%a{fQ<(2C`N{J;ks(L!`
zuGsH)Gtn{giJ5ccn>9AQT3cE7u5(qoW68c>NVtjlaJzS(W36ILzrvZtA9uLa*!DSg
zD>zSSYIL|8%h02(_lSvgZkL1MjTud>!m<CeyY1U&v8Xc5jAdx@WH%{LcgW*$f580t
z_yXonGmHga-e~YY+_8i8fKZ}pOoQs=1h;Jp!Uxt|RG#shaqh%so?|CAz1+*p(42JI
zkU@fDhOdrnk3vz)%C{#CA9eW8>rqnIJMdWUN1u`spMs{6gE=4L1AprnrF#Yk^#VNm
zlpX}`VVKuqa5cs~!}^5p1^rux7-P=G{uk-)5H&8Jb3?i#S}@}2OTiP-<|pD6{rg1j
zD#q|Rg`L!v<9Oa9^r&b4M6E#eIW`>%li2jVmfn$=$i&TgTY_<gR(H$sPIp%peQ(EF
z0ryiZ@jc1Ux@s=6MotQ0)I9oAV=^;)(*t(__elx-+IsAXae>*Nn6*3CX0RrEMol#|
zoXD!f*j@IDW$!e*%52k=!lk_;mgkZdI?qTw$$Ys-B-nC=-6<)T6)&IPm>}#To%8?0
z8zJ@^65F`?dxfUft2lgeRyp0Hbdq~Xd-5Xo>}z~0HYsTIh^VaadYMqby1JA#_cTN7
zlK@@MDcv2OtAdJG@V#P5pB^ICFS7Is+g*LZW6$3<^z>(L<=NV&@N{~dh+N$ghI;<1
zHf<9pS<3IUYnphxaZU1yLlIy4RqE!lUhYwN>aTLbo8hIbVP}GZ#D=2Dfqo}f*);aL
zFkYDS?|`0B<+{!nVtq<Sk`xpC9&{=-Cut@`^z{5_nCQA=i(Hp7f8&xz6C3Vu9#}C$
zY(vtcPUQ|J)6WOYIy4e`UNl}{PddllaD}@i`QL)vSjGl-_v9&JP5mcjUQe*-DG*NT
z?o;G7_Uo9siQ{mO{GX_{HrDcKeNuCF@lTwjGI81sHQjG+IdPr_5}6s<IrCaRm#?UA
zVcM~aDW>)Fa!)x1+e!tc&S>@pW^z9|u2)Vx()dGV;ipO)kH;~}t4?@o+c0)i&9OW=
zjl<W2%R`w_eUk9P295_B9tsQg+_qz4-k!|xA?Xo6Pv(c7H79$um8%;18$Ne(yMElJ
zl$gb~qvxb>@2NR08p_swmW37<9FITP$-Uxj=fWE@4K4~AF>81^Ia$~l4|^vw{Ev>|
zj%8RJBeah}^t9b8_QyM7Ok!L9XFPlubI;=kOXX|Em>1FwfqoM|@b3A*+Ud~C$RPb7
zHfG_BdPP&C@&`;Yt791!dNlUMR7vbqn38mhLtLz^r@teXVZ)As2o?<wUZ&QaQZ92d
z-dG*jXESZa{haeNrp9ppkNn8dl|Mo7wvbidg4lnTT!c~;j9Ya$lU8weYQ`w;c$KvG
z#1bX@4OWi~Y|p9xSpGvnaza%HV@%17o|Xn#R)%KDfTceaS(5g1s01c$I}#IiM*ni3
z^R|;aK2ExHvrkFr!%|M=6FeJbA88zzsW5~6$N$c(nhCFRIC?TC#4^g>+uRefo@WOu
zqkMz^6TyJ(J`ATA+b3(q>}I%@(<Lp`(|pj7y(Z?tq-YgQCiR>45sWd58`u>TVopoE
zx!v+XpfjnKQ8`(@_5BK#7}pEYKVpJEGCY=_adX3oj(SFpm<zH04TNGD84o1R;)zRE
zW3Xwr6J}wk<m_H#<aqvw;I!|}Z%*z=Kl#OD#d1mWNjr4BUw@RIxWjVZmE*17!#t8!
z@@!(%W_xumY4^<;_nW_LIF;1!<Ux#Pqw_?D?>}k^XN0&g-eT<%xRp|ykluJ$dAGnb
zv*3k|=aNnoFNkzHF7Qpqydf}$W1?`<2E*hAu89kbmwaPQ{`dH!MvwYy5tUb3(%lDR
z9^Tx`7}KLP`J-;b1YyRV{M-%RD<(2DD<te>l$UtA<J6>Rj)fOyulT8;5Ob1asdVGV
zPQe42B`@6>lvZT4*j$*Na4+dcOlU`VvwVzoM|iLEjp`d0CVV~dI^k~TDK+h~eaS6H
z<7MQw3OGO6xV7PbPRl1D12=}tE}jC>l4^<yLLc`tDz^(NIMvHtd&iQrQz0lcX|tn3
zL{!pIg}5Sy^^2ZQ;&?md`qW3~+s_we@x<N~xZ8DiPUHQayS(->LT64y|FqWSZ&<WY
z`9gPrFk8&Q315;vRsUGq_GRLVLWLJR5#b$f9f}-2M+AOwa1^N}Gt7HE-=(JITfN<a
zlid?M6slF6FWyp`C~;$#@R^{)5+^%0wlSVyb<vXSV0^%(Frm4Xv18*!R%4fD#rciQ
zD)U-@>h$QAR*77-j7phW9LZVS_V3pd%`NM<+qC_?U!>i<Wc@1E@0U{c+?-}HNt1a6
zyNVv8z{g0H|LuQQEmeKYD_oapa5yJvlNJO26q%P|-1(6*KU)9Ur6es^FuNi6M8)Dx
zd_+w9pLHsNs>%!JBt=b_+4AqHki_e_lg70dmp<HfVn@Qs#}+f|=Pxknlsw+{ceCWe
zfTUQ~WbNsS{w<7365(-8Hs0-jRi$|kzp&-p;GNWC{xXF1@!CG7)Gnd6e<wF&DKWe~
z*`;Gr(_Ev>k$=AJk2?3$z<~MDy!EHOl^5|mYpJ@(^Z5JeNiWvVNbBf}h-vw!<&m^3
zhU>eEv{=i>^DV!(%fJ8B^0&lGj$88OwD!NjGVirmlAp@FpZ)QQ{QG&Wf4q(V2Fbi<
ze>{Kbr%x?^L&SDuUP^w^7*aK@?T=n6uYSwlms9lQXa4`({%4K}^QIoLDI&s~TK<Mi
zvhbGCH|U%*anVoj&0R-N7|y&<6WQ`x@2H-%Snt8hd<#5GWBS|vn9Az3|JiGttkd8)
zN9o4w*5A*?cLpY(Z~v{kYnsv=hMQ&q>-%@=HL)J4Xy=_U>5xH#M*3mNgXXbqzb5ZI
z7m&m?Wyt}Kq`;U}kE%}`JK3ni9{WFDGLvaVp8P>YM(!0G80Swl$dY3C60<caB1UOa
zhFWTdmh!=`EOyG9PX3&8j&-r#13Q7onSq`BP4C(wGr}9+&6zoI=PqUDS?A_ACNtXI
z_|wFo()gOu$BcEu4M#!6DKU9#6m~9ImU3WL%d3MiyJxjTw!QMWmbowUkdV_QhtHPB
zA5`$Rylgg%nb5?-D3i>vStV%=%hFDUlS~Jh_z!R#Nb-*Dv|&qTSbZ#M$HjwbY93af
zrZN;YO_O+VxGiXhza@k1k0TwEJWermMR*v^(BAll=iH;IA2^vKJr>+cn&6TAznURq
zMzkwa-nqtOjk}aPOAAAMlO{gtTPf1Cp@E;FQNCm5beWitA7YFxNr}u0la?^BcYNXr
zb`hvyI2hxWbU4N$BxcgP_;ZS%0(WNoJi)=UsPE2^q+ABieT`4plmBZZZ?NCEB8ADn
z@wYPb;<rB+d~SR07m(8vx2U7#`Pw+4#v89=+Fl&-*vQ!W;#z;wid90*j7iZf>@_Vf
zzxV9;`&>i$MrqrNEK9b(Gv~#(zEJ0!HoKFh<;B}?4cjd`XUcC3XFR#^^@<xUFTZW)
zl-UrI-14Gzb<)h6=UZNc&FA0qspUoOCcZr_FG{2Mb}9U5TWG+zL+a?n<{Paq?R42|
z3&fI7+-!M~{gw6c>EZ(ybPj#&T&<-1R8Zs6ayHJWlWfAq-fgeK*2`Q<liHDM({W&v
zvRBKCtEc3zwY>O#l5cj)i)$}MC3BjdZ)<%S&tAJ)L^7x4#Vgjw(_<Q#(wA@->l~Y8
zwbA(Z69<l#m#c$W{+^bWY<Y3*7tiDTn==2~UMxzI{lC5CRj9s(P5O(}rX8CbUvwHy
zI_k0LfqT;28J>xp4G)wBewf6!zI=43LiNJyA5879<|WT~)~<4Zjs1A6&i|CwH&;8I
z4(J?rda@v)?aj(lH=`q3-@FrZ(bZnO;oXrdDxA}!s!q4Pjeo_nQ`PbP?+@NBZ~m{6
z37pT=^5(gpeDGHr#va*uQ#7VEDE|KYvH#$lqAgEKy^i#2cS^?=-8wia_~;aEr87(X
z+uw$N;(U7Ioq_L!c()fn8g|>*O|ee0G*NxH#%8*WLd>3|n&{y9v+s3mv}j(SZNnNf
z#Xj_0$Be!UK}L!1pUz8~pnkFaeP*Tc(+dR=j2HQN4zcZ#oDe@z#L)chok>DADpOa=
zHS9?Kv0*~-$Hq?rCj=DppHJ8~b@j~U9~u)`8`=_m7qlu{3#?#b7g)oVbS}C3%(g{q
zkN;gVWkFrfiz7U?Jva7FUVD6HOL~Lx!}GI@9^Y%QQ&gS7dcN(;a^BOQ8(SlqHtIBd
z>N(i)ukj=6zNAl85$%mk0__)mu{d%aj%9ewAUo~Kj?Ht#uS`;`m)PGDaCAoOM3o8q
z+n=YiUA>U}Bx#y#+w<wRqAPE@FOam`ktfM9aZ2SxAzprtg-x$mV^+>z@TF-Ed&~p(
z6--K8=g)nb`f{2&$MHvNi`WGZT<7_zZE<|Y%E=e5IQ^TkJf%2Wx6xqpj8cJ(Gj=k`
zZ%Ah5<T%gBmCV3B(?qNL!u{VLK5qELIpa2K(x(eE9(Hg_{FD$&ZkwY%e@70lcT2FR
zI5T7Uys1ZZ+dh4~-*8YsS?KF;@0Rx;uRk#Qv0K%qzjEHRW0yrGC*J2^Y?^tzqvG)4
z4S~nxHUzO9NUD(J6rZy}sY5`PNkmq9rz!vZ4N(m@+LK;h;E!cIzLJ6C<rOv7|CJ1u
z{iWyHZwoy-zMxp?gIz@94V#%yY~J43B6i`_L8ex=n42B<4$D2rSLRT<DZn|i%I5CI
zX>*nY$^1-T%zr{b?q^G%P)tz{!|#;~Ql<!$b`*YWxg;_3M9%S;13wF5|1<n4Haqa+
z<VGGz#{(zTS^m%8;m2XEGiP1;tha`r8a_#vw_nORST<*aLr0doz{eWtiyB6oybrb~
zDH{Ikm}tC6+-_q~CD+2X)03qCFMP52Mxw>awcV|!ZrGe}JLx3L7(U7Hx$*0-?z*#A
z?CgwZPyVkH5W9dgN9x@1=((G>we0&K{6O7+^JRzJq&X4E3>P-+@sJf1+{1qC#-GQJ
zKKyIY_K-Mvpn5_w!_D0)8=v<7*x_URs?^Bh$7kMi8=rnya)Nd7&E1b@JWXVL(38l$
z;ZQSU%p<1X9U2^rcQ?(kc)#+R4r}a%vwa&rCH<(J%v!mtGetA$zvUAK(+`U#AN_rX
zIpsphiODKEw*Q<Webe+_q{70Ek53wwcg}vye$w*u!#alKe{Yf*l>cmDo7={?LX&a9
zZo>sH<!(Gl{yF#KW)H50#|-RZ58}EveEgzx_DH8XcX{%O{5ORu4EH;>8vk@ScQC%o
z?9rF&6Zse)7PgA8@J>3t`{zx=zsn-qgmoHp#TuV(Jo6~F!Pn#L+oRhiv6)|}y2>fR
z{b`laFSgR3opKYS`WFUV)>Zkdr%)>KB<9zuSmjke*nJ~8=C&-fx~Z`DK)QlblDfui
zrCS`8k_J=7ST?K@6Mi9<zVXG+y-zMK+%fq?%)_FW@*5t#2)OZ~>d2&A#vRL*Ig>pv
z9%b~tc(&%wh5vF3s!nQ|8p{4;e0KBp#sh38xr$G2PCK#XaLmt}2G1AFH(b3}?9tQY
ze>&~V6B+;RT(Y^9xuQ`y=j8oOjKUKZR7{(eb5Np(@%s(2qc-i#r&(<q#FYh3r|*cL
zIB{dgj2j=;KM|;YWA$;OOvIe}X==W$%&BkL<~C|g)RcFKJi=hezO(rxBl{l1liVv;
zK9zWRc=Fflt6X~-TezQ2zWr<RgQG3nlYSnbXv6qm&Cv}<)J1Riw{!9Ne{0pB!{;dR
z>+j`ADe1<LFFcNR6I+a4S=ax(IcZ5RYhyc?JKsgiD>wRI9$q{<g>mO!-A`@DpS1Hv
zvh-KB@J`}v@8>z*&iklw(#8)mCs@kcG$xCg+q7_Jvl#lfE9>2{n9FnZ)()M|=5h_Z
zl>+X4hAQlq9+BOB%#4jGJgzG;CaNrLm3%sx+nCk8gthdvo%O%JDjN*vifm;|&(jrE
z=I8gc%-=9qlyfOtZZzxFt;VtzNsl>V`L;jW)o;<-o*8*i@xh;-2OAjPssub_`t02%
zd9I18tzL=isC)xo((%_mNk973b{u*>QR88>;fct))`pHQrb_FdOM_XoKQR^@s6QdN
zWb$5R3A4wCtDD>0*&=lr1Dp>}?6fgAYm!oBjb)0T|MH|HTTP3E-2{#KfBv&4$Fc6c
zZp6Ih@F$ga>+=%Avzw&Z4o_k_+PZe?r<w0Ec?`AN*M>fQ5gIXl1?QZb4VrsxCU~t0
zZC=@s<Q=ozpd#UAQgz4mH_b~Unk7A=MD3i69=YC`c~jmoDZ|0bZo(4h7Y%G3u67^W
z>Udh`XvMdS$(@*d(kMuSuW3$q0T+jyMBV<Rq<;)^86QZv7#3(qOwieLVqV+9)4U9l
z93c`5wkO%Sf0|aaIH^JIM3U=`7Y_xR-zNQ5;7w*sk%{TlX;)gkZc?G~!e_1<*}@tY
zuj%@Bvq@Q{sM(@MF6rgWZ_J&GYZ{c?7H~xHitS8_Y%y)Anb>i6+n(cpZzgppZEjWn
z>sk15CHt|Pr#_ZV_?g81|KRCQ>}jof+8?u{|8>-PI6q7B+}EyYrTja1#(e2UjboKv
zzKtOhCvyMncV>)P;QVPveXD-zkK@S--9f$#`fgV>7Cbo-qn*69O||<+qHp#8ntv@O
zZ+}1h(|UAH=gjk668$&!_%lo|YxvjmBfW|Bd_yqzP3Ij23NsYN7Eay#Ke&C)bpM>2
z#~$u(e%b%b<z&Os{uUu$4nv~{&O5e;wancR<GiCmfN?`TV_j0i9D$^g6Z2a?KCrJn
zU?K6t>|;gYp0?S=(Ji8CNgKF385RdFW883V;{#StM&8VjPj{w1ynb?J`UxXtCQ-T9
zE3ZgOIPEAiW9)uEzrma1?FpL(=FZmce@PPs7{6|w82D}X{G%<hpC_I0WhxX@Ji++F
zeCNiAi#GK>(066)k87HHBI#iIsy8PXwmvxeyro0+`Q#PNx*uES2dUPW{}i2i<#5lp
zsVxdmXWhRb9iiExptS#jcK^nQ2h}#VDhTYlU>|(=$3vzDd8cCx+<zQf3U9nT^W?_j
zMb9?2ExELkXLo8-f2)F~cKZV7r05uqwPHIKe3ZN`+Tf}7fi)#5|H9o4DfXn2?k1&~
zWx^ZEWAt0+hF<EimP_;$=)UME)~?)im%~>(#zkOpP2-!ohWQaOt;#3bIMQ2`jduLx
zC~sNtGDu!^BWJtvkJfpNNiInXE2Si7uJAa{$jKF6^w4?ctT&7kIs^}P-1jw0>d=`#
z<7TI>sD9hr`L$|qCaktNRahypzxDc?7S@vz7h8JbzfCBsX;*sQxcF#mPrTg0Umq)3
z7`tXEYl_OXygB-FXHm<ygjj|*Ez6h3sZ7(I!*{z?vHtG4MxS=YZ<0;<+vc<@>VEKT
zTbTN$rGM(JHsx!_n&vOKm)xdoo!+pqRoS}xV&bpoIjzc1w#?{lP=1`eAo6@87hl`s
z1}4<`{|1Ktf8?LQw$ZdJpFY{Hp7mvZlkx{I|Lkvet;+dRQ@>68>oaHirwKakZHF0K
zmCdi-Wb$kB$Z1izd87FW!?f1+)Z;x<l3Ue+6Zw;xyU(|)Ke}o9SnfxS;>rmJ_**sZ
z_awcVVBeyd%EmsyT`0-!?xmGF(Mc_uIZO@0NgIt#S9$$u@0LmGYwGxvKjD^m)6N%j
z_>wj=@ct-h(0j76bfHSc<i_UD3+&Z5wmz^=;!8TXVd;~O>6eZ@RP4CgE4(1uK1qX#
z_g=^Ke)a=Q$$||U!W%cUORq_KB$K4SAmRPbHD@o%ci1qTVEnoHd~^HkkEz9*E^KR2
zf4%$fj4eM~)a>j^cFa7WkaQyUe{yT5cj>-1wOx7ot@(W)A`kqz{&?>J^TLU~=epiC
znH0AC$TDkI6N~-u=pXBb-81<1bgu0&{?o&9f$QhR&mC!xKAc)J;qQjujd{rvGTUWd
z8&95~J$3D$#@`hkCqB3OeSXB?wQ54p4V`wiQxkL^HBDR7;%_m*p>9EY{;`;<2Gzck
zn#o)fqR#hc@vZ1Sxx6)hegad{p@xE1riPsJZ3TYkdMy5h%$=}ubBp%g7T>u?CtQeG
zxrmF=z9YTwYaHXHd1srIySoDApD*+2&0C(+s(<`WYe669`#D`oZTa&Bk}8>(#%yY1
zl5JKz#N1-y_KL-DTZ?Ix`h*V)q_vwTOi+&b&Dh(RE$%7I%O=VEHLfB1Ps@gsmI=>u
zS}mt8ICk`se_E5(<QWG)>^t6M^{grTXp7acH7z-(em71&nAF*x&6RY&)ppIMmYg>$
z-kxoI_`D_i_@joOt+sz!a;D6AKBx26LY~h(jh`MS|L<-*y0ZL7^L`hu>-V_s8ga#*
zb}VbzQ`5j&*F1gB58bAVyfN1*nKT=>er(HoH0k-8j=v{Y?7me0Y~uAfbKabO<*qD$
z?(W-zL5CQ-+q+uNzQ1wr#*{rx1veVpPaI;J-B#dtBdNS$_K8lWtu6WdN#}}Y2`|z)
zvB9-H|D5GQi};pnw_CZ7Z0O`{%<JCB^N_JA?`X&O?iDj^ttT;9D8>9R4U1{Wdm>oh
zz^!~kVb$}GEDOzUyl-S{e3{I6iKTFjW!;LqPZ<2$Y}=C-)|mfP>)$D~x$D-UJqs2`
z{^VTK86C0W+C+xNCE1^w1#UKUB{0S;X~|7*Nh()XP3mgU;b)AwDG=M@YjeT$M$(Cv
zyqmv|-Dvb%)0Vg4(uysQ8frc_Oc3N0@x7U=I=v+)wUD9v>x|?Cq1gZSGtzk`zh{bw
zWjMSc@Pq=x@{Oj)`93M8bwqq_;JrC_^5*uFA76fb^<?Gp*0-JiWEW3d#>!l=xZ_3Q
zlM6{p4l%RH#{AzI)>xXtB7b82<_l&V%!VhEwuQ7PevD~<pmy`-><G>!r<xgK4z^^@
z<)~nGOuBF1AXL}5;>f|u_U7!q8GWYO4cTlLcC#eay=oACz>>uJa~mVWyCi*qm<O@{
z8GgUhZpgT{;lUAxW}y$iKE9jb>ikJSdJW5-%@1S$bs9XjX^dlyWjM(Z!MN%Nm&J$4
zW)iOs$8l`3w_y4qZrw1)tYJ=sXv~)xvp;TVXO8`^b(0~hF=C=fbR(av{syKWFJs&M
zA71#Yb@N-o&!+r64f6!J83aE`H<XJ>-@3syzhQGj9&hr0fyh{f^%Bn&^_zI#NH_9H
z+{#ejxSUa0yCJ1-L5RSnnc|fi=@*t9h-DOy>5xuqc)RiP>4*!*Rd^>V=*yY^b)4TA
zC}^4Upz+`0YbpkfD*kp%9W8dE4;cM;H}o6MuaRlG#_=JE@q9AlHu;`Kwe5YI7?NAE
zF8yvZs_TB?r}Xj1pN+4cDE)3R%C1y<+Tg0F$nf(V$Io}GW<}oS*SY2WiI25a^72U)
z-q!ou+x2p1uPADhoEjx_{AR1<$`q^MZnMvSE_q14@@UbK*dcLlr+sr<db`xpNqWVN
z_VTmaHQMaw&-rtC!&CXWJgV*LxqEq3+ayFi1vfKPS}Vu3Na)S(G}7SBX;s_L(!Hrg
zY7^_vPi>OHRxBU2+a#CrzP$Tqd633w{?nVJmbR*04OfimkCLoDaA=b2e=qhH`TgQr
zDR;8l74}b<<Z7W`!}Xy1QQ_}T2hQzjQTTb_;t$3HS8_haA7SngeR4uSQ6{EEQTJcW
z0<9Y{v+Rmb*w{@>OghHke(8XLd%%{Q<`+r-b~xKi(417M)!{LBmg&Z13&!`mb6S<(
zR_b=}JpS?F-^NMnDh_P<xWP23LAjTyLg|Oqi-!$5Nta_pHomo$ej)mWe})uyc9XJ!
zf6T?x#uYK=zI<G>V7iB;OvmectxEfplDL`cyT#)UCGI(}{6{PE=C0@y9H&lL9f~=y
zyZ`V_`T8U4z1X#LnvUK4ez`BLjZ<I$$PO*G=%1{S2QOb_X%&jzB@kr!la2Gp8qN7X
zA2_+aX%+mKbnLb=>v8L&Q*?97t&g4-nxQ0cz&euY;><H^TDWf>J+|@q=1s!vIkz(F
zjy(8uWa;E17pJ>$saqxXO=3t+n!%9$r$uRF%(1nbj_sHA^lW38%yuyM=;PK-p3WfF
zy;E6s{@JD0!OWf1s<i*}jIM|oms7Z>9$C9|a>mlh0{zK<PORU`a)V<*&cDiYH-g(V
zqfc^M9o>0;J>QB{0iiz^yjhF4v)$bFwBY%%ozI_eZ@N?Bmtp-#>d5mW*Ph19`|aZ2
z{*+<=k@fB(itG8ees9zI<~_4g<6YPp=1H7aTQ#=lZx#D`bm#nke_GU27j(2gKD1Ld
zPH{o^gSRmnE9SGyC)u5E&|=_@{r5GNQ6%OQ$MWX0x{q2_vrif;DfgYMp3lmkVln4P
z|MRyKEPk|!XbVn^eBh8Jbo|#`?pp@V?7O@p9>*+fow!iD!O=AFgfVx_#v}d0D^|*%
z3tQ1U<JI#ct;^T6>ep(Cr_WxvqovfmzG?aqcB><7zus(=I}vtBeuZ`V###xDhCgzQ
zKkV<RH-xO281g_m=HNb8M#(>~Cw%_!sX_SI%>%J~3iDUA%~<;2bu#1j@XakvZ(G&Z
zKCw_((qpZCV8tXyCM%l_%WZEqhAw=*=iuIo2KCKNPB&+mOyplL;h3}V=@ajTNf($I
zW4MwTHZ8WOnPHx^W34Zv=e-t<8%Zmo9>o4nZ`a7@-e4wOJ@LXLiLQGuHa0WHENIm*
ze-N`anW4L*p1&&Q-_%C+f~2xf$KPyhRrh3!m)O6FQ?o@qY&p+Xn?IXB35XurrF80Z
z;o-%*H&oRfw60)W@ONXA`tuLPM^^4W)R63c#KTFXghjile&O9C&5Q!ct-R82o+Ry8
zC~DJOzj<b?8rPPeoFOZWo-kxgcs!Yb^(3<?_iD$#A6b;&H_b}=6w<<=`X)wDj>Yp{
zETeRNyKaz7j?2kM41t`-yK^HM(vR)U?%o{Mq-VeJLEF++z4SSS=bHuOR#ms?e2;7R
zcVwl&_lE!7Gwl2iReaj4c1Cv_-=Q?l8J+!t2hC*Vl2-iw7jtyC$AN&aM^7brme)3>
zZd}n7(HO71+d$@DaI4y?spbM-e(27AP$g-y=h#k9j@pNJj_hGF=KocHY<a83{f?Mu
zj)jXh^tH3JYMOGlv2=LEaK{KtI5R6{IRkI2)><zC`DtwzROHO~i#Oe~$T+&~S%dod
z8UN)uk0f<4T&{15IQ+gv?f%xZ<dpQ>HfGE04J~^Zn|n6K?2=a!c;DY!yrN!oO^m>i
z{wIg|AL<_KZ=cr?akNc$_JT&!&J#!2ZIfEqpI0nxG1wi>Q<FY3|9GQw_em+ypMoBZ
zPKGB|S0pnW+52;nf@V(flBhdkS5t%z9@y=w;J@kTy5lp7=4yHOH1+PXXlH!UcD7~b
ztmD(?zk4dgeZl^s828;tf%`1XYm+zaxcuk<*TkS3$7W2OB&x{bXw&s>Q^Jy?(=Shr
zKBdC&H<0&n=b4RXKF;h;|F$tZ?!)mVQA)PAdM+N7^jG4@j#Y}cKQ=vllhXN<50|q%
z*3Q&Yo)*2yNQC>?3?=5bky`$9<#Z-$e2UCDHvN)1%jB1i)jW^?EwSQU!=0j_d1S`Y
z$-Lirsy$e0Ot^k;vWPu0{nFD1H#SZQjA)s@xn1&Fee{9p6B`dGo31%F{Yp~Q&N*$8
zx?)T>M6dC*NNQc<Zj(&lND8{}ZlT-UR~3vac|W)F-JH2PIrGpWUzICNO0T}gY)Rsa
zoZ&e?$^P&py91|ZFW5VK*JQ<2&Dx@Vj5DTA$bY1vGX17nYitXDf9s5cM_VN0PbT%A
zoTBWRcv8cYOR-fl{_LIuT>Omr4;c4cIpEyL9LsQByJt_U<VUL==Er&3BxfI5D1RfF
zVN0{*WR|KTohJ`!cH}cA`NaNbUT`LvVa=SRJu_CGHZ}Eb;r@{nav(y{O5@)T;iQcd
z(kC)nwn*-syL#S*s3W)7V;Xo4c|MpppNsp=3g-=sC(fzQY>y1_+Q2M0V`_53|MFOd
zz6Oc@X)73;Ig*w%6pK$})K6k^oUz}<AnW7p&^0j|Ef2^iEu8V1{ZLGt<B3*|nAvyo
zQxq8#cYZj(@P_;OJI#N*8k89KCh;c!kI+nJSgAR2!D}YTnu{s-S|wlEvp3{2#U$mo
zOO_p2_JgTKa`*nZ2VB}D?eh;z*i(9j<43FH?>QZ(JLbrz?|OFAfAi74uuh|2F%RVW
zc{fhoJR>gsJg552go=|t_8c-f`NHr>-@Umn8Z%;9t0Pb59_jl%&9M2!>lM*#Pg84Z
zn$-PS^zR+*`*(%q?<VH|NBYXv^FQ9@%Je*n@2P%Ja2?}=2o=7UT(b4&`F<biTOBQ+
zBKE*1`%u}ngMEM6B@fO^@_&(ZXm`hIDW-o(Es~#htUkun#rWew;KpP9(`T%-^+;xD
z@m{@SLdvv&WrrrPCjWm_*AOdlbi&*HM<stH9f^!qJ>kv$VM<c{u?eNB7w*;{IX;!^
ze$V5wqZ78jo^1W=z%g?<!ylZV&N*-#SXFUK^YbauXGed(oyAyjn&*%5z5WknXZ80!
zvG{R#>c$r7OO+QQl65<(J$y}e?frV}q5Xl7S<Ip~2W}nv*!)A~$I+0<EaI)wvJrb?
zYCg=UX#4qIAgRb(Ai3|~lh4V=CT(B$RI2+!ack0%nC;tI7$*O4Xk8>RF+#ST;p2fi
ziHVW@?IOpg*eCzo)XsSHLl;+)ehb4%iR(wAquf~n+NZUM)hX{dDkS>zPvEhsryu>9
z-^3s*7IRW8?m#PpPNQH%Oh+Tb#v{|jURgJ=+<O^Q!StiSrh#|f7v;}KFO+{W?ro6{
zUUUC|bz~z~#P4>_q-%{#M-NKRYv)+L_M)*xgUmPWi|=I`6ui5C%zNo;F2>kU{*UoR
zYsKmd)f-zFiwu&YVqRE&(#UUNNIF}7e!?Oy=J^jyK16;zwZ?rj=Y+6kh95$U_M}Lx
zZ(}(A%jEIDf0lQ7zSp&?JbL(}GEw=%;|iJMGrF(MXf^)uM6S*Ebc=vz!xR<nPYib-
zn<g(f<jcVQ?Z^zXgLjVoN^WRNo_Q$Z;NM>xVk&;j{Ls~4@64pgJnzhcH!qZLK3M#a
z>BGH`7t11A<Tsvli1`0!no8^czdL_EI8gU_$2Ii~rf+{HthV5vwB_*QYN;1@q?r1D
zxVA9JKcDpZhswrZUO!gZOypBM-@N^j8t2Uxrjrede9MIY<mYHNc!f<o+4K9&&pXH4
z7*BnSc~GBx`2E?V{kMLf`u@3jWdmpIe}^Odvgs$fPk#2TuK0H1)0#$x69qy)o<v-@
z@bLhnI@|O56W1m&ifimX)M>8#^Re{b<ew40COq78DDUja;90*Pe0(u4>Hobohh}sJ
z8nRE?p1kJZI^N{}>I-fraaJ<5{`>dmK+S`H4`wf)bDDYgGJ8phzbjVMABg+6tKi1h
z2GNgyyc1&oAF5Lk6ye~|Z(#hlbM_6!Lwi3rKHb3Hq9pM{_NKu44J;dH@;cu#-c*tN
z@8iA?TuJ-Vx3@6P|8!u1`!$1|Z!~VObv~S{apJS^#trWicUUB`v@jmsz;}rA#!9YR
z?JMNXVrD!tTqW@DBfo_32G;b0SDLigU&lr;e2ls9l11WwEF-^lqn=#LmQCm7&h9+&
ze8)e3iTHaT+f)w5aat>I#(Yf@5s>6=s7gNZ-#?b^WrMu3M5iRv>lID+{(W(t#<Tg;
zwvYeBj{MpCewM`1h`$@oBr-OXZQSwh`KPS&jfW5a{b;P-u#LZ|iM5j<m*JzrkJX91
zKPPQmp?Li1iv#lSkFS`^(=jcn<NDbRhov5JuXrN<QOI0=$A+{YamQO;ZRRYHnRLKB
z_>c6oe_4Nj7DfEscdFe&xnWoS5r1<g?qC1Zx_^Wp^f#?zZnzlnd!zoG8EdU_*K8E)
z;9zC^vBO@D`|rQ*lQ)@!d;a#%?lb?!c&cd4rnf&f{hj=A<&F8r4`$4sq5t{DStYfL
z-7S223;*o=7rFoSWwwuUjTijyZduBEeeTShKbN21nl+{JxTx{}@M-_92y^NtP5!&+
z-;AUGu3pyUwe<gUWvSTfPk%1fY*H56e=z;RhK;SMNq>$>CjYPg_|nLG<^L&_f39Zb
zvfTb3yM^^&OsLJj%eQ!^{;SyX^P8)5^|1?0H(UGn-`=`KU7S^4g|U?DfA!wK^^=6R
zvX^rRFA*zdy_+4$QQhXUg(Y2Re+kdoORS4|w(2t7?EiOJ*7q9k_PtU&ZT>%;yWy7T
z8>yrQ5oPO!O<h7O_W#(fpjh+g(VwJtTP3ao^}GIFJHjj^@RCvaguurf>0ghMcDFn0
z2)~HrlGyp<GE4MHrAd4K&0>w6#1#MUk#7E;zp9FtDwz`-d|X))m$UDUVmbJG$M;~?
zgD17Z_WYa81{y2>`)I9B$6>b<$vpkH8~l&{{q(FkO!Re&zr~4YNjA-=k91kJxpeJs
zfBe^`G>Q8qTV#}>s1kE)hn|`)TP$a9{Yma3j;Sv^HgU{u@%Jk~EwF9Fn%M$-awhEE
zBy8L6+aDqJA!gan#~Wi7IJL!0Z19Z#!F(al_;*=Kl<xdV1{?oBxp^Q@ASOeCTdMw&
zY+cu}H#b~PW_X_Ri#&6Ga@y85kJ5Yp^1dboK0mu5#otrVhJ8Zwlb-_mFMb}~VbCa^
z{O@0@dF-6C6aH7M-aTn0-^C2klML)#Rjoc+<&&J_lH5At-Pw7=S}ioo|2E}LH1ePL
zE$)VQ&O-S~5icf8d%taBLP*<`(l;}lZyr&+-R7@fyD70|f=AlQ`!&BRzkMiK(-5G<
zB%1u8YGR@91bMYDRo45iUTaj@bCm1mzXy{_^j;p?*phO(`o;Ol319v_dh3^wvh3}~
zxf_*MpFjK4$Mb|x)`T^O8km3nd9>!`{F4b*D>gm<`*`z?Kj|M0*Zj8q*xcyeml*Y@
zMKm#)q0#63zvtg){ta?Vx?PlN_v_WMm|tNVo?32v@Vmt$q^P8N)AWB6H>DI5{SB^*
z|NC;&36YgspKn|@nK880GxXQw0<Mh{Dmo^F8vlv-{rBdtDe}U9BX;g-aliDb!QyB8
zN6ueQZvMG9Att}UZ->W(q)7t0|6?95n{hAa?}Jz^xyZx+<L>^r_`J<+zTM24+kfKh
z|F?T^FefI>yQ!uA@A-t7e3r^qy^n2fX30kwmN3kAZ!nH%^IBegbHc$nTu&yPKDtzW
zeq)l*uRDLEYVV)Bc<p~w?XgQg|3ppg2>YJXc{S(FpM7)x##t-h(O~c_{}*@ehV}or
zsTXgU{`}?gXaBKBF*~Gx?*3T(C;s`x#)*@j{n_tdwcyx~hCLtW|JlF3?8p6MH@e!4
zwcq|o+|!`+`2YUy!j4nsGdoQGq|fL7zh9SorlP!b$Dier4H3Vy|J|RU^pJVS#R$fI
zUlQ3m3QUEB3bw2)W2)Y9_`uyH&!pDX7b_M_db99P#m{|j7X6KA;<0ID{?=?N%p{z=
z=6}VC>x}o3b|ks&?<hUMk+gJzO!W=sq@Vj*n2eGZ{WxyV_G#t6B)i!^_WsB>Uhz%F
z_eV1$`;YzdNm8pjCf)dX<T29=^H$ykYc{TIKgRTP-}7I0k_8uhJNtKc`1PMVp56Hu
zA3l9zd8^V4arx_i651ZDY;G(*zsh#U--HULh7IRiP3kr;ol$g{<;AhXeuvCAzS$8}
zpnGWRxg*!^J-n*J`qKMfi^*&I=bO0yq`Z1>^S8$DWx}i0b3c+hWD{6pTE+Lq{7e25
z+h+Rh_?;iurB(T-i2qpMEEzdP`preXA3OGKoUmd3st>*&J<q+1ZZXZD+aYW7tM}cX
zWSze~H+NKiY>~QMIob9}!;Z^SPqct;XL|h0voV=r<^NvwvLo%%tADagh{$QRJ~rv#
z;<WyD>93!rB>k9bdyp~aX73@!n|Ee?t*$<P!f4gU^vA81dymE3dEwagzf^fYQ~l}|
z=}@y{)zv@k>UP@S`O~=nk45VG87>hmI%hxpz1g}-|9y*OYKzVOD4STbj?X)1IR5Lj
zTy^54^}~<z{`40A=)Tq}X)QUUce1wi=70ZMCA7~8{hiz{QU7#d?$LA7&tA-YvxntJ
zYtGu93r;_5TkRL@_nq}2><E{Lh`ZAICbr0hdlUIP(&dvEo>;BK&KOh4ZT-#X&5zj=
z-$_hvm$-kb>GjRV&HYav2FdPZNdLQJ+rJizKT9?pV4B=68UKmNf3h%lyCu`skL>*`
zH8#8!eg2Va%4AdPW?{1ieaY1u7k#%r;2hSZzGs4X@|kpP>lV|ee^pat?*2Nzu~lmJ
zPYvg!FI?tg5A&TTE>q%i4Qmv)`O$l=&GB=qRMaL;-aqDQ%)W8|X4Nz&GjeV8vQ57|
z^U-~OW%p_Sue@oM{;s=v^XWemkNq&6aG}0};oZMUG9NFo*}pu<_gL)TwVw=M+n(55
zXbNkQK7FHN!<UJxpG}-<`@gZ)V&$8SE50ZHn7V;+L$<pD*TZYSl5#F6rSLKFu4Zs&
zh+p^j#KxGIJs&qenkD}2OpD0t+O-?)8ki!^#dytJr89fAUGsA83y1lw8>%;O#;E+S
zz0r`>@765%pJ#)ItV<Fn%g5_NmvyXv*PZ*bVW$V5#QA@<LWhb3OGQ?9ivHN~eB;i^
zhZuj>KmM^~O*4-hqebSuiSIwp+|&JQR`ZKw#uv+FC6fO=e6-;4s(&jN7Je~*|LeZZ
z|K_lctBH&a0_OiXXK=h}u#|XUzIwvV1IhnGe$IZ>_+s-<i-?^s3|DZ+HA>I9v_^6N
zo}GI=B&7w;mUV1-%=ly9o2ESW*ndyVl0M(iZrNq|=SHN^@jqX+Ejcd!JTE8mW0&==
z^<@Y3R1{oUp4!DcJkI{(e(b*&YhoFH9GtnOsHQ#k-M@!Nlzjh8_>=c<a`3}Hmp*;`
z|9l#AtBT}Gj`ZC#+afw1`<^(tNB-BxjZc0)R2Qh+-O=)+O}FCZk4Kw#-gAC<g8K-g
zz)O(_S1m5S%w=Eym}k!c=NWqy*6dyM<L}+wDdNo=f*zW+sO~@a_rq1WzX!BmZ7X6}
z|L?<R;SCNO{(rDeY7E)j68f|C_Y}U5f1YlA^+ZAU&(&LhrxjnSl9Vxz{yW3&rozg7
zdp`aUe)MPRpQb6#8y9bG;hp`Z^7Fp)llR}9ySas7^4}+0d$*j}`&PdC-xGDFx7Yt%
zJZ+f0_i6W>3$cIx-_;ek|Gq^h_26`y1>e^Fz4-p#pHETsf1dsSDEsDK?EjB;Z8|q9
zemG36`5pa-f5Z9>pF1`&TPEGx5Tldy{O4@Oq?0j$G1}X9-u^dj*S)_R+<QFjH)Xt?
zXw>!V`1vKC)n$uZKmL8Vtw6Zu|Ky*Kj6a{2`SIuepGN0L|K6_u${L?`uc*>1`QO{g
zg%i8ho&EnMeih5XX{=xWecu0-C0hD*^i77PclS&RP=A@UaYoO)SJ@xubVi&o@c10b
z9O(Lq`SRbl<*EUC@&6W|<hJ?qHfn$Mo+kl|{``LHC0_A~Bks?l=`1(*J&n5Z#wT-s
z!&(m}X@w{E79M&M(Xh;I{w3bPwQP6uA{nNCv)b0Uo10PlN97x(ZUyCpi1>d?SF&8M
z{kL#GSN54ZR%LA>lLgoR`?Y<YYUYCMCDDIMb9XF$%-DEY^hnGU7ITgTa(Y6oSH$bt
z>>GBQU9P|O`^4`G;ROXLOuRGpD@w7M%U|?()4#!LLX^2BNAM@>o}?QqX8&3>*<!=N
z2cr4A*R4tZ7iaO4>-|#KDZKyBT{2gn@$Me?vIxsLqA?#=O)RnRpSkhR(e-=({tC^K
zGhV;Mb<4jQ`Tsi(pV&B~fv+w}VlCr^7iSmlc(iMJf6RwVpa0K}T#<TY<AL83gJug%
zw|M<iextzr50jTmCCObsARD<#Yr?9}3*Gt|eG-xxrKeh+Y!#}$_V<UMQfUoqqj-^s
z>N~67lkYw`WOv}hVacSwKch@zKKUuMEqp(5_kyn%D&-GKwA_n{{r_|JMJDkNF{@%3
zR`c-n=Fc$b`#FE|?_ahzHO=?^eZ2nPvd;&VpTBT*l{jzr^L6&0-?8idw0!%pD`|K1
z`49IWt!PQK|NCdXcBetpN$x!yy?_4v?lhQkSVL&fzu&4FD&Lm;|NVs7w1e&F|GeWX
z|I9Ae=lWmA-r%|B$FEL?*{l~`#QR&kh3CELT$bLcY~J18`_F9en}ez+7_R=zjo82Q
z<FAE^F)j>mwRi4xIiT^Ye8$d{E(4}N-`DPbV&d_qboZV;d#-%S*xSe@?NVv7sL|r%
zuit7c{LOz_lUgmNov3G4{&V&|N77D<b)iX*Hd}0o`uF#({nD^@kq5Ur=Ub)diaq!-
zZ}Y4xKN`zZI09y*%AR~Feu1k&ci!G-d)JrhOu2pI!9+#*+22CnEY~i6K4bpT2Dw>(
zf9<W?E2h=X^L^dZq$g*uKek`s_Lyr)^!#d1MfWU^TK1cN>aw!`+z5}p;VTh)p}YF;
z_3}@=hJT-Y+f+28=jZl6b-LMqZhT$E_Wb*e1pzU<zNby<r*Ylh_OEWwiniom#=ai%
z`~KA0{Z!;`WqzCL(K%t>#9!$P#Y!vEZd{C5^`i05>@`3Be6^eXTja)r_Gs4saprNC
znmYI%$DZm-`Ew(x{Mw8H#W<<A*?+Fj?LOu|$>d*)&9*-`uB~LtRAma3ep~<ldgY7c
zH8a<%+q<SWtNgw3Hi)hEw8;C3OXrKc|I=gmv&ANw<#FgFE_2zpf3EL8)g>aAbn*vp
z<F&4;`5cu$m>0d>xK#Vxe965jYP<j4c)Rb3#{PwlIv@XpEz@54MC$Ip+GxpIyOSaJ
zc>dhTsuVDv&ie7k4Rr(mYpC=83sC0&SN^+s>u0@t-U?>3nbjwmb|@rF`2XWaeMf{r
z*TfaFZ~okz%J`(_Pu<2Rf9nL>7pMh2|H=DGs-1a~gSdLfDK6pXzh+<Po6LCj=Ysz4
zzZ4HoiTQIQ{_Tu-cM1M$|89Co&VD3e$n)=}Z=wCQ87&(x%=WO#V=58ipCu8)@xI}c
z#Eb*-zZzD5l*rll|EAcU{6(h)mM2+TOcKss@MaUU^Pk4Ii_J{Ccl~B?j9_fAYG?e>
z)a$|Ed!m(z|L5vMjDMQ0%U!r2|Fdse{*RkaHnC{_Zd6{x%$vXC@FT{JZV#^XvtQVt
zIGag%{?EDzTBRur`UhWpJaMjr!P0w?M7csT!wW{&PQ^70zAOxZd;Z=i4Sgilo3uo2
z>yOocZoIzt?fbN)YS*=HDy4t^zGt~wxax)Tvp;|T_rm<A;`e1N`uV?3J6-s>Dk<Tf
z**}9)*2j;O3K?Jg@8z6uTs-^lH`N=_KQ$|tsI9m9m{qpDMbq!+zZ<Kc82w+l>-<g4
zM}O;HAIX>z_s`|prmQJnPM$Qla&Rhl>`$iL)n7NSbWt~Ajx_kt!ngL@#^8^P4NH>`
zc4wrrS5Fm@T%vYeBI!itPp0yftK3<h)^AEGdUz&%35R(k!`T%|=VuD`F%_rShTrg<
z$ke<<?f<JQEPp>qOa7_d%J_c?M>7B8RW4kKQ3C#}c%M#^`M*r<|D+ie4Y~0u&ff)&
z=bsS>YpdQo@dv+K<d3P#)z?M|sGPg;=}&F=k+}@({3qx*?PA#WhX26VAOGu~-CeNx
z_`mvZQ)L9!OzBV+u=u@9BlPJ-#XWy--O)E-``y6i(RJ|1(@C6DJ1;KN`1>!6XS=@A
zo!fuvV>j6)%`utAx%Y@d;^F_^$6`KAN}F-x?9$lkdb^L_`ny@$CUo5WA^K-|>@~~V
zXLc|6{qx3@jWhqwn^Dt#<ke$;&Da{%S(ny0Esab6InUMMT;Ic!tv|iaewli6dEEO)
zj3-u}|I-xqeg~WU`h{7QJK2xVn;I>Vv}=Z({ja&7f?xb;zWH~aXAD!ye2cU{wx@U0
zHFL%+N%;Q6xn)J2KNH6fhNU0+bN<<i&HQI+P}oqvQR*_w9|v8=$e&U_AFo}rT)TYt
z-&J;VMY(5w`J400JM8bWX~_|P7aWdh{ptIBPtWoH%e~Y)#j9JG?LHhl{@~r8pC0LZ
z&n*9O`Tz1vOOB}@e|=+YXqWlL88iRphAy+ooacXf^~by@5!`T^<@Jn=n;*4)JovXP
zlH>c6by+TdmOYjEGdVelVWI-lpJh+qUSRvceu3>U+yABBr;|cHZtW9Q_LHu!`}6eF
zPo8H#7ykIOnAca}?75ecALsx4v$*j8-1WTwH~;hb`FByI3U^NDPoJ_si~iOAZT<M@
z!=jB!A6jqzTja^mxQ6}zq7w|CCn_Xu-D_^W_l&A#r}kk!C;Q%{-Rkn6B#tg#IO~st
z{_H<pdurwf#N^nVzP54S=MP67{Yl-lv-a^n*U2-Ve>^{#WAe}R$rk^=cqw13DbwC_
zH2tsZ<m!FD&&#X7>|dd%y=(rFq?LadXZ*7Gxui^dA)Bz!d8yeS-xdk%VEhxM82j%z
zquk@&T8s9X?kqC-6E;bibz(vIo(-$h7o1)*L*~$tUj_aDe9!(k>n_WjTKDJRjnxaa
zc$5Es@{v^8z3I{4rB99b_I+z;dh>7Dk39jr$^XjE&uZA*5!Jt^Z2HH4KN?EY{w!TC
z)O71g@`AnvhkPYO{XVQ0*lxtk-EnA>#^ztk4l(}mn%1ajZ^@wiapyP21<aotG}R@1
zXE*lFSoZY@gE-sCTS^z>_pgXejAdN0`p=SMx^f5a|MC2}$fozp#y?+ce(lM5-C$og
z<Id(^1}8WESbfZD5AV!mC57VWpQlfqEgP0}dHbGMZYM6j+~?%?$)I}9>8O(mJOBEZ
zZxZ_ZBkiPM@Q0lrFZ>LM+$HS)VfVKgmXA6A1m50sCV!903bz}biR}*-%-uL)_T#@C
zJu3@0T)e;MLu9AO)k_-sYntnR{uKQ)|491Zpw%e~d;c1$zMgPygYb$a^ERf*zn-({
z?cc=BGfvI=(=j)G;;T<n-u<t>`N!UBBiCe(L)DM3|BjTLHi?OuVfPaD>s4lQzv@nC
z#N6WST=B#D-b}U=9~pkG+*EnuON!Ll+ma6%8*F4Jo|I5$5`L{GYyYRW{3OG||LM>E
z^e&w&5h!;0)}J2#&`()gzdj9}6v)kW`JcN#$M#Kfg5Pguiam{#wx4Ho{J-0@S<gab
zzF%TBXHC_WVZHq~SUu$R`rW0K|B6;_5mDyn{laorpCPc8cdL<9_vOG}EH}M)c5eG0
z?8kld-=2w^|E_-gxBuzhW4!88y!!uV=?lkf6nYbL@X%qKnMbY4{x0)kiT0GqW^F(1
z!?feyd?A%R|7Lke&R|Xy``5geJyEE33tPNmONvm#pH1%ODoV@;+Xd#<vK;)Rr2lu;
zr);*f=l=!$|Jjkb=g+JfzmJ!9+}@-;>0iK+H~(jyO8s*F)vHhcW+_+k7k~GOyWpww
z-%*{(^Yj02-x}X<ocE`1mSo4T0$sKXY_ek9U#`Zz*iqNBQg-F$H$NtIFfF|OLrk{p
z(S#YB4=K*>ICy-euEfV1W$!Pr-1xXt-1x)2oEr-kuaRGw#TNVT_3Am>Q~x-xOf6Xd
zSMKAXl354U#I1L&n)csOtFq=~Tj94kNpY4hx23;WGUJ?VWJUg;$t(S5yuWww<Hk<5
z<Bb1*b*;3!o3g`WN3rjc$kQt~t}w3(`!mUF#caEk-PgZN+;nHlt}TZdFO~JJvK0Nl
zLD%x2l=mOYl%$>4Cr0_Ms5M*g<@Jtd8yF_dnD=M8y!M|7rC#fAO9m8GYkr$%d%v*a
zwC1;INA@%DmVcNQIq~%QKRxF|PtIdK!gh1l>Z3cIBeq<eETO*VM{UuUIdgxx9^Lap
z`}dC3kC|@p9X}Jm%b3)%lVj_bIotkEzC2Z-d5g~9d2{Y>YGK=YQ1Wk0E34Vg-IWSQ
zC!S7j`#SU8zUeO;e&ot0cX|HWyk^h8>(5_)*E#tl?6h&>n?HHWr!(|@o2x1LNq53*
z>%Hl-zs^lzIR9<#xnDMYjl$>u<e&QU<Zqrq`}cXbH%lI!5FPPH_Q{uxfAf}_f15Y)
z>erS7@BXeiFy-;bo|+X3Vvn-Vv3-2`H~(BpF{AF!{8q*;-Q!;tU!C?}zIQ?Mg3o_#
zI*h-~&?)}B?9KfRT*)WgME(EDA6u~LSAO>fkN6Z0kDm&KUzcrWIxsEir~JpC`RRWZ
zEq8Bn`|(R*Lk!=J@@G4<a{epKS-6w))7ryKNk8(XVv=?;rOW@w@0GluVQasVFNf)e
z;v1$3`o|c56wdyWYhL{$FK+IE)xVEuStfm3SbO#M!@Qcm@}^03`yS-&*_l!CU*X2w
zhT`_BU4P<r{wjvvY?1K!vf}UbuWydeNX@?Y$Hb^5a!=bI<I?Evrq6$kuNyT4T$cNB
zCFVfw*{@SR8~qmgx_tgWll?8st}*leo9->!{jP3LZql-itfJL_%%sm)Tstsf%EwbP
z(+?zwR{u9Y$&&kJ<CW@8F`ZW-Z8yHFFwegcv(xeTAFEj`f;xW<|NWL;v#v~;FWmc&
zQR>&7=f1sF64b9Ovi)y!`VG&IIol@0v=k+O4r^!rW4k?X6H8~s=Q%%K#!luD-XQh=
z%|BaJ9>MPadJmZr84n!ayw9w76T`uePiA~SyX}N}|3ZzUpU>a;Z+m+}%7?Xw7DgO$
z>*;8HnIZc7;_?mq|J!c+cI{)sy?F~}|JC`pa065F{|i&+HBJ}Zz4uDQp4yLlu6Rj5
zEqpwyar1=Z->-@!uRc_Hqx0kEop+ND%`#8r@!XLv{*Uob+MZulk}vc(NpoD^_{`~A
zlkvQ-?`|@0*q_KeC(!os)b7pVqTMU)=l1{qv_s+W>d)V1Pf!w_x3{${;@*o1fBslp
zJ<8bhMZav1ZO_ZIJ6UDx|69!O%&j@_ORS~Yx`9P*Wt;V3?@tRKp4eO2urr18_ku=$
zh1bXC@cp%%-?jS2;yrPl-+n~dN3uKqXrIhrydux$kDlS{58QuF?73pZQn_%$o-3(h
zm%csj|GMNCzx?AFhyJyk{qkrN!_+l@t$)tjdj79feDtJu$G^XsvvAM(y-EEdiEkzd
ztFMTSV2nBa{V~t1;v?1n4IeT7OnQ0xVb|`nvb)b7j`;ZGLz34{mu80dJ3M9YefX=l
zZKr!v46}^(o89g=F33kD|JD25^Xb%wyGQ?2&i|vg*RC#fXOhi_>w9AU=}o=F*317#
zZ~um5#*;ep7I7=yXSt(1Q<USN{eHo)y&EkP1)g!n{Ly=F{KIJ3lg}wejXRoT>yq{*
z_pCbd{o3*r1Jz&4e7U@*eYuu%!Fj{eB-IJ_vu}1^-*YqVd4tmN6RYJ#f3FPsxnl-9
zbJ8b)15Z~<A7|VlsvY-W$(tX`D|cUGjr|w7qrOGV@au=@J&Tu4lF0q?X64#{I<=D=
zi(Sh1SBmf6vp8mwr9U&Xg0RomhvsTCH*tP{Sdnz?m#c!{vH$b-NB93V+-{#LdVKyO
z^YdR`{k|#XZeD11v-|yy{Xga}d_RTf>OX_+Rmr<TzB1a)^a%fY=e1q+PrGB6?02Z^
zPqKY&_4%*eb}>esq<^>n*u6T!RL=R+eil>XgO4%RJLb1DUASbshd1W3KvL&vQSHvu
zKg_@Ee=gj7_OE@s64Q1Yr-k~5OO704I(hMflr-asScaB}ww?PA*>v1=yTbJ7-|K5R
zQyIA#f5$Rj<2txGXR2)2Ci6XeH+}n~z2e5B56?ay=$B)Dy>fHq48itK2_+9CVjkR(
zGQV*(#$>|X_5%lUuD<!{crYMl_ku-Md)805mo$e#ROLU5V^2d`^&f}-W?yIO@A{#X
z!SXSKBmbX)P~nf{^KJhxEdF`pn=6~{CZAj9*(>jSTmJ0-RKJfmFZBP=x2^uK{)x5r
zq)FtRf9k*Qd{$xW%0JO0cTlbVx7xSE|Nrb-^8Eg{xqJR8p5(S}dOn{e`raR@xJ@CO
z{yCKIiMju6Gh6nzFRv<}avi__O>b&6_nUuio-C(X;-~r)J*m{YIn6ebnf<Rr_Rq$L
zE$=5)@UR?Qx0ElQb#GLP&Au<M&i!?`rQUUM^_`a?>Ks{{pNV~|IsY)FL#i%$&z$dB
zH@glTdRr0GeYS+f)JRC|%-7prN=sO?Pj{^SmvK*1-sAmzzMo%SU1EKxKS4E?Z@c=0
zzuEu%)Qd#xxBm72A+f3KX!ph*k>n>VQ`f6DN-8a=-{ZdZM3QLy*IU)I@;7$&B`w@;
zzy9X!A01^A7q}n3U%TgzhHR3>zNCl+MgN!g-F(je{MO%)`1#_xr#?JBq48*k^Xx^E
z$4{;9I&nt!o5D%Mjh7gbA2ylSNgO!$ai>mN<686k|C!Yd0w#aG`001A<R8ZM+Zvuo
zzpDS?apVz`p~ipTxa~GR-!AlbbsrYbe*EhV>wy(Fe)&zdD(K+8t0H`xg|&Yn|B6*M
z3#VUe@DQ{J=gj`$zsYLW%UL(OCC>iypJ%yAA@+aT%@X+q4Kn|qg>Ah1!@S~;zc*9H
zpZS0MzosvEvhkuo(gmC2oox9(l1~3<Y-UVSzp?!K2F943^SO_3FL+b3kwv-rm*4Yg
zxBB1y_uU`mu=-x-i8b>t9KJVWlGdjayB@6X-kB!*@53(5%?q=BK2YP2{l~rV7vnye
z^_)-iBlfzfR(i1i^ZM=mbXWBQW?sf5q1wmizOlvX?^tWL^69<czdo-*-88Npnm93_
z``-2VNda@8u>74QRrck;`J23Tn*w(K2vB1Qty{Wx&+M2JY3@?X)-1S?75OL7o2A+8
z>!oXLKZBkyPv0|p>yM>BLN@;o4x8(i6(lRzDO0{DL3_^+|IP%%(|h+_mHEZB;ATL;
zjIFIPyY_vx`F2I`FW<f8|9tjcGBKz-$`~iIG;Y;{i5bPsiGS`q3i^ApqIZ*p-X;;<
zH&2u&Ed75#^rTbT!}(2DVm=B=u$(*;sjzd#>d!0NB3GPfcV<%D({McfK|mr?gQocU
z`;S7@Wy1Rx6v~A@@!oWkUp$Vn!Fn<?`{duY^-W0;vHv#Iyt(T3^WyaW5C3iVPU}$q
zHdl9d)AI?jF8yETzTbSqYW8Q7vv<DhsjA3Ff4{Ra<|fky#u?S>-LE}Oj()ULYQ6VQ
z_tz2*L6*PzC(S#x{QqV~Z{m@@8Qt+)hS6gF63^qjk5{J{>9#!I|3o1A&ZFtx0<B^<
zuAWq44LtmzWuB6t&6{P{W-Ncxvs+nUZ5+o5#g8}ayG@E!STqHWDtF9ueQkYX<s|2C
ztG~>gz46`^KXtbL=<j#$=H7ZFf70OKA=!J6?6xXx{If4C_vW*H?mdhDYJKC`v$&Zf
zDcE4Qhnupl%5=YznF>ea=FF02irIbh(Fw&3U+$QF`xCQy!I6rCnK$NrDEz<{bMpb$
z_sEPbdza|n%s%p0&-=#1CtY6BovQt!H}1!$c=&H}m7V|Po{oIYmwTIZboVZaJG*z0
z!u)+pzMi;I=<!!i%6jz;<Bi<k?g+MZvTc38bMZ+dhLg`vG<0lGv)rh%$1nE4z4RNl
zvKDKTc5l#MePbr4L&3D9-Mbeb+?^DD;;r1Of*9!-zYjO;9{q~sJaZwefhXy2%(;J(
z{fsZ(%Rac1<dyvYz+HLk9X?Ma4l4Z;FkZOmkMyj`hnqLVHGGa`=-;vEdd1WUW@mru
zNb{<1OlM^N6H~Nfar%PUA8)kCB_Cnf$R=vJ!1?CRz{iX`7Mngg<iQsEOSgX#gK5sk
zU!NEc{?$!inBJQ6OV{>y!@d&?p&Pt@?poacoH_B$jwR1F2Cw<6R~lNRVDLwB@=6Jh
zM!)bi_wI#HRW$#zg6YP$C0+?H;yxOF{Pya)*$3&L0f+W3exIDwDP?;v_S4;*FZaHx
za!S5`V06$lsqld0$F~n;y8i2J)jGL?fqVA-dsU^Igr@&foF=&X%^$tm)$C87p85Gt
z_r0IY<j8yX-dlG%rte6L`Ww4Hl>e!INZs$d_eymSEPTJR=EqFA<A3#TZJ3|rccAsq
zo&`qQ5ll%tm-IfL@%x6vhR^LxFE-f!f7$kPZ|Lj>pLY@QJC>hswX|<3_gTQ0v@cwC
z|NZ-&T-z#cJ-C|l@NtSoz~u{jMPI+T`18P=%?FZa-+6G|?1W|5i}T4cYm(R2yp2;m
zQCh}1;mXIm5AOd}SA5bx;nVlO+b1)re|h{?FZ=uMr{C6o{`&ao*WdcH-@SPv_WxJT
zO#QZJ@9)kCHC_EgJhb}Tm)$8VuD<;H`TzXmD_&$j|Ni-{@gJS**Z!Jmt-d+u=a(<S
zHd^~u@2$S^<!iL{CA}8eZ!bQ7%Xy-8Z^opQjj!)M*{?0h`T4KNwV$7VrMRU1725OV
z*U8`a{|a9D_wdT(9V@^5*{=1ITlL%PhzT3gH}9zLzq9o3o-cn>zqJ3^SNHfteLKg#
zy4tvlQ~zE19&8^!k%eQ$oW=2LzW-JAnRfWU;+6mMd;Z&O|MYF`Nk#4(#rc1jW;il2
z#T=;r@~3)VjPjcqbCdXIEaaK+^8|ZE#>4RZusyY!Gfx?R`*Zt;^z2VR690bx<<H>1
zM*91&w=Y)pbMDbE-}dfr>PJ2E^|PW*WNnzW+5X?21?RqOn6{%gbkCO`vH$)U_I!xz
zaQ*y~-}0bCe?#my%d>k!@BjSv!<$3vlalGqV(u@$CvaCjT-^F;#YFkvACfIQit0cA
zcoTcGR$Y#F^@EQm86`iI9@kpYHS3|z*P4k^*LDT2X%Lb8c5wFvKFNjrvw!BExDa0R
zb=mL3FW>x7ll*?;A=gQUHD6a$S1%S5J8*IS<4LnZLsJAUemk>iLG9y-*DJn!c(nQT
zbIU_#PQ?B<U3KlnT>jW63>SoCUnhy~p3H7}<X-aT<uB%V*rwFn5R8arSlIP>M#a39
zi#|SRlukOlv6JzxW8TfLe_uz$zO?IKwzIr^&jr`y84Kl)Pf*dDplJPuao3b))0nUS
z_PQrCY&>^vLe;~m`S(8jd%|RWW3T=18BGzpr8fVP?0@g|@%#U<9`BFq7Q8q<(@Z<;
z#4Ke#1?l>YYtPMSeY)h-C!Uwz|9`*v>0QpJ_1FF}<o#6G`(3Bz+v>Sq;W=-g{ros*
z^Ov<>PwT~h{TijJv@-fi=5pT7r-~2n6m37h@#m~w)}vpSgiW&C&dh9}y5_%5<d^Ti
zj~ruQ+@p6ZeWHixKc$;{4kz8d5X#S-c%uAo(uV7QZj`6*d?dg6=I+XqT<3p=&A-rJ
z`XlLt{qe8+r+oXp)h<=EabMBgNm2Vn((iTHYuBxG{&UJCd8Ovj{j9Sq<x}ML9)Gii
z?<?2$HD7+EZn4YxvQRb2wSJG-^KSQz-+qT>^Dstg>rUEm{J+tYzwGa)37=)1t|Hv+
zuy+5wrmO1FqO128orqEWx;H%XztQWhB0G7v?@JY$_@CqL=le~!RT*2XHyg+OY?~}J
zzbbUiUyiMRn6{=c?Ef#E8!40aVb7mtyC0kFHvT%>C!79+iTzH~_Nq!*hRxm|Z`I7_
z2;DQ;{{`E@>0C2*)!YqXIsA#~=-!&Bp9gt1fBtY|md^3Nr}RJZ8vj1l_3v_Q6mRUk
zyR(ZQ2JB({HC@@^`&5=l{=Gdf*aZC7{J*(L<=dMd!asMY?wRAP%-8$>A?L}2*E4jp
zk`g{D{JlJP?}6Nn|Nkhz`EfzC`>!&`SrviZ9;w+)-6FiaEis-y`lMV~^}D$~zi5cO
z{>0OBrl<YxiAo<CA4<;R*6--dD*F8AX6-bli#uCeV+6ZzT$mxyF0oKF_K0Xh|1U2+
zx5g4y=D2%J(N(Tt|1bYMTEH{)=Ow*O4D7$p%sWuL@eju|siQZR{^k&tx;WwWk8>5j
zIgCHf^jk1b<#O2H3o~Cai1sv`V2FA1BUxREGqUFDftsVUT9dXs75|rfc+;OhoU!Y^
z^u5x`-+1|nj6>7POAoIuIJf!VP4D`X0lDAyZf@PA>;L`9_6Sy+zwH0!g?SbnyViKQ
z@MFZcPN_u36F1m7lCu8^DHS|9eBqpR=dCpdADFtAMEKU74876v@51u^Ppg(JRXo2V
zE&th<j6Ht^Vozq9?1{_UzN5kLr`@wJ8K3`%TvK8xoS*SN`=97Z7RkLVd=o4)F8nw+
zvvc2<oIO8%Bri&=|8uG2>ZXREcIohMC-OgT%-F!#z>xKCRq4k0Dfhl_>S(&c>;3QF
zg_qGPasQ6K+%zX8N`I4M_?N8fTUXi?e$Se}Dz5lTR_cqJ=CeCvzZ_j!{x$FEl2vb3
zYCgVkqc{F$)SFv{&%WfHTCr%ym%LN6jy(G)Zu8|>^$f>?WQK3~Pfv8aM$eV|a=h}+
z!>`A)s&|N-Tk+{ze&m<qr#=+@{P5-M3i-(YYg45!^d>K3_)>XXAZd3-ecRWnT@6;v
zib-Z4Yd(HplwxH5P<ub=`SXv7CpO5JY2WZj{`;Y(gN21(s6qU%;isB6l`mEqIGzXy
z+nq6aVPNn1<3E;Xhc)yaU%c^S)5qqn2K9)$Up}5*`6zD=<Acv15BW2zsw`lPVGs;6
z-jPxKsow5nviylHbAJEWP<iop&W9ua9vmwESnI;UP{{aUtJqD(PyZNH|E!LGzERhg
zYu>**g}?v(Ilvw<H&u82h5M0nQrGJLUr|%J?cHylhNPJ7Uk(4Qx%;cPVZrN#oA+>s
z#jpF4|N8dJle4}TWLq#L#eFNVU`i^x8M5<|)|Y~IrkL_C1&^5)B>iA{;s2QF$8_84
zUkbt+7ra^L`)JGW`S-tkxpmcggURZD>uaaKYI}25A#H|$`ToDBw!T{TXAke)r_ZwP
zecwNC#g>o%%HpPf%|AUOs{Y>pvenU*onl`u@82Vlvf<L%ndj&4vaR2<`I6;^i2T)`
zdM-CIf7`l$`X8B}oB#j$lx=w^>*gP=9YQ)U|AhT0FFsgWHYfY@m+jM8tl!MGOZ&28
zebM*s${7wv80wjK#@pXpv*XU!Ui&+hT*9yKTPVNzl>WH#(_UW|!E;|Hf1Wn$PsQ~o
zoUC7_uG{l%f9<*7`u|wv+V<~vzVWAWt?jqTKW7%4|5I5N+r#ps<WKq0TTyvoe=Dn%
zyWAJpp5)0Fp8j?6rVoC$uV?ub%DnO0bLrc)=dbqt`7kYVWA4#;C3$D>9XOu+X;R^*
z^(hCrp8u)bzH81`o4=J`|4m~){-^Tlw~35wkCGYgz5TlT&4r(j4{WgI{-bt1lH>c=
z-P#kST0b-B{$#tm=EJOswn9ow@q0e(?qrgEb>ee)&A}yq7+?NXV$yfxIoZU-Dff3~
z`hi`0YUam$yK(K?-n#d@J%4`QGnwh(kK(&W7|P9Nc-5sGW~l$^lBoP;X6GS>$v0g8
z6rBGlGjGe}uQq?u!ya7`WY}s||9S7ZPn&NtYu)>@*Ncng+U8Xogp+n_9edPp&OYvu
z`J0{gj~1Mk&;PV<6BqAp?ev@7pMPkpGg|(OZAd@<anbCbskXmwOt!eZ>UEQrI#c-n
zx#D}jAJ~3`sr^gCHSK>h-6pfd-k1}*=7;n1ox!Hn9~RyFbnpmM>z{_*X(y#NUy%RN
zu-E2Gp5MdY8oHa9Prl%I{*pCC<K;z`qbC&EV*fquN&0(P=Hi6nuTM{ECO6tVKXvrY
zj#bJ`FZUm~YI*9c^kaG9)eV-<PHJu5YrK(v^8NWAUYe)>e7d;&?C-XR|DJz1a(42U
zieFZfxdp{l?|l1qlBw|f(OW0kr|(Rh%`yMPd4}iTj?QM<TJiXIus_G`Yb)b7f8YM3
ziL;e)!<5oD-;Q~*zvj3Y#d46__}<c@JzuLL{{+2%m2xTT<c{JmKXbnwSX<LsWY@#A
z`p=x&e+@ysO5eU)&)@m*%lFgG7X1IGf9?5pWN%&at?yrsteq_3`TbjU^_Rn?MN)6S
zNnJmw!20Fe-@ny=65ndo$?gf5o%AR1FBfPpebWELYn!!xB+UD|i=Q_pOuj)TS$spU
zshHNonR}8B9BBRM|7OLHy}oa6ecATyzujLpqn?QU7Z%1f>1^H;HS5duqkkf^o;C%1
zd=z{#Y5U)}>iNN?DXj6|uI4{Jld;!#>!$-3o_F86|K|JSrECYQBU$%$zL5R@FDv)V
z$v0mv#7-)(Y^W$cx901G*po%)zAU>nHRMRoX_o9QZ~i+@uVT&lvTg2x*T*k^$hKn9
zy;&vqWy`5a*G_i3d^;TeN%+>+*I}ot`rhpJzy0-scg3fD-;Q5mE!C9|sbsMHTc!K5
zA&PIiRb+?JzKFF~c<irzzni7L=$P=@=r2p2&Um*$IOfHlc}%7i9N+dwcf8qyI{$wF
zW&WRihY~Y$%vbIOTHM?(cpnO`m?OAifynO#H3DxK*o~?`?uqLV?U!tLaQE|L$N7t$
z%Gdmje%^Dt){ph0`_D;o`@R=#d$Hq$On(3EUvuRAqb8itk(hD$Tjz(Ajfei^ZQngv
zVDr7XH~&~ie_EjQ<#1_O*U9#mKkM(mnZ&x0@mKTglgs5Je#EHnj_6HVu;=K5jyxUV
zOP2pWJUp_X=3}SqzK9>($^4y~*WWCh{`kRs``sO^8yWYd-DsLVQMCR~&h=GQks3+s
z)z03ikc-K`xNQ$(v+{g}q7w&|o?SMcwJY@RpPfdWjK(pGR{zOe%i_9s$Nxv)r_Eme
z<-zv+pFZ&mZ}0h)tF9$Cd*M8-dw-gK^?Ns^>~4K#zxj^k`K`O7@Bi7$=X&4(^P2K6
z&%f?Xw@h(*vPWS3rmv6H81!HN$-lbSB>U9f3x3r<_r2+g-jKAys;l$re_@WAQ?LK$
zo#g2H`Tp6bNB&Nko0zpbs=B+f|8AV}UifYO*T?bR0);6~+G5q~|K--ME$QF(^<eq-
zNUk^k?Y`-Xd;W}?@kQs;AD^i0&5mpSM}N~zD$DI_|8{V_U*d;V{7-KkxO6=Fuk@|$
z2VVEb{#D;TZQ{zdH=Dj3ygqkRw){=zy?=e)d-1Y{{h9RnzfbgBzNICs&!3;jQ;reh
zp3CxB_Wh?nKDW!w{#~j6a$xS4=X*ar-2CIe&)X|(si&4qYWVc}gn{7ib<b{|IosLw
zKBE8F)F%eUI~JP$OxxKp-?!sR-G86m-W=SUvQ~as`gJ1XjH-z-@teOayZVG{#<Gc=
zrn7hKmiYeW<H3zg-&dqgxV-V}a^E}!rEgykK4bc@OgUo4$N3X)e>u2!lF@;)*V(7X
z$UKhya-;2k!=>~u2iK<v`F=a-FBE<4ukY)dOkbC5`|o@E+yd8+Eg!i5_(mQ3bmgW+
z-M5oBK6fT7GcilLRL$)E=ktI&=kp4g)gLD9S%1qUkum1mHG!ls2GK`{cl`37wC0s3
zgCpk+vu`Vou}s%{aF37a_X7Kk9urp2>Ns5bknx3-Y5bR!pPLrcJo@EhAHkF~^@nfo
z23C8W3v=cqemXrN;QvIn;EyYM5B~H0oAY()weJUitpDqy|0b5<#~ck7|J8dOLi2xB
zo!|MWehpW{h50)I`~^(*@Ldvm+;jNqt3Q8z%HKW+JHLlX|E$Dse*JH|GXC@~Ial3(
zc&oo)n%EbU>Ia4Y8X}JV5Z6k3`@=J2CsQVq?wpl3c&=!k{p*t-s?Hgbzd}y#vi|;`
z89x?o{oHf7YVJ2b)Ac=vuSKrn-rV>uY0c#~k2n1I=d(6WIs22w$xfa}Uu%6?p4Wc*
zqVny)v@Z_ZH!s+t=3p21Wy5#FT|A$-s(TLC{jdA$^V(mi`AIYr>+73Gza6+g)#Co1
zgLX;Z4y^Z+&YmRL>k#_o!1h;HSpVuWyj=bA&d)y!|NP`sWBK~N(KbVsaet%!2gcq@
z)%(BP-T!Uk_U_)t;itd-oz467S8t!-KUrU{r`I0b6ArM9iBzk6lJVx>?dM+(ywx*f
z`m|VSR{$ShfYAN#i|>6uu<iSj(Dcs>MOXjxzp`D+@6WVCRgI+GTTZ|2x%gjaW8j*k
zzN7Kw_rCg_`#K?t(>^AqCI8EWyHA)4Ot(Bcx?dyzq~WUx2ER`fcl00qH}|ht`Kz)o
zFTP*<+MajQAmHV+J%7ZCT^acgzIm|W^z(mWbN!{_>u$1s{L(so$=uI-jV&6zUhfjC
zoDgPuc(Lh<2H9D+IKtQb5x=Z-XyY0ullm|1>P(XJUqo$qZLjDVn#|CcQvapnkLb)T
z&%Y%Wf4fory)!#~$<IAX%kS-o$_wi*@@EKL^Q(8&EGg+ZU+?^rs7>K?{<>pzsi>{p
zxBUPABy@u6)Zcmty8dJO(*MtsB_mr!c+aCR{d>80{^_Wk_eZjHl9H~1-=BF=*USD%
zuAIiS_iKT^^&atWANrmIUHWon?VG(4>QQ&3Kh!0^-y>1EQ{wC_f&8!r{j>ihweEKP
zdARCxUav1_|DJymmntut9^awg|3@Nz)3@&9=e~B!C9l52nDV9jy;w!x)!!Z0BRU_o
zKFSh$!pQkzyX?)1WxphjPe}gtG4`)S*NU4zI6qa~xHaS3+0K2dB0JZe-?F}I!PL?<
zGo#b?NZ7CcA$nt{#QD!DY_W_2Wifl%R8~1<ROP?BRd(^;#Eh;TTwmWTjQ;Ug$Tefm
zp3fV%pU7D9dA|Fr1xN37EIIpW&b$vR{FNqto3!ak#<33{wy56k{%XqJxZ!>h^YhOc
z`(3uyep_Yt@tpLF3*RjZ1b%2+Z@;{Wf&W{7m=RNB)BhsD<QEU`ed$kOnmJ4D{Et?v
zYu_daF>O_@{y5<i<Nh0p+=8zsZc$=fp8nOcW8>X58?Ht?VEf$ht#5Css3pVn?`84d
z%G7sEto}N&_ES;JT&8(@B(7R*<Va_q$k@lop2GNhkLlK@9L*cf*x%&1yU+P-%a7-G
znC9O8QXF=Y<N21${<rrT<z|0)dTjTW#>e|#@;Lta$Mf0qAdk?&bKkYU^u(*rw))Ab
z{AHpZpX?_s^_c%Rm*4pCdUHp2RnCtF|9>~dHr@#8W@xS8UUYrq+NaOIs&4zscfNL$
z#PP3b*H12(@b#ni?|m}w|Ll?9rquf8+tHavPCwZx{5Qy3^ynt(%U`Bnjbcq#x&QCm
z9IaBeyQi-__||t{UF#xfp2KK${r5T34Q6i<UHnI^)b8V)KbC(vy*F9deep?Yyb`bT
zMXLIb;?qey8^6rifA7tQ<o@ZQd;Y2yf6|p{{xz}P>g6Ae&~>h?on5RM=QhND-+J$p
zQODPsDSxEn{TwICb*b;(V^;C4=H0EYbE3~aoglp`;%kM}>!fd)|D|90y!lsJDt9u;
z`%C%1iA-m^r~f)J>G*$D?b?$wHh<#{37aMVnEg?QZ1$H8Ni%-G?1)Zg>^S4k8UJY|
zZ=<sQw=e637Rdgo?|6Rg+xm5ri<G<Md>Niz+j{AAgZKU)bJWy-axi~cyW0Qo&VP4w
zJ~l3Y*7&=2&O+Bo`Q{rlZom4pUj6Wclvu_Z+m8OLZ@OR3n)$JQ^B=`io>@Q6PG9%-
z-r5VECkhq5Zk)%`EwOM`?)w>HY8&S6-lP8Hr=sXvme9p#j&}BYu(<7rZ=2QS#1y>d
zkJ2U8U5eRT<dg(<vy{HQ{_gS9FFXDBOplu>zWK|p^7tzG$&m^Z=ep;v-=!1rwfpnu
zt?Dew+#f#2e5&7*eN%tl_s#p)r7Q{Wy>j#I%aVVew?4U4ICF9Qo?l8z2E6r?7x}cl
zeHQ!u*u}nIjCw|e1`~_Q<}6uzeudu88Kx0TUsJ4}alL!hyGZWctICZGN?&GtNZ6e8
z_SLD6Y>y_jzFC^~am!D}{;x?#-@Kmko3Z>!SI@~dMV6|FHpT@B-#2ZRf4@iY%D01e
zH-4;6|CW?_%<}b{FUgl|ie|Ro`#9wXQ_~gG@HZc#zon?{<hXf4DanN4&+E?z?<YO`
z<dL>8Ib7#!%DIitKgxY%S}~2w`XPhtouoIPZ!k5)^s~%a{C)C5?k}m&Bbgf5<?k`w
zVA{AtsB3|Qso45Y3CSA`zNI?G%x4pp`<NPbaEH*t=MU`V^B=DNHdE!}O%6WE^$iaX
zv27@+|HLG`VzwOT|H(R!zE632`px?<wa>pM-+cSQgz5CRl<#rf`e)yMD7kv=OH$mc
zH)4-dR!@Aof%WU^`4{fm@9vBFHuKGUiTgL6#;JZ-wRuLPUD(v8h8t4bo~mB{mb8C*
z#pa1i&wo8tUq18g&p+Q!U7z}e_vE*Tdy+dce+rj>uKfJ{)cuoPRbNH#m^EIOG+h2k
z{P&mBTVECZSp6k(ckgS*J6z>S8xPccp4VW1?34Vr)73_kwazTt!}2Y9?-S0CUsql`
znEc=72$$p!z3LBbaxa4;XLZi$nJ=l-@_qk|IOQ1&t3SmZd$V|^^Q#|U<Fr>_npm;W
z^?0LV*CWH)BeU;5>h-<xC1Em)=ZeCsN0eMScEtA0p3wh#=CxSEzd^_E9XR>yOX7OH
z-;Ko;UlM;$<Iq;y`sUl&Fx>@VyJs*|e9_tTCBFRFq}g-ytdBi-HLr2E#0j0(-_PFc
zo}U=|_3YN~UzK!>tnD`!lx=(RM9O~`kNE#f4=Q#Ex*8;ZyUDn5%0}&bU*g52Y7f_c
zi9fw6Ve=0D&g#zz_hzMT-tbM1;o7-x3HKUTt(JV_oOI6Ms?C?<=8;?Hd{OaRA@}ct
z%Z->X$90oGDSk~@-xann;QGc7q90<uAHSu_aBlKfcP{-m+n-JT8oxh|>-e<q{ymE>
z&f$yo(lWgFB|-1-rxWKd#QoUv^!SQ{RrkInteYekef4hmw{OMU-(K>RvHp3o{m&=M
zlS0409jgnKycc%)i{!j7O4~ohq%G3PS*5t_i)1&~SIJHm1+Jd&%f24}|L(F1W7?a?
zD}@4OkEUpgzkOOaQ^V$~<U8xvU#|W=D);4TmTBzj6$_kCN2IQ?{g`<&BKP~1dRxuc
zGfqDHD)N};v&iO-HCk`3FSy00u)d*zi95z`^|#BRmETfde_9Zmb#Bv_-$yFHq<;Q-
z>Hg0*kG6e1duvm|!^@^WzoeYrwBy+cgA(@W(`_?Ogq`ft`f@2>Ju`WJoA8I$qYVX*
zzB-=$eyRTbSGV&G7V2y#s}EX-&B;0c{ZjVSVE;YevQGA-oZGzZ`=$SNv8)HHzhzDC
zem!a3p0BA_PfuvRZ1dyoeXHB=-ky#uoUrHT+UfICCcOS;{XT{1(cLM2tohs7uI@^m
zlm4yf{S<khFR9zD{_<?!E};14`-8Aa(p3{Yu3Y$=wP}saif=rs0^9F#d|3K%WwYJA
zuNAu{>ra~D@#e44v`<OBKb0obd{GV0QZ>B)MOk-7eA2@moZK@)%1_+iu=Szp2aPrJ
z?f-p!w0qKm6t#`#KCMlgAu9iqLH&Edtt~(6!=v<T6}7)@`MLV<m%>nej?n%`*<bE|
zUlrf=*lzFtf4e^47iJPZS^u@*kxBc(j+(C>M^0=lIrFyWD9@h8hk*gj*N)Xq@ILze
zLG|8Ad(Tf@HSbVs{hA*Vj~^%PnIh58q<G^`)4rph?*9BGb@ZalqfHz~B<x;)d6>QF
zV&;On*<T-ipT=bS=ke0FU)<+1`2P7;`B(KuUw-H6vhR;pYez~jZeM5pROI@*&l_h?
z$r5|}rTTlZl2rcu2%Y>d#V4hjKQli1&hlv4_Xm65O|Ch(ZTogFq5OLrey6lBe+_!8
zRVnoGM9`mKb0fAKdcXOb>Gw~rQ~qRjf7$<i)g+$zU(S8qm!hQm{-5^$Us^vOZBY@>
zw*BAz$Wy?2&9|VhEBT-1RZ8?<-1Vn3YmvxlJ>B_t8Ir5N1kGjWRso%TKD#I9^F;IH
z31YK+zoxKAe-B#w>HWXh`hTDHPgXeIwd3p?(E2r<H$M}9zWJBc{V?e7<JdPJ4yb7a
ze_JsxP5<||14o~I2%5j~ko^5O|5(0ibZt(GG}M^z@r7^Pw*%Ud6&ZKmeEe`gDz=89
zduLeOirvX#UxL=AR!#jD<Xg44?CXKoe;Yn1F_>0w+xF!8;?A!@XMcQ5yL4Dq{{8<p
z!A71f3`bY1ui-rPM1A2`27WQN8zuG&#O^hS98P99dHL|}9sl}1a-97dEWI=N!{iA*
z@oyG%@NInm!sEvWKATyrVlz#bf9GNm?et?vzH{Mncn9N+V^SQJHdBAEkzKgD@5_O!
z9~hIq1gE`m7oEszpUjbYV4nR+=0v8|UxH_UIdJ{c$8>ep{PkZB{9N!@^xyjhOlLnH
z$odh>@ZpX>yZtAI_iz7SjlZ{X>k)<c#IFakD%Uh`efMU{tn?p~k8ZtGxBnZ%+Sj&U
zzZ{jCoA&SSzimG@%}L9jzjoQ(hcVwa?wu?lI&uEh&EKY8`?ho9#&>O@|2AIz5EHwL
z<Jp?(yPw{+ZDoI&Re7R)x9I1ud10SwYQ6=Qt6z{-W&h2QZU11>zc2fLPy3_&&1vhO
zJ*HDx{-<2n`X~S73D=+7|E&$?d3ya=&-%5^zpv^)aQOdqzbWJFPYj-I6?`2HYrY0O
z{a|k&R<NdJ?VE2wf2XDx{F$mhe_Q?5Nmo{XIrx9ww@r@f27dXk-Hu%h{M3`RDT8No
z=B#%|&Th`AxBJGp|68}J;*QsI^bWmvJzL_`+~425BOfboYzpr;*t2F@N75|r_&pz8
z)ic%pAKY=0k-2$8_p1{JWB;#hef{?|$K1Cw@BjYZ`96i?_Gj5O>)Ymkk>750`HMpS
zm(KmG_io*t`e8yuQm32f@2{O#pH6)Gal+NEucCXk-p>_DdGY=1g4SIh{;o{SzP4@O
zrZ1iGs*irxZ`r&5i`aIVHDw15FEEl@U=s0F+`C)p+n2aa9WS%WzIIJ!D%`Sr>)J1p
zbJs21yzTg{lRaH;Zl}Hd<R@s}qU7W8J88?Vzi%#1R*#T-zvJtT?=rVn=d7xn<0b#R
zW!KlF_0u&@ev#j+|A~d+z}8*2?p>NPSzCXjrTO|VXXbWw$G>@br;xEj^52JU<2R2s
z^8RY(|CIkt?&r7ewWq%6&)T-*`koCtAARfId#cm%b>)W{XCGdey~5z?=WpFn&dCh7
zgahKfbiYnwd>Qa#*+<FG-@5Oa`Y6BbW_|m=!&52aPX1T%@?)<&zKchz=gg|!9$fvk
z`|TtT@vT$$Z|}Fzi$1u{ms2wA=G2I*50qLJR!+FO`NHv8mzI2ev%*eZc=yJq&*s|J
zPpi=Q?qUA_TU+eh&9W049=xBk@!htm+t2kZc)cdOe)oo?(;IB{*QmX)I3%@TYTwCq
zp%&bSZol5c{CvILSF;ro*RJ%f_Urg^Z)@thCx<?5+iE}4$^K3CxfNEAr&il;Dw;6u
z+qU%gr?>FhTddD{=eCLO^;hNZ>Y}0fU&Kz&a{0cX`lOTf_61SrPQ97qu&+d}na7e<
z|B5WAwI7tc|I5@+PtHQ;ch_E@*|T9s(#v1|f)l2_$@u$||Jel{`_*5jU5)&z^7-|X
zW$ckpmsPLuy1M6!=F^_ujoS`-|NAo4`lRSB=7TGCcT2>VupQJ-V#@xa;>C7Y=<&a=
z%6`I|Z}Ck0IxQ>z&2vvFzk6S2L^GxT`=Y#QW|Ov%VtVkMj+IJ!EYXvB`oGS*J}u_w
zjll9J4C!B#*RMay(KfAvFKZ3sPdO#!3DsYePjW2&GBy9K+|0f2J(Tx)CEoiob#@lV
z^*vvvhP{1L{A!=k!uD(5w0=Danfu!D=;7^MeQEKh-_@3GVmy4XBWa<a>Fd1@w#@gt
zt9`O^!VZPr=v7;{or^uSA%FIs^1myO?5TM-X|tBto08QJ!ft$;?;H82ZpMt`mseC9
zG4edgtlUyyz5eU`zp9My?aysJ_tN{KW8Y#=`)>z3j(q+yKVFGR^WcFU+28awMQ8lH
z)}8b+qUodl<mj4dJ8LVFR~VRoW86{G%Df=6{Nti!k53(w-``Ld(NuDL!AGU0qTe&W
zAGY1K>fMiZ{_}g@S-%Oi+}&7xA|v_3VkL&s{Ee%WUiSU!nz#DP!qQDy^Y^%3TlUUx
z+ln(Mg{)`!y{bH5fAs6Z>aSB39`E_iGDm~G=j+jJ0j8VZMt)iFecFR_AEV8$G2ZG?
zJg()uDZlA&%ijJ@&Nr>>j}IJ>e?P~!e7TA4o8H*-k^4%gYegDZYz@)wzc^_U*PA0B
zr+wkw`f9pR#+%U9tMAWTv*Uf}`B~?FPP?3&{wDM*@A~3*k<XVfuK6JTv)|Nq`uoTQ
zB86`vr*?4`KHNF&&5pM>;&;A{+Whk7qeYH?zVTQt^zeSWBYkE?&cTP*zVY6A6I1zx
zw|e%8@{jfZe=zQ1nLN4eRq{lp&i6?(1y^npekhW(ezlSNju&xjls9ZidY$^?{*HCx
zvtLhrvpwDZq2aMt1v3KWg}x;<su{jc*|T>;+x(5&V^6Sjy!*;`Y7>Lt=la<f`~SZA
zUZ4Ka?g(c*)2I6UM+|03TO<3KI&AMJ@-67RTe0v{eg6?IH=EbnHk?f2Jz3n%a_-F+
zp3vMkTUY+R^DZ)c@4KzXH(vZznDjO}|J}Bqr*F-fX!zj7!Q;gjzt`=HT5pwpdB&8T
zdv7h+ed1%o+vwP*U%a+I{m`)?yf<d0Q2EEb2KAjECns+;{$Bhx>i?g+4^rGzf3la&
z;61v_aP>(h?hRUcNv}>^-TgCM=b8BFpXOIYtIGC8>n5-0oLaH{`%3ooiAIXbMRWJ>
zH4NXx6usN+aO;9EAGubD*!|mUxc=Oml&5o;>NmZ=wT(-9&Du8;FEQ~-RKNM=5w`uS
z<o>5juisogzhcwP*S{ajbR=Hi)4?@gV5JA6urQPAwHabZA3m%6!k1eqB>H&E=4W#w
zcAl{PDInY{vvu27kK6O#bUi-TaKZfjK7%m1*P;8bY2RG%>gX4by6r6Se|C1xTBTU~
zd9OjXu7vpN2@@<g#Qgr|5xePC&KK2h(eH}p{Oo_V;>3Qg+h07hRGDO*TlcpKG4?%v
zz<BuH|II5`Ki~0Mn9(Kn9>Y%dvon<*uND^Vo~?SZ^!lHRrD+r9ncm;ScJoTj+Bt89
zyM0m=jJHIb-KaC4BXG?M;qI3cOiwa(OV0ke&+_ex`j5V0U%Z5wR!eeswBC6BV%y4z
zXD9sJWwD31`|Uo~aD#u(PQTia%*gp;PT!NS<<lnH&Xf-~?CzTP_SBlM-rsa5%=3QR
z@$b7=v{vT53pJA&U!T7B_}|AI)7M|TW5r%wT>ahqtL2*+|37(E9_-uv;_LBk3o8GA
z;Q#7%>;1bGZ{A*?YEb&;;@s!oy|&JIHRqex_jNk2LhHVJ?e^x%p7v(Sn@zJGeev3F
zcT?i^_S(;1y>6*HObvhgB6_0Xo%b*PZIIPjVE^agUYYpon>b9ryGPfbdv@>5m91Z#
z@3$rYXW9C%baPAg&UaUK=fB^heodY!`~B_K{G|7{=ASzd{_o+Zq_+zawa&|gf7&|p
zbnU!%v)BLGx;FX!4fWY?(!PeoYW-w2+!<p2|7-A-)2)4N?rAU21hO2AKlZzB0?Y54
z`&(*u`rAF+|LJV=<3o*kWh*0oNbH^}|FiR7bM=~UA(y8%Fr5GDrWJm2R_FWc=T3^Y
zZChfeTfx73YUu{O84UW@8YRxY`{MV!A?Wiru_>&nd6kPYpWZ$<vvn5l_IJ}3+BG!4
z>5=w-`*x=0Nyfi3&#q8BF4Xlfeaq45=RWH9eMu=@7dJ^UZnD4e`?8awm2aM(d%q+<
z`QFPl50hSG`*ZCqySeG}i?uga9u_y`I(g|)@3*~%s*z8Q?!07wgE2{QNn^#wordDl
zOIn_-{utD8>G7GF8l@k180yO{?z$1nC^%PRZj{W-x9>NtoPH?w$@jf$x4cbS8M$W1
zws|WWOaB~LHv52V`4b7pE8V6WnIzfgtJ&{K+91;@CHb6Jdd-e^vu5U8-*bZVB$N1p
z;N-9AQ;#x<{@VFNdy~ZUPw9P3>ObCZ>J-i2X#VEf=XB*q-q&8QDn7gT2~#qo)c@Y9
z>F?jo6_)wVl6~;(Bu4I2-XHbcg);ALR}kZwF8TUvM#;%jrxu%7r|kM*^(AAz@Tqqz
z&0<e%`6$iX^D(23N#w_*uNkhV&gA_2keGD(>bmclqLEBC4NCr;Yd10c{*vi8pDFyz
zo1;(HafknzAu#L4wX1)=W)|H&t)MV{kJi&~Gi{H*JDv3A@U18J6eg|EyPhJo;^pD9
zyNuUysEa4H-Bj50A>;VTq`tFewa!U96^^Y5{hsmpe~|#|$FI}g&N;u~{=ORr`ovE%
z+8W6nUGZbT<Wy_!4L4%--xlBhWXbdP=I2jVT3^JJtjzvzDNOn5K6hQJu;^Zu&^Qs(
zY47K3TH%@h?W_CF^E<MgVnn^y@;&`!yJN|hXx68nc%IJLt$#A$)RqZ)Q};>6uiXBv
zF5=CGmwUD!nDoUWepO1ujh@Q%_uDt9L|J5?u=<q1u=riy=JTO%8onzh2>m}35qA5m
z<=*v2622rJ5Z-+vIj{TEG3IaXc3(G%iN8DhIkc!J>A|kDH)q$?bZ$B~(|Y3z{fIX)
z`=zE%W^6va_U;qIr#rUWZVF_Y`fgs%+jHvIp6!=Ptz<g2G5B-mrHZ%bG%K01-p{#_
zd$ICx%<S#`^{1KCcV4j%n|NNCg@t$ZUa9M+4@$kglltb|+BXMdEMG5=emD2u%KVQr
z{?<=w<mj?Hp02={6wG+!UhLa*b6!sG_Ah<2Wny}V)ZdN>re}{1*nCwy!hGT+GiyWG
z+j;449z9_bzZu!f*fIILvImpqw}UIVJ}!|`Z|Iu+V!?{f<!@GheDvbn*~zv0POyc)
znO7cm!|{jsM8gy|)i-lapXl1RG4}23()-s=eixQ(kBNUXTkMqh|1)Oa>p$(8u-Sk1
zSNH4ho@6+_*8BYSRoJGu>b+7~8)MF!SCwA-p#HhhapSfx?$O(KHQD^oikmC^{`+_R
z+xy-rZu=@2{_W$Bjc+G@Q)hbo`@_x`ERW-N^_6{b|LrgBS+_%B^LM|ry_$c2r5srB
z-7ohq?^E@j<mh*^|9$c8V|;UV|9;!fz&U;=HZ^pAP4Q@XJ10B;{kiWajmu6L?Y4ZN
zwEs}uj&~1st$7<i_nYwlUwdBi{@8Ft{@<4uvk!B|O#AgKRw==3<qKx*Ez+M}@owUt
zb)qSPv1!NIDL4P;eiPoF(!q97^Xr<g!r@{cFRtJ6DCwfD{QoaPstK!BZ+-N5>&Mbb
zI)C1Mxa((Bb?())H%rRjy?J-;t6<*#558+QbKbZZdwk2McUN}4xwYs0Y*ov)`gfN<
zT!}Hf;GF$!x%$y94_?pVvfVS~<Lqw&_g6&<A29g*HsdJMriXcTUj%+{ntCek5r=Bh
zH-YW@PB3!2zTElo(HDW}y^U3yzXsn+|0cM7PScz#M)8|I3eIm@_Ia<_gh?knHth=i
z_)_#)+ulDr{vS*FCRl&$!I!sFqfVwNy<b*(ZErSvjM(mzJnA_N&tEQh^W#Z}$-6n9
z7Ch1`l2lo{x9rSXU!~HxE#Bu^zpr}RaIfa$+p;ghyZ^kK^*6<0md^+K&EJG?on}7y
z(zW}G@Kw8wOfN6Loc*k;r-E@}$JvgFH$Mpba*3tf7P8#*IXq`(|GyXIHE%M@W(oPu
zO#XMlZX%b%&uwqs%{j^Jy+G>On>qZk|H9sFs95v!bL1RG)Adt+ZT~9#Uu*uGfQ?^{
z?rdGRf$@i4|AF22C+?WHrTqRA!Tt@wrE9*5ls$j7bIo^=>r<Ove0)16^3C+sldLXI
z-}HadM%@LM{=A!Bswyz``Fr1Qg8P4|{M6C<I{#hgny;r9ty0q|{e1;>{{I2P|KEIF
zu=D>zzx+G!#`loA+`?tAzli)zpOl;PMsKOu#qv$9aoe6PouqK}P1D-VZ|!t7xc_h4
zeUg7>Lt(^MX>ZoaoA}PYTNZXwlylqL*MDBIJv5u;xr=YRmsf4vcg5dJybjA&uYEgv
z?_0UnZm*wvIuj$_Evt@XRC_)1%~$F0lT6WDzW=);q&$H=z5S&WBl|&rF8R1`imRWp
zpWGZTdiq`C{m8e=R#!5;t-G~={deVolH(KN4l!L&eZ7%sM{3uau=~b)zudg)_&nx~
z=Jl`tW<J~VcC+g0)$bQvd3Lj^eof~lizhR8&#ZfX!a4NM4~OSX!lvmnU+sEqUs-Um
zf$w}T|LjTMODC>8eu70_`^eFo`x)k1olKliQ21m;?6(u!&%fq-)X81@r;pwLQ?unx
z25X~&gGY{hDmXpmrvIjj>r>{Qj(op8nq}&r=O2yLvUX>`@c&dH{;OzKg?z-V3%cjO
znq-}1Qhw<W`OW0LUS!VvrkN=X@>_0(SE)a`-r&3cn@MP_(X8;pU2<RV@1EN5<=UH#
zDN5NB8^m94`lrmqd%W4Rbwc8-XJ2oAH?I1xYjPs|$;bcOZ|>jtNoam!X-eRMI~?DC
z**y9E$?5q`dpX9{7xV@6Bb0>nf3CZCm*f51J+mgdf8V&+?`G3y(VZWDJ^9+xboSZT
z?3)Y<4WC7K{?LE+_0#OilMG&OCdS1iJ^l9c<%Ee$C2ul)85=$^S{?{`v@y^A98+_^
zRIAq~S!5sHiQMvZtM0-ky{F&)e-YY~;M((O@0P^tvr0Dd%KtveKl90-`Dfl`=e|i&
zc`@+>=bVTCZoJHv=`UmYJ*|DllYcp{a*kLtUB2^TQa9(doOikDS9HFw*_4$0YN9`D
zyxhAS?G1LD*o8MFamVaGF|(7$SaQYW@Qo*3W-}W{u)UqIdjC-+Va8`a@BEK`le6k{
zYeD++U$3^myBD|p+0T#fBd2Y6x$%ue`ulrZrzJE!`T5iKt-;MH>&3s#e}A`H_{qQJ
z{0~xCUcbGoo52!x@a0|M3?9~RN!M<u-~2LTyYSAGs@BKfKA!m5y`y~NX7&CHH?MxY
zcHTbn%^ltBXQ!+i<-On3Mo-OuzUR&DYn~VGa4&z-+NAk--o`h#qvyY=RDFH&L&Vux
zt;*Z>hDJ9n6?<Fxdb3i*_PyIDajb6ge$#%-JM!)Ax98tzM!dD?d8@H)&vonM9Y0R*
z{nLKSdt>q~Evsu=^F4W7BTxM~y7~CJ$oIG3>K$Wz`TXFH)f>OOI6VKw@uLTpM7+7Z
z)=MhAuG8uKRUZ3)6N}$0d)(dlFLdLJm9wV(FuxZw;YZJjc#i2un9Surhbfmh+*|bS
zb~KZ6*<7v19Xm45FS!2dgmPlf*VituJ`}7u@#fC<*WMqu?a9_xSziBc$+fqUry4%Z
z=P>>AHe&AkJ6ESMU43I}`TNbC((1RGZ{FPrJGu1En}}Pg6F0t|5O?G4o%QElSv`Iq
zvDW6`g0>5#d|P&1J^kWNwUDT=;o^I5VpvwoNq+SHJyA62Y0jTFcfQYkReAhf<h}Z1
zXMVpoee*tYZHkikdyTyQ&n@18f3EOOzRFa8>dmeE|LO0P_w9Q7`c>Mhgf*Qr=RMK!
zH@f?8>yfps$sS3!-n_cLi)Yn5j@KKHs--Oa^C9N#t7)s&ZG38<AGe@t<BQj`-WuwD
zi<#kMxaxr00Rx6v|K7baUUlltg#{7vr$46rw0@bR#KQgh!#v4VxB7ek-FYdSn4iqZ
zc|!91gMeR^-PL>Ez5aCWZNN_R*O3i-&c3k?|2aq9^!3Cg5jWIK^*6Ns+cHCi^>~5i
z|EKS#&(sh7_;^p`M!w@Op4r{ozU$~E)BH^Zk#Ao1KYxGU`*(cVTg4M?JlsdSj=$V+
zX!V3+6Ruvgs6BCx>&Au&X)AO>bvloQJ^65U#m&09%T6CR?b9lI^>Sk236_md3NCNd
z7ybSA<(4-gQ@?eei@0=L_WzUjVT;(KJ6NLQ%Wm5}y7RLlean%lo8G+i&SXEXzp*cD
zgU!aLzp}o)f4TC_yJ8O>MV82^yOcK``}lV2k<wc&vn@MxD~tJ?c$YBzWIw3?QzrXO
z&dxV;qtiAz$Xl-oGHd<zliTds`|YU;)$a~WKix0%e3i`c2^)94V9k80@bK9k?VCLw
zPcNR^W}&~H<@z*@z&E!`R}~*h-uB-966;<4DX;9`RjW&E3ctg@i#5BHfBXJ9cXHm_
zPd%{BR{urLDp}RkC5PVsoW5>}L4@4b&u^-N|5nMKkALs5*SckkiLavepSP7)*1J7;
zJELaai>UuOOKjv$fBnaDV)>gl-yYv`&slMD5~C>_^9qYlfh2Ec_t+!<BUl1BUVYQ=
zyA{9Np!LuDZ<BB4G{^o=eJQx+-S<yzw;JayFm5+xD%rE;yx-k(H_mKK?0fgU^xf?T
zD<ZmIiyrHEu=d3_`$zxg#_o6`{!ws6@Y`>G+jSnDnwFyX^W<;$gv7EZf0urG|Mgr_
z!pwyio}7RCRr_SZ+Sg(U?J*n9*B+57_%~<suL;W-1mAoO-KG6AWyZVEl_@NiXI}sB
z`IP=fV7=D*cl)=rl<zs%5;1MQ?9Ynt@3uboYe+AfQ133g|C7Y(n`V_ix1U}4RhgMx
z{X{5(>h4`XBi>$lnja|=J8Ppq!%wlTXRY6b)nDQ$<#~Etv+mCNEm|=rVwUlIzrdju
z>{lu9_0RP;Z^CL$%e{XuP+ofb$!%Ln3-t%A|F>v;*~oH1qV5gXn=3&dc`xnO-@~zC
zwe)iP_aTyxPV(>g{QviLHV4L-ETsqcUMBw8qGj}bL(Hb6=sQpIbmqRe829?hL&h#~
z(e(!({OqaOxSR2$ht(T_Yj4<YvHyH^nLp{n`)%7FJ-8XJl6XujZ1u%G$Ju6NuKtiW
zW0gDS=GFgZba>eAeL6dPP14mp();pnEeX5ErhJp(<olM}%r;3ZuMg~hKI4GzjJXVk
zG406=+mh@%WG8VpR{vrUdk_};|AS*=GQ+wKz9Wtsr*2eQ)xn^*W5J&Pi4Qh19$&HI
zRlpIpialBjcFX^H6LY>>#e;Q9fcl$&2i}Lh9~i8wxcOQ<j%m~Wvj;;SH#+=%(;=#U
z!!&Hl%gdYJvgW?JY+J^7@z0G9yFS@>vOnTzIREDI^>Z)p)*pMT_J(`fi(vcT8{{J%
zGRtq%c+30l{EgS$GvAxvf5Y=<<st$8q?;Fb-tfKCvtF{->>Z!=g8gesw{5+@|G}Fd
zv2R^&Z%x-f?V$TMByPTA=bkrzp1%!w>&O0Bw<|IFEpOcq$B4M5S#ypnZ_xWY(aZ9a
z_x&dwlb_tUzAA<3|2ud6pUkCCZtQ(^s=@8&g0GvW9<2|%FUFe`e<bE;K&bmBm)##v
z&EV>L8Dd|?{Pwc->Ss5@x4)1zjg&B3skgbYMX&71BHjHf!)`ubWV?RHg0#mszyEnV
z`}<LrMMr=CY?>opclX`w-S^%|Ua8*jU;O>1F11Z(KCy4Q^gd+U!`bDVSKUp2BNDOy
zYPam_x3kw?sax}6QrE#L;UC|Ils#Sf+Whcq#$TJe|DDv@vM}PtMvn9EW>!CY^6%UF
z-QjgxyVp)K>Un)LapHos>uWCb^uM3+|J(EPsT*fs{j;SzZtfeAlka7sHgPF#WjJ=V
zec!u1@1wVVdNU&~wCpO6H^cjTZ)dEIs<`}Z)zUdL(gatgNEYw(e9XB1+uOC9_RU{?
z%XR{1?0<)*l^l#ckMFz@+W-B<jM*2L{dse8+l)n@SDv5!ZpQy7Qs$SqZCAgXc~WTa
z^1AwaD=+TZxT$jH7Q@FEzAb1w+rI1f38B|Na{Ginzu9^?>EW-hKVsj`Jp266_M468
zAFexm?`BKV;!|(Bv>we_mGgx&Y_iM7m$PQwO1ts-!?KBIo!%(&$Nv9ja&rF0iProV
zBDuIuobzX4SKA(4IYo0*kNM4DyBB<G8e;kG?4Rf+`9m>LYBNXDiVwConK*B(PO;d2
zwKx9U)}H;garrAA)NN&)%oP0l?m^w8k5RLxu8V(c@^1E4ajB)6jT2WrN@nC|nz?53
ztJxe=d;aX_F->9E`gYFVQ>#wQd#A8pyMLzXR))W89<cE7{@%oN*!qC<{*79fI_%1}
z^sSxD@Nj*d{rh$QN;e73JTv`P^m~QZlSS4Z<y$*RX7ibA-=BP}cr#~zex!i+c8&9I
z=VVW1=w5U7<M*&@?Ms72ua<4=S?e#F8Tn4hI+COI{GPXe-^{wMy6N-$1?(Gq&F?=k
zJ-In)L-)-m5AAj;Uf=TK?|Z|oJLLbo;atk2`cymkkXmicNA_>ee_7oWzW8MIGy&Fg
zr@yZfvRcIW{_UK%d*niR&u`-3Z0FQ4>%Ysped`7Bns+OUq+56W`nG-g+s{v?W#9J{
zUgxFrC+W$AS=#rXSh+r(c7N6PH_M_P>B=U(UA6bt+r9bUUWdQg#(nt~kM5^EuTBUk
zzA=oh+q(Gtp}c<;pZAJyWseq0TJvpx7u(6A6LsI-8TKW;TlM|h>l!t|Z9lm$HmsJd
z6gd3B@$9dX^e-oCPjm@gYux_(=9|LPZ!gr<y<c_uK;|k>gWl*Z=Wbaa$b22~u5Hby
zL)~vz-QU&`e}Yy2hS~4P54^6jUZ0rQ->!<DF14a!%HFz({H$+RUlo!%yCna_+6gaX
zs<}@z9EpASf5GGH6{;UT8Be;mN%H+WWATumESg{T$W}^-zG1enl#oBes9V1z@Y|je
zo2T#ho@59&eA0LK?&*ztp8ODw+5c|q*Xc#aeE!5eUG<^$Z_$@OTjoc{eyV7AS(^TS
z+xB0RI>HX$d-LtEcf9VB$<})^ZoZlPcG{w|Pf}LCzBO_6jOQu-j4p3t+BV!i_8{ej
z?7kasCcig-lA+ENX??i2?!nJLS8MMtdy>)ffcr_t&Of^jN6b|D_~hiCr<o@YgxcLZ
zJonAV1@rc<7eD+slj%vu6}tmIyI)*aVwk=A-Q+C~ayK@_+KD}Q`+Mr5Z7&3ED=$3n
zFm2uTtVFx)^c!)R=cOv|f0SE1Ej#_}@VX~ue_n|f*gYy+v**QRH(`d(vM%%Dr<HXt
zq$`+zgp024w%GV>!{5BPEv;wQEQ*qQeDEf->4&YwPd4mfdMAE!!DeBOxjtnv4_qd)
zNIy7w^uvjDmX8W&PY8SZ`uNl1LG?SI<@~D;GjCuB4Gj%tSoi-w!(s*oFy>%jU|?{F
zH%T%|Vq|1wh>?idmBg4Vz%VgsH4`7BL(GjN#uym}ZidvPUomT97#o=xlj38JG6*xc
zC%sMLOky~d#FXS1voDE*If<Qt(>I1;Qw&oKM+{REQ#?x$W74A}j$KKaY`oKw7+8{I
zl2~HAVi=~!D8&BPPG(?O9#a+j?@=;?(sKq$K?e5*#+WxT{4u-?l^q-m%<K#k68=4c
zI+>OE|6hUW3=BI&85kHiK~81}Qx}kBig};Jz!+l_^E*Z&hCw#QB#9%2F@}kWku`}i
ziG!1wnL&^<mf^pB5^EAuG6Tc;m@hH+VmM<M)M9=m$tUs0bbN}DVbEk`WOiY&WMScE
zybxoY#K@8S?-5gK(mR$UTL$^}N!%<kS`6H>F)@q`rK^&3W1gtQ_%d)ZWD3OWi4kPr
zv{PhoJRHMVsc<JoHHnkKn8}GjbbkzMOp`PN^WK<hhH8fP7_J!BB$lEWhS-NOj2y8H
zFBv5HlN9)pn3C8Tn48#Gi(}r$Fia1Kv1YsN%}~hj+=;>Eza4{43k%c3q%RCBTNoZE
zsWNP2_?0A=#Gj<}iD9=i!+u9j*{d-|jNwgeH{T}NGP!cRW60%7V$xzLew!ql#1WIU
znt@X%iRlRgt4|VVlG0v=zXA-mIqZ^-Fy4*1Ey!c2%=kD-!6{~85;I3V151od3`@-M
zqz>k!&kVLz49qPIg-LB3mK<CR;zh9xpeR}y;}pZdl5{xfYfN|&!=@yqB&(z&NldOB
ztIsF@7v@N2c+GVs_Wv#xo~xcr3^}~lofwbDursl`sBqk8WN22A<$cWXSCCoqGLyXF
zR=(Su@)KfKa^Gd*?qFwP-Oc^5g;6l+5ErY%og}6hE=D1S%bctCIWko<$4IlVyX<A^
zuH^hI#KbA6&L|MWoK(app3L&^0i#?j!wW?wRpuB0W=DbDj7e-vtXo(`*qK^iSc!3}
zGG+@nDl&YH{V%x2iIGP#=?hbQ%UyoiBxNSSj-O11GJ^6hOo~#Xib_oS!W`#2IUVJh
z-w0~DFr~g_$`;^E(qdwN!zrxKB&?mJ^n^*;ThLgLshnTXG)8F^gCR4Ax00xo^nHPD
zB}FOOr%bOqqGHxDevT1HRFT{-IoVO_`2M6yrc5TTok{I6oQzx#nUem;6f+8WZ%JZ`
zG4*5?7O<6YXcFK&7o)}C&B4P^&ymz|F-cHB?!Sas!@obVj659e3LG)a0<E6PmW)T$
zE!~q9ELE79BNeSVxi*O;E5#`N;c}D~Ojb#f5z^$`>nO;{7;}Ybb(5knQ_O#cy9!b<
z?-=$saVZ4GGRiPDEJ*%$Ni|eD=AI|RM{Y~SaF&Bi$&4|wf-LO&nTpFh8CVr3b};d(
zFmiGnXNnOhj{WyANl+pGHH$7kC-X**ZpIi-MM*_jftNvi@@n;-LVp#X3JEGIgeJ56
zci?!&l*Hr_%Wz()o>N_kQC^AT<wQ<ZX@R@StqPnmHv|MH2};hE@>DEuVRZcWLPKBz
zBRA)Ee%U2L3sq;TXjH1rREhE72vnHKk|ZGDZx?f9qSoL0$}=_YvS`)DER9i;6Udck
zU{*Mj^ev{G!LX@YqMtFTn6p!W;Vnb+2|)(&V219k9m)T_G+G!r-!jB9vL>C1QL@)M
z$;7EIsI>cyf-j38!&Xj#wd^f1Ov<bOUFYyu5p(D8RN|J}&%tm~&@}uLgP@Qj^YqyN
zHr#be!oi1i+&x~#C@{)%&14Z{<K&Lv6J!%*W#eQ~iDBZjG@C8;TFf<OHKWRI&X~P1
zf{qMF&%`{G&{X1N=G3U=I2!YfLy$3sLnG!OCx1*gLyT|?Q|y1Q7*2@=3>*ySSYo^k
z0{=aVWntK^^w%_oUnJ(R4!e>dN8o2B9U+&PLt64Kg140foUdx>dKzR3Bx)H?;!$J}
zXDn<HD7TDJNL7esxFgW+@}Jpavyy?K14oi@5;wzq6%LNG9NB_gpV@fCg*p}Y3b8&E
zkW}GPHhin3C7Ah2Fj$a9T~Z^N!zJkhcXASoZA0w8XUUB6%)%3r{|QM*{q#suImr~x
z@`lBjBS}w?#rC<uR3?EWsif}=)BiatWHTiRG0yi$`ozG>HCu_J_Orn9SzN5SF#?qe
z$^S#RjtMZwGOTClR-DLl_csH#fv^i>eheeGkVGY?eUdMm<0l4VClU3S&kS>g3^p<x
zPMY*bdA<8fPE!wdj;(_69!xP@nF5a|Nxu}B&Xe82#=<V-@L5Icq(k{cW_B@-i;lu7
zhMT!8x5Rv4HRiCK5X&g2Z*X-&>_5$Q2KQ9Bt;KT{^pajBDG9yykc|1x;mx>H;7`my
zj!-6-7$G6?KL)3pnCJdU`sQKH!NRioPs}84CV9o_mgXufD-~Ti6&)E)-%V=z;bAKu
z^POe7$0LWcg7cItQ$-nGH}W{fyo?cLygDK2p-?|3zXETJ2nY8{h2t!jg^bzXcrvZ_
zPUnoAz!oDcAjvUX#VDUMR8hb=Mv~+FOU13K3p@1~KED*PR{A4otrWu{8pHWq>2rWJ
zw?LsHi+|Epg_lYSj+#jfp$;+JNe`1m8OmAS2~3tu`rxU_YX4s?UWxNND}!nfBV!=@
z+zG-lj5?McmsJG#1J`o$EavP@lJMm8W$<P^%EG9?%o6k0Q)s@1t<cO#o~t=0inzNl
z3Cl6Dwn#8?CyC0ORnai!y4&g8&p4Tdb#j#UNsa$Z?v|Yr*#e3$WHJ~1;?eE?ryM*b
zM3GZ;1v7i22eY0skD!pmT=A_x8hKYH{fps|*zWO<qu)Y!5(gvCPnKdA$AgS96O}l-
znRvSyB~EfCDI`fvWO>iR_+El>iSbS`anBzT(vsRrl7G1bSu<J8L%w!$ykzk%V%sSu
zy)sEjoQJ(ga%U$qGsi~rB;6^jwn`IJBqcd-H#~|lOFENeq42PUNib<8i);f&j6)KO
z#Ca8FQ5{B4)<gkLZ*?uUsS_36O0rKBuvU@tXFskf<jOC6l{M8#F*HenJv~aaoh8$o
zDLZtzmuN0){#LfDyIgrLi?Q77)^fDuJs+hJZ)LhOmgS+Y1utl=kHu3}`A!YXDht_m
zL5|l?HKNTYI>?{Ztk+0aajDiQRCpd^81vDCn?ow*IoHvcZb6MU8;g0Coq=4=d%Hc~
zcO*s5wXjI#;N^N7)1zo|<U<UDW~XJ1^UE&PP8BJBhGIdB={!R6F_(HY9<W5J3Uvut
zF}JGbB`q}0Nov=*?J8Aj<|F=vn>D?Y!OogBbqQ-c%fUQP89yF*MqVXu#ZKedj7&S9
zC0WFr^W>S#bX0KbB#!kS)47dZxO+cxCVR2W=Li<^uxGGlR7&FM?dIwAWYY9*W6o9S
z;OX}~J%urex1TjyOOffcRSZk4C$A>UNr8Bm=S&J_OsotFN$Zm=la9G0c``kGSMk>6
zKlAA>hEFOsGZ=*QeOVT_3B@n@$Z%C8zN1Sd{u9gZX<XI}e>+|<{Jy~9=wZRB&*`ZW
z)A`@ImnEiyDgASdrAiVXUm-)D&`Sm}#{U;43T%+bXzZ|eVV>U4pfBY0&HP3TgIJPH
z%&}O8R#z6GDOs~8+>K=r_-V&9VQ!44(u5-{qDy1{XE(%H#2k-hn8o7DG&7c=$<y96
z<7+^lfP2z?hAq~D3j~+~LU>)0{AVz*286h%X5>WLBq?5unUTrKp<8|BSd7BunEa&E
z48j~7Nmpi=aVAaTsCv+pRqG*;^xom$Bf$w@^b#UuT#{y4)EyVl;W!_YpdItXB}pS8
z;zz<imtO)oOdUZ@(q^&$gE-Ay1R7on#Icw&Fe}Ea@8ob!uuAe~;z;7G{hZV(@s8WP
zQ-#rGV#f=HFDe%~BdiQO7YGQy7OZvoB*4oTqUjOS$(*cE)WI0Tu_s2^$0JFym`Qz-
z<Vj0U?wKkxPfUC!WF@Q{QDf055Fvc*oRDKjFvsi1Lf#4zF+T-@C;iH}CY;9<?D3JY
zn%#dAYi-6hmRd1|`$?TlnygE^SZ+>gp2Ep5z!;-^BFU3YU%6b^b&^o2KqX7G)<l-G
zmcohxYdfBC*q#^CS7At(kW`WoIQW^{aU$dF5B$Yi&ME@;GiL<~{^4b5y2Le~Mca#K
zexL*gV+_;LBp%P0ZA{16qV-udGdbt8MtXAn=A9n9V3GoZpzww*OLSJVfNs_C*1XMj
z@b4s%P=hPHodQWug@4B=o#mb#!*o$lf?r}&0#l=!f70(H3CWliuHUi$C%hJjvC-K5
z-Z3LwFXokkhzC<l?Cc2tC^lW58QcnMxMCa==e~?ln#3a%X&RlKe32pCmOWFKDO;6i
zg@z0ZXH23ZW6TRr#zKvbX!lhtxxyM%)}Bm-h2gB%JvBaf_pf3}50-Zlst9H(=jdd-
z&BXbf?e02P;Uh88{;yabPU7(9+rDlx&u-;cDuv3Ot_qKm%wp8Fn1mK<@Enw6oDjnn
zvqnp#LUKZk!;Fti+8i;w*V$u&gtRJoa_5R}kITGX5+mEsIl)ypM(BqGcW*ZrXLV_f
zbMimWo6IVX8yJKZ^s2ZAtu%QdzrG`B!qTJ(A5}WzSNvA`zoMAY!OU@|KU30|2gX7h
z^e6mea-6};86(f6s3OUz&ZF<yFk3bBz}iVGxmo73w0f{e992K-$#mHwNlD_N;0)hM
z-y~ix@LkcYz!{^kGqLm1{KmZ!lI<!inVszuS)a44DrL=_)_VWq=@J#gNh^dGIv%__
zfib4x_>HS7D-~yod+rdQ;Lpga)Tyc{py~90@pqD@fU(LwjZHhvl{5tGuL!s|F><gn
z#ysNU?@&Bt`Dg>T0AmuP>1U3Zw@HlZFOnEHtWP?ZBpf5ao&4|Xh6#)w!Z94o$4{Cs
z;xlArIj%Z=vBFPV9^>CDm8SC>PZW*WC_d+X6tBGq8*90+=Ru3Jq8H_(?(StO4suV>
zll2tyW>_vH>b*mnQSd_XFNs<<!Ikbw2QR)9<dl!ud7V*^OaBhT^&bi`>Pe4cHhxsx
z(H!$<=XF5_<JU}@A6=5f8Ghb~_|Kxi!T2_bvm^Q6>yw2t$J|u{mx;=Hv9sR2={iaB
zE9Y-Tb`K8EI|k80E4J@A@o&-tu_TV&KYCp>Y9)6VDy@9W`ay7#FvEOROJ!q~1^XGM
zGk7QekB;GvW$;)WvyWkE;0+f>i`C)=n;Y0;Ok)3MeAICHu|~#DPT+2m-;ba@oj<%J
za~K(<8De7=#_+~4nMy5Vidh}o#E|LI7gJ^MoncDStr+DPp)$t#m%eTg{INQMMT3{q
z>&^++n7M)#`BpFHPjiSVia8%6CgB;t8N(5~<B+7jY*K?xC&NXq56e|%Jbe(#`6%8w
z_ur*Vp+KATi7&<9zOZi)Voq8WqdW13tMA2?D_(Zk&!~MQ(Ac0J;}Ub^#OID99P>*q
z9OY;cc)-xi$WRf>@GfaTBgdA&q-`-_f>)X@b8O+$-6E6}=*cqUMA^qGrH^&&Ki;Tt
z#zfQ`{NvDwxql%@I!5WgfX+t`86l2`bsV2!moUoSe;}jcp`~%*$2X=6R*W$^F-lJ?
z9DE#XoESd`#O!wP5PCJIWZxl2fiU)%2TE^JG?~;Jixn7S7Aw?vD8!tWxbd(>h{ZNx
zMJ*?z^0yBwVj5gyjwJnv31)cAAzvVVB8JJ4;pm0f|3VV6jEoKIT?CW(<C5jxOyX}@
zl_hYZgT>(<=gSxusY`4eoGIULOEs8zFiAc;x@&TbY?)u3Sz}cSBZF+i>lQ_sq?J6I
zRJekf1ci3ra{Kw{lv9$x+ZaubeM&z+9KNw_(g6<chhYgz1!8Ks4!%E<w3|adxS`U4
zF=+#bra(l}aSna+i6`nf43q@o6dorttYA}aR9Cs6+@Y^@VJ-V(-tRr#We#^d-Ur;^
z+o+^;L0jP7#Fdx4B@|x@aWK9pu#Zt%!O*Pm!9;;EMmy$dL8DSM$3jkq=}MvoDknAS
zlqxMaIwMq?N*?&cGORH0=zz}uLxw0=5*Ysfm4Cp%Fvp33fq@A$Y{4SKz`(#$mc-y8
z%M{MQt(3qZ%fQHTLxJI@g977C1%?}}tWhk2tg>6U+0~ehwy=sbyF2jSP+(#WSi;I|
z!NTgwB*np`ki{xHi;=aNm&uodonhe(g$c|z6j)ee*iuy(_b`-9WzA-O+`-B;iHRk0
z8tW4F-wihvm~SdDYpO7uW?^z=4`XNX+RG9)jd>ww))W?BhKnj3H7t|X@@w#$sBnuh
z>)&NHoy0whdjWf)7thVtJfR`1Goo0MShyE)S+Vh_m$HdZ;$APp%wH44lB&tFAxe;4
zpWkgYYkvke>kn1FHNulMg|~~&*JZgYs^ux*%Kwq|pQ^wUPmu${39M@Tj5otCFbGb!
zkzJF;E8!`+R>GO%?G_G}XdX@_PTm`y(mo6wa+@Zpamu8#WJC$?43dbDTN%Y?EVpuz
zQY;sXmx{y`<`Pd1X1NP8hW$4cWVU)SU5}EvsbU<({$9M+oA<diZ;;$O)>?15-O}H+
zc>b*ANny>KuAjj*Wr@sR8JVlB?om9iMV71<H42q2xWw|3C3U&TyeP3ln!HMy%+KUQ
zOIg~w<hzAfe$=_jNb#`0(PsZQRpzoxOc2XjO%~auvdV0mm&$DA@7>CNN_N=_F4m`8
z<&UfAPm)+^BC5g^DZOK@yopTuBqce^NEIdvv!8oqxWp|cIf&_eS)!Dt`(la<3#)IG
z#j{niJ}OElE&eT)-6}7+RmY9zPZUd`Dwj#AO~55BU-8FUCO`M`ePP+A#O7P^m!)A6
zUokre5BoJXZf)^|rKT<H?qRGtOHI#*nLoc|qhs<v%4DmJ_)AvJ5LSIJQ(^X7t8EKa
z1h2;NuC<@a<8YN%FN^(mEYE8#_Whn#w^jD?U-J}GiMg+B`(!PD3aj8$(W`7aagM28
zu8Y~Dv-$5$6#BuLRIA{jV*OZUm!`!hf4<D<WAa&^&W{|{xG*mD4_0N0RM_t6w$kCL
zrk%>o^f0wQnJIhNJ2MlMWi+<>?)14B<yO4jN70q}oS@s^NlE`09<wm1WNr1_?kT&8
zT}ml%5<>=uK*Dboc5x;nPX<0s7dGEXTml@e934wIJlS+IL|IBEG8OVMl(TkDV>D&z
zQ89L7c%Z3#DQxRnegoC)r5qBhf4A`L5?L80zI77kUZriyCzfQt4LutyuRf_b%wsms
zTQBx(&yW<UoJq=RVIR%@Tw}MM#9GBSAzWYz`&9Y8)3~>=FW(~Gz`pjH$iE=&lkx(W
zB+5iAtU?yAW%;T)>55ETkh>NC)=7r1m_))%F4f3n@-r@FZR0;ag>{CQfuBuAln5uE
z&vI6MFENAVI{r%=j`9DU&b5YB(~m8Cy==_Xv?~3ZVdkGR`Tf_j#PfGwWf9zE@_8$Z
zFZ<DHLLspmgZPVAyWHO*!^&zGCR<*`pSU#kq3Y6Wtl~~Oi8mFLD}t22nf?iyc2Z`I
zm+2q20L7aM-H9tTU(1SZ<qeVfGU29zMQzz#6$U$Puc<Z}L5rt|Zf1EiiNPZGQV<XO
zS{-f`{VHaQUUt@-3cMfqZYX$0*jGmww0p_k)X#gV^FYPy!@RGa)$iEEb4@gDnmsiw
z7-mH64YFo3V~o-XGq|%wShR#qcG3!CR(+=%3YKA$bT?~TFs@Q@s$|)Gb*ktj-S0h3
zqI}c(xAIL}vQ^k7CS3hlkcbhx_@(klp4(pLE#@KFWsz$|rDE+uc*CREuCS_U_jP+(
z##l*-o>(s9aFu0$5cg!g%UU)uOIc2oxbOPP*Ow(bWvTkxrJqAsOjnkaPd+wVuRG4^
zn$_BprR>&g=J|;mtmfqk6_tKv6I{X?tQjUgwcMR`Mu^E(FZOc#;H9jOLw%gGWUpi<
z>C5%#vVUc_3!Q7~#d3ec1+R7SVGjBiW~{X<;Xf8KM}HF6E48bIC0p3Pi}OvpC9vV|
zmYA#Bkt*7kqg!G{_6M=J@aG4mE3h=I=8>7E*T(YWi`=hEN+(qg@7GcYu{n_?8t<ta
zVCI~nwv^2}oF(#7CNJLwZ{7VYn%l)gCJ8VbyerwmY{e@6Qp%aV@G47o&<z1m18rUn
zPvhyVza0}N1uU$6ZFcPvdw`d!4a+BW-q5AT^zW-KWs9k2DrMaeWErAjmdSd#ciZKg
z3VU*{@R&_v*YSEFz2i%JW{}n0P(v>fU+qM%uylRNDEkbd&M7=RS3_Peadi%Pclk={
zCGKPFKcZOfUYqL4yyx$>Odk2QB5E#@OIeq&6{<SD<NNF>7&fWGoPUxR|8EYpx)Sj`
zFVP0~1)kpF_EJk-u5DG1V*hl_pKU%{Lmba^&lUrg4O3Y){dfelSt6J6E@0`83VNf}
z5>?A$ttBF~l)r=J{Uw(DK}@GbcyxUj*0TR*DU4^Iy;6SR7PjSkoV<AJdT%OhIkH84
zFaO4AOv;_7FS0JUq_ToV{}PjL-qt97Ayz@}7b(s+-TE%dE$}qU)c?Dby;EiR7w%(g
zSvu9Hsq)q-r>#|U?GIbx(JOv&s;UipUKp#OHvi9+%U{cAgz+2+a{i^VcRByBrQE72
ztNksWX|ZS2io^zK-^yw7lol3UdWoTsRaui=bE%oCsr+SDi%A^c1t%PNaA`|?w#=HX
zq7PXjr(LoXG+uJ(jUM-uz3WZ2y>b&@%577<w3L0@R(74^y4QSfdnzu<P1NMKnags@
za_5wJZ7LPhX0a-msYGx0W>`K^lO<-U(h8NLNvsXsd`m8FP`MNry*jOBhU9{SlT$nu
z(l{o3(Os&mbIs<imrj89Nv$cuYu$NOW=?Zk7AhEJAMiTIKTh?`d@-Il_Q%@nf=k!B
zvg(J46h+-%?tA+rQ(>#+Ql7af62((gy+qGju}ZBJTFp`(CL0<oz{9>&cH(4d&Fl*y
zJpP)lQ*`B5?Vi4tXF98L&|Cg07Wb(tqTyez@z&{04O`1TmsMwJT1lSUQtl@#ca}1x
z{(V2qeJ*Rf7teN8rhw~H!j|*2U#n4ixg~5X`!?4dTi9Ikw5Pa#Pq|xnS0&K<_brQn
zU%7EAMoQM5p{ed({Mwpdqj>aKx!1F3M)UTq<u49#zvIQC@A7D_>dBD&P%Tm2sqZ(4
zB!}_pt!DpGTI0Bq=WLkDu}t+dD@C8Etp38P<7KKRBJo-#)pyI1OquAtI+8EdT6})o
zP}q>M#qFv5+@L9pCz)nV3thu*F~xV=)X<k9tX@VFHXS**l&5+ty9KZ1666227ca}U
z`W;SX+n+f-J(J;q{PdLyi){M0$Ufz(S7GE(^IpsHl~uoJspLx5;1bcoB3JF%L6bP<
zGOS{5n8GW@66UvRi{F+gnZC6tUaB*maQsr0^JL&tpSG5z#4{>gtSeeOh?Vb*`AXjB
zsjT8tcLd2!&}MhJYqMWVwRx@GezjHMBG-4>?hj&Z)@-YrTGF6icujOtmaM?vC3APB
z2wrcSrmoDhl=YlW?p0RqD4sh!k}q3J!&;XvW$6rMtqoGXvGngNHqEW_@qEH@;%V9}
z<zK((y|mRSwYj)jcIOtA`Cs(B*vgl(288h}&=$?y%XgMhHe{`_=QJT^4!;Srr>JP!
zU4NTi+f(SpI=4u3_f}57)jOu`(&i65x%eit#g$7QOs^TrjV`23%ZfU)l3B-#_b|_j
zlMEZ4ZrdWW`wCBvQQXNVS5$e=YdZh3eW1$nLm=4mhB%wDSkffp^K7ha@mtu%*))x|
zMtX8>ayB$_=$kil5*r7@Hnt2QmhziZ6omNR@w^UNDqqB;Hho*rq*JV6Zaa8cyk<|`
zeKmAu6pQ$?%qsD-liwbFo*!qFlQlQ^B)4wCjJ?TASvH(n&30m4?be*yC|joWN2A0W
zr0!j+SCxC4Z4<fFK2`LwidD0Ssi*Ag?JQOc{a){t2+I0)do6oE`z=)_-+HmVtV`xu
zda`d=%`O<iv*YE|t0An`t625F@XWH@626snEsy*qR?SRV>&@@Dc$>U<PI<AH*J#Y;
z-+GOGe--P+Sf4YOcs{Z$JSDFC_WD*9Yd;gNNy0_UVZ3E=qOV@E=`3YjxRlq6wZ5o8
zf6Adtw()h^Vr^_od6-rAPGOlo+kLWe)VTvuthGiPRKGm1Zn(O*`TFs~t9#G8HN4+u
zvef3{bf#MCNhL?rR>q#+)MTBhWB0OBL(EP^Eu><%<_<Ga1zqvSqP3pYL3(X$E>SX-
z%*vN6J6YXV+b{pZYT;$OnMG}CrQR1-U!xoEHqV>Hy~%Hm&YLB^e|%P?GAaMr<i)aS
zwaj+kt;@4mbxz)r;SQOiuvc9$C^XAUM!4Q8O6INX&$TKUTk5P>?ZQHWGFeZrZJ%v-
zWvY6!&6POzRoN^STUkp)ER3e9#{b&(?y6~E7VnO!yhpZXdb3&UOU1q9lmBNu)wE08
zJ4|M!7JI{07R@T&M|=N25PyEDMs>PP&otW^{j<G9H)`=azqEQ*s~W;q9wj5l@-JIf
z^QGFEko{X(;x)@dRBqp5Q<}!Ot@w)1UhXLNS*%l}8@7~hU44p0bLoU7tSeRJ3iRiy
zvL}h`Ims$sD0?AAvdK}s?MuZz&oWbHj;-v=S+9h#mtRu9#A38uE`5nvBM)Pc?46f$
z{>5|odxctYCuXs-dJ1_hUe9W}ooD5>)Y+LVwJT-cvFc3DKd7o3R`Si0Re@D%`JWm7
zrIwm3<;y=@_c8m*!W|{@nU#CeWaoqNVkb-Zrmt(~ne*ucb7#nryHO&aSu{1}BKPt{
z$m@q3DU6c$VSRlmW)90`De+G?6_)?dckQ#8@>`QHJb26UD%RTmIRd{vO|JVgd)pHJ
zb$k_<_%}|H5|cijY+B;)I>~wxdx<FP5(Uxv9YG@3*DdjnW_6!0via8hH7~?grD$)M
z%DZlhyarG7rXJoYB1_8-t+irRUdtM3S{cIfDbr+S_*AJ(mPlQ5u}@-_nVU{=EoGgg
zX6eO}Jyq>+l*v|Ym7=wJOy+k&*SenOJu}5UD2laSq+eZPv8Tyiu8q>0ykgav#iQgO
zaXpO6D^~w^Y3*tj3oj9oXX~c3#82C_NvAcAb?s7@#n;7GX|cZ1{NBQDRGJcbiHpm1
z(_UY7FTbcLlPzh_yjW$~9M|*Te-iAz%{9t**De;#tvr1`-@^Epy=#sA!lIeY^I|3I
zEq>k*)_Kd`r*Gjg=S_@ajZ{@T{M7E}*8fpFXSXh&V=3u=XWO=={J%s(g4CWw$-A=&
z&R)9u{HCRz>pb|GCoxqz+aA!H$gQPzCTB_hQqgBYYs249cAUa<Thq5^l21dX&z%xa
zUj99|y~IVdYqza!-NIk0UOh?vI8&$3b|X!m$#>5@_4cV|owuH6%~rE+5zT8Xj_Y0L
zUQ;*o66w6cwv=68<(T!6LQYmY$A}vWs(cIFa<8&PddZnMEYQjk-pab4wQZWiofZAA
z%#$v;ykN7~`6n@mJ-}+NqNmhN>3EjxrEKn@GS{L+#MM|&zq~$WdvF%(*`+RSF8i*t
z#0N>u-D2b^wbgx}m&_5B>r2@KO5FB&vR+hN5yj79TcxX%F!|aylciHss#yfRWV*e7
zJ<z%4UDsg}KE2@mm!3R*A<j~h8&Rw;?UrcSuiYw=$?_v_Eo&kBl1r@fvf8AV>L{49
zhox@2#QV1;Ut4@;smnes(dzpxPo~T7H(PNlOZ3TF(LXBZgXCrRwLZDbQofRRL70y3
zS{CJyJ5o{n)5Q0Ca;zwrw{PmsV88N9%Ewq-yhPlYz3e7et-Qa3RYz5{A$me)g_4io
z^2r-q_f4zt@13}QDT9T}j!UdxtyJnPnfE+!3cuDDbYh3=nj%r|8N!piiyTg=xu!4%
zOiQ0)vzA>vj7{?rw-t-sCr+hl8<(&|vaoC3R1luZDn5PP@@qT~qxd~!`9C#0ZpoS=
z&wNeh^CVGU?aJF?b7nu-YxQ)C$bO;ITkNb^)`qcXN14pAw_eI_ttxB9Gjl617i)u8
z-Iulc>{oe;gQQn(TQf<<miJruoh4l9M`u*8)zxOJ+QO4H_5USNr`2qZQ$@_)*jasL
z)7LVcu$Fzlt&!DV9!W2D&6@9_pl+?t{7W)Pw(h6+ZeBH6%KCMhYFWO9m#Y72k<7{b
z=|_)S>blS5og=y8^huNFUE&=&D#0c-kNVG<%k10KGfP9CWAn7R{C}>A@*QiCnlg6}
zFT*tR1MG#9Dy~hLtGQMsQ-7_h(4=UIrLFv|F})2LDw(Gy^_*<(n%=2tw%xL8Wkb7W
zT(zy~$*$uT9NP~|t`+ete8ZK?rtj(KW2dQq$SZTl1UpSnR`Clhb~}G=@tZSA;PbC1
z-o_j4pRky2xvIHVo<aAr@0r&tdF!53-)L~ZT$VaXXi3Uek-{)>!Apl9?NM26k~y_c
z$xibc>n*QbGf`h}Kc+dywapG_+Du{z&a~HL%~;#FgMFu}>s;;wOW8_nH77HfZa3B3
z%R8%WZN4Q_bK8Wuy-)o;!dTC(=3Q`=)p3*3uDuWEu$FtVET4Pb+K=VX%lj9Wh_T)M
zb(v*V74K_J@hE+cwRdjXDEP4#zGe$nd17g*J+<AQ_1qLT(;nORQ%Zx`e@Ce^zP4pu
zqO{H@?YhXr-A}`}@F%bcMrq4f&3!u6HuAu;i*{aK{Qoykw+e}vJk?XwBFgs4X+{1i
zo`14j1Xivo6kUF2x=S{Hk*;f*p43v-A1tO**|}psyqhX>UnI$kr_57$lE{p?ylcEv
zCM;#IUCQggs^e$k=I!j(X7G|#WQmk5YqtNTo^?|#CW$gTKT=Uh_OQv64z{y=%HQ|z
zfft*v7q7Nxqc@u}@12#R`Ag+fr&f5GD$d)o;}ZY9Nvt~B>280cbbfitXsa-LGsz|w
zYfX`!%XWWx+0(7^NAzC!ObfM=+u`ZWcBhhkkEUs6rl`X8J(}*{S(MecnZ-pdtxIoF
zsh&PHQf;-L&Ixt#$5&abz4*D7ioIm3O%>X|<!IhomJ|GQr}9kP<GqD{F}owEc_PEH
zm(86wE=uIj&n?@%c&2O>O^)kZ65ViM3;$D{RZ${`mx{}UJduu)=NA3%<@%j{L$*lu
zQn&9aGF#bK2}*>hT=G&66x+Y=`H~>^+<kB2Z0GIGQr*5bZ!7CHQ!}9(`xKT|POi0L
zF`DL<$ba>kOo!&OBXe_q@%*30y1{q*q($q~N+uaEQkmly@@OtgNtD>yp!|vAC04vF
zYxgEExgXBro6Yia<?8t?*4J1x*NV1(UmW1UaaosDoz;3Po3gCuGyz3E`BVMpHTgsR
zmz1w$-MLl#693|9s(~lwP8L-63Yo$-gMGOd*LN#l#jP@7zFK}PI%{JEu84?6@oF!v
zoXR@uNkQJ3ri<cCQL4!qyhhqG(`|#8@2kA2@>5?tfsysXW!7xB3u()yOJ2zLWM*R6
zP;+N4uWl6A<YiObwy>Pm=Zj)H>c#qA=ZiLb?bJJuOjPcjJ+?-6deOFr|AV5B@mFSw
z8g#FS&nd{7nr8jhG>AXQidA;0${Ci1DACDpx8AnXwRdIS+}|O+ZG!Q!k5lz$hso>Q
zvZ-6QXFID-m~4X<dt~U=h9K4#TN>0^bu8niE#N&_b4SU0N$yG(wXJL~l&a@68wWVo
zT&Y~@(>awrpndo9FFr??D46XsI~K-jE%|ZlOQ91-e@qd7re`!MOo5LpXt{=_jO|^O
zF7KHtQnOyMG;9$)?Zy&fld4_1ZYfXP8b$Bqq*tsuUwr0x@!!lX&Qxb!D#FRC87%(l
zm7iQHx7!u@*TMRQm(*-Ta+bCv2C)Zg<;<PRR<6%|jdjUtmfA2DWi6GrTUBjXi<by&
zV`b{OVbr(q<gMRI(zC+1-3Zc{^7prwyee~s-Q<Pmr_HQVeK@)8vBoc(J7<cxjHk6-
zG7vg*Gn3`6X8dHCgDV*v)sKbfvQA<=$13IP<QLt0iOV5h)r+q(Kx&e~4TIYSH<sve
z@XcNFEsI@zDx2n%sns|6Zr*t`?bpVao44?MZ`!*>Oj<<Zk-(JAeyonCSI_S|qQVf|
z{hXbpf0A)1YvCo9X3492*Xp+N-QLO~d+wC_S{q+Bm&-O6*V;UuDs!@Z{ub7rJ)hrC
zwRyZH_VpC^h`i5RL}vH|$FYC)w7w{46vZ#Vnz7b(%GA<Am-UxyF6v)fv6a=!=c|{$
zgtm_7OY18v4cA%YGxg_gWfkO!n8uZ|E$K_Y@-9~WuWZd;Ax5^hN~7d!c~s|5Iq~{3
zKjS6VuQs>V^4vT%<-}BxpVwr(^sXIQ%dW<%6Doc_N^Z&8imBS6DK8Fnznr3zViq;$
za>{DWi!2>ptvn)0-lm6B<}DTPoYSyz#zWVA{KsZwz1z7s<@Z(A?00wMa?U$O@fMvE
zE8_k<^{B2zO!pjh8S_a3Y7H$l|8j4cy}d2sA)C%Fd)h*Fn@4VwvWm8^opq+=b(`{B
zo+&Sm1oAKTVzYA;{$#6m^Kgc3(o@@oclQLd78Y#^n11Dy3*%&U4K~M2%e8EiJ}+fk
zX;T=j^f<5oK<1Q<HPN10yvGGi=VUKU2>w#DZc9aQ{i#XMs=d`*n&QOQdTZ_Aev)NZ
zxRo`JHK2s0BxubHpEj>+Q|(e~S3bS!$6vWN&Hc>O7gKE?N3ktjUSp-T)pXaPn38()
zOZk#)`!#D#uCf$Xu_}8SvuJC|wXL;TyOh&e<;Er3JKn~P+27=T`^;W3>vh(Wd2ilU
zE@AT87dy#;VKTS)^)DQft6!a7``B%VxWVBl`=iCP*DW=%{d0DA>-;aSH!fM!+QoZ2
zoM8>~6y3SwiQS6FnZKpVd_!&GZ`pBA5?3minrXPSB)gJV=JzI@KU<<$6-rKT+Zy(*
z<DDkEe)pe^Ewa;77HhN|e!oRzuFU*f?T7t6wKqv6Tyl7|%_qp3L;jl=?@tw-%zsjA
z#lG7zO;z!=<G7~U;5FBf{k^woseEG;i(Tj+>%O#`+z;341+kc_if!9#!^*ntN!$Lm
zvqf(wU){CD?~A)?6mRjLnDsn9C0{Q^vAc6M1-U8x+jd&CeaiYN;<rEb&YH|x;+6c`
zidA!}sPwmEM*SOhPw5QaVl`D(Kg#s~{V4IDEQQ%6<x^QTc?`YSO;586Zb_QLe=q1+
zWRT_ymYVD<S3E5{n944kj<Qo=?^|i3prSfqn$T1gxtf-!gzxkItxVBfKXHS9>8<4~
zpK|A{SJzVTG!7|mQ(3xID{_gA1@GQ#x=C&`l;&{M$F*`_;x~`t^(?TCaz7%<oXK1H
zl3B|2=@!$<BN?x+@I)9{l+Ry(i8Yu-<fV_dQ2gN=(-fB52JyW3{ekC7-n&gw#mx^E
zvu`UEJ@ai|^A`3()=w#ck6NBD-D>|p_V^N?S{2r951KUZF;A6IVm`G*$))F4lz`^6
zrP{%A>6xrjx_qyc4lVEgAU^vNOOhvRd9Zm^%`#or7XsPed;K!~lUFjmm#w+P&l1HF
ztaE%dD|d+a?^Eo)Qy(v3jW?R|bt&uAr5vFyjF(uH^;vx*g>KFH>B;hb>YU<<Oqy>@
zmtQu&Gl{o2qJX(!NpQfElR@nLUT?p!tkq@-Ub}27uT|_)H!T*&;-m6avU=W2Bs19~
zp7OKx#Ky6%@m|qTl5vXPb<L5zJVLknYM0C}4`Ofda_cr0Qd?TKmfw|qtx9s?%AI@J
z;&V;nSPR$2MEOZQU3KM+xAdK7EiIR9j3zN~XYYuc9H#F+Ny5K+4ZCI>Xt3+xS9Z;8
z_Ux5Sx@_k{S?8@v$qQnCFv&09qoP09GE;G*`0A(T7mh0U$rxp)=gnoCt<w<3%3USX
z7CJX?lBm0OUqJnw+5G_>Hf>_k$2KozIX<ssTGYejfGZ)|l5H&~Z~0$qYsgZwklH(^
ziYaM|tp!hV&|I}B^>w_x(#u8rw_aV&ulbVejOolNDwkM(Z|mipruuCfU%dl+2+Kt;
z{$&jMS}we*S4tH5b=kjOo%(am^(oz+eBa-#S**e`kJ&EjX6#9}*(X_*r-a^{WacpE
zC0B~doX1=R$_tM1GJc-A*7F974sSO9jj61%^L|g`(hdI}c9-Rpj?x;HJ?z<G`k|A$
z&GjxUQJf#nZaT@1TToN%TpT~Ir+p{uytOPwS6Qm8Y&+MoTd)cmE&H2gdu3|b?-rhO
z{nmG8pDhUH^?d2F={m1`rv1FN{AYc<r^@_&!m0gN#7T?yf+ovymE_Xp0$Hr5Jyj2P
zNcr2VD?82g*XsTMbc>Gu(xxa@<?MR`d)bc4&xlzgpDACa%X7wP+WFqUCr>@xSH2|G
zWt#P44waZaS}R%Zs20q)7U{_mWz)~1xi)p%wyl~=S*~0aZw^uxa<l!p#h&Yig8GSa
zwhfvlAvWTkmhrj@?6Terg4#N#Sf#pTSKQ(1>DHX4V4BLpaX_zxC8mg@rcc-VZWhP%
zZT<hE_`|bJ*mkmg?31{n^Eyc0Ugmby9SvQc15Dg|%Xf-inYLU`l-uiI$|)K4H<F*C
z*pJFuT~ZfhDYs&4-deVeS1*c(-P^aB?UrZRX0eh}YRi|M{<_pwL0gwMmp^@}INN;v
z;%fp{teR`Z&BPCE^~o1g^t)piAH~Cd&+uy&YvESjiM%V9%={!{9cQ~|)!a#2WL~e;
zINvJ~8s%P*I@id-<F3$37EM*>oJ>F6)V9KH)f*;cv3!}y!SkhmlF$_!O)uUj*(J+Q
zIzE@;>XX<zzcRgh#ysw{E$092WzYTARkycTzG#)5Q3^M2kKnYHkg|CxT#0MCv@iGZ
zt>jfyHD%^gzbVlA^wA~rKTFx|uH2pTY{~Sk?AyfSC;dz`J-LMAt;wHg(d9vo_gL&M
z<s9bI^DNf<%J!1QG2HZLHcP)I*U!B3@oPJxSn~L8u4j>*%41a`zJ>h<Pu#V*<*QjE
zm+&~SyH91wHvP74i^w`w?kFxpzbA$~jM?nmd)YKu^}To*m+QDplPm8Rd3lBP>m<`I
zgQ!yRS6@UGqu2_0wRKlLUMgzL9~!=9=Sx0@)H3l&f6p$KJ*nKjK-n{_SdYm|brDZg
zb#u&QA)_S>ULsz)4>rvIVA>NknQN)Z=PN8vvslYNw||S0KhD$>Wb#?|>F>Q-Tc%r<
z%j%Vy{QtD;?gj}Dhi}ZRQD*;{6hMS&*qZ0-w)n1DefQ&<nyIR9rWqSgb~Rqsw^n9a
z3GZzqA=8$$xiU{a&9lDBz`(!;o9ky_f57nnzc>Q}0|+yK=KmQ{=l^$e?O*`S|AQv}
z859^n%K#J@x3Y4x$X;XBzrxOD*U4PM#8l$J#1z278pJHfo@d3nWFqS}R)#}NGnTNN
zW1H&7$D+c)>dP$X$sEkQmPg5(MUY>{n_G#UHJd+0le>(+W)U-M11nGNRu&z0i)$=-
zQLOt}Hc#T(!JW<`7{>d>izSKo=1Z2x;j9}bvaw#|b-To`6vcW}Z1P$*>+9mvs@VQb
zWi98`^J7`QUtDexGyCkV;%rR&OIS6vSnp2dxyEumjJ13sx70rtYpb>FzO1LO@z#6E
zYKkB8=3T?mY|62grCFxxDhs!l=v#K3wXC&Y*dm!2Wy2XRi)CHVvD?Zk#2mDRm6hS6
ziiD(Q)mruf)+RBgc$Sq+3v?WpFv+T}p2DK9^6&~v_9TvKhG6c-CCo9VyjxiI@PEE0
z!Yai2jN=Tus-8RZ=B473)F)r!`;g5tLzR7rrn@H78(sIcBAfYACL0Kt2`{l!Vd<Qr
z`<t~niYxt>j{9`3eJl-DYx!5o8<w)|@e*Zb`M00FJcxa5Cd=}ztP9moPO?yO+kB11
z+DpWYRdYGJekm`nHjDmLeRuXqt(SV@BBepRTeVm(X6m@J$eQxD-V9Q3F=f$N&r%!2
zci&sboqtz0`;M)uoT7FulIw3OsO}9i{jbfQx7O!L=%gh|b@~CS9LYBG){5^@4N+y7
zs@6U=CYQ}Fjzu<`^`9s6o4_AD(>z&@@@shdeB(`7%NG&u>g+AVr<pW~DbLVWJo_5^
z{w$W}sV38z4t>!{^$v_@tzFB$zm)CbCGAgP8~#U$^9Y-HiL2<^y%f^|M^xn0@C~dB
zy}~!lWexT+d-2j_eUPhcHp}&;x*6gXTX`jAm;3RQt+kyK7IMN<QYv)AO7@Pct-_Z)
zF12W6^E_Oto))@xvec%KwO*|A){45ThHa=(+sk%E+;<x5^1Ann*x22txbEZWiVBlm
zsd|2^`1|06OW00?=50|q&w4A)f6-DNVXwN`S}e7D`8vd>PGj1v-803s*j)0OiFOrR
z>RvW$k(_BvwY8=}EagjCmwT~iuas>H4VfJzeNg=psHMfKFB%oZdRLp>x-@*Y7k{GI
zF;51*d_Hy0S)n|~wQBBeHDO^Z4CB@IUUbPNW~q6^Qum#@YQd(xnevx4%Zyb$*7``Z
zte+|_7}9B_D&igzyjO0eXt_$IAd}`)9V6R3FSZ9u>mF=je;;1%#agH<tvZ*jU(X^+
z#wwdVX(ek+c*z!a7nZ5hm|XNMuJJgmXN{SpW5L@|w{_nk5s4~I2FKL%drb@M{!f`3
z%c8Hf)O00}&s33JIl)#hg<j>>PEr3Oa=?p6c&m_l$QqfY{I6AcwKd#Jbu6mb)@m}n
zUn{nkt&rV!nyjGz%_Z6vESgd5)?T$ISc0-e&aGw7VwG(*RKKZEaCHkyx8U6nS;Huk
zE?o<CsgJthsm$4Pc^i3Mr_~%4idn)kkNt6+U+GF6>n;4|Vz=U0^jGuhEmiuXV||_F
zT$P&fR#9=O$`GFPDE{42i>pG)=d#7PRtB+e2-2}*-Lslkek;p7wjXh9j?1U=U11IO
zVsX^5TgtXDj%Dh~D~4NGF0yE5E#(ij`#(v32CuW1j@?}T&})1Xy;<J}v{}jTd8z2n
z7rK<|Z~c->EQP;VW=}DFCmw!{C;bxVL0!8{ejZ)^MWReEPZa(?K9xWJs*l}Tmb2m)
zRYm*H*Dhr*EXni}y*}w@pYUTQ){vTcTCDx5tf{<iQ(anF&w1&qd9l>W@-AmpzQ*!9
zO6D(1@M|{ikY%@Kw=rztv3>Qn<cP;ho0hF26IhOBEfqPSI{ynF<0U53+S$u_b1#W-
z23;{{*Idi@`Z}-hQeEZCB8tmZGACCosaPHo7GLC<)%VAWZS&mvsVv!EI)`+b)uz_>
z^Vx>|NngpEuvO-`%;l)s{^k4|r?Plmlho48?qhwiR9qzAc5B^iG50w3H*0HVNAqiB
z%Sf&?tx@?lSt=+jCW>|QTGK~i?6ZHVY~LCdBl~We-E4M_X&di}G*1c%<`)iQJ-u2b
zJg9tvrpnBzA;J94VJatg)lDj4JN=8Lxs-KnmTvZ5F@9EAui8nmlNMZAa9vmbl4xC!
zjy}ugr_1G+Fzd+tURoO&`**VGbmsmqGUeV{VWBRWEHzVIAL(@avAl4b%bj~+IX~xA
zo8W1R!8-3Wd7S3*=Cg0O#_KvsJ4tR0!x9z7ZCrEKhLy`t{3^HDOMjKliKVO=ON}qJ
zZoR_lk|iRzHqT8oDU9`m=eo<*kwI+#ShsnL1oN`271!FzqAB_>ir?gA$hNynO#K#H
zhpk%Arx&Nw{QVN!Lbl)Dtg95&tJGB1@>KHpN3lh&VhNkd60>%JpUA_tYgPSqWwq_h
zrn>(YVY|k5C7WOVs?5A7-9q{J_xl=xc&BKVb(H$t|HZO)DYw6fbQEW$mF~;EVlk#&
z(^~XcMXvGaYKv^Xdv$@A7`Mr#C>a(hfxJ1-HLovYS9_UaCuhEt*Za@SaG83oFH6?)
zw9BmYS!y1|qRhgr$(Fa3^(E_}Abxf)UOlD^FWW}5xywVoUe_(TWU0mec#+a%PLp3z
zYJ1nSI!0@8U)nrD-N~DmS1ooui|i#G3or4vJU65Cr?P17*PSuVkde>RYU&z2Hg_w}
zFw@{G0WMZOA3T|to3CfvtUkY0mF-Q~#l5E2*xE`=#i~qh22Y8Mva#k*UTvy-@utFZ
z(^XHzN>yJ4SsnUvSMi2|eB4%%lDPAe{`H-nG$r<}i)t9lOWhlrr`%Ae`+EB2miU7z
zfnMxuy_xs>%@1PbyEpUYm58ryTUWVUe#+%Jr`KvFSMjxorEKR|I6{3V1l9az-@lgq
zw^z;Yxhgk;{`4&mb-X5?6U60t{jz4riMdz*>Tp~W=Uo1$Pk$w^ZO!j3y4;$|Q{*^U
zPka6CSk9Kch21Vybef(~*q&?rD=+aU>gs3e+{|R&q5s;GRos~Wf74Qyzf)NzUiIFo
z+F7Duw3cO(sPa~GgK()3)@H4c_`R&37~-d>>6&Ov;W3<^q`8)#i#Ix4&$?>8_F5J%
zUe#;t%0XJJy-`u@lUPhwuG8Mia+j4miaqA#dXqQ-<7ZQS&8DVK<@5BLvraoSY%PDi
zh*=4%W|YWwo{p_7`eE#aS9nwotX^6;iS^KeB_-lHL4m0%8d^)PYg+C4+p(9|gt4%M
z?aich=8B1YZgFf=SO4j_BFhrRV#Ts%@=ee7KEW{FGukZmr6GBzL@b_OS;DepE9;D%
zlP5Dh)w!~TWgGjgYwTApvF`lSq0O?tbj{f+KK7}sv%@2|$kem$iDGxr_WAZSZ2!_E
zKlv9suNqptH2A9)_?7K_l^n}j_DGSIlE2+cd8Ye^n=X|p{}R%vJvDwSZ#3(|Q~M{E
zX0d+tWX)FG!vA`0O;5Cn&s61~Q>I$8e7&Z!J8%EwwLIo5+2NttQTiP03$j@YG|%s^
zo4uBQBkNY9zm4i#pEqy!)6v<&(#Ae%T9r#!(lrs6RV>$+@_nf94+)8hVvq4D+AqQy
z#(upjJZ7t?i)c*9nw3F9<+?`eS(jX6dA44sGvt5YT(L#x_Eb;hJ?_P-xt#C&b<w(|
zVPWws8QCIxzv}5*v2B}MKlQTGlJGE=*<MGEN3m<lTwJfKAI#IME6aE?+LdA16qm3p
z)=f)QB+l==#NN5%hQiz%Tlhs)_f9*vjg8}qs*~T8UeWBetYNAg(-?kaHcV8Rkja+4
zTs-)^kC(pYofAuCl_s%0cy=S2HFzygP#Ej))mOTwgf}x=htzIR=jxuDfBgOP5T4zu
zS@%!jWi;7wiHA|&c-CE3eXTbem$Dy?6}!x$x!$I0tGWlTaFj@qHjnvU{dk^~I$ah`
z)*rDvAFIB-*(rQ!tuSa_j7M@B?_8J8E%LtXF4H(K)E>ITlD?jGneCiyt5}!yHkkaC
z@#A8?Rj=^$-coT3%NrM4S2F(%*}sK{Upy>KrF!<i(k(I0Jjr1qBAGnvwz6`I{Loq%
zA1xws_u3aL9lNzG*JZLwbiYQ4)CzSjYpuS-{?&`!SFdN6p0z*k%C-Clrz|}odp}BO
z8mJe?ZYpwYDu0dM#W+3d)hu>lK6;>8^Ni&(YJStIR<brvS^9(5DvEWsR{a%SmS;s5
zroP+6e*KcJ^-A_BTjh8Esee61ed+vd%R^Z8t$5~tjJbMaVvDDai-_-5mX~2H+^0Pb
z%-gnED|?IofzVE^tvYMxt<v1uwpd3bNOapRmg{pX_J*|8`LE?D)fUfR8rp1sY%0%j
zR!u(<=G7vH@6P$OTD0X$&Exf~^GZ`nJxhGp&Mp66AH-H3#qPypp3Un#m8I6i|C-$X
zc~he=^Uus;6-=LddkH8P=dx}J3h&eu7nvK{>GJW~TKRdsa>1;Rbx-$eDy;a{w*1O&
zeesg57o+r7`LBM-dw2<#zfN$piSXOQ?ja(|L0l_CW~*~u_TF+OlRa;%>>b{f(+vKG
z&;G)?Im#@BRdX$?W3<S$moc-#Yp+MKZ|1$Qmi@;T`88MGdGQJ_W!1c_6TF_ie=7UJ
zb>>;2EMb?_mg)qr<+Tc9*`QT_eXVGe=&LFDI(jZay1`x|26qmtGNw-bGmAGfO2sUj
zw|Z*MUzW-+R_>Qqb1%#5MX{zXJQpM+a%FlZi*+c=>>!UP|7LmBUKgoascU_SZGSdT
zoF~)E%eg<I-?bWUVfn`+6J-;x%H=#)*P17Bnp9lv^&ocHOEFyh)3tb4F8{Y%^x|c<
zq}8nNx2j7syN9rfYsK`<Wh-aZ&s*wxjdiop(s#@GeSFq4ujI`N;hCky+F6-=zE@Oh
zE&D~b$jN-Xx^`7-#W_W!OKNXj61L|p_9}Je)zcNN2yQQpVvE<cTh7vPt^S<b^PN97
zMd=%f?9XPGohhaLe`yeVo7dk>tih@Rg8j?Rv*>%Vny%&FxRq6N-@5fvS%TH)c(K-Q
zXIVQ*KY(8>NcH3;<_GdScGWzH<LY~r^X?1F*K8ifwfwyNk|E_eUhL(p`cwH^ugM=Y
z;yrtE_bV3tFrL4wr&&)?HB(8BG7z?6VX~}PeqC&<>Kxuay|v+jYqElP9_^m;`PLfs
zDZCSJ?b{ia#dBmSYpu!-&{P$3$e+JiqTBZJo)I>hbNTuf$;)h&+U#C?+l0j?O=Gn?
z@>W|{ca^Yy=6@NU<h^Xq<PE2>ZWeEzvSy$4zbz(ixi2oUypLk%mYus*#@4S*eyuET
znE7R|qaJLs>t#Ks2_>zqc~{C-6~uB#)^jzBbr|o;nXL@kd)21#cU<F{RV8yd{*L!+
zHq%hnuUc24|MJxT;>lgAG*kCb39Gdx@Atc`)>WdqS6TjPwKr{LIl&tm#4~*@5ARoY
zeJkCIYgu0KC72%*Udrk+jp_JZMLS*byWBq|Mb}PSyE3MLRevjsDbM+9tiJDB47bYr
zGo3DJomj<|oy%JI-t!5Q`0AP^jooZNuJemUi7%1uSj&DclvQ)z)%xnKvZ3tru8A?f
zmYsWc%8!*P--1Pwcd_bE^^KKxiMn>`wT|_5mB~^3FZiO@vs+(f@zrITIyF9vZFZc@
z-PNKizOvl)W_8s4x02Par1f<cZ`|jzXS8fY@A9rM;$)x0x|HYDR&gdCgKMnZW(yuI
zRm<cN3gWL`H=kn)zsFl^)wMh)S-E=;)X(M#*<$~T<-u0g_{OYY7VEqt8`j3BU%4E_
z{##4t_*(uro|Gl}J4N-&*Enn!UFanewv;`4DHqETjUd+GY<6)~_Wj%arn2U_uJ_|N
zT+QY<ooP?&-*sD9mJ7RH;ccqo)4R%U8pU4Dm%E;4$5s|iR`DpF-BWv1R(;{~T*cBn
zMbFyCFKg<SrThX>{me`GqqmAEis{C2ZuROlX0h6;Y`ldvqFYzzYR5_zwW(o_+y-~<
z8Z2kMJdJh6%<!HAD@{M!eA)U-r1>gOpb>NMzlWK7GS=F=>+IB8DzDuq9j1Fao8`w^
zaVb{WFx|D8BA-6b@wpx)<HEn-HQ$s_7X8(--%DA`U(1+=+uq9zkKcYRisf8gC~MD2
z<sI`bZ?QUmXKr~W|8Y%TcHdK5dHeKNT~l9H#bP~IcN<T87*ACGl((8|*<IMfzC2BR
zsn>A!$iXP~ZQ?Pn*=`x$P&jtb+j|;seroFtKUuxCY~s_UxO7&<vEEwCe(u^HO-)zH
zxpMVej{S=g>0Pb*WUENHxO5o1=~@}?D7N_ZYRT8tlwRtuy2^Shiv1JIiPf@pSLIn(
zm4t@stcv1iWNGm3ljhA@%i|Ew^TM0mv{!m1%dczfZu9zfg{bJBW>Rj=5AzgS5~Dr$
z$d^c#kNK^4eMMQ<@~E5ju&!r!e99EO_RA%9-z;AFxon#8(IKoQK{@ZleP>@|-pccl
zEi#T}-pd}*cp>9;OMNb}Z;N98A<{nIc;%fXhU?k%<L2A0W*6Kd?=C;@8oOW?tLau=
zL%FqaY|ZOM4E&qBf5k;_W#7Y6Rku~OZ_DrZm&C)f*uMt%a<62)ewA;EmF|YUd@3x*
zw{31dv8${n%RiIHKvzA7%dh<IdGF*Cp(ip~)>`r|nrzwK9>H53#XmWl-@uZWcS^Eq
z%)!|b%a=H_cunPTxck_XU*I*LQmE>jApJwEB`ZZNwy<C4nX9$7|1G=V*B-h5S+cv=
zir-LQy;Yon)iiId2pbD`6npb(nTFE#tX=(bS6SLr^^%s_hVTj(^+?Z^4GUup+r_&1
zDsRLm{-&vnp;`P(qvr}osY{DwXscL$U&eULpR<>9DQobg1NjDGPq=435A$Mm)MXXd
zZ!=si8&u-EF^Z>Awr{PxgDThdxb)07FW9TL@}{s|iDNfiEj#fkQ`?EBU!FhKVm*|5
z=EwbiC2V<KSG856K$RNPiDh?omZ){*{hvSA^epSYC_SmY`T<#?JRMs6iEG6-^5pt&
z-?*M{$8|op*F0aQvue83W=++ZBpVjR7WPY~e5?FsHo-VXeXm|Nxi$LS2D&`+d7WO?
zh_l#D=c<nN@nbq}rQ5TW^(o8WX^%6w_+###%u>C&mepFV(f05(FOeC#%9<h@LUm`X
zVmX>Bw6KpmruRUU_#U3Q+58<_S@roZhsoBz)R_?_wER`emn|$k><_N-J4dlUV|Sdn
z_eHSiy_NlPS5((k@fECPk!4>P;&c18>5dQ{;nl3QS9$$^=}hWr-uuj}ZY_HT--U3N
z8TmaNC43Y2?Tx!E8mL{mbuZr$o``GwDN+1!jH|44XRPJl%X@x$bN$iH@3)A265n9-
zJYuPcPMA!zx~$~(dF8T(QM?AavWd+XZ`@FrXK2{{KTB6<>XTsE`n;w5XLJ@`m%o<9
zW4QgD35#hMtK(``<tw@i*RoCu<7e0EIkuP0n$_{tf|eI=B0^aUpFPbAtFd0m`uMBf
z(N*lGTjh_k`0ffdshrvNEsW>gTA5YxHf&qBHG7KYKi#^{PnZAgwsl@QPFvX@-)s3c
zg}Hl+$a1!<IM&_ITEA^^cavcWig(DA*>RI8V~J;=`>az}8RuMl+Pmca7Ih((<xwqX
z*Q@*KOg-SqJez&zN?)O^*LREE3W?RrR1e?E+Q6n6$6~rtrzd95x2So?`2BxQ*W1EU
zDCZN$KHHo71)Jks`;%VmkuRURgy}c0R5828a`zXH>Q#{y^Okg6XK7x~-Z_>3Lc5IF
z_Nhx*YxU>y)XSzu@vPZBXJ-)mzt^l`S9n#kc>nI@dHO`$Oa14|`QAEH+~(>BOyhd4
zd|=6MH+I(EJ?^|J(**vpiU*0j-?qdw)o%ISC9Aja_wvq<X?v>Ga>SDBWDEOReG%5k
zFqt($f>%WNqV#&?m#t<^y(+sbOEzLH`)rw}D>^;lQT!8is<PFOtrcOGTNW<cyju27
z`CsEGa{<<!YuPS_t46KV@43v<Irks;6;*jHou0ouTvqYId)d6quU(TXX9x{v2yl8K
zyM|G!fnn9ERjU{z8Aicq2#kinXb6mkz-S1JhQMeD48IUyhtK~rFfjc8&-jsn0fe#4
z|FZ`lv;fclgC_nNAp8Fn7}v6JvkNX|)?`;+%52TT%F5t%Q-SF!i>4QQIa_}aOAo7y
zCrb=ll0I|8S{7E8XQgZ>T$p)zSy+wMvW8t_Pl{q`VCVK`(r3#HV*binQpFZf%X0A&
z&m*2SQ9RK+s-BF$S;92gX3yoF&hC4SC3_d^a#4N_woI}4{1?`;XRuXG7ZPF&C}EwN
z&2n@v8#mjeIPvWlnOF|#^LkF@xxo50ilt{O@4hhhm`t9zFS%-1_fO$J&a%zwFUy7~
z_IUO}PX%p(ExXvtLs;Tps;w-QDZeD+BDVb^hxi;Tww{+FPAnU)v&2`4tz%?d9?tnx
z@PHPh4&PSRDKbsh^p-2%RM5y<Yu3sV=A}J*k};RG0}JaC)=9i+Q^YrmiA1qpQ8g6v
zWV+7AVQcx4uX-!L0Pp!Yi)m3Tk!<B!HX&<yqS?8x@>gE6{HD+9#qy8slNZ}0-sqLQ
zufMYOXR)rGEA~upTisOFXKVpeT!Yz~{d8rQvzcCJxgNz+%vHZic3%mr{%TdTtGrvS
z#Q2w*O3GjV%E#!%{?*lYC7<ne7E^TvjhE)^EZO0_TVJ!Ty(Xg^BwNVxPuGNfFW(f_
zb73*oFL|P+Lc<$4lWcFuf*QP_qc%lH8@$6WgOB=XGz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONV8ny~=tf1*
zUVpHM|NoJH0=u%%Mndf#$83erq%8)k6s(hu#W-`$W_+OZI`+RvvJ1mooup(t6D#)3
zS7qcT1+4g}*RjYk#y((+$LS;kBZkc?E&&H5)g%=a8d(JymD@SI>mB8;y-V83z%LM*
zw3$IPN+szigEYf><t`JAl%;0h_+tGcoF>WgzwnC)U=T>Mk2w?5bjRw2Zjy^aBL`DL
zf<jEwaf@GHlByk|9NLo^8hHW)++z-Xhz(~*+7zQ0W1V!wQQ!wh%sDQPDX-mYc|5c{
zi>3%x%=pQ~%ANEy$y6ogBC{mNs>0SkuRG!eKE%YZsV?^vy~606AnwA-;=N1wRuL0#
zr^tjWF>Vc?4!mq>*ua$Z@+eD(b}T~!Cxf0uaLh`T7+b3Yjt_(s8I?4`<R&t)zhvTd
zWN=}Wp7Y^?${xilGq^aJtPDRh#j5=K^x}o#Ek_3XWQLgxk}_N!eK8X?xD92vCb~0!
zNHR@QNssyQT&k}r+G8zmlBB^-3-JlU9`BR?Rd>tsC^4~{B)n`&i}<=KCNAN#%FW~x
z$DLv-cksm+1y0J~bhyY^uTsM;S=7mro}e4cnj9svr`yTW*vXzFRY`1R%%nIc-%qb4
zY*aXBTBc55jNy%8X17wbh-ozPV2u4YGpMH1i}h*3+L9Rd$NFBvswGpsl$DG`G*g(_
zRgzXPzV)&d{2Ix{q9`_zMU#i|t5>{=P%KBWqsz<Ke;=5YimEqGRPo|@%;jAY#ryQC
zP|)x2_Gg_EqLP*ur2bkkF68M{VwW`cW)XHV3|Yqga}v)}=||p&T>=HaDEt%hx-OB-
z;km*{;3uo5H-mUU)*)f#*nbnWJ%sgyx&0c{XS@}Xc`wH)F<18O%nM&+-UdlNmwP+;
zqjIR++YpxIQ!;NC?^`MVR#o69!`G`aZzr+Ta)&ZJUn2aT{ka`y7VG*Grb&}d8n_0W
zlsM_(@934GDj*RPq|qGEDScbwZ}N&wlT#TP*-tP#NHmIDt~5`QRbpgau}Q^nZlbah
zV?zEl3n34Kr1uy0{jhwH{O^D4gza+{%rJ<zcrigyiAh78$suMElR*Fzx5iu*&$G(P
z?kl}N@ol^)Zpu9IqsU2f=CY0(S7O9OC$S`Jo!4#+VM#q@!a5_^gCX0PRmrl{MB%q(
zRq_lsMH$wl<+A!GZPHgfn$cxBqqUG>DaQupDsyS3&w{IyRwu;;YKe-O{#H(U$+4C1
z%7R9T7$tuW##K7)hoYI%d1Ds-nJC{7+}WngVWDrK9`m9hNa(%C1qR+^kAGic_RP-s
zc_QW&kK+tyPe$*A?Lrow7WqmGPo%Ra*;T3t9~C>@C$=Go@!SHV6&q9-jv55aSdjeh
zaf~XPSx?8O6TTU{SVS$78K%zC;pFn<DxDLfbY;c2Gpl}CMqSxp@_fa@38@NiVhm1f
z;$VvTWl(D#+wi|Q=6@{1ME0a33f^2Bye6*X+RZuh0Y_5ch9HmS4)<ANi<l%Q*b2n{
zkC&PjJW=RJzQGxz8%J2~ec6zEU{g}WhJp$G2G1;h1jI<P9Cus9$B?ALy3<^sg=2b5
zZenxHY(WVdwk%ubiA)zb1r{)tb^P(LWntmwV4YyV7NapGCa>k1XXIfPW`-Rsw>`Rk
zB-y3J>}GJD*~?*hA=Nm^eTLpO)+QmRivoWvA8*iJ`C|fC%#8>QHwD9(7KWu0n35Sb
zbNp}kG?|-~rNxCgC78j3<9}2GGs9|20p;Chep7dPYqYBDVEmBq%0ndS$Uy;CW+o1A
ztt8$gk3TWzcT2N~3;HrR-*!pzU|`+vv3d^UjOU?=GBazK1OsH=Gbm2E5EBx!o+XeY
zDN*AyQ%u)<)>KQ6=M4vA+>#D6=&BS{u}O1!oa`uNOk$F`5wtIfH+jN;jaY_*N<0QG
zOwP;|bB{0^xC^de6MR4Edo0Vp#|pAGNv}EuI3?C5HT<6t;~x+!5v{^;!r(P$Kxptw
z)|eBYl2jRXZaCoCbn?bIzZElQZkVJzIb#{eFOHWD1&T3zn>N^-iA`acD;U?gm_;D%
zbxffo6YtJCp;d-y47^;;6Eqo<6d5G=W~(=^yq0vGLA^s?!1dkD$`lTZ1FRi?J9~r~
z>^ay2ZU`l*hCDNw()M{nX*#Esi$LuP1qmaqj0L4m63=3!7!D~}c5!Gla0b59ZeWbD
zcpLj~=MmMA63Y!vF9g|=jG0(fE?J0JzT;p_@~!-xv|W&azpx|qt@8?{(i;y2IAeGl
zY}Gtp<OnDT<QT*<yk8)v71N=7U}@wQ6~-inpB$``2^EJ9cQXF8RN<Rg$Fph9J5Pqm
zfA4Sws3b*-Yq}YwaZcGWP07-aKVq8^hk@0BNhzNe?LFA;Vl~f-Ia7$~u;iUsNv5+q
z-X%7eGA8XV>zR2?@QDYDP?n^n2V;_GpTUen?4mK(Pq2CjuSmRmgi*qlc_ZV)*nhK%
zSfoxdCNoM%$GqFAB+1;lR$fqq>!bkRMM*~Eg?STV++!XyaSP-a#ONM+sBkcrVIhZ)
z$IGNN0U6DO0?9Ea1k?;C3TXXg_#oNck;LG|+Mv?GB=L%Ih2LY&1}B+?kDV;F4GwS!
zu~<iX$g^4s>Mf8|U`aZd<m{n8hdE}$bEXR%(`NW5F?S?0tjgH$xPg`9eMIl<B=rL)
z8JHQok}fBy#r}KA5zDY#z=$)ZAv5{k^JIo+(()bu6_407s88fMAaLYFtiXwbcRdAn
zB%aLT+jlYIRub<%6$w$L1qnj``1e^Xw)SLB<=&&rt|Va;B{V_((D?=CCj}Q-Zf#iR
zX;7}Y`cjUo$QjG#C5l34Vp7E%IN2H*Ba{D4`Y7V$pvv}qm5Sjrtw};3Wj9F`EccWu
zTPQ5OtH{`B1?MA&-Cr&^&)F2kr0Kz-;jQ?3lDBtEzQaS0*IbOuag*Y0mHsMJcsx_6
z;b`|eP$d{Vi9;~L*KuZtkAwRTnQL59NuE;IBzYFpzWDb+_Lk=d#VUnciv;#2iAXMW
z{4ORr%`jM0a+#!<<Rqq4F3l&#>o0EfU|ifFB05RwmO;Jxqqu{6moO?n5)oBltL5xp
zDkjSM*xt}kDrVv$A&FG(;-sh*GK@mX=k~<x<M9mGD`c(0Bgx6gseVIA^U%o!>qNMx
zPPW{WX=%`KB3qEj*oZkbCMZQOjOG6#ZpIHX;-S1xV<$<^dtoYaUWMni#NUZrC!_g3
zhZw1Fzh)41zj@nD;?5lQS&jy~RAvMS{O@!Q5;By`@PFcP`+=MaBkRUTf;02}C<??*
z`gbSg#5WD2DQdEU&Mq4mOjV>k7?XsgGmHf!Vs=HYm?&w`apR@(!?qbF9==Jx3}?iy
zl}L&|p{%&F(mm;dnM=|sgZqvrHXV7+a6<Y~<OE+2p6n9}kCSTjt|&Z8+Le^a%4-nQ
zlq9mjfJMfzD`t8O1LO+p<uOTBt5!bWVC!-@>5W75xsHXm)=AvBu6(~S<6iQ)ji)}(
zV0!kcD)GcV#-vBh9x*5RIayQoXw=^f>9Aw?fA}%8;Hyh2&d<ItEa>5peehk{`N7W<
z1v8H1J6xXl<fjVb&n731BZp#qEv9u?JLt1J7&EXXolE{Na?<T}!G-64OHMkhd6A=#
z<a;Aq`GP#h(@Az4cB~9~sF<kyGK=TIkAsp2?mql>Vqa2Kz~`H?5-+oPSYjT2icMj7
zec<=Y%sI2$ZEDQkT$%dhW#@wcUI~>On~k48zIq_WFy={;?6sy7(X-xMP>JePO#Y#r
zbm6?Gh3SM#4Qg$de3C9+JaST*apv>R2Ah=|_DYC8de!#e#80JHcPGw}YY6Lz(%P|B
zYg30{#%bA%^BooeODAU(@|-A3GAfq5_T-sBGQ&m*QKrU%4a~{^MPD8_T=B8(fd_K~
z)5OgEPu?abEtuw#u#7QKvnTnPZ^{~#u9k*#$%of}&GJ$SIrb{^$#<Fi&Nq6M8D}25
zVDbFpnd=vRp6K56<b8~lsBrU*?J<fX!X1YXSjZi)Q8<uPq4di6^^T5;RW3{-;%^T`
zrSKn!dvMeuY4McggDY=Nb@_cO_Q$PdU!Er=e|X*!xr{0K(+kB%3C0FWG5?Yt9!WY_
z|MtPLo`*XVUn{W1+`N(QCf9JbdSwbr<ngbs;$+^>ef(WQ;oJLTOK)^EXF8mT$(hih
zbg*uU$I|2(&QEsoJTyMu665jW$$y5Irwt!WQDLl<lQdVp5L&X#^Td$}$7H^yx9X|{
z%l+7%_W$V)$-=~jh00&QemEi-6?1T#qQvaje;J=VjfD<=;}my0eE8u{w-4VhsP(M=
zQhxDq&jkSq(VoZ4e^~Q8TPo|BF`IE?KwR5BuGkMR6gdr?c>ep{JbB*v#(Vx5c}5KN
z@0BObn5DOSLKV-$e&NFp963+UQi%P3u+)&__=OugRAfIs7QT5<i!J%z*+;n?9r?)&
zLN|rZFjf6X?pS&1@evJEsr#2DZd!FtNjy10)?mKG)dpuJjRjJVxXc9^Iqz02e6QSS
zE8wUQ%djj)vtqN;W8Uy2U4yGCw<?ZSZnV4@(vaI}FnMADV^fs}`^hs4e>f!ndy~v?
z;-V0v{lk1lBY}$FuToTAY9IcTE9v#+*rVhd^>=i88ehH&|JcaU5&7)Xt>}r7AI`?`
zY?u(M{NR+}5zmefdloHtHtAFjQ}_%1iOlaAL{-Y}zWB(wq|HGniDjaZf6~sBRJAit
zDmV=eGA0Gzd91{c|F?m$jq!%)x2Bhdoi0WUybIP$VoGIdzk7e?g@&8S3a<@S7}j1<
zl1aMMaL1Y_>FI}a@10+m^K3~v!uZ0H<A64&<VwaQw|h5Q581GFYCVfhe(G?+TY)q7
z->*GA$&8C1tEijZy(vAZNs>eL%ALb6ZZKYanc)_wzVW1hw(`A+VxDS$?mJ69^mxKF
z`Od9}4<|B6-niW;93#Rpb&Bg+F3}Y0y?1Y3W1H)C^Qhu<xkk^E9FZ$#{5%!Wpw;u~
zD)(*`gPTHgCtscOV$qRC3yC`~V<t%~l#pz8Xq7V(P3ijlP&GxR`r_1{Yo8jVCW|b8
zWMcTF(R;!|r$v{3{pWF<dH=~*9!Dn$&ZJk$KixjC3NcQ~kaLuOSe(fGtau9FMK6Vp
z<c3YQHq1WPKb;agegEAWo{K#XU$Zo;bhEJ5C*Obj@JG-6w==F?WceyNqwQGI%Z8UP
zGgu5K#WLQO*eb@G!m@v(bBccZ8(9_3tCE4r_unyC2H)R1Nt2o7;8WI@la!@dlS^19
zdNb&={GOi>*mF;aH9ha7Qm41^i^&;0sVlW^i>ijOUDefGESaHw@7-V4n?_oxPg&>l
zZ2uQu@X+nvJHD-3tz><kv#MK8cZ+$qM#%EqjSp9sOziQL+o{rXLA}#*vV&c*y+@Zx
zBdfNz6vu2yzl(dUB#MgjpBY)PMs~Vp6whxLzWij;xofXg7<#?;bT+6h+L?4~;baEO
zAJvyx(sS?pUJ=83Fp4$W@4^(8{c|iIX7cz>%6!758uOIpXG6oBJ6TUzc5C${_3twL
z74k&5?m4qi)s)GN7yjH!X6SsC&hod3F=meYBUbfz{wB^FvHvq4vn$UQz2JT$VEThE
zLW!T9nv)Ow4~=n8x-{dOqj${mjvEJFCRN8wYVh8`+^|5ADW-wvr_Q;?4?QzW3NjAF
z%uEWIP?O&9X!1krqJ#~}jFS|0Ze4rk31euy(!}pF31R6ovnL+@xL}RsjX326ON+L1
z&v=`3e5WMyBL7E|yH!4XiCEzF@B!zHLZ0NC@v+AkXXxEYnDdnT=d?Lnm;66-x8r06
z*FQ;%30H-myh~CNZm#|}<GN&8?;3^lYaw6epL!y&rAW1ceU%Ld+m1-?7{i&5Up{2<
zh<RA#xbY{?oe7+e+ArG2C<dH6A=A+MP(a}Qf!!YuMkx!&+&IDdL4M)N1F`>J-w}R#
z&rCvT#-77Zc{nESR%Sok*?2j_=KY@?Hy7k33dhXIPGOLK5vVh(VbcNe1y%+(Z!Ydz
zut<2trQ_GX@=7I%9iC7o^I$#0^q9h=w+9(c&xy%v_<iWfkCy_+IDR`Gzc72-j7Jm9
z4)#vS4t$<IspR)g@7GBmS85u(y>ncbVW#DS+cV}0a;mB`EO&mqsC*%h;=vnt`$`^|
zwa(a}Tq}^smwe+iW0KOI8=nIh&Zp0KzNt>-#O__cJAX`>ef{6g^uqgRT$2to8|^TE
z_$Y<(!sZ!&R2X>^=k~Apb2E1GLG=|)?o6T*uVWru^W3rRg5B2tr+EtRv7F?{yc&9P
zrT^+ZkM7*Px8$UAjG&|dW0Dh7`XbMwe<#e@`c+O<30%DVxcA6{u)-Hoa%<NqH)XNd
zPCEQkvBF{Fd5iNmUMatjU<j>bY+m_oLo8!+_Rf3KlP;KVX6-qve@3bB?xWJ4`xlDt
zJes}#Le<8V>;|0|>iX_J+Qq<a5qzWBf+;5AM)NtQhe5Y=JRctYz$rGXw|l|b@7^cx
zcg&sWy@hZ0DNhUSjSf3GobNs2*;J{}6!WuQC*~<5uR>CE498l@7mqJqaliTO1cND0
z{)vT?El*6m{mhbc_QvEKo21T^CPr)Dm`fbbPgqNtcbcnT?6$m=+HAG`jn)eFWbV0J
z3*XsAZ(CL(mslha*gQ=}@Xm|7jvSHNF)jj`eK%k1<w%#g`=YIoqqzRg3&9;6&7sOS
zW>(}KZ?Iu{=wNy4h05y~5$9chm%piJTN%!id744^&I{3``72Z=JUO6~<dW2QpY!5O
zg(RiplJ!ZP;g%oQc19>MHpm>D!Sl(tL1`h=iI<rj>c?Uq^u82-xHIY5GnJOBiYtrn
zy<m-Ljt#!|yg6oXEW_Prm9r;C+<*FWo%zHQXOizfeLLxu&)uhzCjyyoioS`_cb}jp
zRJf5N=GTKf^VqcicVEs->c0PS5>xuUmz6Ua&fj}!eCqzOPWQVn4Yr(k`RvZCIX@yU
z&*{1GYC~S(<9}|qU!}guxwKZ?h-pt}5!;=YNxKYR1TuGp9=rEy&q?K%S(ER*-oaA5
zL#*x2TZ4cr4}@a>H-77xG3U<v-HE4@R3=@Mx&J{$x??Nz@mnA7H%xebN-2eF*}{c|
z_djwntQ1P>v%dFn&y8=7g&XSyIeeLvHxzTTcb`a}&}R5Bq<|^u^8@w+canA_xh-Iy
zu%7uZqxOWPf`l|C#ekUkETTt@Bcu*?e0Sn!6K0WYU^tb;JG&u>b><GXJ8ypPDoA>9
zV#&Fi9`|2=d@{pS@~g4=-Pg_CC)WNkEWGpj*bl>7uOG%T+<m=j?uG0QLH^%K{SQo^
z<u5h381tau-Cf?)fRvA^G523vKj^SLRe!7UNQ~14?*-2-jbqMLUD&d)-H_|S^+OSp
zlm9&^WlCmPF?-?qr<UpwOi70xSlwW1$gz25b@Iykdp%P(waz*D_*rGT<<6^zPds9b
zyccu(OW}=a^Pbk&++HNsDD(XKx2Lt5<ySxV+?kPk_pkB+E>ZtymKI5sCs^Gt^0jdp
z%gs#a@63Ey%Nom2^oqss3FlR#3p-c1J-U2b`Qqim6OLjJ3_XQ;*(8}ii#;&(e)iG&
zWZe9gcTWUYpImXk_aejNN5VA+xaTn5u6ePh@ZdwY1-u7x=d4+EW6>%93!X+VQx^!C
zE%?$`dE<EJr75nA{4xKZN%3r2AY)o6l+E-++UDG2!*hpHpGtka*kxw$f1!cRpC`tB
zyHEXlD*3x2`ANsDdrXZfk4!Ie7_RvF<d;z8jnzFXYfgMsmdcpNJ@fjtiBjT%46M)0
zT`w&bYgqnxgUE%?f?TDsKcB2!{Nc#Ue#VWw78fM_pY~aa79YE}VEI$siHDd9pINEb
zB$cmdwmWFhy<$1D{D!2WMVn5((%BFhv3jaX^%B9^>36mtP22pof6uy4?Tjy~Wo~R`
zdi>(TEwzVVR@@O}&Q;>Scs{24ftg}^PKWN38wI;AUK4z2|K9NB#+PfDCVi1?;M%b0
z<GTqTk`|;{eAk<k<a1%kOYfr}^eP`3>vS?j#2kKZQubm`0b|UP=jL_`J}8y5SavZq
z&tZ&t;r68R_=1?Gq!Ulf(l;?P9e!eV<kC~)<|IxLcZ-{sp7+0-GokC~&1(}GAMn21
z)c*B_v`a&U{R^cdjNJT(8$v2OFXv2jQQ>>FpF45(s`w`wsXLVpKDB(p`2Ut%#EO?u
zIUV!QU1E4)|L8J{&4Q(N3vM32%yn{;<>N>C6Pf=1ylHXhwt-m81H+;u4#u0#No>{~
z8|@ln|ND08F~%~SOEU9Xohsk4_QUfBSDxx^Ty-S!gkaCl72PLVV;`7r{wQ4WNF#-t
zt3gTT<O7N0n^ZYAoq0S{XXC~%A2@H!JMbmx$p)2YKhh$!UPgb2Wq6=+LWK8bmgS8o
zzn3?Xc>dd5c$T^DNvzBTS?=dQ7#~O!ZYa$8w!u<v;ZgPnQOW<q)gP|zaFB^nd{Mw?
zDUkosvipg^i#5%hF<+%xKM2I^WU#m;^WbqTqj=1Vbv7%WpWD5wd(-2__L!$GpSX;l
z^FR6F(!y{v=}SkIO~dI&2JSLVn{93^k?ZK+k<2LnWk>3?D-C`}j29<exTVa**?&Xe
zvh?R?Y+nvdVB<`FQFu~d^9zyW19m!3SmZVwmwP%PqyJ&whkM2cyu?;&bw6XAu%KPw
z(c<EV|0l6+6uEtJ#nJl(k#~PuJw8zPvF;-8_PpmJ=kI-g`e<Ry6EW+Xx61GCJtY%(
zus`{MoS@V+M*llM_j6o~dBUO1Hud?P^cz1e@~Bqc{c2~)k==PG{o0cm{>5)*&U=>p
zl%;a#`HQB}a`&pAiZwoG+4dym$rF}Iih}Gv=R9ZG^E7=$@_!cD^LL)GOxyQq3-3!F
zOP!M{n-rhlJ*J|Wv{UKwb^Ft=&TllotGvf5RObon{AVn$-ye(VZ<_i1x9-I3vy7}c
zPgwP>%xdn$K4+cZaNjlHat_yml1Gh&pH}Sn_@nU0L$->TRkw~XNVBXcd-jZ-JLaM#
zgYk}(^qtv<mMI@&;Qw(cCjY>Z8;m>7P2h;>?B2L{vgh^IO8!{JbKDzKpL2iTKSTEX
zhFd4I^lzO{d%&0+^SJlpYsZru(Hs9K-?_E<?%|sc7j9wEjPXxNeBZp{_`RFcZ=UyM
zi`4fNy~*7A&}R#4bjbV@mVwK=?9-lbYtO#9ocU<ZomW4S_?{Z&Jo>pwK=}#J&8IPQ
zKF+=I<?IT96J2Vbr`>!~vh4}a)2FtR*^F7D>!P28n04iy-}lGt36EUN>%3J-Y>9JP
zi<u8<wDWX_vK~B{qJ8x6q>d$LZyG&baZ-hAA=5?aj+GMJKdvy}<i7k;H|NU1PtD(+
zbLU=)`F!u;RF-SgBtG1`=G!5;@0sKz2F<HW^t)%&a=op&XRMpYce7jU(4>?JInQ`5
zvli+WoVv<({bYsFGx6|AT(g=dXq`*pa6bFo@NDHvmb-cFU6UDOo{6ttQg)1Q`|1>#
zvgbUjk1TNHc*-5VTDHHMe@Cfs{4?qG>WWV#*FP2i9j12nG}Gx9pOh7zDqncCD5ZbW
zjSU}ao=Z;E;fzu=-1kI0dIisshYJ>Q&&Yq&F!|^Lg^I?T$yYC_eQdmw_C)OS3gZut
zABuJ|=zf`z+ph6JhgCkw?EFKK1F`?U#xjaL6%Jpmx^Wtp@s0QXJjSA;@lPc8i(8+1
zCLAueK;Q1wgQa$l9!c70#LPTl_DpEvfd_wg`bm8L@%q8KicdRID{giuJXYv<aCSy2
z)9Yl$f3kf7HBb1bT4+bG&#}Dqz^cRXyY<Y;#~Y^1Z(L%(apyF)6#*Y)W^_wDjGuj}
znY%TGiS<IRL6Y|cDV_g2`4za585Uc#ci*tv@#Ki7<EtJ0k7us>c%k)R?Em!VLfaTZ
zDx@2)2r8VqcO)s+;?RN%IgcL+Hpi?@K2iLze}!q{)Q1AjNoAJ;Y&ITfdlJD^+xqu0
z;}gEs;W8g>v~E8T`yr>Kdmzl0LDKo|uH83&$lY}P!I*UFL3sjWlKO?9BbjW6I^3j>
z@XvTqa+F28>0pUdGoye^^4&{sZa)*rU$|{D*OtI(dnSmUWLWp$qY}dwvAa8FbU&EM
zu&?7Ui}L$NAC4cDYkLzTDEEZ({ELe!_w!AxR71)+7|J+1wjBxl_U56Fa#V2l!8MmI
zI>-3jh^*^;apZNN?TSUuW}e*qL@xC~XY$e=yEi_P{n=Apc>8|Nswa})<vPmDI37y$
zcUR1R-XHVQ%hmVVExtn&)wu-c-|T+Od-g{6v7;TeT@QGCFK({uoO&baXRbx<!xh$(
z9CO}1<@<X||4HZf?l)b@F+0l+GR8cyn;0Vz_UOOL!|s!*9|fzHFJSqo&~aXwQC0eZ
zP>fQvz>Y-^z8+$^!Kx7RK<IeP-Diy*G2Af%6LQwr9a+x6Yx6|p`+7OEN&Ll+1pIl5
zkL;6BtK4uyphH5h-cjn(`=p%?Cvrlc@O_=6Iz!^z-Dl4q$2_=F^Z3prfwxU}6-0E-
z_;<wYQcw`+xF~wF`Rl>$KdwBIJCXUK<=~oQEF1dLR$3R|eOYL?<%9~8g*t=6`8zM1
zWB*sYIH99-pv&x$-sOAm{v<c3pJ-V0pvQ@mA+W~!M9a4OuWK%7*$8gzd62X>Dd0la
zW5x}i8TgaLlmFLzRP5_MWxOHzq<6=oO$sM29G~;+(TBLruX=9Y`8Z9mPl@e`V9MQ3
zuXC>X<VZf})-Lawf4Shq7gvquPl^Qx_e3sy=u+9p%~Zed?k8`yT3zkux@=E1{$@`+
zxr^oTB&O{;ag&(%?|ssBi|I^Zc>9S-`_8AYFIoRiH{m(>-<Rj<cXh7ks#97V+@tS&
zYK>Xukgvk^)25^U@#{OE*8h@^Wl&!9Ix+0=%(r(wy)K%uD#_^>SI3@@_dl6(PmHKo
z#aQpLMS&wJD5CB5y-#x(Z#v)q#Q!wrSk^6{JD<$II!5f>loT0pnCHU{``^+ZjB@8M
znsN7w?oTVH8{9{>oSJ_3%e7C;>L;Q<vMro(l4;hRFW)BbVf?W}hv(kE>pz2??tZqg
z>WDqO(2DEc=l0f^9VgqjoZxbeUNh;CdbZz=VvCR&Lh`pit?54@H2b)z{QXan{3pa8
z@bAz(a&q_Fy^Cj*&%g8OeWl+C<%ruIZOu$c`*%D&e((Ly8+Yg2_<HMp@{|P;H#qKn
zQcB8@O27Ydmbp!b;0O7ng$phpJQNeRV&!p;pOci>ns49voU`}l=N!$upYMvtB&}-T
zNxJj7d*z&iAMbp=8-MJ;B?bltR@i($14{z~^8Ek3&(A+_e17`!$KB6%69x9i73lA@
z{`m0ajgN*ocRtVkpnAUX(1(~uuYTOSVl$I<-?OTmdtdG?$gKJKbkfrEEAKyg=w7h4
zhWAOu)VrT`wI>)~V2p`nt^Q<LbLaD3_QzR)cb-PEJwM&F{O;Fj6|p%V{f_NSpL1jO
zozHLMSpMsCGj96uyKMH+XLmja^F00E?co~D_c(6Lwl@|N9{hOWF{ia~2fP2o&u$%&
zg7s!^Gb(3nTEH=<srl}gw*@hc4U=o?8$8Z6e!KIPE%txCWMt|=_wI!Z4Vj`2vHvS$
z8JZcZx848lEoGE)i($!v+Rrl%Ff%6kEbrKICSXHS{GvaP7H}U<a^LaZiSt0(8m5lj
z3`|K8G5h#`NJvTF`PpX?qY~4(Urg|afc7eVvl(vc9oL!uus`66d6L}1&>9*YGwsGy
zn_Isp#Qv|F@$UAY-W46@_I(A1jxAZiD=Q}1a5nz#-@XegH95R;?*H5SMBw!#1&bR8
z&)#_P?DV%a4VODPp4f40tGWHhZsz6Zx7r_Z-#BpM%$t?+1^XVd-H7S9VH3f!#-{Vt
ziOc8L*qqvav%FtLU|PlR6{Z`n{=L~<a`0NrjbmvaXHLF1<L+1OLhWNocWOS}@L6G_
zEO^3xL6!{T1^d<g59Z%F@^(T}RP>J(+`Y^vHbyWXod0Ig`VEtAANd%w`17I7jlYv`
zA9^hGgYEN$A3yIMdea&C^WK3tiGXv5ZP!<M|6F6=`OrY<hVlZb!so1;uie~TxvnyE
z<EEUIYwUt77qX<^@>pZndhkN)##?(7V=4?Ln04LUrN7Sl=K>v;2a}j$cxE5^bmxii
z!yv}!`}>OSNxx%JSIT;R>*Ae<=N|YxyK~p#&x=Q&_={$q-nUVzqcQ#A+0S<lpS*N@
zMRV7pXX5F1Z%m$G^LElni$&{fy*62FS=;<+-<@MQ4?1I(-3fV<)3JTxRErO{FMM9e
zkbLimw)TQcWoy>I={{v~C_#JOo}Ybh3vXP!6MOgK6JCYFRlAQaTzyhw&yC}jm*S!n
zWP};T?H;6RuiDjj%Wc(Nm(8m<5A9i4+;!*h>3fHs-E{o3%GToM^+)WV<kmcVGa>o^
z4T}e#jxL(PCpGay2OneYh5L^G9@tFto72W~zplYx&W&}8D&;qQWzf8%ml*qhcH|AF
z1)m->T#WRP)ZWhYR)+nw<UjQrs)j|1FAHNCG;cI7n4SFZ;sSoIB>jme>y}J-_HS{t
z>(zy3zcg>iCS7~5<cG!&Et!?eG-lt((LC<(KK6eM^M12iN3%Ol)^JWp*>kHya)a4~
z)RPZ6Zyi+sF~N-E?!gVQ|6a%5IJi;!<AjDsv5fqTA6b|d$NoG2Q||D>X&)C{Rc7E<
z?vQ<9ul_(?fc^N*7?F;j^H*$RP5$rad$Wl3t=|I`2J5&^ixdOSyH3$Oo8y*L+*)?N
zkD<Kg?izKAvg7x)FWg-9tYbkh@6FQl9mW0kmY-d5k6+b(M)#f%3pO1POcK9cebP#N
zazNLO`M%t~MGOz`uQ+#K<L-j+lYL!x7R-HSe*VtVu;sk{f0m!PaqrBxJ4;k=wbXaM
zQu)ckDUendJH>XAgZ9SK;(NXC?m86RRIz(3Y{s~uGJWIg`%6!+k-vGjb<Og#8Ejj#
z8`Lk!NSnUBCC7Vj`87?^lhJpUEfrh3>CWO>FH>Gg$8GnPObX25SXgu4;^(vDIro+?
zm03FXz6a~UY0TYA&dq%)ar;?B%)Ml9#zv*hJ+Z4d-FJ!R*Y;-BSKC+=sd6W=b{Ff!
zRKcH1&ZU>K{XET(es^=&OV+E?c`h$GH}?wLO<g7LOg(cE+m|B8b-6=CJzw)}ue(`b
zwd9;9-`2mIjF^|-{*;*X{FqaUQq}TnsW%O>H{LJoSiC1`$?Yvm4~yzAl$_L=GFj%<
zme!=jPNrL8dRQWr-To{+d1HapMV5F^raPU-|1HRz!kWktpVPE<6BGNLEgO=S-`>nA
z({t=6%fWvsta}f{hOqAa#5L#E<^?f)Z2MD~cHZ5hIltpVfK^LSXiS$8*PaEZB9?C5
zxSBU<g8A8};>v7KEDIj(yqDj&vu@e06yE+Nx7BCAyvGuq_mSmM%z_!pLK*gpZhNtG
zDoYzROg$*=ci<Jn)i(-DZ+}U>w`Jw`M?F7gL{8>V_^`y?*-h-;*5k9@F8k5#_%f!N
z!%=7A{cUGs7G9B)iY(vVAock`x#gm|xa7@Seb)ZJxBSk!;yY2Lq0tx5>fAY;7-M@O
z_v4*ICuT@B_VnL6<hr_Q(*x@}2Rl~QG2SRTe&yiYrH{8x%H`kCD%#%^6u@@p?cSaD
zQqJ9EP}(V_-4^xs%YK`?M|2ZAD_<zyo1C)Iq`~y~TAlez9`Dup<uUKhzCR1*et5Ou
z?(s*H>K@-reV`EY=*IC1jv4+p{vR+YzL`4VeUe<}nH$kZ7l?K@?h@a~A$aY^)QBBN
zg*l|(^v`^ENJVz?m6$sRmX|$Wls;jPZ$#0&dxxx_^0?pAi@Wh5sp!F>3;#CWIb1QZ
zdvZnBg1Dm{3|x$Vk`B(0m{5{6vnxaNPfYWHDUugrp5HjI_&<L#LuBW+dnfEJ-!(ar
zbbA%Yt<y;hq9=aLTkJ0XPj150IX@LnHYEzri0XZN(<1TB(QN-ci;Nil-#6WU_mryj
z3ZW-Uc5Uk5cIDvSB<z0c<b#-;mJb^{l$4khKRGoT98i0{;D!5-*J3L<rk%fcH0}&n
z?j0+=?pr(WSSjw85bR#yb<0YzLqc}*9jm*$mio&JM;<)(`AO1@J65;u@7r|CX5-C_
z4V<@*R@~XQXTyewMNcOrO;Wh~pw0M>UEhJSq`L=}d&@<p-gmIMwPzDg{f#{p2W~#*
zJaB`TDJl7`!<}6_Cmqx~Vsz%N!~8p^+B1Y6+N{{D#8J$0AnT@r_~8ygj^>r!H{N!>
zI(pARHu>NGt|NOEKEHYUmOxBB(=n#`uk4o{o$k3rq2^^_-N6|PtdqW;dHZM4QHzGO
z<a-V?%zqRtJlYuhzxKtmjk7Y@V;SZZ-?xodjm%D7*|l-m6DH<mCzel(+|a>jZol+I
z^o|mlO9xoGZ|UEex8D4oqdHUS<@=83R{Xl-7~(c*BICPlcNTbMP3&R3>C}19_tBDV
zcNW~AVR*7i{oaCW$L_e?S#YhTkkc`l;g09)+B@^lnsx+O-1Ode|IYkwn~sda+un9}
z=gToSJnnDxx;@{QLC|ahn;g@J;`uk`UC6XGzZ1kX`__C$W10KGOxg<;9^or^xNwEn
z2j3lcJPH#FQ|)ff7dyGbaS~tQ=d90n=da&ae9yJ?y3&rLEC(ciGBEG9ew=jA<xot+
ziQK-GJ@VbBe%*3OtU8l+{GJO-EW^^{2MQIIJlrVz-MV9e(9;!e;&K<8rR<lVoq5lr
z?^$#b&n+)z?PcfhZQv4!5!mscse4A!opbi{+syB-QtwN;xkUJ8!O<IqhrI5sPP*__
z(BtP<>m?W0ti5n+qvQrjwns_&kz5Q*E^Ykad9zdFA^U;Ae&eOq=b!OM<t|j(bEkcy
z%DpSwShx0E*d5ZGvLgIo=Lg1^dsoYhPCPyp^XzVD#hn>zY;NVr-P*8eKU4FaE8&sz
zmaaLuHF9I#<|iWG)%kf{l9V?%|GLx|w`gk0hQI~l9~bI0$6RGvzvtG)nO7eA+{=95
z$-nvHj`TYPmXB{_-@Wkm$d;;(`vpmNic%7O-@kD7PVt6w_bz;^Yy7UW%;jFE)tUPx
zKNrsZ_(W#<y$gFM-&i=K*?q^vPu%8rGg1~DYUf(G`Rv{E_fOxtf2ZY&&AkPVX?I%s
zAG6%QxK-qdetV@*`D4}%j4?m-Pdu}{a8_ApvhpPZ7S6|yQ}1Vc9(gEsnLYR}yOE0O
zorydSmov_vED%1}zw^`M9f!|eyg&cI);Bi_BX1Vy+}>WcVEUW8msPXACm&vUd%MNI
z4KdjVm)$$~x#u#=y=_m|+`U@MUHF12w*P+dt(hM?rzGG0)xp{1%J$Qe=ay9LlYiGf
z@?K&xys^aXww;D%+`{)RCs%&?VYo-=z=Xp8FAL2&=jkulbmZ`fo3C$Po^|5kn#FDo
zyfJ)A_HB<GVi;m`*46*pVfutY_1^Z4OHXa?I4v4ye?V=|oeQO(E}PwGU_Te*RoNY~
zs^#I<6HnXuAGF!Gaei=;yI?A--5LK!=t03ox6W%x7aaSqKA2|yiIe4J$ED5>Kdl#B
z6t{mMd+bjCox)-vE@i<~k^F~Z6-ke88VYkVYbD=qzIDcz;rA2im$xSh9)HL)Yr#wL
z{u_>3vOSxBEGe04eC_VBTl?>qA5Pl1ko)V+hU|{wkB>yt7rbdrzH!X_X2Z5S$0l=R
z-z>8Ksrdh9@#cF+)i3|6-f8tDiDUV#TEkoYf1X@%jC^8jbZ5t>rk!^Vhqv8XC$h`g
zzW$Jk*^0A%pBhA&o*(=4@qosx6>Q?&H`YroRbg1f{BkD$<9i2+n}ojI-+%7*$B3Ji
zDFV57D^K3)IsD}2zF7_NpLd>oc6ay3JA0=INLKLQtqNh;cy4#b3!@V%hIcM+xfh<^
z{V@Oj?(HeUjT`nIZ#0#B5Oey@j%D}G+uUoK-gtfU)z>E$%(!b86gDAY&E{W~%Qu|7
z)9_iB>tz4^Wq0=M_v85c?S9RsN1D&?HvOE!%X@$K#ybo4EZaPFPS^kSQljVY)Gaqn
z?0Fe;uYP%oi1eM^Rn=#9sq((;zTc$dxaZ!tlZ&d)e=9jDb@blun$YB!{uRw@UU7O9
z+OaTSyLW2e>3du6KEJ!u_*3k$4Y%)|nss;Q*?ZgXZOTeH<x_Ej_etWM3&|4$CTs{b
zKRMGNd;LS>`S-4Fzs4GUI_uEBiJR}8w2x#@ymv7=a?>vMy{ge+%m=gYU3kqBKVAAc
z!^)gH?Q>@)xfgER#BYATW9mJ%w_ADUaOkYLvtE^9TKv8EliYSIc1dyfcYM5aZNC@a
zveO(h?w*W3*5h^O`u(XKzm|xUuwJi@<Z^r-dFM>P$&TcWhClDz*d4@ncRNFR&5?|i
zJX@dc<i0mO`>v?wE57a1*DSt&a=#zb-!*LaPKB<P?a#V@Z}NJz_V4$uUEeBFTA~8d
z{rjj;=lvNP54n>TE<4B=v$Lb?ru628s}3_h_}_oh|NNaf(@#vcJwEf!!`nCK3muR6
zbn}+5(8cHK7nc}F+nv3+Apgd#CPtB1Mxnd6_V2!`r~B{DjVeJd(HGpJF_Rwb>g5i<
z@N4spmPhw)`ZB54{Jy&|iADdzX1>Eo*KgjM$LN`|V(EgVM{nIdn9L}BcWHRH%4XJ`
z1)`E{+^ekgm-TnsER?)^d%x9_7(Whyo8qmzJGks`?tF6Z&gq9)2Vz#mE;Q)pu)NXR
z(J#1h>g4R(cQ-i}&q+UV!1KbL$G2A(-?XV)cy-R{%XjYX*UNOeZ+38#7L(|`!XNim
zd|WA7v9RIcncM3&-@P9;mEoSt!>T<3^R9JO{@L`V<0cRL-FthF8oXL^;e7g?d%n!3
zVJ~8N?yp^$edq4|O%etV1Ag4NBP?OX`uJthoX58h3eRH@-{Q02&W@7@Zq9okcC=M^
zL(=`V=?7)bFL*eQA#lyY%|Gwl+^u!8*`1^D?$(+gNz3nS*ts#uqxrz|Svf~<R=Blz
zM5NSt#N4b+UU{R%_(R+$Cf@rSuD#j!(EG-u(3}s!_wH<%HCtfEo(3(oN4-Cyl8*l2
zNJ#!KGh_PsI~!+7bJ%R?ExYw#Lz4dkZYHLr|MIshVr~A{e8?>Ox#+`}<OliBZ*J~S
zzOn7&z3#{#8Ol-&+VS_Q6O&f`P&of^xBAbS9eZK|PJ}OKcyXdY;L)Y;QpqX*s~Hb}
zR5?1~chV`3=46IB99(a19{+iN(wo)ZH|`wYJ*D36PP4A|0|&dEN8|4|J-?LM8hiKp
z*8EDwqYYO5oF^XH-oCTPvq`1y*zbG0R!_bm&GvUyi}%;0sHZGj!}?Wr@jdmf)3uy2
z<zLL5U9UDjbNzW|t#+lskr#d`zxF?|IQvE^`HAc8i?_P&RczgMYxOd=)UY{P_wMg9
z`XFj{aB}6(yALl`Fuu@!^7G~0UGFckKGsiJfB%WyDwgL#vhQVH&hR;ze{Wa*qo&76
z4Hi#=UVl3O`G&`%S-U?l##|J1m(2?QcW2is`;(dd%*=Oo-4|t?-Bp>myyi~x)(s6u
zCv2>|yE~fE@!!4OeNP)cnrc4_|I}o3!!><D>YtmtI~i^IJ>u8g*)x^t-I_alLN^sH
zyR%1)i97r5o~?{OY8HgW{V<ida>H{1b1cKP#><V@_bfknV<x-tMm`&+hOJ>gG*~QB
z3}ag7-)ub`ee~m5WyQUmOw2aYj7gt@ExH?G<)fruK3W~g$bG2oFk{S}z4tzGSl-=R
z*2!pi`^H}Tn~awCJD;&^@N{LA{4?eL-qbst*4if)*NES3d;8$;`Ma&xYfmPe@3c$5
z({{T-e6~d8pF4X>)2|&neP_?@^o#!|UkSf_?Cia@^X@RLU2|taXTi3W4`$j{u9Q5b
zx95ZNNk;A)(X%~f=iFh~x>@w-BQd_1ZK+od{JFCybQSyaqZN5EkA%8dAA4;py>@r+
zrXM9YZZS+TF>8o2{b*tQ<?fy`-J(MKC+2VO?O8vGY3Ix-qTQW$9$LuWzth$pv-*Xr
zt^NZyu~P~4ueR>H*D6+#$!On{f2}okO=JDddu?{Q?A4YN>hJE!edjpYLSoWUUzX?P
zn_@ce9O&foUy;!MrlI!)6ZhS=u;ncO#TYA-_x-!mI!$@$tPApg`JSp~{11vQU=};g
zD;VSJDDi)9OhA_Ao`Qzcg5ne2C$lj0cseKl`(zQzF!%5}mH$B(8?Us+-|Kud;|t@g
zUq@#*scW)UhWKn`_}rk@clrC~JKYCk|7V^2$2>dc+{A+IEFAk8m}PEVZq7-nyW682
z)4*|;`=nFl<~!GJ9}wMq|B3+TpN~pE8$a+%CB1LnQ@ML%;eE4Z_im<2#N0Wu)+uHl
z!#Nwy7eAlyN6fi-#5Zs$kK~<e{cB>BJ9d5G=-9>dG^y&QT;0vS15c9~TJF_vY-zYK
z|AyGno0opxII^eVROQX1pFd7MR(ZQGZAV8x^M@??Hvc;p9{ymqufB6EG3M-ojI)<K
zlkZ*7`t(5K&E4MVcTQQpxfFB1e=5Umn@_9k?uKQzu1ZNd82E8BFJsK?8<QGh<a1b(
z?nE4qdANvcU3ypZoxZm{r|cTDML%ugaJ|!8CO0#AV}=~t{oZRv3Ua&O|GV4cCvCAN
zcG-Dd4$Zcto45YFFciOkcmB6K-DmD!yS?<Rb@IhaxAgwpICJw(m$&pGu>%}+Toai7
zEIt*v^W*0`o!3+EY*2b+@#&w^{Z287KKA_kok=@7Sx@afevRqGj@ei0btJ>;UkcxQ
z!rs%BF)8Uy)1SzNM?N>C%#vXJap;!eo4Z}9U3Ghw)TAz$K5_bj9e+$G-<$towXFUN
z_7;yF&;K)YuB+|2!F52r=gzz<|2E#}R-YmheP?>*{qD&|bMDNwjP&00p=RR)>CRsw
zQVaLEGU?x2x{Jl@R_wp$Ck>0aMK2|(Gbzu%s<yD<=t~(s+3LG}Tdz1Z#xktF9og@5
z`TWOwy<w6w*`z!6CQIK4lS;lRaq(cyosd80XZ^f+qxWzu!}5B$f7gE8`2J@}>C~Qf
z+n)g!ZU%QG%~rc|v)5eGi0#6wp8~o+Z#-md`06Xjx##`QxhE>MJM^^7?u6@KD!X=|
z;R%yr$BpBi)B9c?wN*a(ULf0!?S90yw+mjToH%;-$mgDer}o_m+q_8i*q2*D^EE%^
ztk@hkQ!=OHm_aPVg-L6|K8y9-c*ey0L}+T~b63vvpdYO~yNwuh&pxYMb@k8PmRchL
zfg2jfu6?}~n?8y2_`<M_SAOhDzuT-kQNT`RLDPi4rfZTO+-&OP{QKgTtKiQEvGq6B
z9ea~-r{Q+}B+i=>Joh`!--*3;Qo^q1$?1(rw;G=<?mKhGqk5s6utm6p_5^`X8!xOB
zeAZ_-)$HPuefQ%hNt{}qXnOljys8fSTUpb4O>ZYL)Ze$?c&F+5O7V?*?lguj)oEXI
zCp7kxu>1Y^OrELv%R6rImB0S|W2ehy9@l%z`r-s^@7?A7c4y;qmei;ihR7v-yC*5G
z@3${4VfndTLjBF%{?bX@+7hepHEs9jyZm>P0`uMM+DWRNqRo8UcTLksT=r?ZKWLoC
z>iPZta!+S%-our5`>W;eq{bw5J0)7*&(=MuIU`8#PX9c0y_D>=jU6Am{AS&qy*-E}
z{*#X5z1iDBGg6pR@AdmL>L@WMb{U<$%W|-rb!sO|blpwuvmH_A?`^)l$>!GG{_<OF
zC+}r_t{3YFtiIb{n|nuW*ShZ)n=0;9ZJHw0bxUI7E!~JqQ+p;}Y;gX&=i`jXk8Ydq
zIjYULTYrE4q@6VzB$v3VTQ4c7?hSkz&3IgF=8MOTuXgU;d~1Pv*39}lX6a4B=Jspy
zuH9W2w;=!7SN%ObftTfOR_1@ml3Uo9eP@1Bk@Sm>GWp7tjExsRPdwMPlK02$yYr)q
zoR8hqytm+1>7*J(?%8YG#EY+8*tFv1orU4^PYCAxls$GUa;Ej8l`A*ic=Y_{g0m;m
z9xzJJ`T6l?WZJTP-N&6xz25eb4FwA)Jb77s$ngA?N2zy9Pd5IPkFj5H{L>E0`=u>O
zIn1spjE#q?&vqE))lGVS!s+7AJLSQ-ze={8ZEWB8gnQ@J=Xuq4W*6<)eet>2jk@|p
zi}rM`SQ&9=_HM14YiD+xcbBjgx;gtq;M3PVW_PMP-b`kRzVW+)<+h*A2K5O{F?A2P
zFTYoIek6MSp8wAiCO;H9zHOfHdDaG3(MTqX9<%i~XFWL7)38&*>Cc^&C-$7W_HJsU
z=HDYT*5-GbXI)!Rq4MhSCGGAFU6<b*PE0*EllMc-j}@jH8%k#_xp(x_iP;y7_srx<
zKJwzs^>@c^$X$CYaYIh|Mf!!*<g0HwR=io(l5+j^uT`lRD{s`CpZx4(;KV*F`>mJu
z{pq*bd_iEM^XVHJu7#5S?$qQZU(~tw-tU%P-HPxxOX_^`J=p8|tPML_pIv`H=Z50t
z8K3V&g?_p{|8~^LJFD;3M(1At@aax%`LSys@5EfYQ+)Mn|LLR|Eb^5cH<mYkzFDWv
z854as?yU6$?E_3nx37G^c`tt6XD018ck8#_NI!qC{??wD23z&4kk<_w9U1SQ2sWf-
zrQEGs`%g$yFXsEzPo>WvxX-!rv6)>o{Z&RI`|*Qcx;9)he>|ska@@qjKdyh>eIhf;
z^u$W$*-7W0&zNv=Vk^srhlZy`_AoC{P&>FQdwI*h8~%Sf8xMV+c*y=v%avaO$^WYu
zg&TJ+G`sfq&j+y^w=Dk5UbjK9>m--7{g?9+$^Z6g?KC=jmqAPJMMI{X<ehA}z6-st
z7dThk%3jkYnZ9tIXkwB%W76^tUHx4%$`)NMJ}}$w#T?H34@@y$%RAF=^!hSwnNx9#
z`;_&^hL(yRm$$bsx>e4d^7+2+^x$nNtB&4&<g(HDBA3^<`~IhPT;6#{@Yc?SvlhCV
zR^Rng&DgkU?JedzeqomPq{KE~c%OgASAAn=`Yow@zHgrtsNRvZx|e)!Y8a1a+=App
z#yjQbZ+XvN!ME{;<+Bfcyo@oMu5sDk)jPv{z?zTw_Z-n59Y%$_-M-CIm?+uO%kzEH
z0?T8oZ*$F>!Sp?1@5F2UNf)lmTq?NNeOI@``~RQ&p0zhSZvN>OdVa_Ay;s%VbN4J}
zWGg8yIQ)D2?iKrfbaI}5XjRDgV6NEBu*y5ki#Hwp@<VQM_FeDOP5i%a7<B$<p1>GW
z+$g<l=FthYde`pynBC}@c1LAR!~f@Zl{Q{|_Tz^4<R>$iChVPaBJzf!d&RD6cYU5H
z+`OUC7|U?@N22NfiBc99PUYY5mizFCf07#e^gFxn8EA9QaAVA0{Nr9n&SZr@4R`k}
z{872{X7cGfUb!C|z8<;bxs9neg0Z2aS9syZ+7sRlfj>N--}iW55p&x6aqN<~^9$D5
z#U$TRu3NG$Q+n1}(e4Wm?|Ilhzr%mmV{KRH?HQl%dxVR1J-Ehy$1^GUhUeJ>0o^an
zmG84WsQx*5lN#faYPG5j>zA)k(df>;>p4|GJm!vxx{$s1eR0bRjrq6S{qAhHi1-t8
z&%IXtq4v%hyYCopyLS4?UA{=uz3c9%oqK3fxujA%`H$%YQ_-CZV(+MayX*OVx#-$C
z_Z9C%?EiktbD4Per#l|uQ*~U6?kirey>~P0Nz&T|+~&`}_D*{B=Wf{6OsNe?x9^09
zsb{6$;EZvf@xXVYL&e9KWovn3{3Ej(7oXcRlQaE(gl-{|yv-bYz6}mB$38L{Uc4Eh
z#v)zDF+);t;tc7XOy0}mKE^U`d{!~{OX}+78)TD`eoeTbwd6H_?Eg(cf%*-`6JIi{
zzqpNGF{W&NL+a**O#J`)yJIeHKf7#w{oJd!&c9+m88CIGvwq#8*_-cpZCkMEuL_%b
zOg#5do0snwZ2EYtA@b%*KIL;!)%^=@Y*017xlmxidjE#hl%Jo&CcQMh$M~X*Wuo+*
zB&qxjg?s*ODEKI}qhurdhT>zNXS}Zd!!zUcao!m-oWC-#ui@(OKK5_s@49~{9y}0^
zdA)!`{{3W@8;^Q7FWcxhDbgn*;7+3a`lY)gZgRf45&h$)$Hry*`ncZJT+T7uY+uRg
z(^2ow?edAuaIMiawn)E5(NC*5Rx+riRh^vpLr`e>X8rXnbD#1y-A!En_g<O?%hB#m
zl_i_w-`r1BS?n#zJonYfl?f#*(UYWvj%?QdDW$Yzb9>Bcp1*tUCwj2|XWe`C7R$j;
zoiA7pPUGpkn>an|Bj?AMWsCeXdFE7RJiTZA=Z<+zHs7*JhU`1mpYFOAofg)<mw(#3
z$XfAbT=S95FS(yBI4Js;<$AYJf#vVci5!l15~o|e6kN`?wdyC+&1HL+Zc)(@?SJ=_
zZ{vOIm3wZ?skocCvxM*F{t5RtKGUAUcm38q&rhcnHl9`bxr)hk73&_!yLo@K?XBj@
ze!b--`st_8)%yjXrW?fCJemLWA<OYQd8e;u+XU()i67ZtchG;$#G5}C?LPV;XIA9P
zH8)rydvl&BeQuoYenQG@#<L^;Ben84Zxr-tmG8O1R(Y==QnP<U?Ekdodr#HfEfCY%
zHh)bF@8Z2lcM6x<RWeN5==f*H!N?ny&5TK>?-s}&USWFpZb27|@|%vH4ao<~((Z^p
zc$>6;h5L=b1?$?wmhLIfI~MbjdFFekM_i)!CZ0~bcj2o$>iqu#l==S`DjhNZn4~|<
zunOO^J3V62{LeFgBr?jZZI^xBC$;B5v}_pTJ-#<fdzC)!u)mibd-Cs$#hO`8o?J<7
z0`V-htm4W4?)_xtnxS=k(UZf$hg7*Y9N(Z2vEZ_DV&WsN2YKHYKCoZCZ1*3t>6V}G
zYW$v{?q8Wwcdtl=**hX3d#>EIh8E$7JbwGT&J#B<9KBg8`Yn3_`$Lw+MZ8I^hi~XG
zA7bENssAH%0!vIdgXc|m@%ax~md=T-VA^=w|A)f2rE@xCJG4G8ITZgUc7j<_V?hK{
zSJ%;HbMhz8QLx)!e0|LguLJjzek}N<{YHMrD}`e*sb=;cQqOgy9eggm+Wtqx9^V<d
zkvCm8-|Rn>ciY(VM(yqiHW7DRmFFvP&%dLxO5yLC1!m8B_Wt?!^~Xh?KN}04UE`UY
zQ1UZR_VvQoCnSwm-hBMB<mg1}`WgRY?wFmZHqgAK_3S~w<b)OXg?r~R?Eb-dJNolo
z?bJJVpH9T3E$caYHzrD7>H0~NZAy>t`bSL?RLn5hxZ>=NhuvDtd+zyPJ(;m$hw`&k
zbsv{(x|e>UzBlgbt@m3^d}ayNrc6{?wq$$Hjeip@YIaQf#H4%Q|Jrhvzx6NOXYo9K
z$|bvV)@9zO^^>@sm#>*nWFLJ;x;JK-kh}`lPm#~h=Po{SW6k0sbs7F|91DU!E?aU-
zRcz_)`!ZMGo_OSM7`@5s=#14|kGI6ArgVE;n$z)a#-6`xIz^Q?wS8oiO<um_R^-qB
zA5RoKnz2%T{qiMyH?BH*;)6OvnH|T)%j+i`oan~h#5i4rVS>Wh^-GrcW-|-@+xT)K
z>sp6|2aGYB9;|-IxS_;0f-z=Z$AO1zH@tfpU$}{uF+NCo!nXTg{n`66<)3tPZpoZv
z;a;*d&wlSs4%d#wIrn8!Zg7U(m7eUlp+WF_&K;?nD}4L6uDDloLtv8CORlUa{}Z8w
zY$pPllNr|jjEH{}k#lIxAI1*(=L=$OHzak(WG-J4|Hk~oA`ixz3vQocCm-l5V7y^?
z#4BR)jfOJqf6EsqGf(I}yMsS}PtqMf(U@;V9j`a;h?V=0KRG>#-Pf|?jcoM||3@48
z+#1S7=Rc^pVSDzTfBfwQvyRSOV;7+jyL9Q=-P<2LJ<fE;G511<aM!-1`*);j({Cnx
zUjC@>hNFG;{eaL%4otDP(l*}@2varP_<x4O&%0KW969euzt6q5@aLUiyZAf1v{;|t
ztSP-CwdroaH+`p%w_<o}Pe1-~M=Fl>@83^VrOOV_y3@12`r;RbXtw8a7vs-;Y&P6I
zv+CZG!=GPFOW$z8y@OS5wyaV>z>?(-r#}^Rh%fpqAKUZ4y5pUIa>cs^Z+v%HMlj4d
zl3WoqOReVPvbsf=CQHRGP^sVZQ9k09aiaf<>+<t|-0nEAafKj%?56(<j?DZye`504
zI{`mFs&2mW-D9KJT&+)G<s0tKz860C{5|iK9rdh?N%v|O<bOVyell?DLSZS!f|$lw
zhP&>aOs^Y*{r4<gIc=eN>6#5$&yOtJIB}t82xG*hvSn>?QE74wT*)_CS7_R%U)XX(
z?EJD-**{;LpBOmp=6ccWTagwqTRXP$37+`<I_X>32DgXLS2)W)KOxuf+xGp;UmK$r
zte!t(jqJ}A1~*jR+(__b>PU!eX-JGsO1P1J^ar={ge&_O<aCN~&A6cOSnh_Q%*DXs
z-^<o)+`Ph-?}}y8#M>^L)-rG}m^*vNdC`B24I4I3cr)vQ_2#9WkEXmfpT9t7g3RG0
zqp!=mmvv4*bze4qQmh-pNB*FS%M0tyE=l*gw%X_8m6fUro9vghzrT3v%^kT;g?oqY
z$Zh?0N7*r!;SGbV-KEFs7q)Pw??33XA@+{!wNJBjmMw@osp&EEasPcqZ-%dZw`Bj`
zmp0$HpiKI{^!5(N`xTs9DpIR2PAy^zy(e~3D(8;m{pq)a&R=~vje~#928Kt6Q^c)5
z{=0T0yK?^3hXUSf4rDt!tnk0LLGsPa#|d9z?nu4e7|VEox&PY-(bp>&P6)L2uw`{h
z8Lqt3Hd#`Cv6=j}-M6Jvm}GbSa~0Sbw?N>|9p-->@xpAnNgKHiGu)9$yMFI>^M!dk
z&YC`+yld~l>1&tyMjQw)Tqv&d<wda2L(4Txu}}V+3iw@k{Q2tAw@bA?onF21-z_;c
zrj7qscsxjE=yX26V72v;A6yqX8=vPr+EDXi-|wlpS6^1IDDS;?M{fJ}hfjZAeY)mA
zzQn63Yhv^^v^-i>ZTf#j?9BsJ=dV70c28dS_2Pz`3$E>1_~zLS`SY(gSuE)I-H{+U
z+j;)1BXjr4&R?OmviSUk>$3#~ZC=TSHU9a0PvN-tZL_p{if>O{nRxTQ;_D}yel3kQ
zt-q%*{eaD%C2V`{%kQ<jdFWh!ljZ!CTV+cB%=>nt;lPy#<*G)J=_|M0_dS0{;r{b4
zYxmsq-g{SR@46|Xnairadg;8JsCQx75y@J$9~YLbUs^SlA@<MmvaoOMKck(r_b@K+
zR&%-Wd#9Vl#tEzY^KbTX`bs>i+wGAUdrxWoH6F$&mh2lfr{wKhw4IAsuJ0Axs={h0
zTwKX=d%45Q$qP7^`F~G&6m!>ay&v=IPYX)+e)s>xk$zXdd@0+_JYD|F0`}E+_3J?s
zC>yl)ey_j8xApF)Us20jqSPZMmPs9F-Kiq0p`=olG_&|gX7fG$_j~V{rZ({Z>C~@y
zk#HmFIQP*O$;$f<(TQh|HE3)QQPVozf93NX{jEXliQZZUEb)Gg0nB^9?fO4a)7VIJ
z&t3Pe?0fGuRsOuAH<>;5ka%qh%fY+)Z*}hZd@?HA^QW7A;h!D1lo(?2@8~P>8g?_~
z*Wc2+wsK<%^Xa2<;`+@h*X|~6I>PGxi9PbR$1?UvRi199PEX$LOwpFU*Y4;`#dtns
z{Fn4@#`ibJSU27EuzkPd)CmryjpwuFUf!Gk;bpYQp4PL6Kb?3P(R}ahu18vrDlfk{
z#xi~Hu6^m7b!1&)I;<9bW;fl$bo%3x<1sfIW*uXR-?ps9Z?B`s5sPV4H%rZa_0hd?
z&K|})da6QdaZ68JQg|6tEo5|V!inF@TCLI>o*%uqp|azji%=3POG*k0Gy9aitjUb_
zE4}W`?3^sjd4%n{)%Po#uTQ-vq;G%M?R(Lr%Adc=7MB*kX^rgTU;6o`<Im3Si3hnC
zO#YuT;d;m6<Ll1X{k+fZHFN!^0`<Etm(?doUi<JV<IRSj?J?JHy2wUO(7wU@amn&|
z=eBQLGAT-TnR;ZB)SR!y-{LB}l#lLU(Eh~1-C@?fZ1UA#Q+eF)xy*l(P<^%b&BsF<
z8Fv&kaU|Vy5uKPY^KixTPA}QIgEyU1I1XQoywP&gd1GT|`p)QnhRTC~?mLATu>@ah
zK9O{X%kz#?7enodKeP7Var9p*w*SptK^1}8|9xy*mw26DJSji!uEW1+jnlh=W!CC3
zGG7p2N~)d7Dt@hZ&t2!3lWQxl^_E0WdUbx$l)4j1SxuLBdfMIFWxsJp)VvQL?VTGo
zKV?#$6S4XL1FO&V{>X(r$L|K-dQ!j1@5+RG2O1(zP4|Dv^lc@Z$6bXl5;lAnCV!22
z^kUB$)}?x9ubMw?P&MsKx-z-;U_*ar%h#(v=H0ks+!$AOV*lp@c3ezrlEjxxN}h09
z{A8yl19NA<&g+vOC3OV3>|n`fFpS$Z>GJ|z^;yOB&4*L!8N{wnS+l_S#G|b%<od4s
z(+$2lxvk@P!5xGBQB4oc?(Ce&#C?6juI!l?)2>hOXLPZ9A^d*tx11{zYOcPWdu@uQ
zB~$Xn^T`i>Uz_5W5L7qQ$MDLOsS_7XbhP>R>G77ORePuBG+dbaaT3$~h2J(_dH>fa
zQ0D5?$_sh!!F&HrG<tL3`AHA!D>EvVRIPuz<Kgj`9XqqvU77do%4^GO^UG8=g%n?0
z@aF!qs%h62oIYtje^J~0g4;<K7i`#*B(|#kF!S=t{6yxY8OgHs_mrP5h}*Nga{8qu
zpLag5n9=2$`RUkdgWD?eW=s+cu;e|-)y^4uS0(<hYR0YXCB{3iPG4QU`heihE7LyI
z#BS(pXN<Wz?Q_?QpA)o>Pkmh<HfzVmRgurH&boE+_q@P@h`bppe-@hkTo8Ts%6YbA
zhOYdT3D*`_yxBOl)A1nZjm)b(yYF2-(JOWF<oPx29t))y-8UWl)6Wo>y5q2@e&hZW
zmVo;Q1lH{MsJx=2azVxi-;GBu&)@s^|DT0cl{Z*!G~YAuUbyMr>fIktsB~Xj)wy)h
z`8kK*H=Qs&zJ*u%u2GySlT-244U@MpOl>?<ZXtLeX7#~oGp}ssxnarFmUMB`ABLw9
zEEoTtxw@(EKxzEtO@#-lRLT>Zm>MTdXME1^`m>I09b?1HM5YUJ8xJ#c$Nphhbzln9
zr{w?Z8&>`JT-+SXuy&5Cf8@omy`_d%xBvOtwDs`SB@On+PE4QYk#_v_o2BwoBJNdi
z?mTvM=AYTO7XRIIKR#S3%ZV{DdLrA&OHAjle%R~HVEpf%<-B_r))`(uk#dkJ`TBcZ
z%QIawr&nKiX~d$ugXP<l%83HSN&DWM-mWHeyZ=(y5;pPp`4hkG`K|eS&&e$h){AFf
zs0_QC@H?9E=)XJhw`aZYJK10Ur}@j{yYbt-3Y4CF&YHe}{mqS;YMiV1FK$Z~V#shV
zuzR)pcKpc#kIoC#j}%w(EPVBZ>tau`?doRn8CO4kyY}W=^#X;BjnBF+ys_0@#4QlZ
zuwB62tb0Ko<II|cvTu}kynVDZNcPbo25HyJZ_eK;oWC(SzGi{iBW>A#n?(E>Z2wqY
zd@Fh7Z2r}^s$2|*Z#xMe(3-b!(&I-D-kraZ)KTH~|Hi~W3;iBldgrUeS+>}x=Y`1u
zg*{8XBNlkLE2{oUo_IECan+vXJ~>CXZrcB->&l1iN0~amT>LPfW%8#Rb7x=tXq$Yc
zb3wScOOm3}#6Jxa%@)ShztH*AFndXO_ra>KM_8xFeB6_MLMx>~XoJ_$o2!^&|2=(@
z^jE9-%BT0!PqE#Q73Am?&fmCx6UW54O+Pz%Tz^)@Ey~mB>=)u#%cL2*=il=SUrwHM
zXuYW|`J6TT%Gc0M?9&%U_HoGWx%O4{)V{FCx1%NtxSnjdzvjxfsVASjnK8j#ghP3g
z;ookXMJ$J>ahvwa%Wl4}eQitnN6pXNFU-^K7U|Et5OhcV_OfWZ=@R-j*EfePx7N9K
zM_oXEf7_=!b}O0ow|ts%{kpr>`D2ae?+9;M8XfCrTRQI!gZahKZ&$zXnx=60zNmTj
zweQPC<&E#~-@fu~^<=55*Hm}<IXW5{nBL?6{`cB_=6Bn!-HJ`!(BPYKFNN{JwM#cQ
zKM>_+$)9j+4a<#McJ3G+`AgS1XJ5KL^GJQpg==O#qV*ev?Jk|ZeP#dqt0#+}CLG(?
zaph|G-n$%gCl|hc%>Vh?*Udq*&&=6lcZ+TR!6z1v)mPt1zVdBJ#m&2!dSBy~)&;We
z-8y^goX7L-@lH>jrMUU}o;w^dN(H4%%-+^F^~>&Z?0V9;Yv0w6cXIqs7S7nyrMn?%
z|GoS<DGOrudf%K-lr!O{S@*-$cM3vIPe_|1dH>uIrQ4^~JM66Qh=xyE_+Y}7{aQ!w
za(JKY*s`q9YALU8H0yo+2{ItrRXkhch5EN$dwfZ>UthZ7Cdcl(nM?KV^4-*BxE?Fp
zUv^(K>!oI%%=&G2%KiT8Yy6!5HhcNy*h$8h@0OLXvX=Z}d-de+MfN8wBlaoR-#g$u
zA?b`k#%%%FPgw`<?^K?BL-fsyz~`NJWR)+)GZ{8HdHi8YQe;ug*~$EgQS)Qq2?oOp
z3+>h@EU-U(`hu1E&qDbN1@B*P$c;QPqxIv9^Bs~*mL0cP8<~<mb~cNDJoR?@i6h)U
z@-OWDz>zfZ=2Ge8hX01K3@>7Ym!us(nWYuBaQB}32}Ud%J?c0=Sp2v=tLLR`Bh%(v
zRo#}4R6OQ9Y-?{>6Z`Lw;9nJHiObCD4eD-O)>q&DRdHiZW|?@e<7So$t6+qi(J6)r
z4UA<AC+yj%pvJUjqi1!}Leu9rX8t*lbaSccW9|dS_F;@K+#3~=4lo>5C`+2caQdI`
z4V?<c6AM00yeGZkAj9qOq!ZWPa7k%jf3wN!?5z!bE4G|}X>HfG(ckY@_5VK`<kEk{
zw6YxNJ-Z-OE3R|C&c!Di{v~}o@P5`Ero;u;?nMTCEjv)NXXfFw-h>qI<|Sd%_g;B7
z<-(Nv6Jqir4DT>~yb+On;m<?{{_7{(=iLZ<^J49zI}FoOBv<~pyXP)z$Vo2oyF61j
zRA2ugcwqC!Yvsu|3O{a$Ig#-5=H`fvv1?8mKECu#HZHmQvCd@wPe#0VBDj;|7;eb_
zS?+r^izobA%$<y%lZ9kW&Q222z4l|i)kmS1F<1X=Vf*_^wcL>DyY?N^dLik56^2oF
z*`J?Q{@F9rF7nDXy?;sfB3}wM1jkl#DPFm1wfeS-#3Z5qo71n{o__8G&pgHND`Hqv
zr>gK?|2eg1!;_h7;&U2>?HV;6H_y2nIp3e{@z+nrk1sGr-YnmEC*!=Q)WgvIVOM@?
z{l6di<@byOt#Lx4f9^zHs(dA9vmttunD|A8$M+-W2eTz>n<%b+apa`I-g}YO&!1e`
zcxT}WgV#5;^ukWwd2_2r$>8@tj)n)H???KFZwe{9a`lV##JQE87Z$!ef8~dN{>sij
zE9BH2%5O57-;6rCa?*|aQOj!%giO17?ZlUb4i~ODM=-J1UH#!@bSCaj)XOIxEcc>B
zD?J46MlId8@MrhctE$Sg`U9`pU;iQYDPrTIPh5NM${9*VOur$JJz?{t6+1oKJ0ty>
zm>;QVGv1K>c;WPh*t^kP7tbBPdTSH&FNH5jM>bwM$;#}YdF9rne>1`a85D2ZU--U>
z(f!)(Hy6LVGRE(ja{aqYVuJRR`K<95Z?+%28&$q<vf`v$ym$B9jk-Mb?EUu<61Qc~
zKk|AI%Wywx?x%B0R4sLW--#+QJNbY05{W|boomiL;J)!{{*I-^v+sPn@#cuhzE8LH
z>mOxYT6ul{*}GA8SJkE4BNMo<%(!_sO22keLb2uct6Qh8z4jv}?%I(zccLzzG?ctL
z#Xsy$=F7>=*)Jwv-E%K$67y>n#pfBBv%62O5E3-t_@aIF$MsDPPnX5^@2L1|bM4Cf
zTijp&tgwB2<<`^qTf4vAjq>DC4!d7-^Inv7_{oaW6;b-1gnF-h51uQyG^K&_uE}$M
zp2tt3rP^nAp5Hk0$9<EPs-4>>KD`&PD&ynTSCb{*+S~{TyYhO{#NC(I`!;-!Te<4<
zg=0VV7U?JXFECy)p<dMEe^P#jq|u?c7eOfkYi1r2@1LZ9<@WiVbF{u)d0=zxWJU7-
zCg-#Fl|2>au+J--`9<cATpeHXJ;_)GyZJwMt$!(2c{TF*J%Oo{!_WTM@%&)f32(hE
zQ|>3-2oiTc*yQIE^6ehOjhxP-$*~V6nSQ#^@n+_!2`nCE2YC;-CcVt%XM7Sf*{AJg
z_~+{nC-nNvxiG`{j+}B#yNS;3gUvJU%W-b-zLfkwA|RIG)l2>uNB&K-AML+2z49ab
z<KJh^WnX_-QgLa!Yaq*}6|3&Bg|W_0^3!i%-Lq#ye=}3kO*YfE7dIwLH2tlA@E|Go
zZmMbYmFFpqn-p)#^c+x}!P#?9=7!AirsP=@uk@a2kTX4gPa<Z<73B?K-PfO=untdA
zIMtBtJHz9N?uLSuTSU7ryx5UnD)X3uz51s9p$#3PN$&*n>stQ3j=d}X=h2lDd+fGV
zu-ws1ujKJ>*nV`INd3GkuRh($@PB?^bN=ZIw`N~mr>?l!>EFekn(ud6EGMeHzo%Ie
zy-@bgD~)Flgx_2~`oV9p&f{ek^4aZ6HZ9!KR59z?%A-4a`M$kw{PZ#DhmyQy@`E#X
zHRu0*`zZ0>xvNK8ljr=Iy5`E!JDWJ3-_tyOGV}VCMVoKL)aop}_2$+M2`5vFx_|dH
zGS@G(h`7AyN9+xaqpcUJ&s{%vc1h)O3-#;=GI9&Q{`=gxWwOPi%MP1&{O-GOO#I}A
zOo2(4o-1!EdH-ncNe1N`!6#3zc;hy&>wWl>jnh6X?N3^)X!`rP(2affkFMltW=y*9
z^7y2i`E^%M*qz+;jcM*R=gLcK_bfC&!La?()SD0Z`Z*i=?APB_Q(?Fqro6yi=8{EH
z+5L;Bo-nVxI_J*~!{pm)qWK?=DT%zj#KFFQ&!R2M)N8+ObeO&H-kQd*mNx?4Zwb)7
zaANnK#aBMD+Ad)Hc~^D$`=_T&mPg6!UpYBfd+ML1%3CxxuW37W?G(?=dun!nPb;Y3
z5!1hZ(!%{q@2n;6p;?tPny)=l`B=fs9I3&)ck^?tL@zPMq;E@Xb5#y5zrRIoYKw($
zkoYdX%h4R1^RDjkH(q#&SC-dXm!mrUne&>tlOkW<TRA_4XY2lZ^S$Iczn>O3c+Xh>
zx{&Ojpbs&d+C$!7y|+ms<Ok!84U;oB-8Ct-)4uxTNf-C$yT;SCP8vR9-g`BCIqP1%
zOdD@L>5a)plCIt;+ID@<tIr?GBd%OudHYK0-+1+#0zxt;ckJ@x%)V58?bDHoRofOQ
z#s8UT_2}wz|AaN4HeA}Zt?Qoi_jQwJbv<;s!FYXY+0_z#PR;y=z_N+cH{Nr;7k~1J
z+3f<!lV5i~oNBpPHi_lUC&rh1%-<ycYjWUd+!xFEzIBGjp(hV2%5Fw~UXs|~*j@YQ
z`+oJuQ@ZzEzvh)Gef328;Y9}+FKSl4sy@C@`6FWo<FWr8OtW_Gy}Rb){F96`FU+3x
z;8V{<4@SilM)n^IMW0`E>GJMpoKO>=@>6V;k#o$cPp?>VUMMjo|C@Y0{HMp6D`8~|
z?KiGGDxF#1!LG1A`M>d_{ku2#RQ`@WemyAVw!3*`&!o9Q8*lE^{Bx=Jim&*|j}kxG
z7Mpin^}TtaqdBSWijU>)1qqEYWl57C+>!7-F};oHiqHB7Z+?WoJbr%1%(}cQuU>zv
zI;oJf<9*#Djt1{tuZ})oJd||7uj10>HJuqhelYq^5L^?r#9UdSzyI=)iQV-U^;ZJx
z7q<VK*z@t)zLXV@`WZhwu-)`xj&zHJ)hC6ESJpmhIXJ(l;alF7kmn5@*Fw_fUOlFn
z-1L31psUd9x&>@++RrAxoGBVP;nubLD^r)~uDt!H@kRn;%-P^ijc4aS-`jIF<bmsd
zpR2*&x|Zeqt~mB8^2_3^J+Tevj&uFEFuU#Cb-kYka?4atA3OWxW1acxZ$Vqz9(>(%
zK<H7+v8}69I4c`k|F3Di5Wb4-?{tf%yFr$(zZ|;SeMCKKss8pbzNg!Tp1+y<zjoP`
zz<pkxpTFHwpBU0|E%0RullAu{Tb~x%J@!7j)ZX5dEB%u0wNO8%)!!D}`m=;V*Wyw2
za)zXU!(Xn4-nx`{!ZYdX(yD3KwoZz?U{IrTY3n9t?hkqL-`5}5P%(Moo@?f6oW6xT
z9=B)YT>G%}bEA4+W0&pf<qmUZwoLZ?u-a)N!{mD!wPjbM=U?BE-ez*?_Lm9g6rZxL
zd}tBGlyqfBX7+^DMG2f&W9MDlnfCe8#y590KCNNCt5H1tdc^DfpBrZ0yn4jbv$5||
z_`D7A^4c?Q_rzS~Tv7k0L!|4=(b}>r(YXs*To=B4k~hKON=!ucgp@tk_S`ravib6X
zABQd<e=#rO>Z*I!Qf*dN&)9VAO6o-2!;F*o)34rfWjy-tQt9Wt68FPCT{m3PBsQfm
za?*?TbE*@A+WDDSuN1!gvy*LNHiOAT*W(RwT^CCpo<H$hWv1Q6?a7B7f5yqzAMAfJ
z;n3=X@66wK=5TDj&KvWMd&Zd~oXH&v7FJ9=loD~_KuUjA(90WavmQMDIBU-N4LsaQ
zyF2R~8I#%~q*GW~ykDklZm_+%OxSZJ#}T;;&JS-eA9A?xE&t7qh6j8%)-QM{AiK@|
z#r-Rn(>shewp{kgy;8qtf~6tTrTVgkY=%Gg{gJpZH-RbXYU8H{j>?%oTjLf9i@u)u
zYeU(^hv^r88y}FXh&l73`0=L;4{iT9Sv4iO{E!RXv+6|h3WEoKV=8A%=8a_tTeo3T
z17pmSB>fdu8ynB*-8<=Hcr)hSrN%cO1D@|pwEcW$!o5#ko4;(IceU>5ir9z?C-yWR
zoPYJ>^?zoUE92V!AHDXmZvVydqgSWhKKXF=oUivjNf|E_oVLj=V&&D3z6U-YJAJG{
z`Txyb{>_Xrr>=hZE+$cac>UE6x@^YZI!{(N#{T!Yn6mN8hv=tA_V>qL$p6@BrFE_8
z@9{@P^Ecl7vqZS)+=U6(K2)6)+&<&Q{Hq`Ct~wER^3Nh6tv4~(Ka`(TSlJepeQ)N+
zludT+zhXBvJil@E!`jI^{>^_-JLyyRl_Jf^Lt0m6>^{O1cz%a)#Pttv-=$pgyApQm
z+O+7CJ@@DQy{9SGy}Nxw?v;ZluYJh=n=SKs{u1qZpZ8Ca-hS-w%4r`iUJLuVfAf{U
z@!`9#rT>ad>X<CL@y=PE1=bNiIc|L0p!@jmg4go$m(xCJA2`OtJ1OVNM<!O;9lZS)
zqQj4PFZ_2UE&VRT)Xi5|-(LAYKWgHlbBkF|K1;vHF!dU1r1k4-=hwXW*Kor(bI!j@
z6X(2m+K?H)x#!os7VYbwJLR?FF8$qjhvC%wyG*j@y52a<*NnWn{q(h;`g8Ac)UNq;
z>|~VK!p#PZ8)Y^n6<wWoeHF{zxa5aoT}z(7JpXZSN|weFxw+>)WTf|~eY}zuy6w8{
zHJ(|U7W}?8@7u|h=GTg@ZxSgux@m65%CmRbwdVdX|9I`c|6Hc)HP?z#e^#e(?V7K;
z<}SmfxstD@lz3kIrTbDvjd!coPp<9PioCeDZ_;7AHm^Lr!7ET~=iG*rqxWQQc`6mi
z_uv0{t)lMd1=ZH7^IbZ>PiUuJU3+UkLwU`eIjWl0`Ip+RU0J=#PyBlRl{If)r;1kJ
z;hq0#`j+KO@-{Cx5OLwt**U)=FIpyCiScS!a{j8hQ-{ZcHI+NI{Cp6w`9Q@)M~`=>
zgw}MN?Yk)Zxv^irM)sn9SOeqvi>i?g+lwy>{fL=;c~f&NL-Vf4UZy5#^X98^v5Y6I
zW8X|nsAv$D|1_N;V1ZfJ29^623JnwNe{dv;HhOHnrz`dH=8HF1%+`G5_i((TaOe$t
zlYD=)`I@VRk&9&CTzq=MN};hq?)mx)S0i@b>1EkbdH$jOiI*9RXFlJ#^JClGQ`K|-
z9&evhUlGgL!6;w!nJH%Ro4N<iHakymVs5?u+%Nz7fwh&6o#}^T8ZJE2uIu7sk7c;g
z9)mjne*k6v|9wM^EJrfKqKw@xF>yy1L^XyaZS63NS9Ii1x$!>f<PC*>(Fsx4eXgs7
z&Ap|xhT~*hPSd;ghMAdvE84FZomj~6;F`pwlR=?1lM_D`2z4pt-@EoG+8}Jwhf@YI
z4YnV<gr42z7Tx4$kav^2|4P8oNn7>Kohm+{F{_|-153<>z!}%1Ygd+jyE<c%!KN!g
z>5rQ>UYW5zF8^-inQfb9ot%AXmZ@jf)GsUy-6{S|{0HZV#{7@DCYw{WU`^Q~iO(I&
z)R;`SUr}5r^#9EdiO8#SUQgpty}oJhlNgqbyc-v~-@MkdTbN^OY-CK!^`6(0xpr>o
zIeO0OapSAIcdl=)JZH7$E>GBWp42ss^JeipefLD@`&DDR|JUArm44wS7kg^&>Fds+
z`McW0AGa*HW@2^IYI)n&y>n+izGS?4L1pBXck9hh{;vCVsAfTH*~NF0SN^`{l)igk
z+Y$dO@A6bP8hzVz;hpeCs}Jixgl+i!qulKW!=k#w-WxY8?6y!ik<fp7SG5vj)$8_e
zWs^3lSj1fEwNLM|X!&-x?xe>whHDG+Zd`r;cJ9w#TxNf+_Pw3Z<7;vG+wD~!o6JvG
z-)&$y9MV}`am7_)%_X_>S6zD|ySlHqE?4(svM;;cdGmaO^r72dbL+x7vf5b}@%-Pw
zm~`cR{O6P}IZ>M!XJ6@0yZV0nf1N9CrBg5Unr|%r8Gq&d{kq0)=Nq=Ij_AygePpMQ
z_U3_o@42q_t0$b4Qzn$Xj%@q3)%v8=amMA>-GXDkec;@9{r#=^i`Q?wR<~`5{?}7i
z1EZ%q2>!V?S9N0l{w2J7uk~(^pZNIR46ZfHWWpX5UAMaK>F%&HXT{C(O|xXLzQ0w!
ziRu5fx@mlm@BMgTe{ZGs<|R6_X1p)|vqD?lWAFQe$0Hw}PiE|8{r~2{%bTBm3pUJt
zySqn>EtX++@*4LG4Zd9Vb*JBa?9#FRw%G6Uq_*leJ`Lx%_kIiz^uO9rUL?YG_{Y3!
z7JIiiMqF+XW^h||l5tZ2@4?>>_auEdvw7hM?j-Rm4z+c$Gaj#*|9-=~2frU(&xyHi
zWcDK6(opEsKb077##LM{W_~X|Z=9L@e`1D}l2=Q_B9HtJ?;dH*W1288KE-3zj1TRx
z9WJ301AlawmQU8+F|j9hB9lO;``wL~RU&4kZ2a^x?Ss1M@h&xvM;DZWc?@30{`=X?
zt@7&WLJmLcd0*#pv~6IIIkmfkV*-nTN6pMWmsW#-YNJ!v9+-dL_`j25jl>oE<mQP>
zV~-dVuwAj-+7P^PQ`4gtzU~oq{~pf$v+3%M&egX1*B99RY&^;QZllz-1*cDQ?7Q+u
zd-@O2|2mh#&Rx{`!O6|Ex*_%Wz8@`zr7mjUoX~1(miNND?$HDLjhE&($F$ATopVvA
z@!0i7#-y?pwzkGs)sp`(Y`e&$#Km%=EM~&Y*b{m`W<6tPI6aX`R9YbFl(WA;(!ZH!
z4l>4cep(fI@xsxc9^DN!r7mlZUKDs)cjd0-<|{fE_l7>%VRbEj>BD43g=focl_!WX
zl-ggL_3z4_m)m9@Oq=ol{5Ae(*Y-@0J1{fsLd+A1`wiOXo6gjko$5~B?Q%KVmBaAb
zi<k+?44k(FIQLxHb6Hg|*#2r%-L*YCx1H4QyTJVMT0~LmnaO*uN0fXrxqdyO_@vg+
zy^2}yukV@qe$tk+S09|c7JlmFl-4tk=X~fn-~4^`iOw@uB2LeBsLeT}GncV3=Zx$A
zvr8k-O#C)?;kqZB>DPBn&yutf{TTOue^Bg6?%4DH)uWdG<+(gJV&a>tseexLY@IUc
zm1+X}UzyJ78J=&hr`G&Q>PS)6?o{4l9<;^&n#U*ZZL6pKm~d>OLixthISq%eM9)2v
zalyLr(*MZorW>!EKKJ&DzUo|-$hxLK6ME-e37MO6WdHTF=UZ9!UOieG#k%+Ur#E*l
z6)j@h|D=lb+NtS5EW7r^tc*^uiJALh`}Qj_Dokhp&;6v!lxck>?dJ@ai5V^2Z#_Db
z7O_Om?cv+Psy#(}%>iX4hV#E0Tsj%9DqKDl|K>_s$b60$71Lg9Ph{+veST6(#mn^%
zLmxDm-nbNFef`wa+h-4k{7s#ZuxG-w*)wM!-zhA|`Tpvy+S3_=&s65L^@m$kG9F&}
z_xzRo)8Uf}&ld82@clgV!;cqff;pjAv@aGuVv~J!<(B=y;y<yBlC1?*aaW3}P8L0z
zx%1``JGHlulW*}hwEHqB#(l{De5EjGE9+;Svrmqln)_gu^(JQVLvN(c=)ZU-wlKl|
z0ZU!y&$Ba{<(O7?olTm#D`%(P6DKC;Gj<=6o^)*0-gG170>=qP);+3PCz572xGxYn
zlysqrFE)jtrLv$Q@%$NAo7goBGbX4=ab-7b>v2D@=ghp9+vgSuCvBQp_2qJ%n>$ng
zrV_&$wN~F6RvDk(5I48DDVE_6$BjQPIy)b9F*Rh?{^|6SzW2Ig@3Y_cZM8Qay%GCw
z7OQDwbHKHL)u{qjSK8j2pIY>9#hZy-hp#`rf2=0v<jRVjvxPr$I6iEg9dl$?lx+RS
zx(!S*=O^sY|HIMnf&W2zi}=s=;_r7^S{@MJ-Jbbz^^7?Zr&d)Y|F56vboT73jSJ2n
zeluq~Z|uMSH$U$<a(9x1_}P`#_s{;#JG1(K$9uIO{~up0J;5z|E#|8Gw~0|{*BPqc
zcjslY-+KQdG~#N)PSrgOJEvLiWSn>Q$(eH-jFTGfC4D%O-&Oi&N*;Hz-2W9~l?URl
zBo%fw<(=K|ztQ*S#-Q_8lF!Xmn1AQon%eyrC)_!|M*Y&&@N;Xv&3*dv&!incw){MQ
zaFKk_&RfQ3Hatm5o^j~-`47*}ZJ2Z;?Wf%5#+q5D^$O2ynA*6@H)+$kvm363o;t}i
z<w|H5vuRDk%6k)9j?R(1(GX<Xnfx!8CF%T^^F6Y5pBUu7sm{6*I(O6R<h0BFJ-g1F
z{gQv9FoxygUUyH+WoLG7KmWzolq+J}nJ;k;4~!VjpWVG?&!zAWtk=SXS?BL5IQ9AL
zmA!VyS=%qIe$rSkU&q-HboN@<sc&2SCv!QsTq<9A@BG#)_akTI7@phmvAWMe;@p<H
zIUOqt&m{itTygwi%8c_nwq5rKJ~mNl#?;wYJf{0?$ojX@ck`s(diPqIG{aUbI23c{
z;GCL?{yoc&w=~(O%w-fh_tN@a@<faCb0jOSBpFUB&O3kh{mkQ$XYSAGIB@XNpPwnm
z!cJW<Y(4P6Y(jA54yla}2N^nNE}nNO)l_W3gX!I8FMPZx+JENMjo|Aal#<SzoS+*#
z`Q)9%r!zF%cW%{rd*V`Dr;4H=gYq(iv$t+_D8ITnv#a&Oja~MiSQzSNENP2rX~+n@
zQP*fUamL2qhc?f;_ITE;UapJmM<+~KZSgYsUg^vwDGZxV-CHxGKjIp9K}_2${%^C_
z{+XdGcVGYJvAPrOo4YRe&wU>Lr#a;DrCjzH^W#UJKf1zk>2NH=#6>Im&pbQXG4p@r
z)Y<zt#KeEKoIT;r-#zn}SY8y=pJANDG%uucs`&p2_tls?!tOOJN?iIQ@#9RvLyQgi
z^?Py;GCrR$_x_%V7r4s*|2VZlVS{)2MUG~LB-cyqy{j7n?*I7sis452hNM3YhoyWE
zpML&)!kOwlOf#})|7`tV@w(#!yI0b;Yae7jKXmC{AbNG<#E6QSd(Y0=I{zlioD-Kg
zv}#^USH%8X=q~@dS>)&Ztd9*L^KX>K&$*a;wJmlMgT)0!(T^+AUoSM*|Fk0i_5Rt{
z+Dc#XoH}{#UQCBuVoYPD{o#vw<_nDeZ8+TVnqOt(F?FE@@pk{Nw`x8<ck^oN{L?qf
zZ=620reXE0#&zYNC)Q?_oqV)r$M&SFZBwiI8)HvAJAX;4n<KmC=QFp9HyGLNt~AbW
zh-uEho^$c^wQFrJy?OHGHfF7#bKvHcwx@9lI%d~J_qT4kezHov)hRFa)cfP_|5r!1
zZQk##aG`AetTk7}Lew>q?9YF#yWYC;^p@J0*IECzZ;GB|?P+k}3hU3eSKBVlwMh7L
zRVhaDXK?U!*8fkhDL?;jd*;DMz6n}dawqPupKv4T^!-GxQ}-T9&0)4kp22+0cIL5<
z=Wd7OUsZf=bM{-^l_|gXUgh7C>HB1Y(wx(x)+>*nd(C!zR$cTIADh{}b{}IaUxr_q
zqHj4d<F$(ZMYelO?DGGzBo}3Q&My(W#HGFQ?JAbNWlJXLCQO}u@c#ty_{og2OKiSJ
z&-pJlqci<o-%{T1dzP%!U7+@Rs^g^Dwrj5NZ26OHJnQkN8I!M1xi^)A!{!{DD)*X?
zE3~<<%#PFN-}G`pChOHx+k&QDRhT}hxoxRd{O+r~Td!}DDLZ@fP6bQMlmmM@-YH8O
zUpu)VtY2-{6rBm;k0#}acia@uejoLRXV#Mbg(dy0vzEp_yno?IJ7Y)U_m7iKJUZdN
z@!*T3St)l@cJZ(6_&Blr=FYG+4YTJrEXe6lzV~CHBI6$K*E4#Q6pSV^%3j*AgF#g1
z!=a{~np<N06ugcwR-T<PV^_t*rN=kK&OQ*@&wOH*3$yB<12&r&g(u#;G3oHchCc^8
zR2EKP)Q-E@5zYQGDgVdKZ#$m9nej>P&g?^VEG8-1jz@DgPe`?1rJh^=`^tvcy%)CM
zxhj71pr5eCIf>Ux{Jx)F+Oon#t878o*^3*hHf`F_5Yc^0V9yMzzFQymoa8=!x8?Nz
zw{25PpU9j(<rcC1$NLjn%FHKolw{sUa3o!8ko&l?Tut(IuNw37m;=jHq+Y17a^Bjg
zU>W;wuiXC`Ve;367r2~#vSZ#_joN7smP~KGdvk%v?-MsZw_o42wdHL1?n`brZ!Zbh
z8uvOciR1FKi(AY;9`d<=@oL3_Me+wPCNsv|nyJ%wphWZ=;{@*WO9K8Y#&|rqy?$}`
zj~|!)wda0oINp%Va4kvmmExX>-_*5c=kE+V^n#r^W+Cgb*Dn)iADCvI#KI)_^wMtg
zYs@owHy)VzY*qnl^1r0Jj8aLRtylj{=KtBiC~iMHZ<9v<gW0oq`oAp+s`3nS^1PqJ
zeB!3qg~v}>_|NUwJg4At(Tjx#dcV!M+{-P{UBUh$=ID*x-AjV+zMgEfCa^^3l)#CY
zT>@=ac4nzFt<is3S9g))&8)3SGe6pjWt>Xn?$(a6>t3)o`)9)@7TJc1=U1a9v6w6{
zEEoJB62mbmCW7JrhL{JrvH#0sW_*>c-zl)kQeoDkJchZk{}W;vF4`oiTu}bQcjGqW
zj2OoxgXRlAUnVcv@6T5dcir#@$AT}S+CNImHcP&lx_GuwmCaRN`K0|<yCbjdO*eC1
z^v!Jk)mWXDn9Ce-7g`U;1YJ_(4my&wm8nheLd3Hf=W37q(SCfbEi1KiS9rnyqzh^P
znzCAjF39&Yz34i=pz2>@GQ)-JJr}(yK9zsGs8HEh{+Q|1wnX`cy#D_USH31QO;~pQ
z&qT&!H+LlGr^vAU-1N&mXX7CUr<k;ckjm@rsk>*|Ma($6xno&%*^5s-7Z-mhu-D(Q
zJnW<S$1Ckz3o1`cysB|)pH{VGgJN~Vo4$q)?>Wonzg>7BtwFCsU_$5FIm`I!`mZWq
zwc6{aVt1PB{MpA*Wpn!OHST$TW5OzP%S@kZ*`I2DFwMN%)ll*ADv!_H3)T0o*}m&(
z*m+G*{r&ZYS58aGzS*TBrThEZ<dUZy7jMMOz4N@cqvp;R)3@TGOWZ?O$xaZ6wVylV
z{v@rbmJD;3O`XiDctv;r?!V_=-JEmgsnLY+e`j8OJGegm>g4I#kw*3>A07Rva6-{{
z*ZS@i+*hZlM4ooN`eyN^pUcCizqvlU$Zf)YMeewd^(i`Que0livOLz5eYyI|<dR2X
z=J5yEj~;e<d|P6o;~vL#Z%*z>zB+lncKtQodfhCo{zK=NSp3~NDf(HA#+w<t=Pj}L
zzVa!P@oM|{Cqm~h>iIIe|66A9{6vVrpAPQoN!l?EGBZUcI(l86T+iYd(jSvn>0t6>
z;>Hhe+Zki7N~l*d|5?YGIDM&Q_`OTAKd$H-Us5hxYPob;vf))p)$A(<s!8D=Stc@G
zm3nh=ifkq0sjCLrCmEhaKAd&V{@=wZ6B+rh%A_|%@I3#Lbc8J_MN@eN=k+&p&IgGd
z;IHJ8x@b6=Df+^*&r2<>|H#eIR?F<EWGudJc>9W6(6x?Rd#+9?+Iq!c?=C;td7BhU
zu1G(bweRYiC6?Z`n*?^Wtg?!}BK-T!m5e7>Tjx%SYP~wSepk=V+%uI&uTIe_|74(d
zdd-iklb2g@cCT$%VVd->aKY)sw-Y?RznORTv*bs^jYhi|Uo7pP<??^e%U2Oy#b=j!
zz1wng-SI=WBX2BJj%yHk-CUM_Wy<{hDh;ZMobRtJ`g5|Tb<SDspIuI(`)5W~+*DX|
zWlHe$Q^FswwffIh5?r&y(|c3L+!=?ux8M7#<S%vi{i63j8rRrIb{uXwE&F=LPKy(n
z`x`SKomf(MBG2r)qW+8tcAw79o=|&LY5&|)jaOClt#5p}cTM@!$(fb27JmGAv2xDB
zn~Q$uiCtAl|GodJk}t!8Yu(R2-kd7vr(m?Ia+bn1-V;eH_%ASbpX@j7-;in~a)YTW
zS^Rfv<INo+?m?<{m*%}*7!x^r!eQpvE0XiB@Gbu{!M|(i6VZDQ=U$Iyy)U?i;e3wt
zZ~Npw3>`CVW?$0oU3gdZb9aY!P12Of`SX5?-sE!W-0aV@_LGYElUtG37pPy`dgA<#
z%*$eL7L_oy$5gMrF-hV|lKqJT*E&xpGhQfIvw8z}*6hoUGtS*jVG{2s`tMP}5fEr!
z^XZEFrV#Ii4Ec`&!jcx>j!#)|b<Sg3{Y!R#&fjp8xG+2UO5#knuPVWvJL`5|T{vgs
z+6}8?=FNHjfK^oZT6~Pz-e1Xa?hE=3GA3PAa^GzraD-1N*l`6z-4o^py~_&{9>nC%
zu&(8~6rJR9J(eT0T|neVT+EM-4KZa4CP^ziKY4irzy0e43~4*;#OGi1J1BOeY}PF0
z^#;lJtY=!D?Z{ds$P?(6T=xIWzY6=GZ!BUC{`e+*;^&)<I>$LLWJk{Y()w@q=kFh<
z#^*=>`0=J;&g?4;bAMVam@B$}iO9C6-|Y7{OiSy0e|60c&gU1BOn>s6S#ZyM{gtHJ
zM=VB{E+?5+GC8dJB>#G)oN^N9#74(wEARfuzFzVF;%Q&b9<g(u-tQ1Nd5iC+-G)7j
zmg$!@ZaVvN&b;4#Yd)S<y5;dxBBJB1zeJqUU$3vXHvMDTu#@3$?7t^5tdd;s8pVGq
zMP6gp{3*e9Jw@03iu#33t^aN^eth`$*{4h5s~Z=qNmwX1Fl4B&?wF!}h3oyLhLaL+
z&MA0(;&8a060gc5X@7-blf=4fskiDsDNLF_J-ub#U8|1@N7x&}lQ;6$_dJMLCKMmd
zAiM60UCtMlxN9k|?JK2f&&^($@_6Qz)UE%wio9JScyi{K<zibuo!$0XUvaDcxx?E(
ziaz-w`R?lc{-kwx|DJsHDDvgAHRn#&M42%2WWLtf_?7MVYrczlFEvu-+Fw7hkiE|H
zG)U(B6j536rLwWr@2?j9wL7=zrp4K-MQh^>y*@GRTYVs}ZkdGc&5Wg2EZ!_k=x0{9
z=x(x_f5~ErO1QT}UfDI3N$ioEu3x>v5^3EtclA=)Z>P26&i;FTjpMhr{#BmX=hrx1
z{YqVOb7zxm9pi?w^AourHCmsYuv=~M=jsPfHa&cIu<K-H-<%+;bNj4kFuiMtd6i_~
z(Yt12!G}jP{%T!&=<@l<(#Q{g3pW;>JIMRV^7#rS`D=SmaB=W1Silq$x4)z1Fh|nC
z&s{Su>uY98rZ6#zFW6A4z?Q_aBLCyU1B@TikA2xtw7>CU@_(^T&)A25f6Q$)UddHH
zXNSXwY|;D`uZyBO_t@{g#=igbMJYEK1=gg4`d6o#g+D1$KD*-a_JxAsM>Z9_x%s+s
zMe^~B92>P?$fcd!sQjBn_@@$c2fw_Mv=aAcX3d|htQ+Q;uRk$yBjW-|JEMzVA87wb
zW?T_(zkgavTC0|6`bnp26X$C`KJx!;meubC*B_mqQNcD#Sv37>!s*RtPvof8ly#pn
z+i@r6TSwTMPixoi(ui1UI8|kF%K@o-&n`}y$i&;Quy*nRt;gK4b1q0P5N&5%S9!O4
zXWOp3%I-^#I3Kb($zUIL=Hjf_2W<5fx)ajOpU>QO{^8754aaIf@7_9ZMMM3^9a@i<
zWE{OFEO|QSlW2F)3dYB)KV4t5)Yz|AB4%FT)W6G(ygxfUNS}8;<o0#0;+bb>A3f1$
zw}58@$J{IZE53hK<Tt#&d&9!CpW4T-$30tc_v4It&rVpaIdLFjMZW#+#!ZLzzIZGb
zIPpP|$d}^PFX}h9n#Wr&^4s_$F8lQ7M<*UnyudZd;eHAu`--dOYbL0Ae!B3>aT3?z
zT@kBFuTJFLyvDhH^T99Or`8yrTy1tiaU##e#}^`wU$5+5IRDIw&N|DrXU?uzGk?R=
zh<R)Dqg$8WyDqS5t4z|FwZGpiSlEAV?d)pCcY9Xop19F`cCGIm_AjURlwK=)d`0-Z
zAJ6KoKPK<lBQ$;L^!qL^k9>M@^52BmUanOo@?nx|#onwciCA-P{}F*1(fb+m_jY_R
zoUwcpSIwHsRpChz2C-)?{vDN=7ISvhm%Ek|r|!O#eWJO4qn=*eUdG>Z7s;%@eD(Ih
z9TI0(zMgnj^5BWEH%mmHPGYh?zb-R<am0rCj{oDMYW@VTw0nMyMSmu9%b9hj=6tD~
z9%`F?jZK}YD*FoCCdRg_Y}Zb5i=18m<cheq_O&BPO!15xB5dogvmGo=W;nOy>bC1r
zww=wNCN^AQFYD%>cl*`p@+X{$SEaLmU(7kd*?5&b{`Yn1q?ju?^WWb+xAFh{4yCJq
zuEZ8uZkUliF>8{4dCCONmo?_!&u;nmxc3L+f}6(|DtDdR`nc-s58a=W{O8tv{PeoJ
zVMFbj^FOD~T=VA3*_)eqZFjGF_NYDBwEoObbw*?7QwQeFdL{OG_4zX^?HXIHcTe6E
zyXJrYH4)RBO!;SiOrG%n?5Ue4#VRM7n!ax|*&uK-`q?gl6JaydH#5Bd@w0QzL93H3
zy&tdWrr$_rn17x3UIU+1QG~#W8~d*C6rZ^!zIykD=N6}~_5X_O+<J6_XxGW|<1cRI
zr7Ym|xhk_Xb@BbKRrdb`H`v%bzVK-!=Zvn$XQFMbdr#Ue3H!N7ZdSKrOnS(pBaADa
zGS1#Qn^kblit~NJId2x`%lZFg7Tr~0&bQ@KIAhGQj2)XVGE1D;8@Z#gVVSA*skWq*
z%{&|Ki(22z&1qhmeyxMGoq1-_cgL6#Hf6a7Cm!#3J2PB3W=c%=iO(#lCpvaqTybmv
zjSYWnPcr@BnA(_KwTHbS^zio?8@hKK4?V)r%eiXn?;9K3%_EZkbIyD$F7{_)XiJj(
z;<kThTGrM6tYn<<SJe87>|@5HO=96P+lu3lA8^=niTAks0oR)oMSHHXF`QY`81Me_
zK*OVp8e$Vn?pH9)oGi$ozVZDAOLmT=8_E~<Fqky8GJK7T+1Oy-{(<5Do(=1yWA@CC
z*?55aKU?UjON<iyCoV4KzaZ#xAokz$ScWY#*mi%oI>E=PXTpKx3oFiV*z#&4{{+KF
zFR#d&F;0l8`@eDN(G8_?uRk96D$~_a{crc7ir6WpveqZ=ze!%XxnHXO2IHCgJ5!QF
zPh9$Q)jCMMkdyoL`<U1BJ_HLDvoT!deSOtNM>yC1wZ*-cj}z~n`|GvwN8L{8iMtr)
z9=?8p{l@g`HrDk<Jj@qge4F>-srAf5RcAhao7Z~lB+ujd{ZY@(KKXQ;@5dd}Z<B1S
z%C6eH%$s>B?U?lX$y__n-QW5C)5&+r*>fs0`VMn_oZG*Fac{M?YToGx#-y89yq11n
z7;2|7qm;?vxx>^LmRN=+c7eJsRzW5{j{X<hSG(G8V$4{&tHvs+z~RG=^Rk=#*_N(&
z-p^>2k~wL^s(K04AO8It-B`DtxX5;PlIpA<aT~9A_cMuCT)6D*%A_p!!fZ~A$^xUx
zLY;+K|Bf2$*~F04VEb36$!Akx&qmpkOzb`PPg!r)>ux-?r!Icwk*r|G8QS|SXP4cJ
zz2aM`zhZIRhbKLoqi1GZ|Ffg6ufx`zV@BKUZS8lq6;8bHZ*QG?Pt2Fir6>E3Uhvkt
z#bSJ`?nFh=6+f-At4^C|mdt0;+%xw}_ql`H*Ix50vb!K6!4&iJv&H+aJ3kj(*u0~C
zq7-N8iQlsJD>sLI+?Du$!`g@c7bqtxT=EqyliD!l{1(2f+q0&-_6UArd-O5p$I?G<
zc2v#2?i)Lab={fAQ}=HAdt-N%>F+%a;hR_uJNhbi7KpC@^=t)uq>7+g!&2)nF*ncu
znHjUUp*EVcs3^PsarY<QvW?<>EV-NJ|H{|qxwL;_){U#aPgU7|cG%C}>cJlARpKVr
zdDZ^>@AWKum-1?}@72G_(|+dn&NUlP&)e%=`b5&Ez4yM?KZ7F0@2d@JlCR2bZ8(1X
z%)9e*`PNLEp?2Lj-0G&n>uXwGB4wv%Ot>0lAHJ#N{*v33Yo^V=&g-qa##;XxxB7y#
z-E)>r;n}(_UWX;@>>sN=Ne&lw|F|l5LUzTAi*j!2D?aGl`my2&)3)P(4zT~bc}2db
z!{(}D|3?GeKONQTR*SO_Zu_?(b>j*H?XpKV*ECem+t@Fz{a{kug4suB?y-uSxU=S<
z&!e5I-(T1yze#D!#-I0I%6y;Xz<o_-`ehOO`qMj}U8z1bUH)s;>eg9dZzR_otvsCj
z#Kic7W6cRov7YixLDmKLODhlb9_{#+Uw18WrG>=K1&_CUZ0vVs&5dC`^096z%jZ@9
zJx*u^o!xZ8Xnv2^B*l|Vm#>J=y*25Kz1kkVNY=_d6SnSsJ5A-nO7&x#EHytySW4{a
z&)$Au{+}g0QM}SgPyWPcZ+J3)rfjm&f-|)YUt`|H{ulbcGvE0Q%gVAnQ}f@yIg>L*
zeCrq4rJK0k&)9YHLf*O0-#2kdzg*UL<?EiCekXY4&+I!Zd6obBDKWvB*PkD}W!tH+
zR7jh>@#*!A9g*34z8z>kEH@+iko=D6zi*zbxuMat$n^Dc?(~gk`o(v!#nxO9zqdn-
z|9woum)L**lNsLMV2-~bx<S1E{hg^fCw(^Y?%&f}RlQN-?8PlVXI!wEtorBQBwjV0
z<MnrxCyQQSfBe#T_S0jtU;I><fAW0qgB^VLm3^{HUmtjWbMr}s^)c}qmOt{&>Hab6
zso_HAmW|AUF&qu|%`G)fE#~P*o&SG;GXFpG$BQoe$Q#d>@yH%zwut?odMUo1#q$Bv
zlvoD4O&c%NW$x`eKFNhU_QC(K7~$lZ?q7c_`V-oIZ=?3#$q$TJR=zoSRB4xC@<OMR
zXCL?N`%wDlz_H`a2kvFn?dE&1HYV#tMTdOOA;tqIzW;bJ&HV?{1}D==sT@%(XJ=kL
zyWr8T#`nqpOHNEZKSS!(fmntmCz73>B<$H^_@mC<L8PbdnzrYTZE}m(C<QQZu4Ule
zl*J%8$z49_Q6uBJ<XOv(@2H%2V#V=B?cIX27!26|uXEa{a6zYX*DTZZHxzfATlRUD
zQ1__=<~LuMEp+$T^TO_f`?ncJ69p4buRQ)@UF1P$+x0&;MV>ja=ERqd7isTyX#7q5
z*HFou%<yR;!-X>^uBcs6%b(kI^W4{$TSHQU*xt;Eo-P=r@_|!W-BTdqgJ{KsO&_Ee
zbe#C0xPnpXgSy?a^Cu%k*!FnK$Hg`@AKlru>&NNu6N8e@uD!i;>ily*rt97OaQ@ty
zOT1fdu1fiH#Up5I)RZYEQBh0YT(JuN6t(Ba=PoApKj&Y3ImwZD#&rAH7t{48GJTF=
zVilfvf}2Tjwqw@4J#|k%pUbN}^Fwp0s3FVaeM@2vv>Z(lSb1^p=LN4lwfec<f6|ya
zQ#)&t&Ml_jJGDwvnvD-Ecb`{Rq?oetkJyp=_1CRRw8gmN&+qt}KVw>GimLIs<5iVf
z^}F+B^$)slV2oMZFik5de1*`CNA*8G?MRkyKj~y1yK=*S=a|5T$hgJ3kDe(}Z_7Nn
z_!q0q3&r$SOY{22Vox$d_C1W>cxLOFiHj~B7d<d@*6GsZ=?~YNOKSPgu&_y5(x7qe
z!A+a9+fE-@_SOB-*=>n$7csCL%vunWT-n92K-izDwCB%4-q87LCb&pV>_2gK=J!UX
zr1=*vhwqs@n>qQvV)cLCV^bc^sgaM_wBK;~b!+)sudiDF+)-frdWZD$iOju*9jEMP
ze{|b$k2PjZr^8ZCnazRSKb9?QG2K7o(uq#b6O7t7l6EXSCck>a!=#yy-s}|bJKb-1
z*|zSW-SL-m^366po8MVFtMI<!-1XKIS*G|^&A$A`Ub?e+??(?2&r?s;xdr}puP|%n
znEUbW?Mv2qY!~ul1Xl03UvbU)ZGQck7o~HTUHyD!+nJSTUc`T&c7FS-?I%l=4OzO+
zZ)XtHIk$bjnE0obhU)oq4*&f$|6^XLk`+r##~tr8S2r;VpLy|3*CFgyENkl7<M%%m
zC7pZzU3HIbR5aUP=KuHRe0;n2{E?IsosW|`z3hLtYE@j*c^}QNM(@n2n-iQTp11$$
z`DC`i!QDw6g6mIsT;6zJuWaF(&1c?E>M%OqEE#$J{l{%jPJes$f3l!j!|DIRfj+4x
zCCwTHn{?|o1@M2|donQP%<U+3k*Ml3x1XL_zH$Ro%mtR@$=6GBKF<5r?y~uco8QgO
zpKpG0sqsv+t3Tl%$)S1nxWs~n!_}w0&+EDJwBhMZl~$7o#vf@j{~W!><E^u{>-V1Q
z6JI+Q#l$SU{AT8A`Rf0hO4z5zq&vj^`x48rmZQHYK%(z)+043#^Bpa%f-5S%Z*Huw
z+7#0__2G}!NfWyg&&-_|-N<qM!}6F#duByWe8Tbc>8jRU4c*@hf16*MDRe@OL9+99
z#6<o*7r&}U-h1Du|FQK%hiCLJhQ($l&dh%qpUCy2^S}n46OGRzV*bQ#cy#=P!>fdV
z*afe}_wVRB@u;hDLDxf%2=)&_#wS>govE5ze)@>$%H4NA{C)hO&i>fBp0FmN-y95!
zC1M_J3;1SpYX8O!i@FauMSNQD{rH1Zvlj*Z{XA1W?KF4n4c47C_7kHNcwaIJ#`c_i
z%EkKU=5%G&*Ry}myf{xdw(8Zjm<`J(UitPi|9VQ|y_v?ok!E`yZLFQoH1W+!#>4k#
zW^38_b-pk=(R(uKD&x%yD)SF~^nGcd!ouLnX<5ejCHT)wrLLbRg&FHP)^Gf#%v1c6
zLH&?m1k0{PM}MVPiFN*e-56Baq?EJy@4bzWKh6*An}6=R_WtGsi4(D(E^u5wdH?ae
zbKh6>{QkgL{Ad2TcwK?^_uE?{uJNwdj+9BByVNeSLU&z<?d>z|`&p*$X}3(f%D2@^
zAu#OhyL(r7tN*KOt+zj$Q2$Bc`MG~lb2a?ew23bhEAD+<v+CW~6wTzho7U%?sonqR
z%E#;6@$>DXea;7|TCBdud{*G;`ll9u=Ov21^wXB>UiBpK@m@*M*PCM1x6ZOZe@Q;(
z{TYGJTdy*I{WEcfhn{1W|F@Q$qjPTlo_dw-@1F_FPNYozQQ3V(@&6>Rwky*2*IebC
z&XK(5_R<@>!esZ)xgVCr9$D#9bWPfynLo*hCFvAz?UOC{&R%?4)5>zBJ7JT}kG+*=
zKAzl=mHkP8dEw6GEN5-bp1ya6ce!fj#Dt%_5C2k6SiCYii#2jmOXxL~yCy7W+y0;R
ze!RhdBIopTm!|%iP{zf7FX_BMsw&S}f%E$hmM-U+*|YcH1?m5LR=)3N)&6r<tpB>q
z*G)T%x|lEb?9!i5`+xHVab-!ho80-AG(N5r=({d+x94XfvrNQ<MSEqtXUj3Ou6bXw
zslZfX@`TB+_Xce4%KR~B)mz!u`vR1^YLkDw+8a<iO=3e=f%!%z*~tejs+2@zd9B@&
zJ09&=Q(h6Yf#3FsUeaIPB@IbVDJ*h(`a4v*H+Z{p9&EBLPyX+b^`b(3f1vH^13hYN
zM{f$mbiA0%V3)nj;{9pQ)g3mT%=U~OaR;<@X0@(i>Wbn}aZ39Av}DzJ+kMY@XWWjs
zJE5hOX@kaNrUz~IaZLXnZ~SvUsZ~W*{pfklc{`;e&YJ9AyKP_aB;~czel6;;Dr~w!
z*Q$H0e$R3KJl8pG`@Z?#=XzW((s7xlRy{BJGE1U9-<<uc=0BU0kb9SP?`adZ78R?x
zE9ZUR{MzlL+P1mTaofA@9I<kVIp;rhhEC56`#FAl<4sg2X?V{_+ZtGVYIRHH)_u?8
z6uw`ZletdC?xc?L|9zU5SFzolX2hn_yk^Vg;I({Pe?R5fdCs^lPUc42#@R8)m~KYd
zU)#WU^sLFoKMigD3%jp=oM6+*koxU`Tk%Q5dkf!u?(FM7sh@mf#TSXsE53!P{MfsB
z<-^At_k0kJ+5I;EM)LoYGtS>vn)<=>=sBa^wM9b8=Nwgcu!YLsoPN&s_fr|!vrOlX
z*|>g}@I80L=EHks!KeSvDqmZ7)-_n9bN5->DqWiw7ubHEcb$G#xqkKMncl}9clfUR
z(dfPT#vSgY<P9ws-ilVwyz${p^8bl1v<es*lFoR}=hZ%U;Q8wl7jB$!{p&gViPhD(
zsXx;!*65h7UwFV-k45#v^CZJlldAKs#P2@%=JDCEQzr!1SIkI0eoFCu<XPqIWj9V<
zYbmz4cJu7)OTS;vFfI6;{O|w4>NW4S{kJ??EPc94>i<cFwxr~9DwA_>&piC`q(a`F
z*p64u`wXPl_&pGHV>xl8MrKA;jc^0=8<rW$hWv+K|J(4qzlKSG;X#a4EJNe&O?giL
z9!x9S__bA;L9v6CLG{6*M-N$M=6!v_rMO|T{O%W?A77rxwtK?zAn!)A_>q{I4{}r+
zl(=0F#9T;XNm4sx^7=%w!pjZwr(B%7`PD|YfTVRF4R&U|oY|bz#NfQAA@g8M%=;$6
zs&5myjvo7(bMC>mGbSe(YHnIO`7lg5He==luH850%VkDNzF$yYk=!JHbdKEVrSUd<
z=CEA(wqnBZp5WIN^H@G^SU3BG_GF>Vvqq`w-$YGqlfL?Ap5mR8($y`B)zwpk_J7(a
z+SkbU@z;m;<o{dNm9Dz(cmI>r`R#N4ugy-GEcLpD_v@MocakqSv`GF<TI%7sXW}!Z
znPsn!{dsm_Y0kofavw4yl@!;!Hr;*f&++6_Gj{(tU!t{@r*O;q_<v6&7S9!Y?#E@n
zCT6ZsP4@zy*mK9$r)nKOdfo2*w)rL9(aV!ge?QyGpB4UzPj!x5&?%LZ&3_-wovXh4
zj7(VFu|Ml4t@tF&d4>J)^4!;J<_Lw9bu5ZVo-era`^H_}O4Uz<MUVdZzV3;f^^rf<
zUwxi=xNpve`+tu7xtBV3yX{YhEfW=P$e&<1J~7SW!T)<nNB^wd^kCVnlM!z=avbLP
zamDHl$AYZNa~6A5d|q$W-GAiIw`WH$dwYbouz1Xoi8*@p=ECUN`;Hzt5ySOa<)o+m
ziu+b?!VbPwW8G`XAp5Iv`q3LJ9^8L&kmbnLXJ0ljCNkdmsTh%wa*yf4wjIJpPOE0g
z9WdK}jd8+s2FHWnJ|Fl~EyPiBIN&*V)3OhTKWtR`@u`DZn6+VF{Ehb;wwZT)kC``z
z$KmLa>`IHK&Q*zw??Y_!&#ZlV;MAHGZ;!J~@ZDu`?97vxsoX10t~q|-{0UCxOQyR&
z26t>uKYG3F!y2~(2buJb9lGA}kpKHl4!M)bt=DHU7$3U&h{?gct6i3VWx=`4$1WZ4
zw(Z|Ic^1>>6+f)|PKI1#wEeSYxAR?&f_pRl&!5<pykq};nUl$rHXpxr=<nTwj4>N7
zEoLeI;ke*{;vx&1I-fZw)mI;Wop!UV_2gc=P*3KSVMqQxEn2+y+XesQJKXB)HcA=(
zX!>?K<oNNwyFz}vjajf^BEzeOE8%|*t>cQlnPItg{@QcXLcQMbPOy@bQvdNtuxIu0
zzn4#Ph-^u+IxDete%@BLpKGR;-Pkh!y|Bi|xb#gqTjp;+J-4UJ!8}E>t>f?cqkmt;
zs0y_Iy!rI_pZTv-8X_9ch+6-d9oBu|?2bG8Pih8F<=tJgNz7);{5bW7OD84gh%TC=
zb$>Z~>fXQGb+#19S?)NsdrjP=iyuOtXgM~#62GY>diBzuORXIedt@#rU7x6xdi+oQ
zPHWE@Q9{BD>~T|hm*3ttp<3PYdPVZT4-GHOA2&@|(zo&N&u538+|N0swuxc+t*H47
z>va~M)mbr7`b1Jb!`>rY6KgysPx^2A(_{A3XPY-N<kfAR@Op9p^VtCPNT&t!oLo7=
zu1(tiBk6>lxgbZ9Ul8+3?N!PDru>^Em8Y6fvtZLEr?LtE)w7h7k3XID)3lNyze)Z4
z%R5#7e)jK~_J8le3+H?O9DC5&cY>k0RXqEo!kX=?tUh`6&tcfcJz3|3<-fTMehh!U
zb(Xw2uhq}9_}1z<T_2e4zBw^5sZr(lNxfN9_`)}ySd>@Rk@18>;=tC3<j%qgu?&nY
zFAi^99b9#7!`eMFJGms&56qget=wjc-n|=4PdL1eyk65#d1!T$rgmLtY-2#oI)$q>
zokuo^$22|j;p8k%dbPeu<7BGI!pvO}ofF?&I4K_xxte`Pse5u@62m2n*|YwixWOv$
zk?qirhtc(R9UJcnHzl3D)cLEjqv-63OIe>B)LYD~1vMj^V|QOT{_OArX2F=-G2e6#
zu(y8RBY1t|<07Wye;4D=$xN_FIv0{POJO2M*rDAY7k#?%^XbQvJ7>QXsgL-0;E8M*
zV}n&I;|kS&;TeWk6Pb1{3f(i|uEW115zmL49;BY&J^3K}ly}6ejT2AGo)nqr$$UWQ
z`kIZ4o^4X;7v$K*^OHkx_JpK_hz~K+2YIZ{O$vM=TmOmc+P}l!<r*%_&)ClR`vm)=
zwRdzYA3WcduwGrtpl|lXNgw_k-J!bg!^4iw`H}C|%w0bJ^TY2w$Aj1Sl~?{`oO~ik
zG<wR8O_?IwIpQ}N{CLNG`u!!*jmJCZuJJ98<k0-YJn@2}#n1b>{PKJ5W(ji2|Kpzj
zzq?CQqUz6l+r!M)I^VrysqDS<OR;**_O7yfeGi{MWj);*CR+b@&)eC0pZ73Y+j8Gr
zFmXbc3j1w^kdu5bc`RSC=}l7Hopk)f^FIx9KPolppC}aBu39x!E3xL-(y1OcJ^LPO
zvHa<M=&!0_Qp<AR$YaUA$Gce5pUz3_e-=K;=f|0VwO81#>ITor{=efF>&;ZX)q8%e
zJ99Mo7thtKmjd>3-LF$LS@%D_BG-F5fNT2c^Qr+pxw^r}FNE%zySIFQ_t|ZQ)!w#V
zRzZDxe#Y!b`u^m1@b0uf$FKVDXWf6ILr?YC`Tu`*?pgW7sqE%Y@#}kjUOf>rA;W26
zx@9lV&Yf%a{Q7=N@c7-M=l`oX?JeK)+j7E`Z_^iuoIP^>f5i>PjW-yobQmX0En6ta
zsv8sLeeeQ%Ov;;>Ne!RU8u#Acvy)#+J%3kG+wS9+PX^W-PuNyB(X;t@j8}t3xMFb9
zjom*MJ&aLbv3+BsMb@<whG#o<BNkmTe|$KjGw}HF-r~6rTKgG01a0pLH@f&%d=uNK
zwtr&yjfwXoxMnGwZ9RSN+J4@ps@@y9gEzGmSnUze`+jV1-#n#!Z57+&M^47<5qS0I
zbmEl5p&klr8~oJv-`n4AK5d@rf2-85xg8>|QQz;iny+8GN9<haB+hOQ*`u9Hqbs(3
z%H4EzqTSSO+?6LIuLKBey)mizNlJstzOP;^ub!=CD`745ZkWV&yVR)R*S@byZMsrq
zefA23|C-csYu{JRTE4BiEVn=JyZ2Zw=43FdMojmyjt$ke%KLA={4P0j+0Lu8J9W-9
zf9&debiUlORP63)<EH%z>+a|(oIZb~aysAkUti_7M<1Q~Yq~-874h$0hL+QgPyU~3
z*12bio+O{?j)gNVF7JJNf6l?Yx=9aQPyQ~uF=^wCVEs8l6QedxvN_hH!+EUdUW=5w
zgQM8t?rArUc5VJKTiqr7lVoN6!mm;>pOPFZ58hsu6I8om@3#ksYJOOh9dJobp7cd|
z@14GnH+$|~n5!RlV@l!9&HpZ__cLByzGi|`!vE^M`}Uj=^PF(+rcKJfODi`7l+K9R
zd%(Cuj>mk*eL+Kq)xx{?g?(UAzHppv{nW21J(4L8<mQwmC(UHGEy~)puElOo(ydKN
z2cjqMJlw$aw`W3YgS`01ofncX7FAz6;kRa^V1Hx$$D=V*H$C4H6Mf{x+&BMP_DnRm
z{^v%*yqR%FPqx{K&Gt&3aP;IX(fP*{AFup#!KCYg^<81cISl6(bj&}N^j$x=`1d^F
zD{E7>Y;IS!I~kEaM?`BASIb<6{*66fZ5~a16w$tP)=uO7vnw}b%U234m^Dqb{#Az&
z*OC46KF>bEQQ2xZ*KRM*Mj^j7b3{L>GGuO*W9U4z`RJbQmxPZmc<;f?&Jn(eVfB>T
z+nXQjoV;}IRPnK?^N%>apTzWdj&SY1l#rEs*W3Nx_On=*^LFw64PS2_*=he%>hgS+
z=iiPvPTi4{%yNU*{PO+{Yq)o2aC%8GC;bb*9O)nSH13g>;Kq0HolHW3Uov+(%SSRO
z9C1$jy0iTJjtvEoJD%#yI^cBgg7xPE4j&i~{dP<2-t&pc{-&Je%8%!dIqaFeE1-Yp
z)`!<Fh=)dS+>mfT^eKrU^~0l<$AVoa9=|`}V7Py4d(7^Ke<r{1{x@6n(>2zHR#mIE
zom*HQJW1x6wf5s72Zfkvj4B4n3|o_St**PZp*>_lP^t00O@(X+LgQw1_%i%$+r24r
zqWtlLuFn=Q-S646VRP=D8?p0_xb(*C-*j?^`~Qh77CR2S-h5$_Is<3@g<EEgA0(Zt
z_HEpMLEh;-!{QH&Nt4b@^}pHp$7k<`e;+m`b6m*ib^jnJ8x}gZbM4z7>zR0y|G&|U
zy;gBg*J9D(gKaUF#CKavOfch^tjv9*^ixlg>Vd`AD*mqa*|RnL?FqZHt*Y-;GYZcz
zCGFW(`~1f3H}hG(s~aTmi#f(VE#ub||LK3W{=9W}lZEFo>C~xTwm*GR*{XW?)8sF+
zI#2f;-fqzwv1{kol&-rMxy~<`Jex_jf@deAZDi-?O)pJ_dK?c{7`##6k~(3&48yE7
zx<TrjH72<1o+>D4^w~6KTFRb%n;M^S|9{<Kp4=vVcN(+NuEU>?EK9p-9s8z5`qrn)
z^;5sbfB)pM@n^}SX<xTb^5EeJI`Qpu+ij7mQ=&8G@@qd`$$9i<#;hap`gU~(R3w{r
z@4vNvq1lh)s*^Y`P5gR4g+Y7Dyt7BuuXQuoPdlofe|^u4YY!~@|F!VkHQxEVZ`akB
z36-L&8}%M-d4KiO!O1rdT0U7=yjWUPtnsGB&47b98b982t?Sz})hmYM&t#7uCw$Lu
zO#gXc%f?wr+DfU+KR6g9H$=T)suMiX*8Y!!Z_d7Ht3J)y>9J0vv|BS!O=kBjQT+=}
zagBHT-yaaVZ+Jv3?iBN%2DcwK78Ev%e4DX;GIPfSn>{o6AFon=9P_e&b7RS|--k+-
z7&-TE-1&H0d(p1QPlAG%PUaPHuG)OI?)<#ddrwTgvF88BlMLxcoo4>rpjw;!?Lv9&
znu~89ZFG~JJ$v(ErK2zRSv<5q$;dw0>A{<<O+rcy7t~nTcQ<e<G2PhTw{Y{GqTNp-
zPVd`kbF<{!f<t@qzn&C2vwwHxmLv1a_ZD~x-;!Wi!?pdc*So#Nla+F&9{C=#r*J7R
z=iC);XT0y`J>Prr4!>ySf+^dLkN^9wzk5&a6K%cyE5EJyopw34UPY2yVDjrr%b)El
zo}}e5FSy*Y|JL)5RfYTVqj-CDe!kn&tHRhQ9Q^*@_bZbmpRJg&^}$pBCbM~aRzBIl
zv*(Z1UG}@1Hk6!=ja$l^8?8D!Z2GCy)AYpmbnm{z`d?qMaiwUj*yecNtN-)%<bP$m
z?(GyH(_OE=XkPu6>}zuymtWI&)equ1z5np>q!l@<JDh)Y#nkKU6^-1T_-CPm+K)@;
z_e`nWYw_k|*~x`_XK&9>F}GU1<x=^*y{?<sqo)Nuop~`{XHT;yQ>@khxG6VR>+ad<
z8DYe-_q$PK^XlnhJF@1o#F{<YGwo*h?W0$2PrX^E&(`*P<9VeAFMl_&B_BQE%iFEC
zr}y>NOTpf;jL||VZ8n>>T#Bz-6XUrefBv4s%U2!!&^YbU)O9x+r-<H+T-U%Rf6wcM
z<%jz*I}V)qFmL0fT>>c|mQ7s0g6A-6hxP0|-6ubI|5)JgafxPoN74f4|DO-A+5c<2
z+t1jstn}l>jn6LBot^M&-wDx|``c^Ovp1&iPVBsXbYErZ^S!Gr{r9$>Zc^D_`gD@d
z*<DWR-0J7|ZC7iV_PTf9<b7MyPpa`;Tsd9IJ8brISMJVxYsHq|I->q|&-O^xy<1Zn
zb@r^D-k~&6YKLjinkmX5^R{h?zG1O$pW2-6v^^`A-)~`6Qk;5v&+(~7olgYQrY6k&
z({0@$wIF#<@zS`E9h-P&U6rra<=S(4&&jE5cXy?7yxz7(^JlZvU!R>(^P;BhUwvz5
zbiIty4K~Kz6HX~x22YX@iv4L}m3rh=1LK5{cOSV|F6(Dvj`%U*&I!3$*EX(b5|6nZ
zF1vo$yr2J8t&A2Cp0?+(cB$OS{re9u4O2T9J=N%En90HDsRk>j?>=-&_s$-d)Tt)V
zw|&v=UaMBV@}#t3?}WLlHfry=tFLnT#Mv7jktZ&%<~#T~X6I=oMwJh-4F8k%oX$D*
zNiab``1hliN(Vdk@2pK*Bbk1_XZfT(uQ+lu|LvK=GjHF7>ff91J-VeO+QAs};FOn!
zm373O?kVEk4e=cpT^UxI&0TZt+J|Q<o?@3T%6;0gY9r&F<ObHdYmdZ__k8l6ws*DN
z$0pUk`&Vx{H0|ofg`YpZ*th1*&bQ{PAF#MxU}9HM6_}k7u)ke0ZFkF#n6<AqEl9mF
zOJ>gtzP|k%m!F<+GCShmr@b4kQez%`aXi1LEcn{j@4F@oG4_Ah`{~1l?mw=+3bph0
z*Q|ZeRe7*?GLz??8uhU1j@*wQf2uKwo9~aB6Um_eY|dN1oH;Lfcc(I*KGuJH4gbGC
zTla{x<a~>HX0Uxv&%e;HXDzGCkFi|)a!YULoxCIEYkU9Ip0s#+y!`Fni95frK0WOa
zeWd*D6sfgdM)99iW53+G*6DCRY0t#vzl--4-TyT6Q)3qwPk#Tu%0?kqrN^6;m2a)I
z_j{BZxLj=KWY388C+5U^|C=5B=B%aiMgF4PsEj?Ow^uSN&r>KlCi<{!!iCibn39&x
zXr8sF$U7!OY%9ACW6a(uolI4XFK!%foLVimXG$cC@ZKp^_6JfwNgmigWsk+FHJx+2
z_LOOB@8FR;q>;Sy<jP9f)hihe|C+`SFSqcC_`a%$%7kY>zMtJ&efQr*^Eo>+CNbOB
zF&=#F%gFm~PhBd5dgr|BKUikC#O$e0KQPhO{&-g1?YqZ2wN^#!lK$9y_5>5_-l;+V
zJC!(-)_?xm^PqcA$?J1{fA8rlJx<@8vGnI%uMV4gci!ulZ^`)fl3`<CS<*?lzbPFB
zYsGrrYg{y#{8@YrKW9wr{6i{h8Df7=VtTqcWAl23>bt5H60etiyr#Oq=l|Nzsi(L%
zAMsIARPR14U9sZCu8K8>*yb;=*}Uohyu;Dq6DtnwX*fOeq}`{P!5?-hMSb7Ar};v`
z_cxykDsF7q_%#2N+wzM{1;_Sv?X{aU)8v10-pbpyyI(BdnEdl{7qjV{UCrC>8!uTN
z_HEBQo&6R4Nldpl{&|?C-0(5K@9wmHWyOaAe#A0-+pQV7f$d21&%ZN&u>DWUF62t!
zeH43c<Kc$eNf$mI?V9`FvtyORp`z7}JEH6w&i~BZvFgLwg{E!E|5={z>3emO<?^if
z`cEClw=j8EB&F_Lv*siZSIqkfR?RW%Jfz+%T<~*u^%F*|L%la*AN1AiDZke&F=uzg
zgMjkQNB;9AZ)4b3e(xf0`i!uz7B3tA{0#iNr(DSBJNxc)-f253CiC=tJp86Zp?{D6
z@41^E?(qM7G4q6Q$+A0fcB@?H?^?9t+t)q6<~`qXVe<_p>vJ#tcbr!i5qUjr*W0}_
ztt+JhIv2~%-Ze`qF5<%qnc_y*e>0L~Hn_0N-?4W?nfdOOJSVIk?#+xmx?c14evkb^
z2V?fistWCm-`7<XQNB-M>Pe@Z8>`ALa~<C&H+4_g?Tv;vCrvoIPh#pxgC{5EZH>{|
zzF&c5_B3JTpRcyCb?%j!EX2O$#Os>v=k~JPtl5`1RdD5=r6*6{Kh3jyPh$CUzN^3Q
z?orq!*PU&tl)g{lF3(PVtDW}WYvwmFZ9F<RcW+X4^48WbDbJ@n#;jug_%%QHw9~|0
z<`G{DJcEkV_L*g`xaGEBA#>ufebetAS~rDlZ`Mur{TH(KoO=K0&Vlf04@&n;+xU<v
ze-iU^4r#N8Z=Qv1yQ8>q$qnn$PpoGj8zk>Bdv|W*yt-o@wXYxkJGrmm2uqx0NB_0S
zhlLI%-Pw~=t{YWk7qFANz2ksR%)aFF8yR<1Y~k4aa!S%3J2jN~e^v&D|Gzo77#J8h
z85kHCE;DF$Ulf@gZ~y2xgSqGaROgt}4DNr_9&~i=OgnpE>H3olp)K=2#%#FW*Hw4*
z$@IKAs#jmF*>GMxLE-WC5Bq*S-_%?G>{Lk#2lt*|$6riQfAPAKIkxlt$9bxG+xPww
z-~H;uqsz|cyXLR@@ps}=qlND`ajfX+)qm2KWzg;1b8_mw+xzDn{PJ&leBH@7nQyQ6
ze2GurYdDeDeTwnsqsqzqzpOV}ojXbSmKKx1#t@d+lRAec`X?R#F@HLLH0%CVx_c|G
z9c9ouc{@>J_8!lYd%Jm$?{yDxo12?I`Cs4KFp(s+t+!9_P1McEKEKa7lW*Big}(X9
zb5Cz+t=L!Nr|`vX%3}u3dvmp(do|shx1~tGQjz@}@AIo{cXb)k{r8-mzdxb!tJuWT
zay9$E#6O+DA#SGhW5KuEyS}6-ww!X>Jd5cg<A<uBo$Sesua2DEnUK6^*T+2xUv}~R
zj~BZ6?+E*<$%`h+ZeMq5Z`I}fHZ$%$F#I*~9JAzsGynheHa*>EtoU=&Q~y22s%zBk
zUthg;V1uuuXxSG&WkD&$xSx~sKEC{X^Wn1_e-};XVA)~&FlqhE8OJp@T;pIA*N8cH
zwL|)V@2;O`E}3T^WnOdbL#h1x-xqfLILb6T_VzUKlM;=7QG4`i>ZV#wWLUkT>Ep{M
z6?<b>rRe{?$o4nokm2o;vkOkEDVS$>W^c-O-=t#wB<V!g&XA3>wCvs_Pw?(~SdzSF
z#pOL60_qBxt6%0N?@jVN*c$hNYx7jb$Q}6+ReO>rG09HOZvA^DV9(Brm3vZNJz=Qq
ze3QIq=VI9>NoyI}_uO0l`pG5LJ*$^bGH~7ZK(z8w<UWljOuU^2rpI4aE}foae{FVW
zia~8(&DoFoR%Lr0PGfT4ua&O9a&Fk3N58x{0_W{@m|T+9C2@Do%zOsz6Wm;D_d0%7
zWteQe=TK}XhhmJ0`J9i3HP5Fg9^JzAc|LF5Un42={Q{-?SIz(aMB8!i!R5CaSl4f0
zIq>ySf6sbJo-H+T%pFTUU&vXkay+H*{izFQ`+MY>{>AJ#v}TiD($>Pi&%Q0VY@glP
zyC$mP_(8^)nY#|jef#+1-jWmUk6zl=Kk>ctWaIJvzIoI9YEM*JJy{U;KXR{A3Jd>U
zr=M#dh|KnSwr1Ttx9icD=k0NnWZiIL9`_!{Jtz8-4#=4HpSo}`>g$cr2U|AoaygN}
zyZcao$FlE#E*{x1ZKvhDnY`Z<V@^&oEqgOzg@^9yD{F6w?QjtLvV-gNe)~6mn`Gv9
z+!9}Z;$iYm?-SQ8!cNDs-Al5MWaNIJWS_h<yr+9@?M1bD`)&Wu<2^rjkK@z#(>JWz
z!*lb-uDWS^9N+ow=e>J-Q^=Zah5h>v%wOGV(9IaLf8pFcQ~!CFZ(=yK@5Hoye(z5#
zx7f2|Q6J-vH8-~XJdrk$lWEf5(9b&-DK{I(@A130Zqm(Ni~E?YYxV@FG6iezTQZ%q
zLuXfL+>9XKSGIMB9&hfcxVDjL?~+S%AIfZ8IdRsW#q-}B7n|3XeSAW!bw^BkkjTp2
z3*|WlR(Sd`#)R$Ox$ud=wv!ts&Ma<oi%*O>pnJWd?Qx>{{}-Pg?@>9q<$cahm5D;1
zUtHvkW%zU}$ZFclYOy7|t@|saAD79uTovwIu_;pLQJ0YT!GPDX|20=m&KBFJzELVz
z`$koL&*%LmCvW)&JT~j%=zo;j{d?oVcYWWMg@4|s{>3QLa`ok~3(Jo;e3jhE`TEqA
zW4v4b?`i7)yy0D6%&$#*JWfP4Uk;dmahvG;iE$Sd|K4ES{nA%KGpw`KX68J*MxXa{
z{eDhJR#9-C#E`$G=G*M~pJ%M-cp~?7fnlifxoE@Jk6$P$6ld={c2;<0M*b(I=@)C2
zZ&aL=TGbKP9=$HL&nMk~+yD6*raJp=rfvH_IpO-(bFoHS9&Oc_{AbGTsJkZ(7H|6#
zU;Amb)0V$FML*B)m6}?x`p>?+t0yaj_jX24x*g>ZzhCmX7u)Z<pN!o0JXpea`S(@1
z?(I$tHe3F_l<UpD8S#35-tTEMpDn(yvGJtZ!lLv0B%}8-tl68iRVG7c(~C{)(VyOH
zZ;E=bUvdw7VpN8L*vVhnyG)EO|6gygie>*ap@JzdukZe}*XPIS9p?G_@^bftdva+{
z+atMVZ%1YKl+SxJu3zFf!yf-h#$oEqx~(hZ;t%r2OnLb__LG>yx!rm9ro3ERzOVX`
zSIp<X4B_8is3di;c)T=RUBQ2E(#!Mv^P;9!bgkK=GW*vg^P9U|KEAQf-j}<nV)e(D
zkq=mpRBWBFU+VKDuCB!Powt54uF#af8NKw=${)$sShv3UFq4mEPm<opi~V2UT+e?0
zNA>Ep6KjjNy}$Z)U-H^Nd&Bom;MLh9^K@_6`e_sW_aE52H+-8-^b?`pg{hN;=I=}1
z?!~(Q`X<)s&bf=&_V%{aZ+Z86&%WflmNSyF_jr_V;yBWqT`aaK^ZXw3sp3UX1gy5s
z(Y<*$Wl!Di={EUVu_*#;dUBp#VSBuuZ|Cm03s(0vPMy^FfaC6(HT~ar_H5g;KRNVA
z%;{C}XIDt&$9<Ta%>1M6`wfvFZT4|IKibaUaHzi4VDx#a?&iI*+wJc1ebm+bvHD)a
z^;LYIQw@CjvzC96U3qohe%+{PB5GGBu75H2+14Xo#<|n>m}pfW$~d>F@8GTVKVLG~
zY`K^ENcPVXv6Bubw%t{Byz+hfv$6~GbT;0NTa`Fj;s0)-*fkSbj$PUE@@&$coO^4o
z?$4RazJ1LDi64Jw+W&vbKWQJ2`pc*<K1Xc!^XW2JW-n~K_Wjq{*3|4hjGtaUS}Y~|
z@A}@TeH+cq8yHrtTD6La;s0OpQw$8t4l*z>fLaF(3{ebgV<KYsV;ERs7-NKD|JSg@
z@G@{ch>>E5WoV3fpTro$@Gth?mt=;v7$s!}F;*t24(=F32KK`Y(j1Ho^885+;z^t_
zFJsIZq!|Qbm|}!um}WAFswT0-Ft|ddj*}QTlK(vlQx}l!V2XLipl`!q?7|ps!eGsi
zmc*E}DoKzb#y5sDX>Uw`OVT=q<}8M80r8|J#@JW}hL)K3vHw0NGce4KVN7CA;$mbf
zVoy>?l4M8{OcG7vNP5r66rTL=agr@V(uX9|r1Y58f(#Y{3}HTk3{&<pu=_K_tchVt
z`k$1;l*IHXNhqdaBEu3+24RM)4DCtpWBw;GF)?N*|ND^4!LTTXK_Z4-kip;^gPpu0
zqeYAagB~N(^`x6g!ZA%UOa-z3E^+9(F#fe-VRw1U!fwRE-;%;Cp0tALE(asSKE{|P
zHWuMsF##;H9V;1Zb66Y|cw)qvy&01K*Tyi$GPDb_M8088jBWY%fklpC9)oWOCqp0y
zLuiLQ1G5A}vx0CEBM+C*TYfGEc}7kSUyh|o-kh9#jQmM{E-@=Oj{f6RbBJL{ib~?x
zFA)2`j^PIjL(IRVZ^;auNgVH!|8W#8h~eOl`NzPl5aV$#=?5n-$3zFIB+;0cESUkZ
z|CxEub~A``a5Qo3;kd|{B*^$Uk3o}9AVxfik>z$LCxfK`ckI8QPYgmV=e@bFF(g*;
zUQ=4Zb*+GTzfukV<!+Y!PV*S{b0%>fcTi0FA0s8e)FL6I6Z?;2wF|>eYc5%rcU;QG
zOsp=cT=FZqH!3mQX6Mou+ReFEAYhpw$IAjHUEWU4m5isWIG1~LGYK;VPl)~Zkx@Zt
zoj?p1N00(XjARURY4Sf+-swz{3eicNNxTB5JS80kPH~h@l2(;0l~;9&iQ^9Kh~Z&i
zmtf#-;qs4R=kXL`x|byNPKGNfb}MVL;C2_LvzBa}D$f`aC8sJ~V3v*%I^8L7pE1{)
zMVLj<ds$4JCp)izx4>N`305v<Q-P!}F-k0)j1yuwVwi=ma+#|rCQ5TQy=GO6{r8@g
z%Y}LGYk|EgA7xLl?42aR`B?G|zoOCymW`T9?!1bD0@*Qqiry^A(tACUqPbLA(p9<M
zdkSkZtl(($64m5npTr<6q%NWBqR1~MqUbI8k-<1hM4Ef?B|&bsr*ky~Uc|6Ic4gXZ
z#m&v6andyDWD<*MjMBpx{}`qxT*?wLK`~6L)wZvQNsbZv&7!Y-mARASwIKT!jzA7s
zu29ybNvs-ejKU0x4oTuM*EHH(3^ejT2naJUCja{%%kWlEVvDlGju$F1auWL(d6hUM
zj<GRwH!4WS{EuPc*XQ`8c`s?TOH!QBMR8GK_mASj9Wkp|E=My6t0+vAvJMhskQbAD
z&7LkIBK)G0>#eZxMFDRQ69IpXUL}S`A30{F<qZ9?a)L^%0);#R%A8WF3@bTeF0m^6
zifIRamJ_@pCM(F1^pa!el^6xnB#9V*%jiyNVT(&&I7A)flbDkfE;Dhge4nHqqx8bU
z?LEg<29B8b$^X8@{AX0QeV=3-^J*fGqXLH~gR2UsD+4D}j711Xl2Xhf4vP+P*<MxV
zyR45nlEh*(qGOJ6#4@z9OC&LR#4@xo_)Gm~(y;#bI7XF~&FpT{j|(w&F}qkqCnPgW
z71U*QV`1o@6LUo%#w<piDPpmwz*6OE=1><V0~RKOtqw9VpOXGCRWX>y{x6RCAG?KN
zB1?yZn*vkJD#mU`rhew6up}m-7z1|p)TBnvrHrxv<C*q%m<#O4W#Uv(d8A~b^o7A>
zu7X{MXi@|N=U0Pz4M~<1B_<^{MrkHT4!7w^xeSU9j4`ugf;g(06g3!Qo-^I*_~39<
z$)U}KS(sJAjpe<<j_)z^CfYDLXb4yyP+?(Kh-13Vko_acE=g$@Lou_}B?il+D@n#l
z%&{sASJ|7aqCFWZ8N@l9SeP81FePy_C2`zJGEDj;5c4NyDr0}L3d0r#2?<p}E?zbd
zvtUOCjh``VxK}d7Y>r_}W?1IPaFi+LBZH8@w3s4~$Q_)_9~n5`Bu%<5`G}QS;g^DW
z(iew}N#{I+*d;YmJbW0!9QBk0>lht4l$Zp%7#VFHeMIgh*)!-1uq3@_R!{1fl;ooj
zu%0E>Eh&j%ipT<1K}Ufk`J_b#4}2!Y1oW^;YW(s@^59cAvV=F_G>^3lgEe!2`6Pz+
z09%1?E<zt;1FRhwV{DRMY3y*4*q5YWAf)22kfwOXDaONuF~%ZCiDNO7q?Mz9#HS=x
zj-NtGOE^?G6_Pk&|2=f<<g}|X2znW#U>c(svq_@O<4i1rfC|GFhm}chV+y&}sC+gk
zR+!F`6w6S+mGrNZfmM;8FM_dyQNvl5;X%iB21$K`nI7*rOE@(IlK=hX=wMV5W-w%r
zm^?|y>7k3ZQlo-(%x8uir*!T7q!kR2EU_%yY)QN-F<%`1D*jbs6v$$YSj(t8nK9;V
z?7vQiKA#IojtgBRJzq#kyBJF;UQ(!Rvi#4O<Qoyyu|uJ~kVB;7twwvPfhGfI3~!P|
z(j+wnVT)rL8x>+1-bd|ibw3;PiE+LQ<If}qYn7zHos3@vEp_<}q!~S(k2CbLc29CR
z%5c=v&qXshjdOyM(Bx>3(21V)`~kim#w`N#|9#@)bkXXyV$N0)I=Iq6SCH`{yMP%F
zr+}3vD`Sk3q=*ZPkdJ4?Ux5&*k3wAY1??Ccg%8ABk5SW@uVQ#z;=)7+?Uw@E81z+w
zoE)qinM9Hqr4z1mFeS6JC%y5M-oU6Uz~*vNfa_vXVw2!6_n5~_+$;h)F}g90j)4bb
z85VLxD7;Kc<JjV8xGp*71jjxXLyki~8NM_ny0Io5x3KE4<GAuhD!L_MUW}9Snh8m4
z5r?eOR2UCQ1vd#sTsfHJ%)qa}9P^sx1;e!D|Itaz$qY@a6bv0>6c{QL#A9Y>EMSsh
zVD?J7oW!6O`|o8e!}261Hi7q<$^V{rBr`mdmj2Hn;}ByL^O1XxP{t}jxfoA@INk#Z
zo314B9Z*WxoWylN(7`5-YnMc(r~>b<i3$bQ%;`ckDhVbYe>??yICe4Qt8g=kTJD;}
z7-8gjC(CaMgQ&<M@kl4eP7PtlNvZ7BVvN4YD*rx;STIDZvOZ?YUKP#yR4h0zM#z%&
zrOcA$o*aQv8<?I>;y%ytk%3p?ON<Q1R8OVDoTVHOCkfB<%!=`wBz25A#zpB$Qly&_
ztLZb2^%E6uUKHY$xTeCu?zm)<k3@*v1%YN4*$YzA7bbb{DJYY@GVg<^_!7qbJo-x*
zW5q<L3H}fjT_!0eI*BP&SX6~a-}BrgMu#@VHNuihgakz-{TiF1L?oMPI%4)#Oj^NF
z>rtS%uY+YmGDD9+w+4@jfU3qJK^fj4j`=pTVpzTkF#PQBVfnd-gVn>I<6-d(m3$6i
zE@n>#Uyf^yyIkry?!8lb>=Dbrn4>vaMV{l6L4s%bdk!xiIdArPjvHC>nCI0cGYEA#
z8YvXVx-iUl_{b@7MMY9(lY@{WM;~L174r%q9wWv(jGY0dn)khV+*B;zYra?7B~<1Y
zv(~X$_J53!ke&*o#T%ztDoH$smVygdV%k|GnPMs@id=Xj(%|`2g&{NQdnZ$DrK+#v
zOpmik+}hDDf((&NUF9x<2AuC5JCxe?-q*G5H0Wh6H)38pAtpt^iGPiY<H8)nY=@YJ
zWQLB3D<(-=cO235@8GVf5@w3>;NX_9es0CTcj9E-Y|g!fw^%0VGsZM<N=)ZCkW|gd
zA=GFvJ!VpRgc0K##x@p?W6A%0IyrPb8qQaIa1e|UjbSbpNd9*z!jG}j>#k#T8si~>
zB;U@iq>g}?bsPy<g|{ZeG|h;Y`lVvs-}#qmA%`eu3}>v<e}UK-X5|%4$+^}sQj7{7
zHx#uwUodeL|N9YRIZ>lc(BYe-e}|CA3#N>Imx-r28F~c1Bt3CBp}-OQU(>ramVx7C
zj9^fWV2sjjqxR1MMM8{;+}-8>CS5c<EODh{v5UklbEYgM3;UQE5uSqL8NX))O=98{
zye@cqipc2>dk;pg%N%!w7=Q89J@QnzBWc6BQYm6#65~oC?uLakF9>^DsWP~C|L*wr
zh|kJG!_0%1@zvzhLJYeFCw}vscGPh*<K9Wk>@y`D{9Tyz<(N#H43{t_iL$h-%v!0_
zA!H$OhPD5fCWAIhzv`665GO{BJx^mSlFm((5jT^TUm)+XjqxVKU6)%74;2}nP70_o
zIK{}Rl2MwVHgRE{5JP9DqKe2Ynbl4#jFvIK8Js65#mGpoGg_($X7C74oWsf@=(3_)
zfjdSo;y{xUhq_eK_kc$oKbST$t`u|O_{h0ZOo%m{OV_%SHIl`>i1%s>V|S7s!$gml
z8RwmDDlwcEXPN97@yOy6%VZ&TONPJiy^>fOS#=kwFj}!>PZPc@bW?#dNl)nEq!)~Z
z9G0qUzp&<da>TP-pU<(-WzOgSY*(iW6~3@!x$Y{p_Kl9a3J2qDsr|d2v)vWbu1;Dd
z#<RUXP-L<>?{03Bz(+9+W=Us~ERvLDE^@4txyg~F<RGz@xk~4yXBFR7Z@KRKx{CTM
zO;gqKrx{r4xvmp7>bBS`+ie-HVqxl#Iw>hg)xuFHkcENsd5ocFfmmUiso~@gjvuY$
zFC_K2X$UbEWZ&w{v|(q})%418yETznznh`jWpM~=v{TFcm@c#LQEbuPCnlLlIjJ~U
zEXg>_k{GSLXA$%M4%YqE6S-zudNZ@VS8=|_u|?rol10op7G6%5HygqjlY~C;GIQ&G
zoLF#<kMl9Np+_fIZ{>F<k8Mf3JmHgAjx%ZZKJw@aNvU2f#PN^8g-4gCoh8y!^DLu1
zb9_h}YrGOuqE&;FhGh&NYoa1&f6Q|xIVLkE6@{erNeY%p$6`D`7&`H9`5x27pr&Fo
zVQB!bC-ZTJxeWc2CN_lC@lICzB;F{<dh!K_;f09|`4$cmvrRZYPdOjcIgz2wX2w#6
zxhjk+nUjUuy>7e+h!JG`d!a#G!X@S#tKkHO9V*|Mf3vY_h$Y$V4`+#)ykin$(p}FN
zJEJa7T&ol<IOj&^B$*xBEgD>kEE}$7##l^<IUdXKBZwuofhlHsOi^Sg3v+Jle=kQ4
z<G`5v9F`8cG2SuDGZsupiJ6qJv9O7QWrMGJj8i1*jHJsuHcyItcVhK~<qcjj9WlX4
zK?;mXr*|x45sz6R|0tQk?PDYJ@@-0wmOPrUvPsXPMkZ;NMGMDqf!y;kO(7g>pCoAr
zBzOD>c=l_;MFp)SZmtO`vHz=TJ&KfGayXcCxOgbWtmiP~IH;0zMU}(PCFwKAEk4dq
zO2QuPF&u)0hh8vzzu3u@TzKIN_cIlNbpjVW1&o>Xh1e!B*lm2vd6a{f%`2%x@xjbb
zN$i?}E-6+nIWH$BNF56h;5^xJ&Z!}op^f9QQ<p@N($9!3KN+WaGV%*2Fs@bk$nG!Y
z<H@k&;U%WZju=L<jwBZKFqVr#k0)_*GkR9cOIs$V;l*k$*bu}a!4j|PV<%+E{g}h&
z*+c=u^Gv)9*(wa|4I4Z;GB#*QYbkL$f8Z>i$g(Mh_4LeE7lzX@%B)73D;h3MDqP8G
zrRlbWW6htgR;IHtJkl*H8n>7Y|FT3*ik>XDitB$0@8Z@=DhljO;-12h?9*BHU-f2f
zHP|zWud$Lz>V``~(NhHnCC>J<GR>V#XBmVg4zQ?P;unuU^n1r#rKFxn&ur#|%>wUZ
zxHJB~niNyu$#KOxoFh3y(Kcx*o4$;VOCu*&LA?v(j;~4`8<$9NC9;@KmZ<IcIJw~q
zTj~?Z{?_I%Ea_2v%OnnRaK;oaWQ=iop>UKbhBbdK+tppIHZqJc&Cwye&Zp%4dR}<`
zFl2bRi0S8ZmdC%BO1|TL9j?c6uB)k|dL{2pEl+`;%^&%;s_JlXO6J&wCOw*z^sU~)
zV@CH822ZvbMjp(Hh1MP{F(>a|H2uYUUCUD`yIYv=rmBPDOY?hj{p*%^+_dFVNn8}t
zCB$K<&&2&)D5=PJhx&K-6>dKQc$E&Ej#(LA#I+@R?n!Tli55XZCr_<d8q@b<(J!H0
z^$JW$Ulx2WU&G0h)IB5i$$yrB+_jl1PH%QZdkDw;VlVxDGbmv4`PV}Jyk}+#P88bv
zbJNX}jWR1w{uO+&f^oxZMV6R{Oud>h4-PU3YQ$V!5pqx==F#Fp#u(|OCoz56D-^HI
zxXt`N>1zzbo7n$Cv5bsKhu&DNjQ!v3xxy#Qg=zgC*7fgKR2>QKN?wwf$*XVlhg-j+
zeNtrDi)jq~7TgnF2yrsZ+!(E)7qdp*@zll}F$N!+7@%8VC$D|ddaRK#Nz!3)0b|Tc
zgSN)st9c$j(P>?<)`D?G#_^5|Q7h#I*D~zhE9fEO$8dP1-~rKlJG{S2a{SzoGV#)0
zzSAcz%rW?1J)zZtC1yjOM>0dB2dCrJBmYjFN}dq5V{1Y2f+u?0@&sZ$V=nkGG8HC>
zf0*N|B6#8s7c1xMEgma&B?$$za4{TC63S{3yXT#3^#7qq$0nDSW`h`&ZlMb&njMpm
zFwF3H$G)vLr9W{}N=w*_vPBPyI7GL&sl+^FJ$d-##Sq5jv+pW0#yn)~e7K8o_sUIG
z#oN97c5ySu%fxQ*VNza^Eg@#U#s9zh4gqF`qT~m?TNdoSCDpU}X2aXboaQ?iggLa=
zNCw>5aP{~O&4!7#hV5&fr7R5iytVRH!?BYbKlW*-%!%sY4~p;>kZ`%UU{6q*i=Tkn
z@@qCHSYia6SBrKq-s8L!YVa*~L-Ps7n1C-^0#Ce5+16%~ym)&k>p7kU4oOLQHy<Z&
z*qFlcZ0ov=`RwOAWtK%9jFDMpw#HI0X2YVGlRO6m-fRj`h}kXht@A^<z@KHiA`G_%
zImZ64Qaw2#mZ3W;ZENVvIUntOwoE=L`)0>VP1cJ_D-vo8m@gXDa4y`s{oKsft(9wd
zr%#T0v*cuCr}naCCsSqP7XO_gx%{uHF_XkUB}+EWX9_$<CJF-E(^_MeDVX$3ILhXj
z(yOy2ebxTnmYa&FeYU30J@|>I^C|b?2A)sb(&x++nd}&|Fu0L9DcpnQ#Jd=w=AH?R
zK@Qu}8!clpnFUllU0F3!lD>LaZ%*fk^l<%{q%bR{IOcl<^W-gAJMK?*zCAlYk#U2m
z^VY0Nm%RnoVpuy~^eFWnpD?v>qMMB1f`!b69v@k^XZ6SI);Ogy>Bs{Awkw;nuCbmy
zvnBJ~jj%=KGqz-QPIoli8o(lRlaZ0rt7(IO(oesb&P$xK$F`(T@R_+aU4`)@!+-Y$
zcdnn@CdA5Yu*`g7#DC5cEUN`4uS@WH`Xq4nMS(dh)dH5E*_LL+!MVVRZPCd|F&QB-
z>scZ<Z%@5&mVqPbK#Y5m$Dx=|%L{C}=O)hRP)|&fnc>c`H;Fg-zotqo!$Jm@?wL%X
zTeE(hJ8`g6@l@jWY{7sdX)`@GY{}kz{%G*jt=T{4To61GdV5P&joz`)%Ug0CV^+pA
zSsP_Y?W&k$z}#S-aIGa_UQ)qC(Vne&85a~{8G5o$s2{oa;&kDe-k_zoEe}075tEwK
zSh*qOL5$C*O%{wdUKUnA&fl!2QrlrRQ%0|TONC@%`bo*nTm0YrDBM~<N#X{>ri{}v
z2Uug2_I!|KES&o!DKF#hmTH6A*bTu(6|P$<edcWqnN($1z`2jr_~1vS0!jW^Om5yk
zIhZ)-_#Ke>=regHpH&0TuP|}WpBt=#n3CKox3yY)H|omSl;igD!iN)V7j0r0inrRc
zt+HZ_neaOH-}m45w(|IFo$vNxX8$eE4ik<=MoepG%BbY@JnOqv*x(zx!ROd&zbzAV
z_}Guc2;X-3_wr_kchp-J*Pd-vJbSv<Ry~!--cTplJ*$y>e+*N#Z&}jRm~_RCNwEww
zCCaz>^(37L|8w%@&P>CY3-V5!mt%ZqxE;GF{^|aNn3*vXw(-1K)~J5tk>}mxr@k)U
z8ffUX^1DpT=Ab0M7puYo%qJu>+?;H7^LydS&~qyu@3^>QdyvW^OF<Vtkq@$rNlK4b
zE{>UTdy?jZRSOt4hc$~P-L81jp%W1KdG=WqNzDci%YauqnmW06{pPdYaiOq_QK(B}
z<<H-pU1D39V>Yn!G|q^5zGu&eZx)QFzCGXVI^+8ho+%HvH|qXKdA@Pu_Lxbl6FH9c
z+)!bzRcU%Oxu!^viF;ev-mVrE85Mrp>svx5NzCbHVS>*8Lq_#k6=3`S!TicOo3cBb
zC-X5!oSyTeiHA96tC&Ud{|)*lL+5sQY%QGIVXJ(5MWXtK><xkwJ|!u}L>g}lowLJy
zOLEWQ3EO0?Erqleu2SD-&ZT{QOQ_|>nw?wDZVB>ATJJYcYNArn2KlYQQ(1HKK5~9e
zYLQs@zliN>-A<wGt-=1RclUEU&e?9x_V8CJ-}dbylkaQ^p2~MMYk3EUT=)9PO8IB9
zF018lmFs;s{ek`V;MXh;C#8~}p9~aop0N0r#D-AiOY2>u+>%yLbX+^hOVD{w^raNH
zJ(Jc4Bz3zqd$Z_2cynx1=*7D;)~sA2Aj%%8s<kTc;^Z}bOwmsQ_ubplvn6cF4#$`t
zmPBuBJLai=3tCuG)nC+oW!>*-@`TIV@2?=sK_jNaTf?6IuvUp`2v$g5eQ1OBgd{$`
zc!rZbTf%;%PX7FSnb&1jO(QPtEn$i=JeSKlIb(tw+PDm_u*7@vOk_(uEoi+pET%PS
zLc{Edf9Ei>pV<-`-LQMcy=@`q9|<H(OS&1LQh1Ijzasg+SHl&H`wM(JUp{%skkY`^
zJTd9lredEhA?F@cYzgLI;Ot0JUU@Fj_xQ>8A7ADCY~Xm1{O@6le0S3JfR*`^Vx+$+
z-{=rE-r{f8a6-L1Un@^}LkgSvPN^mRGkP{|-6HZhY3H9UEQXp!S6CvwRTz}!`owJW
zIrrlJO>0jEX}tosjdNJ`*PhG?e0lDq)Ta$PGq?I0?-ZY;blZ+)XT9Nef7Jr5;B~)m
z@yzM?BeBiTu+cB(ve>gF3@O};w)<aUPrb#rVuI0(ExtUTq8Kl4%iG18pK9g$vA}2R
z&R;B7r`rVb?CP0nv(@Wnw`20;1fOk1uUQ`F?c`X!=Wht#&iyh~KHGhs_w3j>A=%T5
zTSmL|mDuiUeAn+5DMU|CFxuL=CdWvj{D5$g?8FHb%eEDzC@emDFi6+4-aG27Eyv8Q
zKG$W+PdYiTIx=Hxc=^&|>pOzWlD0)pU;Tx>)MtCR_og`cyG2azFI_S6=Gh+apR~~|
zDN*uX!^#QVNjq;Rs!QD6n3sOzd*hdfj0@%@vUhAU+>*hOByC)t^w1_ryKi2_<)ohG
zo`!2o#x*lGeRz}nziZXUm<19B|F)FpBu`i;x3cf>!|j4Qm?r#T*nO1Y1SiAsna;{b
z8Qg@g{N{_#-%`w%BzKZ!@uk8AP807t*0P<CxV`q=gd`~z^>u$3W3DC{blQYpE&RCP
zs4KJ3hh&B~^H)CIZ1GsCqpXo7Dfyha;g*iX81D%`nPVB&#q{l4(B}7}%z!0kOH1X}
ziZ~N*n?Kttb64**++q2MbM^CW)i*b7WMGVOj=3<Ag;PaHdEq~H##ohq59*cg$Dgd4
z5%z0aXiL(HRS%DE;Huc(x~no~W{J(#mbu!cI-Aa(TWPaxyOMCeP|_{`mpw6`awI%g
zDM)PizbQsw>x7UUTTD+Z;IQ0M9z2)p;F%*5)7I{{+3NXp1Aj-%M`p$yTQ+yy`;fdt
z<OIXx-$yI9dVX8zror~o(50Js@2Y)UK5yDy7xIc{Va|+Xm6)GJJPV7eITyan=-baW
zN5a@<d->GKW=}MHw}#oxOWGD^)x98k_06MOT!NHD9)B?4t`vE^D#&KR>~lYzR^3RN
z`F!)b8(TJ&yR#*)6)Au6`qJJ8A(i#fmP+xv8$NL>Wp9m(`NjTpx|ZU9`On*9=QKD8
zTdF7aPbk&4w%HOFyM1fu?`bPtX4SY$%zn2$eC|ZkgSGC~|JyEp3iIOZX?VInz-DX0
zXUh%#CpLy{P1qD@VzV{jQJ}-ut>M#RGqxl?P4%hSn&{~>VcOQjIL7IE+ak_yO+3l?
zY4z;q+oP4X1o5h06z3H84D{cyC_qtfd)(~Zp<9#FPcr=t@Y|XabeeO^&Gk1ASf*$M
zElw-Gv3=T>RACnFr0^{%jY*C%Hv?}xVPW199}&}VNQ6a{k$=T1!T!~&7dH4>eK~jc
z@7AQCtDVJFF<YX#lO{YX-tltYmZV)V>wM>JN%T*u(}~@ZwEuyHVqC?y(`#>=9SoSq
zxHa+qwhz;{HMugzPur3l&iZtJh>Om)q=zxfcXCf|DchE~yg%mDy@N^H_J%#*p7c_+
z$0SnDeZk744~xYnz4hMqvU*GMx9!q5H}cqQb+&wQT<71XEzT!P<hG<w4!H1SV&95G
zlLKyTN_I}#9q`2Lz@o~CEfXf%Tu5}u7xLxpyx>&u^M5r1U)#bH^-<h4TR++DR5D^r
z^8Y{6+tKu-WDet&?~&U)y+mT>ZSkCxcyfD@Khre6O}0tioe|SHt@bbpclagbuljdF
z(CKB;q=<-}+yN85x*NTa+u#(*;JCHiW<yrdq}|(`pLjcTA4uQ0&2h?<4gMb+m)ItV
z^Gw>l%~pqJ;<1HW*ECo^TX<Y&o6V*it!+)J{7X+VeVMZBz~pAeq?0`U7X`$2bn#y}
zlU+Ecf#>i1Pn;?jx;#0wJr7T+kl@@puXD}@s~<}Rv**RiF>G8dy~)j&`{1(k_qV$i
z&AGd+LNO^tf{%G;D`(8!`0XB_I3zZn@9Z>Mw8edWD%YhA?uU~Zwz*$B?ec7kd-)?1
zLAGsWA2)cM<XN#vU0uK-db_*26hm^?{2f;cw-~7hp6{Po)vZ*s(S4H87HOVY=Z|-K
zoD?|myK2XF>!>YRejAst^rrk0n6ky)dMYpTR`crF4QKjif1a`E#-gGl3*HHzs6WiO
zu|;hPlV8xr{lTuADn4zCo7y6vv+;=C#uy2<7}1tT+hW)LjaOjHvEJglH{gEFHiP+G
zuXDEg%oUWKvt3u{dCu01scctwr3xM1=CfKwm_Nbr{x+lKJlp?In-j9d=dIuD6*Wow
zMCZ$P|BF(}_uHmx6~0R)-%DfdE<IO2C+=<AeZ2RmZQJ`bcdKvy+PRCnUE1f)wp;kn
zHNNzeOUCL|6{~zyoHg0>B?auZ<SVaV#Skg!^mvoA^4bGT(S{Z!jN6=UcPyPV#fLf7
zqeG~feSiHYlZV@DbeRrsd$!eQ8g~!3zRy;t?}{-KL_Yg{-FljZ{n-{*-N$Q^7A(8H
z#c#KmOqoAR%zc>#?HKRbTLWHCay@x8De4W=)*$Ja%M+8BY$SG^IDWL_hT!oeArI;8
zAu&m(nFLj5RBqfDni$Klak(>N%%9AYKYbrWJ$t=yqV(RI%Ez}ymC4Oq{d0%j_Ncz3
zC!J?E`lfA&GG6N;w>R?U&Iwy1A0<7UYq)z=Ptw948~po|c5wO2aGZ=N*b<e#m2>mP
z%N|VXiei&w{-~VU67~LY%+D1}Cs|f+eEw)d<m80o3P-lERz5sxGwV%}@{5DpJ~3%-
zWsKZWsgfjcFeCZ3-d1&QHhrNjui4_;JQdd@c(KG!Ww}{1W$n-HQEoAx+2`ABip(tT
z=5>wV8ufcyib_cW<7wp=vl3q1-V$}1zjW)N<t&k2Owrr6L`EN$oWz@~bt9wf3;TXm
zk;Lg!A2M96*&2B?>2k`mlTT{4`JCqdwbg!`;K>s))}3or!Y37#bImGftl1VhUqa1i
zTaBii%j{2UHgMLgdo`cwYR;GK+qU*+?`6MQQhsJz>da-0)1^1?ZJpNhIhy?n@6K2w
zom<;e=kndodT9_OH}Q3f1J|}1FQX2>GdE_O{-xuoHPh<UmekYxw3oQgF5h18bgj(h
ztr9s~Q+{p{VR4#Sb7ac2Eor+`Lv)Xwp3Jm-OT_B0Jo?kNr0Ad2S>SW`$0sLl7Qw!&
zG6^h-dm3kYRBdK7G-5FAxF&SdJ5V}S=>H9)K$T=Uh7FFMLAnnmZbokk>|gmfa%=7+
z=Hdr?Y-aux+xV2Z!y)#6rT3QnA{NE1b6Nget4wBSwr;(ezqM$kYQW{ktV#g^ch?3y
z6v_&Cdh2UaQ={jFWQG<FsV5J*I_7`gs5N0$1k3i!_fvRYZ4oqFG+%Djm&yaxU3XO&
z*k2l&t?pX;DThIH)B2we?<U0luV4S!aFdkSkL_~*etfG)W>~l}m1n~_rv6o*TCZ>Y
zz9sgqchq;CyrLxLWmirzT-uVLmZUg;i*}N(3de7T4^7KnMKZ<+JV-hbmE_v8y8Ff1
zvkgHvn=XCakaRLBzIDyHlz9^rx23!e;@zV9>B;f!a<MyPlD3^G+^YC_?#s77w#M#K
zm2PC%9>2|5Rpz~Ng94kYb*J+u$(vhTYIkloetmvsyOQPk^iAv+BHpy@sWE2haH-+i
z?%d9sbU^Uvfxg3$mvue$xDWfweX@QN`@eO!0%MHDwVM<6eR9m%>XP_9=HTZ9(WK}f
zHG+zNX3XB;qRA4o&B!Q%d7@`o%ig4zZLX7jthTxOvhZK)QaBRO!`iT!>;3$OmF;`y
ze*Uri#-aB$+g+aAV2s-0EX|fw$I|im#H)sl&Aa(${77clzQI;zqC;4H!>euE91J*O
z4n7c=8dKcxa7QJ>!w%-dNv}_CRY=lVdOETBcar&ysz%P3^KY#u&fPshWb?|>PRk3@
zn>Ht3`>~tnu=`!>hMj+y8Y&ClZ`vQ$vh!5KiEakzHyukIV;R=7=5DlXc*rcDw9wHa
z&n@YYMahxa8Lk`$&6U|yZ*O%LyR~;i@_&uktuCea<(gKQZ_!=pzN0l+LAR`B@2ldq
zd;V^cxZbjN(pLT5S?U{ipIE@YQC~U9FMk4qz@8XG2I12BPV<hWtp<z3Kln4MCI7Fk
zh-K()oHw!XPQyO=ie!d4FN*&3=-iwde0v*1aLRH6#ghv@ZecjV5Ij@xaqGO^IrHC6
zzF@TGpL*p5hlwROe70+xTT@x_BP(V*|GEExZO3M94Sl|+aZ1I+NrwB9?=@_h5X*4;
z{dT9xS&Q3N%g#UjW4paKPu#w3x_(XW3I9IEGHlm7y<I|<Nx!rF$=BDO8{7Z1UHZB5
z!=8@PijLZe4t1MT&u?^1zfdyq1=EWYlN($%sQO#PCGk#KuwA}q@!pNf{`~WvZd1_y
z`GC7&@#ih_xgSI(K2Ye`Cp#yWVH>lj*`J9FH?}C|Y>icnN%yf(S}|jzua8TmbIgTR
zd!|0O_{8(kdk^D`B#RHGoex`??zVMi%QiCc{`(Niuub!L`^vtJnn%~X=-?D&Sh4l|
zCe5VPpCXdx9qL)--MVUS&poXzvnO^;^Rzi4DEe@tUeadaH_dA;KD^OxT<am4#1t36
zW23cQe-lgQl$7LF<Ih+Ap5VT^p((wg@aUX9Y1@onss=akT(xd^y@}`Q_M{1aUhG`+
zx-)6>65iN<lAjefxzArLKAS`S<O;``>$e*Rb<VU*+7fwkOGxq-{aYJMlml4iFR=*V
z>)E<~t8QigCL{L8N<Ww%-;~n&Fi|C$f0KCs7Ed14Nv|&M{xmW2^%ke~+d7Xw+iGET
zf17Giz{@R`a~t>B-()$m#op?tB;OY0X-uEC+Q0WoklwZ>`U>k+{Vg);+eGsoRJU%O
z&l{Lm%J%SdM(Ui<c^fDC^KJiny1;hJ-)S-(o3=Z|zT~;Q|LK`k%@gMw*df>ZFRr6>
z+ja;3nCF$jQ`=T<Jg6kGS#|yfW1D%?g7=;_c6G^?+-$Ain&suH!(tfaBF+}6Z}MgS
zwggL-6lTK>hLe3-j@~`G)&9HL+SX9^{im(AHErqc`XYYvg+BAgg$E;>;|v&+w%Qzx
zY1!)cdu!LWwyl?h^cOG}wrRI)o68;_<<b_{vRj3<m*wou6^5-_EtA*SwQoJXW#2ZA
zvndP_D?4hoT(Mkw-@0MzB=Kf0bB2~Z?ORi3X!vK|vD?xxe_~40Hqqq0p_|@1$GntK
zjBDGP@*;apUd=Z9*rqK3Q<93dMD?s##=W#=i(}`O(j@`uHE;jc^lx|8-?CaVanYVV
z+7lLh$_bghP(5><`j#x=#^CL`|F><Gn5?a-UQx5f=Css>7N(r8?L7_mw*1++b7KaJ
z{z=U}2I<@4)h6a=>VIO5JgK51HGN)Ja??Cljooe?E_#3VZF8S|*nVq{zvhouZ2PBQ
zVcw$ivLb2b#*aOcN{Y+kx4KU^{^qmI<23i8EgskPZ*T-}^V!9ko9eJ+&PT7#nMOAX
zws|aNy&7+{+V1<5n^TvrO9^7TyIu6yjF@d6K|DLZo_<ra-J^UZ-|hGhI?Ij;UXh#l
zchiq2TascuV`R-fY~uL7clJ`T{=CHvu{xgmo@)w&ViK7vlAgA1uZ-XSp~}tvq=bx1
zcF)DW1j(G0fA?(*y6n2`_qLE<Po=MJ(m6hjclMT`^<o@zA3VRYc0-^r$MXC0HwSQR
zym4j4^9Y63Lyk$(3=eA_Z}dCFar$afLnB{O`^Dd1Vm5l8Uop3hkzu>n`sq6Hy|4Ff
zn$haa$mhOqtKTG!#)q}-4>{jXNYW4}T;X7vz2KeLgS4`w8FyGNuZ_8$w8?-$vh&Z!
z&l}t&S8mz&Zkt=(PmR*;@hiPv2JiW}H9lsNf3uYPn%~_?TQ#O`Ygp(W^RRW>7PgAI
z&QB-WnH;xjoch<YxGQGHV{yx<cSnWtH`Nv~)owBj>tL#xz_W?5cS}t0*G)3>TNiyx
zOj@?NY%-%g*X=oL{!QpOx}$}YDfCN{(ng83nGze7C;b#+wBBm#nEbzj^QPOcpF;92
zuUUO#|4rED&g62h;o*sy3>=#S-3@te{@h|OC>Wj;7qe9?%A5b#tmHjQ4kWFLnYk)?
zXW8b3p~)**&Tm&NYnxRWyH$DWbg`$~74_{m%nDl>xn@Q938wo8I!`9VZnZzH&dpJh
z6wUrr|4PD5=i(L9KX0)w-p{js(-wzInqtD%TkPk~koV`}4C0x}lCIhEL3@jXXj0ws
zjV&p{pB8+RX$)o5-@N3LfZ<mAV9R~e^taeg-~ROciP=B4*st_c;#{|t_x6e@${fjY
z%nh^tY`3>zR-eGV$BKP}a99%a_N^k@?B_=^{xnVDIB=xih3nK829v(c{1FUx7GG|(
z%>J-P`ALexj-{*4FP@Ozu=MO!`}3cK9Jbj{77D3g-LT^G7W>b|%o}Y#9XPz*{<Gc6
zuX@Z|`5#SXILfrqzMa`(eah+&3$>Gj7r6ZR(YACpD~H0JE#g8<A5U1nwo953BRM;|
zCp~<tm_>}wf_(KY;vBY*#F=@Mwn-jJVp);L$Nac!!xsDHorwt|3)pj?FqCh#UtZV0
zB5;fS{5L!1ePG;bfBAjKA6E<ZO$NP<51wtYf9hq`KW&X>n#5LzrPG*xYsSyHpueJC
zyne>CPZrO&ID9^-F?%zIw0QlRqxmgU_iXY#!Ns^^P1lYD*_)c@w>s>MGy1S@3y<|l
ziy+}WYo|ZkBKJFmZ_gHo=aW=|cbePAZ;_wNUO!DxX{*D0v4FbRmjO*IPyM|=m2G#J
zCbVv+j_u=@9cR_vbXI?0+_3%mR)?qaRaRb-uRdUqW3WlSU`~whgMDxIPJH+<CTt^L
z&w~_>z7KC>He7yu!0G%Jp{HA&Stlp`E8X+%$EGzCq9a7YwyLd~7WI6q&;AsSUriIw
zPCU~uz_zDp(}tvpxyKkcEIjd2Vd7b?f7Z=fN|)jyl=8W^n8nQQ*vceYvw?M!(&X)C
zm1}$+#%yEzyz2PRZOWDh|7=YD_n<Y%udZcxiomt)xf?s@KHI94URiZ#yVA=~TWllN
z{P&WGl-pvVz2W|w)lK&!*Zhf6VY=74{77N&g0=sed}FpN$#3LJw%Ves#8uGV)D!z}
zi}F-|r}AlQ{t0`$<2b$MuaU~MKB=FxL_cm*4xTQ<o70dex9aKnwf{~EecUQPS>oND
z^_OnXN!z$Z{K=XN&ypAHIluKl+QejKUTuSqT|Z-1SgN;r%-+h^-S%;bV5hNB%odaR
zeo;^7ZR4_%^s-#`;-{9-Htm&uEqkY}d+Tq<6}R1Y(%%jDex6;^)MYVY&$^FyJRO?&
zwqHN#5w*S6UsbNDc+Kt9y<OL2m36K5PZe?XI8ZZl*B-7dbJy@)-kmDbyY9#r)%4R=
zu3P4v3V$WjTUW{PdONpXrGW0<&D*x=Tq;|8rDo~zvTX{}GR)n?CRy*>u08*{&6|{t
z9IY)pJD0LfWlz1%x_>LLw2I3riB|0`I;H2<PTnlkzfF7oG;amT(=lsq)howL&`_TA
zomZcQeJl6U)P~+|I?uJd*ZsR6H={Ns<LCYfYqsfZu~3NVkt*Awla=36_nIwIHS~ad
zlP+t#%B|ElbGGO#-`m#nbi3a~rAHPIY}P*0jkNFHYPj`*ZTHNm$FDbTHB`y8)Zb>l
z-^u9D7VSUTEc-nT*t+Ji?vLGMlCt(+$Z3N!>#C-D<=QM+75a%=dz<(kzGc$_q_=9%
zU-iU+XP%>18%L46%bN4*6ZG}BhR)^N`s*pr&UMecMCN$OB=GJ0dYa{S`;55dJhy+R
z3hdtY$Ffvz;&zVhHrvFP^KJkA)Tn>&{?psEPoGYT-R=5lul>_WeibXvf3dk;*1qu6
z7OqRGol3bru3I>EMw_#pcCxwB9Jxa1P0O4+)3)(gMRJF3wfG(N;=9t_4*`N}x)+LU
zG`GF^f&Y!(jii%JA6NWzZxKBGt#L+8=O(d}M(K;Q?qAhU?mRH-jBC~8x(zW~eEtS;
z=Bzz;k|A`9Nk2op&di&87}xwsee}F=-IBU3#yKZy<8JJzSvTw4+LfD)`);lgpSEB-
zht*S=#BJ7-gMMsbb?30N+hjA5N!X9WXN&RF_bEPoYggLoZ{qp4EiZ+|b<OHG+w(a&
z#J|LR+qg)yX5o@+*CwsGkzILbMR)9qwI8>OoVdrkW`oVF*)Q9+N*>*Da9&E^pG`Yv
zuG!4V_u&d>lEj{*zy)Fd7#H-expC=d)3$@j4BM^G?~$0I{i9#Ca3=4v^@mn}f4JlM
zWc@h}{T*GVr`NnNXL@|$&dm+ITwBDZpSrbSo|Rn%^9HexGd7=C({Q_X1LJ0q^tG=V
zEn>2^h)qvsTJ!Q@<PC|64_n1DD-8?U8$Pao?qRvxVt?Egu}Ma=);@p!e0PWEpIzA%
z+r=y;ITThD{NuW_;nB2?9)5<c?klQR8O6%|<Y{A@V92!oZE73air!r(uF2{!#;kq!
ziSg^|cN>m<@RR7OocYgk?a@s;LX;Srj~|YfT(PZU#b(2GM{aKtpU9-zQa9CT{o$Ki
z#dn@tbE2e{@4zCan7E`Z;@@VypRxYr>(3L`c_vI-y)vxZbI*>a69QN5j97Cd<8Rj8
z&GDxrR;>Ekm144H#TmiRv1?;f7-sg^{@Xb*L*(w3{l+U!hCR@ih*@=!e@Bx6_lhs2
z$C6fEe6fuC=kW#Yp{p-WsM!#(=3-*Q`ipKclQ-nQDLJ*lF_vLd!S-)!fB#EnZuCC8
z?swG5jCCvC$$v|4wtZF78q=sFn!WSZxz!K0=$)%>ELYBPlD2P~vZij;&yyU++u70&
zGM&A(u7B;1?N1Btv`*HoU;BM~g-pe?b>DBVTl{9t_qXRex6gaB<?Ooe(y?_69ThEJ
zmW;_O7ArC?;JL@R_IuS$C&%W@&npg`sIXrD{r1UQLQUT*X7>D9^F8!5*RlEK)0=$F
z&#&EgQbKCUYp-c*_T@!#crE*9yms~TEgNobmAE%;{oYAT&*z@f&2G<%yrQwOu_}H3
zBu|z(r=|5a^vqISJ7@KlxgS+eUfg+Q@7fcWRiie0uW{U@u)d}EQsw%Rs++GSZ)Mpz
zd9u^}GVz^XSeL|lvESVODc@%8iTYi9+v8uXowjEE6|sI@xt8<mPfV5T-Zzcu_L}vo
zU-_<kdo;@R#;ZqIUSGfU=LEAo8(1u+p384buUqpYt5Rsrs!cX)eZ#h}<W(Ntx%!mN
zYOlKO{L_rO{Az91UEP%Od;Q5hifSp$rnl42Z;?5*l|9;KRpP%-syb_`JYCjG1x4}8
zvmBhZ_T*%?9d{bcwb%Z5zecd<hMu?Ti8e0dlbfI4YxC!w7`L+f17lLl(zI<ej%1YC
z+?+CRtH9Jr?46tBCo<^Xnq~TwMR$s%&YF|8x*W;+TUcUeuiLnL^O`rss)bcQwO#Hk
z{=Dvc@JXFX%b3-e`1{xGXHWdIP2kTbg$ZlkP07B$XRFMOonAWg9=zSE=xW$AZ7bW;
zu)Qr=>o?y%mA;4N_SyrNRDT>lzh?1Nz9rK%9&clr`h;`En%g_4@ozEkU$a#{<|Nb2
z_3Njsx%)hx?e1M8i|(~+o<HAR-0*YRx(g|m=T|(Ic>m%5J-r)sYwkau>Y|(SvT>$_
zJMYeNqb+6Y*U#m<y>A-F^)<Jjd&y3`uFtVud#il-$tx^t*2ie+1b?-wud=<Xy;tIs
z+w3j;pSJsR-CJ`ms5_&c@rAVLwJ#r*{5iqhp}>4^^?6q&@jaJU+^$%2eiEbb16y<b
zP5em;x>q*xyBBE5-F#}sxZ{=mW<If%266wl@%?*Ru<3M5{{xV={eSLk;ngX)!ri<0
zn)OCrA%*w1nLhQexXAb}A(`Rrx=Sy`gHHUl-p-@X(Qdub_{WQwduwO2a4h`b60?C@
ziDTs^RgSeCPa=N2H~i`{>Fyn?$S4z?^-FGV<@~9?^AFqFx#!m`zI?Mmt3PJt;^P+%
z&Hj^Tvu<%^)2y@KPgbm1Ja6^{jx}5KbzJ4Iv7TsL)6}W{qr!TT@SCIs!WJv0L@*`g
z-(C2SsX@tf4O7yI7m+VcJ&0vk`OCjwVo#HK@tP@R?V%qR#iXxU^ZQL(+SVyOJu^S7
zU#%~_K!H&#W~NE>`ZejTWpB$`YX7yA-(2(b`b)N_Q%$+ntX}`=k=)^=_0OXBu3PhV
z{h77Xw$Ic!-t5QE6uoAxJL3mNyM>z{uX?a*?PLbQb?eqvT3jrgnDBh<+Wec0CTrI{
zVRTr(&fDqxz1D8cpUl!9GB-A|Nq>0X+<k&Yc<s9D(w&ysk1icsKXcu)Xvvj9Ga3J_
zpR;G>vx!Vfw`VH<S@`xKdy>zK)ic-3|I~OwnyG$;V2Y{7>$MAXX1tX<Fe|?~e$MfR
z8EY24%vrJd6Jz(9&AKf7x7Tbr$tu16`8~DuOTQ(pdA@J^nvJF5NlE=lYi57?x!}i|
zjk`9t#e9?gb9;UBik~e#%RjGqQKmm(XZE@uCp8<_ytuWU>tIAo+wA2}<1RKWl<#gd
zW-^Xgemin=v$@2YnfG?TN#I#Ild1lJf7qJEM?6k&wDek8-^`6;d|CY=mf?kc+L}3i
zOD8ay@9qed{9>1V?YdEb(7O4&j7i&9cj#_ZX^6Se)5sp9KY!KEm#yY@@7L_Q_veAh
zjTI~1g_%x9?QS?5xwf$4!HRny*Y0td$kkNVkZck2U~~1(xKBbtYxcG!Wvtn#e3?gR
z(`D58e+P#Df8?LQcKI=FI>eyH(C|r+p{27!p6T(73mxLJPh#$0QeJ*!b7zkn<LsRr
z0Z((*ESSWp7Vvq^#r@jx>*qxO(fYjR;x}E3%E@aEbT(eMxx_KaVnf8GH8<QN?%bOg
zU%|NH*PG7S$ty1SGYDSmT)A;&bNY@|8z&^FTO828VR0g<dZN(6w)qT3b5_ip)L^Q=
zK>k}}W=m#p^8dBxw@znB*}}0mBB}Av*|q25&U$ayX}Nm(?hcciH|;jBKBqou(do7G
zbX}~f*Pct=As4~E_T1~~OdIBeA79hC_tf1z2T~<|f2&@7?o*KbH=ooE0%vb(N3K1;
z+DoKaZ0+_>KLqmchCg35Z(`8jwOdssmD`(t*#F*9x8#M@(<i^@UW(S%{G_Gb))Ie`
zYxc&2KW^r3ZuR*-bB$OkljoXwR^H1W>WldLFL~%GYAA904QETk_OEhl+H$_1<~qCN
z-=&ipzt?|_S7o~WzO5njlhUGf6KY<u-JK>Oyf$OMH`DcNYj*!LZnK}ZW|j3_zRUW`
zFW0wTs@#&kJ6^8$zP?3m{rX$;Ee>wc4P0|;dv>nPn^nTc*WQkryOuqgW&ig}cZFS7
zsd|W?Ub{+PldIBOVlzYW+BtVqSKjsw*sk&|IEan4e(f#&f*1Ghthx2>C_`Pq%3Jdo
z*duh-+=^xp-?V9R$1^$Rx|ln;Vg-L=<{v1`(Cql1p?jRcKEiX&&1eSyJ!(Iu{#bKk
zGDGZ}D}T-{kX$@>_r%~EElulBuelyK^{#<v_S(hQ)?8n6-@{_zmusKen^yl>b?qQy
z(!bTxmJ46iuf2GhqxEOZ{I%EiPun>+Ww}bsm*?EsYp&-Xb)LlWm^Cu4<;=A^PkMIL
zWQ+Z2n38_G|1e`rOH*Fzn(J$~uobR3z1@ppy59Q0)$L8HT}_@WbCrHEoV~W@`oB`v
zy}JB+tJgl7T9Ufy*2j}UbK07+QrBL8JE`FJx`gK{{IeK1pRaRS6Z3OgN#wTXi43Ld
z*Ds$Wthwgn{#U9c;j&KNYc|YPWc|}N?b|Nit<gL?*R4DLq~-M_<`U6~`f?TT8+UZb
zS3PQNy#KD0@Am!~scW{RgsS(vjFp)<e}(LyH6KIOS1+C4pk>3hY3+tDlO&^8KiztN
ztCu~)r$ztvT-)O|``o%+!TLOM=l8z4x#scjyhz^v6Rscq-H_guH6bQZh<8?rd(M`~
zHIFv$C~5m2xzP1PGDBk?KjXKU#>~&F7e1e8E}p&ap{K!@EB~4nR>c0VTKiyoiiObX
zx)Xn|{9k#gzojSq)sqF!*4%%;>WNC(x|WqsoK~$lI8jttz_({j;=~VsRRp31L?e}M
ztZdt}@?pg4r^;ar!oMfQonUgFYo>QnP`<sV%II42nu_<0^|rg)dRvq9A6}aBCvJVs
z&5617*IIg&YcCkSpINe@Zcg~TiTUM!W)?r2A!EOL218``&CTtXX07See?6Nu@`T9=
zKM98oa<fkyvFDz6b=ggZH+S-P)~;P>x0@+_?fJXmPp5=PuIt;m>hF&R&+OjJ$=lXW
z(pum6zjET5v+E~)i)+~XX7Pp_EA|yGc%HVlBT~y|(#Esv_wi+)RN&IKUDM<9&EjO(
z>U}4oEH2O7R=sBCs(l6D8orjbSQj<?IksA8R<8adi@(#?>`nh!v|!C%&!P==Yxmau
zZg_Q)CB4m>?|P*6sg{ED*E6_(G^HM2)AeS>zV>Ynlm0X|B(I+I`P1qj>nDBtuz6$B
z#Qps%+XOZ1c6>@={JQeM;b|-09sa+5vRRDV>6c#?vrOXop|R`LzmGeYTTaZAUODN;
z|2Z}v<vwix*_iLnC>+;fDU}>!-D>%B)r2=|_t)gN*erj&xv{Wo&6Hf_8O@TG#q0Y1
zZ{UmZ+Y!RNCnnJThT9FsnER@lkuCG?`<+}jO=eU5goK)mwbT786Qn*F9=f5f)bjWA
z+L<a#6Ra{0rNqc5IL)w>y%}@m;p>gRj-QMWTsw2_D&6BNW`0b1Y4Lc)%s&e(*%)K=
zkKd>-ZJ1NPhGl~1j|OL92I0T^C)f$>GZBgWJ)`00Jw?W(d$A1r=B!zuG?iJW(?HhR
zHGQJv*B{^46(%JA*P8KA-jXR`)#Tmtm2Pwey?MeCG1ubknz^@1uWhj0Gh@f2HFNay
z*UXW88@zgk@6DBSCO23&)Yrag$dz~*J^M`MnHJmCjjtn5Fg|IBU7{wwC#GTJ>k~;k
zH!YvFZkG7-^{%UDxpKdrkj!xF66eZ1|Bc3ClNaf)$qidSYf^^vXSK(|6S|Ie&OY?0
zF`{bI#_W|dlTPk=vu36aLt>crnwirwB5%ZsS~9SH>UqAy`R%DcYcKt1k61gY@ssfO
zJ&o}v7<m6Jo4u~!{ZkqKHIpWH_1st5e*f}ZHO@8m*F_^|n4fJ-%zpBVA@iO}uKn5>
zwVNhYEt{RRG*k5_LtBecchcmL`)mH}db2d|_|jQk-E9^YYrD@cE$v#gNq(cuQN~u?
zZ*?t6C)X@F6~0N^Y)!ImSK#i}q?nTmx7RtJV9H)|rexpRx@f82WotVoF<oD`Wa_UJ
zru%C<)nBe?=i6!(X>()!l9gUdSG%pZ*E@2p#oEhoPT!Hgobyx5Yqopr-E}%=Yf|Vc
z`QGfCC*H5Gx6@v~WV*7D&0?{*RWm|t-l|?Wmg=KD-#*0k&8F9CElFNk?*1=tskyH9
zy3uxSRouFZ(_ELYS-YfUl5&To)8hQ&E9UiE_^+9xTlRL%Jk_}@kuEK7RxQ!+EB|T0
zzow2W$#YH8hY3lQmp^}=uXE3Go4`h=H`ep?qc=r<>yY8LcoK8r!R<LZTSY|5VpcC;
zxVb|(@$>u$+u5t0u3tReej~$%sVf&NGwe7S@s|5|OOjS6)4Qb?iaxGbq|9(TZo-;H
zStmJ|6W=U)@N9yYeD<eXWedWECB&2S|43OraQbK4lJv4{U2y2r*08CQD}~md{k*25
zNS{6W{<`i@e(Y--r?zIq*Q|>_EwFoPVcxxYKBw1pZ&%^4nSQ=(?GC%2hkmwXeq7hB
zTkP{wDQ8Wi-cN-Y>lUn>77?>9PrH)aZta4X@q!+4Yj(eTYNWot(Yi8W)!IEf{WzY7
zwd$N(FX&aa_S3p2(oSo3KaJ}<zHWU`Fdyswf_EXZ6Yrkvs9Ur9^xU3vHESB@cl^uQ
zqjh^<VwBtL_`3G2%9nlnT(_-Rvo>aXm2HU6oUnCk)<s+2+>re5{Z_VH%l#_f%;7Ei
zxyHvT`(%CD$_kss3PyslD^<*9Zrvo}YTCr`e2$&z&nE3P6_vl+V&3cx-P{<{z3$HU
zoQK77GoIOh-1YCnnKv(#KCrCFpSRL0{zug6fFE@?Z4V~>TNmKInnCUWcZ@>Py%m*N
z4pK>CNiRM#zWKl6=%W=?=GPe4)^A(+yU*j;oQWyTWq0><Bs9h3d)!#l7L&dzBQa)`
zgsJvRm9QO;*Q}68pOp4-ZRU<O$~6<@l0MFhS>L=g{s+^W*tPR(P9Kh1+kaYHZ@>4N
zsHZF1O@FU_cvUsx^Xe6oD%agxv+m({^)uJ>@8oPsXzctkk!ME#x`%(Yy4O8j+dTER
zO7c%e*6LQFP`9S|8*XwdqrR_KtKm9j9JjP&&CC^UVN8PmHrP(q7Cm+5P19z}bsA5S
z^0f@sM&4Y>p1ii@=t{1~>mxlciH5Cvq#ao>ZT%zNn?f2hdpGdKta)_n?aObI70;}1
znO=S>=G>xBYa%zV_!0VM^`mE-V@!Xp<oG%3A;*T?<1?cx*0gFqWE9&I^HADdv%hZD
zBjJjqm0>X*yACED?*4B%g=q(4lKFaV+ZExT7$aut&+m{oEqw4_e3eZRW6nCgH%Tj7
zJ6Kec*66Rkk+imT=k1k0y4SYO-M98i(v5Ykg|npoyj;2e(Yi-_^KJ^5EI#pPO-oE!
zi{9-;Q?%<g8f{+tDE{xgO-Xy!w0u$3xm0QJVBMb2oeZ<rJ^KDj_=ZzV<PEonM<-kE
zV||?UzTIrX@)-$Je#D=sQTXscI3bzg$A`@==3PgGeMA3mik-9O$?cyl=I%BD=MD$9
zSXd=#G=JG(E7}=dW+=$G;M)B;N0TlbHGjYM>Cx7vNJYjN#=e==`_}6AUbw=P{HM!S
zUE}U1Sr+|G57ZcHr!TvAaMt99Ikyh;C;uzE_xE?ogNAjET}d0&>esB9yr(1L{+<mX
zX)|PH`ByO~X|I|*Bc^tZh0-(*uCT(5d51s8HoTE$+&$%f?xCk|CfrZk@<e>{I=xkQ
z?DseMoP44Bim~g3?wc9k9xtdfoxe);h4q^ys_F)d@+UINtx}2Ps94yyam^vG$hFE*
zFPg8dNvx`5&}im=9CP8+`E>{FBYb1lD#>&j#q3{y&|@N>AltXK30jplWor_4r!eeT
zYrnqo_omNBr+wE_<*&-0Gv!ZggU;hApT+;T=>OToWA(A@`3e_bhva!{<gF@WX035G
zTk`tt9Y<fTv}x;|pD=W<O?V&8kW_Z+*_ywZOZg|yZN9QJX|A7Ig5RRQtnnv(x39^G
z`u~Z0>zWPT;im<<*PPM2f6`!eo08Rh!{CaTb<dw$MXI!}&Drgz>M(7cP(0uD@2};0
zcc)rhT$l4U%DLs(;>{}(=G(qmr|PiU<@M~(tG8szt_+y&a;?P0&s*{Uvv%Fm^MBTM
z>ITiNUe_{xP5Jf|pVe#5%+>2;^kv(Tbm6Y~%!t`be!u^|COa(jN7AnsR-4;Qy|=Da
zdwPDw87;qC)yhi8W`0-~AOCyG$K3a8PJfyAX7N?F$kS?EvmU8uH0)k`dP?e{IosZ@
zJr<hRz0SaCiOzbp{Ixb4*Orx^^kHh5D;x8Po4b9dT-wt7_m^1rZq>Xp=P=JEbJ<9p
zIjyG4qcpz%yLQrxXU6G@&ULDvPZ};+ciQgyX%71~4|{c<UH<?7{p@ghv-ZK|mpohd
zKV5zG+W)nx&Ift+o?fRmm*@7sXt{~^btMYVud_dy8oXXD=(USuxXbL)Y3od?X6{Hj
zleecqF>PhS`SsWH>eZio`NR_aaE7@-K#%c+FG<^Pq^`RD{mH3yPv@Lou~YjKqsR(h
ziC(X`m}^t#EV#ic@*$aFh3o7!Rr8~4YQC*?S$A^lt+-F|eQI$!D;YN>{`@#4V!?7>
zR`G9*CLh-|B^}8XOp1I}-C)?ns*=nwufgp5n;&IAZ`4P8yJ;|yDSl%0^_)FX|JJxp
zyL@ZOlhZpE)%PuV68ZaZZ~c-dn^&_+EZn^DV=?;#7H%1t7?wrP#eOodAKsy}hCTi8
zL0L<NjEVBQXA9qKFy)WQi)5&p^0U8YwJd*3-A{(z2?|G7C2B_`GfY%FyH0-F;<fkR
zTsf7qF7|y;&f7yT*E7bn`b5PYZCD-8`S;zDpZ_;cdf8PO*V7rYHekP1|HLc5uOD46
z@a^8IH*2m2S2QTMhIh>`T>9grhViDfq&0%gu?&mWZ220eA$x4`uN7PJHZgFo4JtM=
z%I@7aZ;e2TN%NW@^_xuH>w;FQn(#6NcCQm$yXAV6f#A8uzdMg)hqbDlUa_Tm6T^iy
z!A4BdzpgW$UN3TQa^AyT4@7#F|KVolS@b7n-o`Z|=T@j&8*wl$`CE2E&TwUn+R{I}
z*KD<1^-_OL@Y6M-nY-6+{hE9AXny`pi)CvVer;a4b>1fV8wqQ+zO6Qlj7VC~7+Sf~
zWuk`j0-?_vzBmWYXh~V7aeQNi{O%Tkt74tg_8%?yG39#hH>Md|J~oI;$L>Fw5V>jn
z(G@f6&#mK_a4~<=jModl{rGY3^WtM0{~zHyY0xz7tzG4&U4`p;e78hSo>Z|*vuGuc
zGD|L>e#4$W%(K6%bDlGcxltFHrEzKY<RzJFWYd!mh<(g-HQIJ=4d03Cx(3eV|F*FV
zJG`$xF*>(eXwS;RM;-4>lMn1zaA?hjgGo&f&o7aDyhcz@|H9R4Ym?{w-SDbE@Zxpx
z{|BQbR|~#Ls=0cdA!$nT{}}zt*PASF2*pgd*ma{|!^z_t*XT#YGHkf`Bl%DNtrPXy
zn=Bq(y44($Zt>>CDh|VSlj=>GA1}GRcGC6~DZQ>~n}4eQTsLX$BrCDslg_TuyQS)|
zUi-`YPYye$oWB2j7t=)UEfxz?9tk(Gx-%V^wshW_HM+I>TF&#Q>}C1CX}xawC#L8B
z*`}?sGQa<4^0hsyH?Gn9<{e<m*K0R<Ui}j5?Mt5PR(hOSubO_c_SJ&6<?i=4wf$IY
zd0kyuJ%7F2)lCr-*33V5|D?f*B{!!FEqJpoH1s9k*1VhdW-i&{C)XZ#G;?LogYehQ
z6|sM^v(yhCmg&u3FBiH>ws&7@$GSC^>%F=b$$gQV|F37Wj_t|JrPe3c>P4T{3R-6s
zx7_~obS*cV_fZ`m%G&uWPe$KyZeLWMerlDuWze+lPrEl~ymZ~@#WBxp$=BI?<yXbp
z|K!eJ`X$c!4HwJ4n6{q`p6m3oC$G`u>R+>V&gP<Hf7V*6R@S6XE?K*Du2)EI6kBwq
zN=?j?FL!kX7+0^I|0VzLtkt)cz4-HV&7`^eo&=qrdQkJJiEYz!^XzrHdzH5RnScMs
zlJ_c#JGBq2U%%?gxjE`f-j#cn`Wjmt+bFuuB0Bby1^*JYSWo^922a1Ou~;=pP-|_-
z-qooN%FEtszht_~yVc6FOlw#A%^rt;)6<xDq?sGBbnW}OV-Mqj2g=O4yANhmZn)^{
z#c}OG#^EHni{(`(k{-y+Ix#V??0{Y}%Z2bnp`(vYtv|$xy_vH6#E%W!FZzygZ_~{$
z`}oIYW5z=U-!-2nM7k?8u<yAvA@aE5g-ZLfA3c9gEPdV?E&jaIvfK8@wS(&XG50DL
zi%xhQVLM-Ft?h%5W!seR?Af$SR`a@;=iN^d%<JFHHEs!!`~Uru!tS{q{{Py9GJj69
z`tvBv#q)oZY?9Qids`wWX|5^DThlx@>Hl%f*Xw5Qnff@q>h=1KC7m*rcbaADQ+STA
z**O0Qhsc)|bF|~uZ0wBbjBI7`O*?X9-i@`Jv_5e<OgLuIIE%Y8_w`0L<&RDB-K<6l
zu?&CLZ<?QVGWZ4C{56}bJDDCozo&Lr=U}8=r&9h(OR=tH+^_D6oMijR_Iv3S(bucn
zl)iX3X9?_h^Lu^tUN-HVS^b+TKCO?LeoF1fmmQV;=YO?|&R_h*GWiH2=amC%s*5al
zc63gN{dfO#V0ZhRb>Sy`<LBI~lkl;bnegO756@khy`OLC&0e2u+mtC$D4NtP*;Nrc
z;S2Bkq(}W04eWQqw?;_x9O_Trm3=qofBWr;uiLW^MO}S!BkcKw&GuzX7mPPwc-D0z
z=4%S0<dXBB+GOUhRo*{If%nof*_#aPQ$D7zp71zwHQ$@#)$YkZl<a=&nZH7K>GyoI
zRVyUUuNPR7>@=Bu$J~{2Yb^IiyG*&c@y_wJY$?CH`D0>kI_<dp{c{^*boyG|_tQA^
zmuyL2zry^+;oh@%ovc#-oLQ;zMy`0f|C0Yt=e;!zOIX{rI*!jUaxLS(TWdLLysd;3
zuWZucTf=na6SMJJCLJc>>UDZgZwQ(H)Oxm-X&STXT4rBn-hJztpBUd;+ckZ<2LJl5
z_(>YdYxU;eUc)_QT~~cnX5A*1nQK_z{+Ow}#irl-$C^*S?yc5OI`q^2rq#+dY+l@f
z{|=toyoOzun_qqvd(6E}3zAfLR$IQinek^0M;x=V+ZvIA8D&>4e*U%M=335aY?|xE
zEA7|n-<`RJXV<yiNgbYhV%G4UT-$9Osz2eM{W`^ykt%ET{D1!}O_{K3CFh>QhVIK0
zX4`G(d_8qW<$~)Q*T^bq9NvA8F=F=;mHAc-j*r*zA5qx)X@z+5u8V@&j*+h$4R1bP
zH8DeLLCNPe{E;`jCu(^wdzHUQROfc<)%mwRh9604Vr=8Ik6zGoc4cJMC+74mYa$PO
zxfji=yAi%2CZlPhy2kEE#;*;fyv#|Gc^hsz*{`zVNUF(YPI|q1!qJnt0y`h2t<T8$
zvFcMo^QN^Y61s1!%nxhs*ICl_Yz@!kl<#Y7KO}8jX|K*Pp?;P9Cyp6w?5AJ;8M-0n
z$BJ71H7A)W13#>=pFeYU{GX;-YFxr)Pj3GI*u+2YK=|Do$tSYU-}s%^5i=zx_Rl=$
z>w7Nfv9~3?Uw<I-#>D0Q1}t(v?%b$k*Nq9gGh?lyDT_h!&5MiYnMXX2(Q3TN&m8-M
zQ7q=M&e^{^pRML{wPE{YA@cWT*hxFNwOsz5*3kti-|ljKUXydW{-gx!8jbTQKO}zM
zkPyGQhv~|n$qd44)TS4;soY*7xx1__Ym>y1Nn84teA16(Sh(lJ(Ph7~PO32cs(rrn
z-)|LZJ_X@V*A>K9R&;N;^L0(LdF8r_=j&2uWUP&z{(iDe{k7Wd{4oxdE7b0Bt5?cQ
zU03mR^%vRB@1Fv0FaHyJNT!l8rZuSQwfz(Sh^_mU{E1E$*mO8!Em!c#1o8dqpN}nH
zyqdj#jpbCow1wN&i98Ly^0z8;{f?v^ElW~PTdd`Nb$+F2SBay?mmDeHlCpIbnawMg
zC*8Ws6IxZhG4xODMMEW)^nXkbqxY;3sJzRQ`je$)O@%uH?;h<n;y*6_V9kn&oAmg{
z=0!!72e!1Z=(5DDm7J==>?~#UvvBGvNkJxIkN0aUbt1l0e2Fn!FC{3lf@4$6-Gi5U
zPgWSr>C+E;_IL8<&kxUE-?Of&=up8zrkDdt$_X)lv||>CwI><JM4bq#XxX{X{>jUP
zvW4el;}mZSZoHT}YZAwaKg@9#1G;Ys#^+3(EVorOaz);SYy1{5_b%QQ)Z9H|@kvId
zDHrWdSA1Dpt*6TxTYvrfqe@@K2T8UkERv2%EZpc-mvW9v@?eDN|2u+5Vjj%wYVfh?
zZvA%1bn}l-%UG-bE`9W4rpM<?WwW>~{#^7s!K6K9|FyD%(+)Gn{Jj@7iA{f|y2_17
z4X0QlwN9$lz03Un{Z`nTYRj8{Hyloxv&(~_@Xwmkppy*K*Gqo;#D03Ic+g3q?|;7E
z*42)ie|WMH#~1d!`aIp2*Z4#}m~{3+OTLkG)*7#=Q}3Gp6j-%ZZTb5YiIO#vzb84f
zt({i?D^7=H+d7F&6Jlnq^!s>m`puK+t&?4NA8^DtJo_g5c%9FM9rj6z20}kp1?_q4
zskE}`|H6qI7!Td)nyGj9#BT$WRqENB3~VN<&vuMIp=IE)()&lF<B8h_A&t)Ioj2s$
z#3u0w8(dq{9GBGm)8_xXr}Lxz4d#`t@mV$5U_y)h^<OEMg!jdJc`?PUD_%L-D@X40
zK0gnIXKOq@&3KZwrS0}EjpeTY-)@x&S>^iw*4kiE3A3a>@7LcEp1a0>^-uAINh*7s
z672W#a5L4`thLLX!IHA8=~%q%$;b;5Er+|i4@e6uy!-ikRYb&H^|x$NNl#<?bPg#9
zzNo$b!)Spo>$jM?*IU-?nR3rFA~@`!5|{Fyh}89GZ%pKjC|sF;b<Ib?6YNWGi_~9R
zyWr;9UMo|{$OonmFYpV-{Jy1j^Mp*V2<zlG3w@PMcCByQ{_{naufdW{g}#q9Js9O#
zn7`dP=NR*wVeu1h7XF@+2{G##wqI1dp28|V@tOSU3o|;HOgA!H--vw?eD;q-AA`@E
zodJ(lSo<@D-CNOjILY(F4=I^HF<)<ZCatjMO$wjL635HIck%yyn<ekBt+lQ2zR=?P
zQnrCLrYV+T8i!Ft^MiI5=G3Gj&owaz!XC|7FS?O|jq!sObMpU`{s}w>7uauF%5mk*
z?^Bg4N?XkyEH8a?apE!6W3RKt{$=Z5jrsZT!r2F>sy+$G9bA97vGHJ6qu%Emy8R#H
z*KGLx^Tc=7ScY#07tDV6sOd<n#_w!@jwTM#B#yeIr}cBn%4Ryh=?uL2=jh3`{o3lB
ziiiGj9ASyMxWc;Zh{fkW?KhVG+4MrYZ<6lOHDaHQgx{|Z_`O#A=TsZ%V!t=*`=+gw
z6s<J6-XwK?!lrDo35}5f?K3xgoY+`#BtYM(ieoK9H<NbUrE6>6JPr<9E3LOu!i6U?
zb}j4u{8cIDCnbF|PyTNyx;ay|cX7wR2h1@-H%evi8N9IG{Q6+ui#PY@?3}W5im3Xo
z8B%+a4rwg7x1iXaMKDO}0xS2oHOVs>Z}EvH@7>;&&!TgjF=pcG2Y)+EWu~v<Ft<!R
zzu?E4q!}MW)!2d~O$(1}on4z;-EClS?Z%nM91j@HPfj>)WVeR1`_^^k_YADR-yfQD
z=s<nX!j<bdWk20iX!>a0RiSaiWQBUa@Psn?GNu;?6F=Wz6TkMqqxOgYs^WIWm;1l3
zdD(dUTStBO!jBs_9DR^fxM2N_9Vd1gp3u4X>T6?0(vj276Q|yO5o^EtLH^AdJqnCT
z>I&24f9B7tU(YtNtYTp!|K`SA`Fny4+;$2FIbTn5-7xw6{@Zn{k^~JNe7X_KD1UKE
z3VZ%Kw)OV@OMcybx-s)ffaQ|s&sM%XWWM@_+K(d|Pxq%p^e_9d{z>5TH}kiDdUIjk
zlJk2XE#F~xA}@gT?fkq=5${%>NqRefdrAV+O3uwo-sP<27N5V4@u1b$Ju@dizH)2-
zngbk3OTHvUEc)`N>$;ML*XrvR4kR<|SaR=k-HwNX8pSN#%O5QMt0)|>srtFY@1~Qk
ziK{jRM643}vD(5t=-#&r)qfUVJ;5S-J9*>oHI`go{={sX;4(48>~iAfH8Z)?7_x6N
z>#sAK5L2DSc`>x%b<B*||0_CtnFS`qGHl5ZUCsKC>t(;V{0g4)h8d<3D_AyVU%Hr<
zb}Q}t8qVu%4||ds!tN}5cj8k-@5cH(m2*b=%>NIs)cO2l%5lpTu~}<`S6Dv3ut(M|
zW+lhtpK~XzHMO4*v&nGd&uWP$_7js{vzQh$bmsh&sJrQ!Vj1wWMv#&HN`6Pko0lIv
zlP;W=RlcB@Sy{Po^`iEa)5a?UCNmr@nxbO5pOOD53-`vmg@>E({aCwZ<?|B^37_9N
zzlppb_q*&##LHzbUZ!x?P1D)@>;B1v)k|KUQcs9~zhr0XI*0x5QZuaAvAFXoUR&!h
zSxWZNuQlrvmAEHs&$~Z;?#{U>40%hwJdc)6n{@q=P(bB6o4?ul*YDb@zpxP4J8?>8
zkx<F>(9lT|UGElrebW?>y!_^JKdvoxQ{pslGQM2ev@$;FLD|w9lM+9@;H)oUxjBjH
zwgMLudj-eKE&fb`aW6WJFH}xj+Tf?q@QY`wb)|I5(kqdY6-Hcpm)|yJ+5c&#hhYTo
z)p%8lX|;0Qb3OcemaUa;P0@1wzvb!XH9q&s>y{j!6zJ!=eAOg_HOoHBOY$%4J~sbH
zB<lQs0?Pb<G)IcT|Fu5*^Hg~a8PdI%e2&|y{ATjvv}NzoBN-+y{~sxobnnfwK%e51
z2E0o?7aw9QkKw-}(7*hP`2O{Uk*g!0oH*4y%{DUn|I}^gSNT-k@_Bf1iq@uuVQYNi
zCC~mjzWVy-7yVUl_}21OtXlYHt&dqu{S~i!YlSL$uiaT6b*mt0t?i_rtoA?cTqZ0K
zWadsj@ZUQoFzLaEtSl98$F~d$pO&mJym)v1$}*i!wGSyt(;j@3W}d$E`u(*B1!FGU
zt7~El<A_oGwdQ}ynoS!x#QP7NvpHLHscaJGwG3I-_LwE7uKt`j#b5B<x%soF_t(As
zTle{2hpv>#?BCu?PM%)xlqa@u#p`KP_x_!F_UFp}sk81+lNNiiw>)KU-<#D_H~(3h
zJC%E@zv?2tCHGj)Moyi&zHa)OvkA62f7V^!_h#-BxnoODy?V+Jx6WnjC7x-UG8F#T
zg-+sp&v5GfxAiJb_7|9MX7qfX@bP+3@JhCu(F|AL&0}6-oGjzB=St9(m27vTE5+_j
z->^HHXXm@+ry|*2#2#OM!c^-0kAD>}HTv0CaUB1!sQ*W1{GSQw9t_ErW@Tso?U}^#
zX!&YCv72`v=`N00cF)hKW8T`H;(0MES#H~{<hU)r_4kt*r<SkQy8WkJ-}v&*<ghdU
zuDTtZy2x<z`uOwjmK^q8Q608L`_un<Q<v|5JvsG+%_*g48%)=qTvYkZS-mf2VaX>h
z>zF0y&r8i%GW+_A+HDsZkNI49p|>HKVcmr&W~L<n-A%@94`QS@79<M4XlY|f`o(ef
zz+0^bmY9bz{K*g2)aEQ~yLRH~$5$&3D=i7%`0!OXm%&E{-g6%(%uce1;9qbcne&2+
z_&@d^TN+tnPIhFoGRAyUU2r@3{|bj6udchW#@v)%FjZ#vhHp2o@PE9yXa16-+fOZ6
z^L&D;eH`Ns_L@gbNfECbR^D^pm2`tGreo{z28RDX9<m;}dHcZf(y2>N%um^|<itVo
z(|^3D=DU9Se`ov6Yle%RC%u}Puw&sv@yL%euG~}?tNt7CGi%zf=O5cl^~-Kv`S>^R
z%Sjolsm)gLr)(cDxqhm=Y%TAmwYvAF7VQ5KyGruo8lCvsQ>;JR)K=fQx!UpU3)ACD
z?P~k3Y`Vg+%Q3B8E#B(+vcL^F?JPTduK#%RL6H4J%>EYD&wIE(rzzd|ll`-u?W4xM
zq`KnWev|dy{rGr&&sEtUJNY9r8(hq_ugEfgjoFeE$$Vk;{G{K97x@1Cv0CfgGWSh2
z^A}`pT)wa;sdBcM%m-`z-y0lHFdJT#?)o@G{?KBlu;l-3s{gk93h?dlC|h>1D`|np
z=N-TKSoUv7-uQAs@dm?&hkSpeC*Mq-{~~2a#N^KQ7pr)glIlW!^lsewZ~n57MAi?N
z%-fiDtYKUdoEZ6IlI`JzA;L?8Y+~ZhU6b1OquQP2MP%E;7^a5QzmplbU)BEY+4y=%
z=*9*O{hIfiZY*08CXkf)V(P+^leJh_7oO)@n14d^hHuQ0`!|1HsJr)L-r=MZ57?{S
zZsf5VMz*NV^_H+JYw+;R_*D1tMk2?8eS(aOSyyk^KT(zAXsgQqoqrn@(+;SuX;bZ5
z_Aho#tE$&@4z&u#6?4xwuZw6=ovUrV;A~tA+uF5jwaQNJ__E}`b@k5ZKg*_V-MQgS
zE8Fe5HJZOwc-ar6WnU~RyEyaBlJhn1e=Pnut-xPyMa=HT_Vjku*c)>%r0{YkZQAY8
z(XcVST{S$5e}^2?jaY{McGc}`F0}vr5Ouay_4SeeFMO&#B>&HBP_4U>wCB|Hk9&jr
zPrlvQS>Dw+A^tJrI_<gHksaNQXA5`m$91sD>=fFye}-rWBl}W`Hzx|XzF(W5_oix6
zlDdM`{^_n0XY2S)O8lR4q2>1c%}f4AO<tj~rA6SoV(kQm^aU1;8S*~`Bbr2IXRmy|
z%;NT6-|jZO?-LmkezChVuwPp;_uJCxDOdWZt9r&MY~FpP|II-+`TrAgPb!{lPFr_U
z;qr%RpmRfh>h!ZLx3PZx$0p*h-^V{LmFpPw<<_kDo_xXWzzbQWMwXY=M%``G7bwOq
zs8#+tgTavTHP=Gfdz0l;7%CSkNQht9`o7U@!|Mf8K8h~+)BWL-dyZmdGnXyrY)8$$
z*neR^f3|&|WHsyN{_>iW*N@HoanLv0@4_*Sjd7QzO`cJtdA#R?+k5Z76&i;V6k-{k
zYg|`fv~k9a-!F0_7M=L>(m~BI_VtA|C#(%G*VJv|GT$LHe@S%Z?@b3kZlCb9yCJ0h
zW21=HgQQzAvOlsPe)urcbkpw)lO><}W-Z`Z=<%q3(Y*!!AKMmZsr)QhEZ8N)$Y9RI
zp0xgl=Z2(4{rM}m$Vv(tFR0>3{%>j6k<75>Ld>RyPJ^kh1SBi8Zd_{@-soKJ@?bY3
z>*3!AZGJHRwmrIJhu62m8`kVQTy*nRjjPC?e;e9U1ZFM``PN|{+0dEH{l>?1_uffA
zKQiz##?1eA?w$YLb5}p4H_kQx$tnC_TkQu!Y5&svuOHq|KGd{CYvS9$Cu@Bf&TM;f
z_tx{T*EcyN|Kp6k^~J9DL7x5=x9l_jz1R**J@|L#pVjB38s17_yqBI7ae4d+TfTY2
z-<k6py?8b(TdLu&5`6E>)k$0jro~@f=f$(LZo1>@$>&b5W!H?9X>S#q`*}g*%;g$>
zvfWqr&;1&y=lpk*QTx>RTUS5X?)>_0tI3Z)Esa|(s=v6e%%4;CZSlsW>8V>&zkK?~
z`MP%N@!Qkl*XC}$bZ_aE&;QxCvP9N-IzGKOk!RwzKOFH4ws8%skN%OKwM28Ld`#~|
zhfm)*SF<pGS}W#d&iE!~T7%f^lS@lmmRyhhzfe9g;775%9Ak%ecjMcG%;tiPTPAYU
z24r`=`PX5{vp^~0A?u=~tBqoJHzb`};5s2qAn9Xm^2>$|<q?c8tp9WcKi?2~yhZHw
z&!3^6k6!FvpqXg<E_wR*g#{HmZnQI>SlO@fW?Jo@R<ZcXCHcSJ{0*BMeR9s?qL&u&
zfBuJkE$fs#-YWA;a?aoN*XRBGoBDZa_!gF~PZ!qBzIecAxyZl2wmQqJPe=H+?tlJk
zx_tWL+e<1lPYO3g)UFe^OaB-4-h1`$vblS5RxO#<b2gRv?DD;-KUIEwwX{}cGoM&c
zshqa7UF&4ha?aj1fh|0{zb$PI*~HhqG{5Auf#e+P;JF!Ze5RPq7uAl4`Lb@ccfpB!
z*O^XDU4Cbi;PPefK2H*#!ce>H_gsGm^JnXnReYpp8-HH@_GFLAG`D(HuWtW&_ou5^
z{aJQ+%Ch`c;d49AF3X$r;GeRLmS5bT4hP?bk!=eF7%xa)T{AUUh4<v;CBm=ye%D3L
zF<CNo)#RwJa$EQLY2?mZ9)E7x;cf;GpSkg;+_aaS?N^yHtw?9<MRomk=Wn?lTv`^C
zsh9GTDfvijYs|VkbFaD|Or6zrW~s*fkeN{&@1D)Os=K6S?;f|a*I4@&R2{$BlfLV*
z?fy0;=A?%)_cU6S+!KD6y{Py+A)iZOcf;eP)sH_fD_2N*9pq9M`=a#oj<0DA(G`+i
zUb4R*9*Jm|o&UG-F~3js&)BdJSqiZXYX$cGUO4GzXYA37^Xk=>aL=Frv!mpH&m!Ih
z#^EUfZy&_Tzy3A<#*0qFB?6&6q6_&B28#agXnfSuSi9{nd)gy+@%58zBbVEr>YP;B
z#USs$#CEBSlg$!7Rf&tg*UIhrzN<A-s%B4Lv2<<r>8F2Uc3f6c5ZXTH?t-~zm$?L~
zD|GrVm-(cq@XxY*z3*b1FU#j@IKG+ga9N#8ep$@igHL$^Z%&ZaKAA3)Hi2W+x0oF<
z8_!<3_IsM!Q&p`)!O6e(t&W+ww7_TX=llN_eVQzEvtf$O5~aKUb}W0y(lN>Y^1RPI
zJTpIAy#F)NF?sf<h?3satw*D(S^rDT>$n<wgh7b)YK|7;x0uaIQT7c#H!#M`>tN76
zQp{lVW6rlXZx84!k=J#|I^G$1t>cp2ze#c_40&_ES*?lL-OVjABS(K}fBLMiKUf+<
z6S<ctbWS~J7y4m)(u!pr4sTgL6t{<-usJ*T^AWZN$K3~*Vx}!oIv&*!yszNRjIf-{
zB}%D1Dc8>}cwb-kMt|cD-~IzFN9Vo&aK9rf>)e7lyH;3jU`(3)|F@so{I{zct}t;V
z9r)=n<#JYQ$jYj#J@cN-{h%nr;QzXF%d;OLN3UmTZ=Lh)=Wf{>ZArg-Qp8uz{}Dd-
z+st`83hwV&(fsI!rg-q2Z?`urd63L7Yem_go|JQ}$^VNFuj!5bxu<W{<iv{p)bC|8
zejb}xy`!q?*oq~eB(u()5Sts2v`6V<$E?ST82>DIS-J6D&CH6ri);U!*;-rn=ggb0
z|4KhSTD*w+q?Z4Oz4ICs<0oc#eqJkXwtGd;P0iGgZ71>=760F}dQz=%|L5hSAAZlu
z_{y`Kd-+ZV@p;ew{+X?wv0(C2p=E+=r!lrnaQR!aRPgznr&TwTm3qA8`4@P{Y)UWK
zxjfZqb@~pohKm1tTBb~BVEOn`F*M@c64nQ?4BMA7M{d;CoAYAcyCtltOr@WU#49<h
z4@jI}aJpW}e#g&(eLpv3EIECC?(?aPe|wf(`{?rj%_WDQGwjcITK(p<;EDZjrtx`)
zU+nzXOons#HrzAxSkv+0WQEEE2fa@myJu<4-{Izd`{t&IpUv-XocgwL+1~sAZYR{t
z%JQ4}V3M}nLIq0(!KF*oXWn8G@BjMdjQa6c`%f@iE|ryyIls&%Y$fx@iL7a-pPfH(
zZFW#{&7KFpr+XY-exmCAoMn>)8+p@}elQ45pR+im{?8)+$R49_Ge7pvKjQgX_}beu
zT9H9_=Y8JuepzZ?QoV=S?4CQd-@ZP|s^oaPq<C`ex;eMhD;fUG`MhuKyZx$5T&kCp
zOr7)TdEL(_ukYJd`zc7>`}TI%r^&LB-#4$0(^)ld-lzXx<huVJyCFVz?<9rnH~-@A
zs%p5tUw*Cj-*u0jPdfjuzH-rZ`Q2%AdiS1~b)xh3)f-u>{jw){<$ig$Rr~o-qs2Yv
zzr4M-b>?21Z7!cYmd^XU=gZse-X3q)y#Jv1Xfo&5Ki}WZ<!RV7lesI%-Dbw;vZZ%F
zU(M_439Nse%Bwl&)5|D_H-Dy1V(6RXTst{hcJ6!Cj~={BXTR(45TC$dTk&ho+d1=g
zTsXwf81sK3hgv4b{D;+-KDbA%{x(bWaLn5d-}-wEArBdwb!`77zdHZ%yZ4-VTX)}D
zSUyYi%BDX5yy*c8W9DzV)*W>A?Dqb1d*;XcIRD$<s~H)gyk~~$?!7EAlfIqJ`Y~to
z(_3GUS<XATljZE3t<kLJVdwY7u^zs@iFNN1{_ZL{pH_Jzg~JmWuk{4IouZQRp7(zo
z$D4Wco>)aPq|aaH<;AgX%1v*!t9L)uTszNEw5cedDeGiG)Z8bR=kjfTH%;LCycgCd
zJ<H2{+HV|ZT+mvTW42<=qninfI~rp<q;6=j_6Ppo{MF;l+{HWNWxLBy8%|j+aOsnl
z&;H-@<a*zE2~3?g@0QhF*SV)zV`qF++VV|#TZq;3>vI?Ga+&F;pz-a9fhPm+w0SGa
z=O2B0OX&QZTOXM^OlLp1UY~LyqvOQJ3BgtZOfhm7O2mHmY<%APa_Zim)$`^|-o5$v
z3u&p-b56&bo&0q3mhGQg=NkgmFIG>VAAaIc_?wquCoWE(JAM1apzj}hwYq0ra1G3<
z>kK>FctZK)&G|Df1l9j|`-;mwu<JuC!~Xdf@17JWnV0bNOy5&I$t%|myI6nQaQ9@=
z#1s8m%jOntUv^^F{EhEF|B&lmbn)sFpGBR<N55@2l{%+z*QuGGXZ=iU<$iltclWe8
zn|e&+Ckt`TFD-2NsW!jtA6xJ5j~%A@*E*hk?D>7_$X5f0%`3!?F*bbsFn`XLtzH+`
zd|5lC;bXjD^wev1Ni(+mWLDj<@$B!u=X18~Ui;z5NnwX&YwB`mZ=Jlrq*2&-etBfq
zZ`bCMZwEHy%-E)6A^0mrKyLF-ZoB25*o{6I?3!C0)?s^oX3dWYH$0BCuKjW?uGe&J
z&5W&fUGM%k%0Aw(!*Hg*5@Yvui<<c}duP>coVD#{_Ya>r^{q*cGwKpKH{P<9WNVyT
zb$ia%yLKOS-cOxftSjggJ$LKY!*|Tt7gTV@yp^7@qjdkY*`IVZ6wk%%u$=h(cf<*y
zk0%(@cch-_&HLnWc<OAs@6{(WCkM3jnTBR3&6SAt>)2?!yR-YS(1Z=^HZV<}qm@3l
z^xTDMays2RW=@~;_2k^r(3^`}&YlVOuKg78Vq?*)J?8a)me+^fyLxKQr0&jjrISw-
zo%<TE;%KGt-uhHPQG(svez_GK)@S_tEt6(6p6~ei?}V1}<b^-q?-Pn+O<v!5?4%*@
zN!LDx(lwKJ%wO76x^2#)xkio^(_U<@nZIb~yy%=ci&QgM?%7PNJO3j)+sMRk2FJen
z3;eWCTzjxW@wtIy^qht29ErPo^lp5-eY8h#{nL2?b=J$gZZ9wIWm@!CwEE++e;=3S
z%v|{Gru@gfUT^2;oN8S3x>G=On?>af`3V)~C+;N42q@3X{eH5b?W}&>{DMbw=J?DD
z*8M4P<%q%SrI9*Mj=t^t@VJ|A^HIZZ>QQT==eKNStXT8kMVYBBeqKxICXaRVcZHth
zI=0WDDs)n2FxSqrJbQN)&G){)Ddkzu_vlYrM#~ICPionHt+}MGl=xS!TeU#O|BdG_
zp6&TRwOr4*t)6^TZ5?avn&<N-2Yf5Bd%fOebyno;px=vf=H{*S&CiZBd3GhXx-!9I
zpTpWGmWs2JH}@*)Up+Rb_=ReEXW{iXy^3C2Cve-IV|<V}p<?&V*;8#MRo|P|_TGj4
zW4n{wzn%xXQ&~^HoB47=Os`Ydvfit|ZZghk^<rvz<3B-R_Q7{+7BVL=#>{CuJ)`B{
z2F5!{^M6-emzf`W_2z}mo$ZRJF6_>^5HqLa-lKzxsvCT@8|R4~NPgYG8}Xyc_rl${
zrM;Wa-26Fpru^&v$9rf06Rl)$JSftuF{gX|@uWYC%YMxH`>|Khs_e{zoByh^D!-O)
ze3|oOM#G=KmEoru?mWGeU$n<{>cnr;JKnDOUlpeMcC!6aj;Tka-<<nZT=%bXx8G)8
zvBk^c=Ppqdczgc+)YIaoa~Fkso?NPA^lnb#rvFv9zMj0XZ>fpb{hjj?@_#B<E;WBy
zz0+aJoH+fHPnm!CeDRH(vVQ+`a|MRxoTd3+B^v~-m#CL!+B3ag+IRPpeeIGTLDP#0
zV&=r_3#Y#IJKn<bU+b>xbZuF`^Y0>Vu7A2`O|(RZT^-+y18ZiU_}P2McJ>9|8FsOo
zm$Ijw-M0Pj^hxt4y!dZ8FYo!>`Dg!5v5uZozw1efdZW%`iHj`$3jW6?e_hgV_jXpJ
zAfsXI?#2BZVwd!D@8bV(%m3!42|p8#&TXE|B)VsA|Dm=Qt;sLCKVLg>lF?|+?R7sU
zu#0-nX}i8Brc+TWHh<p3|K40%@?YNTVST@8M*D`~3GP;6D|S|LeR;I_?1S)Zv773z
zZ@#;+A?5Rn|2G%)o}IDz-^~R^6AhM3Oy-wJe)PXGA-&;PGSiM6`*ZKRFYL&vD*O0<
z^URC&Hp)M8!scGmy_URS)5aG!lV`qdZTL~l{x-&pX@TJGnU|i=JihUybl;O@@y|ZZ
zyL7i|riJ6&*#FyS990rjxoIpqC;iu(-)>3s(zC;O9~BwSk9bqMS7KA~stqwL%hRP8
zcmH<#@yq+{d6o~~58QqB*HzqX?wpKoj2(MFKWXv0*C5!l^5|dJcrPhMyIC2LQh^f$
z&o9~7{U&AU=Z`Ne7S|@vk6oI!op)9=tM&!19IiPTAE(XWc{VfMcvk41unlIBZxbJ}
z&+y;;A~B+4ukAIa32!T+Clty5{^eS6z&ZKIJmDwI7tfyD|IBYz?D?0*hoq1Eoc+?Q
z_1=t_>l2xxIo{~JiR(>@c^j)fe^%PEl>hH+-X@nXn-lZy^U6gZVkT!<zj?Yqy^!$(
z?~|2E%scmR#9O5Ajk)JB!-khBDap>F_|1%G_a)BFiW9qV%H#O%Gb~H}yDdt4R{Bqf
zEKP|0pEv2n-H6Efm*#(;y-oj!oPzS{j;(wwdty$k(0xAP*7b_Zb1%(pOzKSjANMl7
z^=RPDIfqRz7?|HY&HU1)opFgx`KdW8&tLapnxm0=WTno-TXGMVb<c8g`nhJQ{q;Gk
zPWUdh|N3deyS^QMA7?fSR(lz})t|RC?1RXfxl4U44f5)Kh;HmyrysY%t7fjNs#n18
z1qqI^47Y5J?(I}~GeJVh%5@*lJF98kQ>Q*FH$JiY+6x{*7U75Q7dxHwTsPAreb(~c
z*f}2gl}xcKqCdX2_hj+(eQ@qg%5vwt$~pb#=Qv&cdFhdFK*_avb3UG$KK~}O`TUuV
zoxA_W%yGQELfhZ^<fks(Z(0326y<lfJ}ui6aAT*&?78QsZa(s7{yf>I+vc*UM7W%u
zFQfbZ)lJqHr+eRYem~({_Fnt5+MJB3-&aL`eZn07W$xCz^doncdC69?Cw66}2tPUS
z{>>kmYn@4XB0NbIv2RwsOqCFwo4J1VlSOl%?$tbLbSzqE?t<I*Pa5zq4Yxn3>ab(&
zEZ&`7d#+D=t7G?9rh7k!<C}SzOXWJ>PdjmczVGvB*VXsc<{7>W>2_J4A9<@{&4D<U
zgU{yr_DtPAcglXRTRD?LcKAzs-R)VZ?fCJ{TE^$iU*61HP&+Afrce2cl^f?SC@Y&0
zes-Sk=A~6PCm5_h;c;T#f@p2l+)9rxe`MaCnY;Ddj0JDbZh180qv4C6DK9x>8l@gy
zn7JX;B596uWNgB`1-pef7Ej5aJ^vHO+MRdQ7s-3i^-l`C7Bg?YFUOT@Gw18f3s8+@
zTR+iFeKF5QuBVK<IMf$<eS5Q2DUtDV#Kt*6G2dpTouB6%^K|BHe~~@W^Cs+0mC9=O
z{oJ%z>)YJfS)QCr^J`dIPv_2>VsZQ4lcd%5lG^{~gjY)G&zZgY^jzl>vj!#ac|mg(
zh5kHC`u^3fVO940OXW9PUh2HpO`jX|GFq<tzCPE^;(2A8ymox4|FY6d_IAhN=gXbn
zrvy!!7qoJ+pTuKn(fL!B&7HROS1SAd8QBvVc$Ti)u04|>>qtlnL&e-6%kKZ};qPy)
zFsa`oJS+FdTtU<AbN%MVioc#3{dm4t)T!W4e5{8vmEyF|&yNc=o4crf-jr}n)j!^I
z^xk)#{Qn^+=H?ZNtIERjg_8b12z(fmdhnwAsXu>Mk33DEB_a_!L*!r9hIZq*!iSR?
zCM>9u3$0`n?_jY1#&qJ)n>EbdKa$!O)HZ*L;XY_&zP_RA(L9kqFDoh*-WR>b^h5my
z(+XqJ-&6d4ESg(5OXAz9+C;v|b9s}3z9n5a{^ogb*c$OAVzZV%|NQ({?WT+G{s?t_
zeHFWJl92kW#^V!0=FPnR_lA7K3ft_7Dc2tEU$d4q`swF?vikF@w$Bo-x%6n=9QE@z
zCfLO-IJM?vUGlu@r#cIkg)Q8FcH;LN&;M2wFIZi3Luk_Lc~XIMtEWE^d^^`^CesYf
z%?}l&Kg^4n<20Gs>HV3#e`i*oFkCsex}S;r&)n)e|5kDzZ&K@;<Fs68q8C$M(j4t`
zQ&!BIQNzb%J<GpsW);heo#JvK3ts=9F;|)CKmR-@rs;DH9~gZ7vmj7Ddi{dy$Ii}}
z`M==E9HYI9)V}U{dpvSxtsrARWA=%#b8}2*)pRon&*^Ag@IU;a&kDPY^(;|pN%Jgb
z&v4#&x;}z)!B6woOZ0N)xV*l7O5Ezlo0vH@-a9PHPCooRw<bLO#C7}iUte53x#P{o
zPU}B~D|n>e{+=Q^>FbNXcE=mUV;UrXK5hE*Q|rgvn%9#ef(>>4G<H^eT++MCz^JEd
z0fTslq(~SG6Z@avauL5-K0ajW5OiQX{KEA23YMgXUFCZoFem?JKEEQgYopeWmkk$9
zf6urbIW=lc*ZJmT##Jog%rTA0|0fj2GR*RNdxrP>6R9UF1UD{N!E73H(fUyLC(Z12
zEOq%ED{TKUefX9f{F3Q#%=Z^3(mFEEZ*cCK(NsE7X~taB$NOe%tzUCw(%H=mcFK#p
z&oaL#Shz{?$Qssq{@DNd^(WqZ2#;AhL8I%$i9Ksr?+ZUMddK)CCaPhN{QLC>l0BpR
zB4YmtERf(oBN&nD&%o{_7W;zdQG$R_^_*s1>w}IP7-LFr?0x<qqB;40@C@hAGfwxf
zT)lBVZ~8mVlXL%9r_V`Rdv1<$*zdWCtDiB=5&YKqao+sI?N1WcEO~T)n()l|iCg`&
z!?(><jIwI{x8?JuCZ0!ArLJ3i-(Y2Zk$Ky*)3?qn`FT71>>P$tvsYos6SU^J3JW#y
z?O%2~tvTd)$BwS4^FKcRe)4KY>#1tX3BL75CZ^7-K04dvU*wiqxyjShKFiDA?qS?2
z)%9onu16DQ*Yr!AZrL-%`F5<=na!+wRhb|E@5u{2=_NjwC+6HtmzLe{b)+Jqr+I~L
z5`FQcX)W9Byq~jt=jpBLX;7V?w$@AGTix6#&;5iZ?wkHj>!zO6@#L46<+`sQ>!_ao
zH5^p#PWOm;%Kd%a^duXXjc?{U?$vVn;<|C_u7f%Abhl1@bbiwA?q{t3{S4XVy1(8$
z+CL}q_4auzC%M-ysMNmKllMC{uz4=;s!ekuzlCp`uXg@icz)@jg+G)2o)4CsaCH52
z)wH?l=jTS;k6u>tBxvoNh__LUGbYLHkV%-U`hMHBr6&#8_eyx(o9FuJTBjz<(dxMl
zrJuAmOnalmWn4eq{_CXKExht`3%2{IyxBKbwd&>qi=KI_F7vMV<luHb{C@e&`3}<p
z66Qo~-{&)jW4mVknz@s|Zcmt3Ge@<4m!9M8(-k-0X~oI)UN>UMp3gDW{qCP7!B>~N
z%wCr>&t_^)lg~VkwW06t%@>&ZXvPz<_9;I;b@W<=HJ?5H?B%C@a}BM3O32TU`26A2
ztj2}mALmD0+AdkNX2IwFIoj(d*IkHSy=RW@ogDrb(L8|@UNHTiammfMMI^3yBk%5{
zA09Cq4%8}#+_I|vSSk1M;4!8N&!_woi~aLIqvOr&O>*UjlP1pC$i;FmdDZpfyUz8`
zVrZRxpw0A}-w89D`3yG~^0RX%UHi7+-PseC^XD@hUC5`shvP`k#xFDGwXwvsT@L?z
z!@g^?@!idzThpg}+IH|u-;OBJ!w(DtC(c`!nDlqT`ST6)_2XtnZhSHQ$A#X6Pst1`
z9^T)x!eL|b27diD+$T`y{~H*P_y2p`oS0ZV(`b+A3D28nD%YGyjhwY?^CqdfviUYv
z8+V<IIbwNz?=!}~YqoTJKAH7;%I}c(UGBD$r{o(2zWqC5xogi%o}V8D61koou-@Hp
z^x31@<3CS#-MA!}@$OzqQi;X3X}_j1NT1aS{dD8&vnK~;f3tWQvFVh|@g1-1>gL+U
zS*C{dyB&<l?NWR=J7)RW`w{vVmrQ0<eR^>H_Zhaz%m?x(Mug3`^SaPs_VA$pJdq>Y
z9agP=^+;mkZ=+C$9n*gQJgIYbBGc+Z_KwK9Q(A@-e!XElp?zrDil$Wu7-QVt2c8N$
zqpi7r=F`YtXZDul>W`Y+=18nLqdjfX&(F)xR#nfDI5pS){MC1J8P~hMX!Vn7@teiO
z!22Xn;Pd7-ai#SJ+8Mho>USUi_hwnlT-Jk0{jm!=v*Nm+Y+kH4e;$kV&k61mCm#5g
z{6BwTrZkuJ0*^mG7I;L=IC69j-$t3uk$n^L@66@XH+ZW5@#LJKIs6OOhd)l5C9vnD
zuG&Gajf!bWGn8+>xR~fZSNU|Oa$VnS0T(9qH6NG#oTJQ_lT;BJv%KSrXr+SUHnZ(}
zZyah)(qc&bb7TMGdAx64DF1lzck_?xlMIzJI6szdaIPv?n^ZZA(`MxY<3F4ea@k8`
zdSqfaX0XM6V0aeue9>GdF@;FG2cFMoO`9(I!8B%$__gO#UaWpP<NeZ=*JT!SC#U@T
zDPS^3e0>+Auz$|fXPp9le4Ifk3|SKnO%|9lSA6@VBKIR6_j9H^`TNN*{mG5WpMTy=
z)SJC<RsH8FPeV5`^uO9N`*0jcUSA?B`_6XVFT39#3EjffUo-XnbtCD!-#>ra_+!e4
z*y>jOHAj9aE9Bgt@_w)9=9I&lQxum+rwEswVOu%PY3r2t@AWpS++m#lB4{s1=bL%*
zC8`>2>(8*o%stLGHQM0azIm6dDz#gdaHK~X2~B&k(@(DRzAndh`}uPJi%hpP^M=h~
z-}O{r(lV2$r>1pnTW&HpUMk3J$_G`y{GjvkQ{U?<clG!E4cX`?ap>Qt)Q$bWs_N#l
zzIi9H*LQVLEcZ#S?lWw9_A#G46DDn3S6??rPA_?`S8GY=nimo`=g6&02}^l$a^sYD
zcU3i_tmnyv&Yp7W|K8cBlnS*gr8Txl&aZzyrE8LZ`I~LI*G{J#d)8STwsghW=|7*f
zdfwI3o-21<ZBFz|AKoda?#<P>F<*A)^lr^*_a<iNG9A}>+I{udgp+e*&)=7vk!e5u
znR?KU<d^v;?LW_x4J!R~N#uQE*r(QKon`&<El*a&%#&UIyJv;IT=zadsos6_<t8=1
zxW6$pE7a!hde_<eZU4y{>B&tywe@J-#=R`Fk2ro@&su$H=a-PZpS+Hr&zQWi@asg0
zFVE(@&z<&l>V(WaX9}LI<hr_MzGjU2ImOlUc1d1n`aWgm&jXi_FI0N9f$_rASv$S#
zcTfCbdAOH<>cLIfFI1ic3As&}@n^!_zK64PIvF>;FrRkl#zeMOrjC;D#xqwZu*Ck)
zTCgVm#*&ry?f1{vbF<;po-Mh_3tpbzq_n=GA^67k4Slv{OfzI#Uo`mKt!TdRsQv28
z>EA1uCY@$zjM0q!Z~p&-&y7_N?eA$^IIwxbi3Em?7iK=>+!1^A#*GPgZC@{RxcN2Z
z<IT+Hla6hk5R!ac^L(eCecg`kqp$6yk~VcP6s(xhH)-m|jmh&nH0y69ZA@epj@iW0
z#n$gUd(XqIYgT<Y%(pY_U**n+n>(J^{hPq|IH^liHgduJC2ObOntJbf^YZ&gSQp1l
zS$O65jC11rH($iul)F1o?(DHU*|nRp)=oJenm={%E89zx&rJ$${ARUn+J)_%XMRk%
z5XCuv+tiESHrUL%kzIOc%F=%`IFAT~Z<@(9OCVln>Lt~mb8c2>UfOs>pmqA*xc@g+
zY!UB%lrzEd|C?D{C!@@sKDn<edFlNoZ^;J6>gP|@7rE?TEL8a{bJL15^CT4h%(Z_u
z`SL`@In%GGT5_6CUt2wsTZVDMI;M{jn|I83df0W!+N=L&aZ4~xT>kpQ6-LIGwwRjV
zJLji0B)V|!zriS6w%}zuYqLwa;ly3brfl3imnV4Yv@5BvTmQuBcYN-ic4Z#V)cQiF
ze*Xz>Z+3TBKHDH{`u={%o<lBc`p$QEysQ1VFi}fv>h-!kJ6A;{9lq1MVM2Rrs?JOa
zmaY}2r``Cy;I(WeqwYfevx}|to=so7wnLJGF(LW?y`+QhQzUmSSUj=h?}3eXk1*9p
zEIrlr>8X9BWb?$rR?{gDpFWN`aPa(Q`>Ib&rzht9+^J`KEO7FU3F|919u&Rzv*Vj9
zqk05u%!G%37Pz*t#H>iax_Jesod9RdIf=<k))#K3l(I~l&{VjNDQsrQ`H8(*a*t}w
z@+W*h5goIWS#3l7D#;0sulFS#ikWy@D(3wS--L#kiQC`oo%PYb;XL>Io+R;&J2qUu
z%N!#f%MjPJKgqR~<=6~{CtCKK7#a^uWsd##yd#$36r1ef0}IV>xW$~EAuYN_B4!>-
zm&NThQy-m7d^@?V^7*FMDT>dYsYi1N9-9&SSWRug&+O-$Pp;sd`Y3L$!_GY|jI*aK
zdOMwqZR#=IpDR~CSKjn=+OxlVud$v8YyN$rOGa|`Jo|4GK0mqKHD&GVBQ^|MH{Skt
zg4y@VfqYNa@GG~fdvCnHJ$=TtPh1DvvvfJq_dZL>oSt&~Q+Hl@&Xjw)iW?&XZa?3!
zTQyNw;KBW=>(oWXqNi7G)w=a&O6}jN64QOAgnv>>n<pEps*syMU$yj;Ovm(fu_u`u
zw*_a1ZnYB7c`L5&abnZc34AM#r|)%&y|rThtW|gFCkA`A{!(APeU@kR4%^?`rp}t?
zwb%CduAb==TpQPH;aB%K_<Ec4-}Jk0BSQ|(jrZ)CykzU<t5f1Nf<LcmzPLC>+MBEU
z-xjIezEk@{?@fF+XI0t9RMV5itBN-)sazHNk@<k4-2vv9<4H^#SE;ck+cyfjOxm!g
zC?o&chu$O7sj&@r?VBp~{bT+d7A{SUnfBp)!*M&8?2K8@7$gr>>T<{I{Jrkv#AS~s
ze^fu<s`ZI=--6TK8`wN-7<3B*K3qsL-np}D(*OT|W)vi!_;Q1B!(;LKN83&$-QBCa
zVuO9z&p?LDvH$s#8RHaNZuGvNakVy)V?x2-JwGednb`F^+3ePw*!`Vj?&Pngom^2f
zSv017-TQq)X!V@`mpa!xXm8!%9Q%2XYn@PbnDDCBNUl{^w<TIUxY%#GV_~ddqwSaU
z#gYeuj!ybEt5P9F_}<j3^6QsYUEeoldC!*WPP0FADvM7t8BRO4UDxm1jsw>J+QdG0
zO;uuEng4v-X{Org$G?AKzu9{=ZEn@v>gstczn7Hn^{P6xsq^x*6MI=B-%Pz%I!UR4
zd*Pu*_X8jHO+9{{U;7vP-bul`-_9(ZCQ$m&Uo?NuIrZSzmcq9;n(uF)K4-E>OLJF@
z$di=EMH^!OzG<61StRGvN<r&?Q~dUM-N?K)wQW_3#-l!azZ>_zJzMl@i)<PLZ_a%4
zxduD-OliHUZZs+T`QGPyUGIO|P?fbQigknXe1j!xj^4X;(v<s+aQxR@d{;pu61p7Q
zqo<!(Ub<tcZ>Gz_|3R`o-===AdK+K5M0vg!gUPFqueDRJwu&eHnJe2pO=R8Tqx<fi
z-YD8CHfzoM`+w#!{e2@jBm3~njz#YZU-jPD<nwGv{o@^vWUsM4l2Iv)e`WW+WAX7n
z6BhkD$;kg%Q0vXc1EF#!S09+Xk!ixZS%G)fTzH~xR5-t*_;qvrpBL{BF|9GJ{^P&c
zX8wZBqIN7BChu<4+Q_)VTsnD8%#Mbq$IB<E{p_6d<YmAWAHf0><~=bYYdx4_|L1Ic
z5XCa1Y}Sm%&^0USx=#E#+TeSDCB}OJ$Ep*o78e7QiX!KqY-bfosEy%Yz!9&);A6>i
z@7CgwgR%c71aZf{VVFJR>9Mp4$seZg=(SqI^5gO2nQgL7YYT2YjH#b+MON;8!&D{C
zf<l%TB|_f>6$DiH-?zP<Xu=>m(^htU)9c8Vdl8ZwHp_3GK6_>C^$7l*yz>7Klq4P8
z9m>%fVa^g$ra0l)`R31Ae@<<f^Qdu({11(!J2wLRr5oPgRpFS#Jt2C^Ea}M$Ckkxv
zV_<HSFYVaHz#YiIzh|eT)ZdhtLI%l6OP;(uHf77^ryn*i6sX-eW!v7Vt6o;WpA~R2
z_1PUm{o1xh1*Q&<UYUkP^?NRENt+#LJ0~e{(&m-YqMbe41q>F>4fx;1JmvQGO2&Bs
z{mf4%Y`rmYPJsTkIn(a^U~I_UIPK2#Pbc0?Wr}!vRX6$5xhZ%2nYhbZkDt7;i;GkL
zBcE8$Mlpr%jh(#u4EnbjE>--P5U;#fC83US|CSRs6pP<qsXsO;?n2q&*9SZPpOny?
zv0r-=gZsxw>D4!t=N#z}-^kGN<HhX06J7_CiYGkL@0%?aF=6T133sf*cJ=)EdFwdi
zjC5tCke^#~US4%)<hHw$cY-nLD1&5Ucy-u|lqZbJjcj+<Y{^$<HG17J^{~$j7O6t*
z8Sy;oQ|2&UOIkSPq1}w5pP#5~of%ZVXH~n?dgjtcEY+49s=wAeR5P1+^3x#&rlnDN
z^$%iJ$HX1!3}2VRQSe0P^pp)X@{IdmtrFaAp%9qAa?U0L?v};UH9swkC%5G+3jfxT
z-}~ucQu)Uz9Vr`^i(1;enbcKj;J$0`<~KcY)gAFiXFBe(+~}XgD6p61#p{X6KF(Zw
zCN+D?vM^K}o0xj*nEkg|wtEgNkc#=V$eC+LPyN0pmggrpWHUM0GsXUo+kN5RNrtc+
zf7F=-|IcwzR+{pAhU|gZ|2DG>s)S@NZ0=;WlwQ4pY4>90$XRN~Cm((GAyv^<a_&ur
z6$i@LlNmPMmRfaSr~U?um}57@3ePPo-{XCxZ~nxEt%etT6&REDxZM8sdS7PGZ0-rY
z<{ufp-QD4*C%_W(*J8roKQaF{?Ma`>wP&V!(oDV+A04(dZmHfQG%4cIqyYJ!7P~$>
zr6@0(r6@D)A!Ghlx3CpP?3_tue|9*YU-;r6W74Y~3tn5U322|)9{c~mymCRsyyO&y
z7b>z_w%PxmvE-!I=gFH-aP>@FJa0!H3yb=N7_s$RYz{GP-kvAKq|CVW`tAkY%&bXj
zEXn^Ef?^q7?LTl)&2iDs&4(tmZ+`G-{*=DA{u<5SrtQ>{bolpY=})7)dmq2G%jefk
zZ`}RVX!Fcq+5VrdtM<NW|LwswlR@F%)FbcAr}UhZmVT0bZaQoHpE>{Ix2Me8^KOlj
z^q-roM<-436?y!vb?wVZ9IEf<m%e%u@$TNC<ytp`q^9+HC!C0Tmi=n7g!7bK&qFI$
z@on|v+8(#ZZ<0;1;qy2BZGNf+hkiz`m^<nCJfrNpQ?1!Ll3uIEE>8MB*-mQu>YaO*
z*xxFj_k}COb^Uasdo@#L1aWT*vA?-y@!apr?X%|d>Q2%)JjdwzyQhXC-5e@D<r&<&
zd)tEJ<{SNf;`?yw-Scyd>h>BhdlD+!aV8~W?bM_CtB>VA+Q<I&mFmn%Mql>t{3|hG
zek2QbSG~LBrMd%`&+eGY^>BUj+7pa2laJ1Fa-YB$Qz_Z8^Zt>D<x@BAw7PeruOec{
z7JKU@`!+G$TpiZO(fDV^{bMRWE*)xFC{tZ_;mHH080{ZdH!iH}FBDbaNP4kIGC?-^
z-;?AMB6lm8etdkhVpZY8k4ERZ-%puP64$c+<=H8H)7#e9pX&Mg^LXCW^Q%s0yG?kJ
z5>)+^SMOxDM_2N*BeOg;YtDMloVs+HfKcm((0$wYZQe9x*UFR_^(no1!PEAgl*pKG
zl(n8`d+*!;mc3Rd?JD<Wt}v;-w%}t5gZPx*T~8U3wp?3kWm(iVFR_w=_c@=Q;g)NR
zQ+`}ME!1&Gx2V=g;M<fRS3Nf~2+Vvot=CJg|NCi$Gc7N*{!TYg_L<(h-1dI9s_$%r
zGpE1!Ep=V^U)5rV`3?KMv$p!>ZtIWt-~M4i{GKV-w@g{+>C<-atk^M&n2E_&dl-M@
zIzM5O-y^Z%jznX}wVx}d_6nbv7`t)8Bc-6a-z#^Wd|`2@{9nb+h|LQh%l}w^qA~42
zl=x2$t6Mx1AG;lL53P{;aem`G<8x&*rXEi6+rhj0U^2tHix-j`4kYx%NH-k%@%M!-
zuW-`8^v<Hz*aN=be=wbxV*Zm+?B2{Z8+y81HiqWhye4*Y;W2@RyAx;0UsyfISk&Zj
z!|OAXess?@zPhLLn1gW4n>Ux`E*|Ng_<l-^`u`5$^ar)>0u09*126x``gZYO;Utf^
z85dk17L-ktwrH8`buVV+vd`_ixKEZ(_0^jqsy$`JzB||SDwFuydzX9NV91HR^(gM#
zny20pv%*gHA3eY3UyM<vOSjOwDLVIB`n2+<>Qz6pk8bF5e`F`tY5eC&QcG{}BnH<X
z7dG4wkCbRRu|`&Tq9LQu>-N4W3xAqEp80u7!kQTw#|l1l^>;j;_+jbEB^w)^x@U5k
zOa6R)Qy`4xM9SG6#_KvHUc5R{efQ(VbrutA|8c!2eYl{RG2;I`-nF?srk`H0zbif8
zeQ-150;z{ACt|MqOfmSk^YG_gnaTy{D%g`k7hNozZnlwahq;x-w4{lqH=<&`-q_#q
zT4Iha_cocH4~%<a!Y4aOwyrslbS%a?>D-R&=bgtycklS+R{!h5v1YDj*$)9LOsf8P
zPndg<BS~eM^zIMGPi(6C@H1)3nbp~>i7|`bvJ_02d-UK=>l8)?-6`{){#{kmJ>i$^
zNw!j^O=njBubre(DG?cI{Dk4veBSxD7pTAbu~d3~N`r0ZjPgHoc`vCl#-8My&yYHY
z*ZTjrPK927g(9AX4~+Du&bLU~JnPQC@Ry~Blm9n{SWS4FBrBlw!lAW$rq%s9Gm2i?
zwFfSeEI&9oY5w1JCk@t}*!AiIV`EW&?}v$w4`(>u-?Pi;=ERSie~HeT*}U<fsnaeF
z%YPTwCNG_|Blbid1Mk&!Sw<F`lazX5Cnz0}zkYLy@|I0A>Yp%pF6=X1KSMZT-iNI@
zH!{Ahbau6>`V-N)YW`{MyOS-t*5qA(!f<xtJ@faQ7g{U~ncn}Y(Ze>6wdc$32{$}f
z>M%IZ5$@RjS}9R*<@KJ)*$@7lzr879&ZoJo(n+!Ze*K>Ipt95W_(bk!o$KbzyxX}c
znQ3LVFV|bMhbvAp3351Yx%n@0;xyArsg><1`fTdk0vVoslsPTBGt6od!&BzOof`7%
zH#k(BJS_ftBHIb&?#=68pJa^RDN?^~n&YR5&u2|^NWOP+$5)vJ-vp!|T>iiQfJEAY
zo{ul49QuDjdes4ir2B`?&;A`P`zQ8)W6tw?QLmrQa=0HEeLPrw<5kr?y9EmE|2Ljy
zR&Fn`|Fl}_-^o6Kr8Rd8zu!8iy2tR=*4}z=A@6%zq~1N1=IE`TBysh;+uP|;!uN~b
zZlC)2yuL(Y^tHa}5~pkKGhIDtz}%LtfA^$DbFa(OX+5i^8$?c(@s_<&w<B-!K7;a)
zNe7s_C5{y^CH1ZkJ*k-Yr1{h)rMk9&^QEukdZVrP<n_9QFALo(GchW{|5{7V&-(3c
zPwy12&sPoM_h9?ql6~j2XL#Stmn^YQyeiMOm%q9cb9Y_ey1(z7%hGR_=iDgXRHXEQ
zWnQt?pA)b9Bz9N$pU^hn!x;0JS%ER8;QQ+nU!LFWd()V6C~()Zw9Wf!-|Ur1I+@sD
zmvlPjLPNp#jh%TLUTu-6`t~&P^Q`^z9)y42bEczj>f`#l>^+9t*R@^Wvua!4mc6w*
zpB9~*qI&Wv)2XLzPg1y_9kD;T|9^h^kK&`vQ|#~U`G0#_X1|7OOkZJi-}RKFmM<H!
zQdwR!v)zB9v3#o7&TDKZr%e@mr`lMg)ZG0z)!?$ubhr3VBJoccgKAGpU!B@?`THiB
zs%fHkPOkVfwd+#3QP+oGzdj|bdlG%sbMuuQTk4JmzqYPiQ{0yQA?fLJ#>@NNXWLA1
zDLuK1qi@dFBLDQQYO6vfFKI{&`FL;J=CvX3{TlW^>*=3Vykw)cZ;I)$U)~${?vH$E
z+RC_L{ngKtRhhpfadV%j=6rwck(1c+6Jk?M|1V%ny3pqOYm-V+hr?gt{!Z1EC$2~M
zb$Xe;?%+KfJLzfOrUz3loM&f@={^_JH@C~IyJw5;r*}+J4W>6YxrkR^xbkmj|K{mW
z`zHq5U+-UZ`>?V7{hp80Q_gH!V_Y}2(dYl33zL5|R@!vsf0|HnV#CcB0mpAnetuyq
zd(5Ww4Rs&>P71DA;cNbL!nA9LWnXXKs>Z1pdFuGu#9Xo2Co<M=s8fDmz&_DXBu4si
zbMG$2<JXV8uQ|zZyuamc*`$3F-?YqVzx(;h5$#y9lP~RWu*7Yds(X!Tt*!Py-ihlr
zPBLVEl2p2Tt;x1Mm;PKh*41-6UH=Blq@7D&?Eka8^xK`2pUpN_mG`upHT3IuUMQQm
zeoceV^Nx;W*#p09`!|Je_$0r3<^K(=C)QSdV2)Yv!dL3?@0HR?%U+aD<2AVPE#h|1
z%|A0`l|`!dw+BqT#(cQJ=kJ=`$B)M}E=Znf8Nqt<^u`NQwZC0B;x5qh?VuU+)MSR8
z>o-h2&OTv}`;P~{di^aA*poiSOr4N?eDl=RCl4&0r8Gf@wKMw9n~P`q83a{+Oy{v_
zJ38Tlchi=$6V!L~w<HE8-Fm@m+jhNyP4(t=+nbD59XBiNKSUp&a-iyIZ}YcXXVRt}
z*s8aG_uZr`NB-~XiaBt&{D}Z#gQfZUp3K9BO+i-w8q7a;m`HJQU)mhJx<fj7m#i>@
zdd%z&ty8<Ne_mCZeXM2g3|6l8<9(ACtq^~|k$=aoV}&<M@`XZ<X?igJNy?h=@X>@R
ztjYf;xW_VF`uOfZ%Ke9o6K{X5xv?#UQQ+jH4u<6a=1Hu{{}>u)9&NmTvpZ={)7u+<
z=Er}=GT!i?ec|=>;$E9a8XY#B+y6}E5nW-w;r6GclRDk<>keBT?XA@dTQ9M>u}OaW
z%?!2PeSGFI;k_M|4>C8N)yum!YlA<x`kdsB);Sx#-tK9y-Q;-fUi<Uj1#>z&nZzR=
zuChMbu|`cn>B)a}sTCdTAIA1}i!nL!S#quG>ZxSnpE~V*iou<U8XIS=%KBs}H1mgk
z*-EwZGtB!sj+pK~dFpwCvTYpqCC%d#A3R^VIP{4_%bf2KtWy$C_x>!ezOnjo(gLj~
zT(`gfIoi5CPV2b5<!X!W^yhD5-XF~SnWWmcIHouDQMW<T-Dz*LcS?4A)6D5z@>i38
z@=d|_f6Jc!?d9_RTBI?x)&C^J<vz2r`5fzW`j-4vcTmlqYS|eR!`MH4b(~!9_tVx!
zeP-L_Chm(i`1$SL^nYc&X(xkD-kBC3ukCmLXGVF?l66z#crRr9nZN7Y^b`iCnAQNc
zuq`F)^(7}RKUAK7W7?#HwG0dIOxym$r)SYLhCR2YnG3S0^>gg}efS11bH~DGebfFt
zNIo{ZbIQrAo44opf9kn9=lF`L^TXH0_Dq~VJt6*Q^wCI__QHLarcD1LbH(t#m3rR;
z!B0B{zV+zWRZ2K->64A_%}{4B+u!O_as6H#>)z|1*!N%cpWm~0^%K7Kt(Ts!F7Npr
zds>gFZ$j)zjk0M~<#T&}uZ}jmw6kY|-d(<}x|W6hcN)G<a_qDC-!tvK-S%zyHaD$G
zU1qAf&kpb1dy;2L@3il^#|)SIhiKkxet*1oLXlqY1p8x=sl9XTy1T=AW<1x9OcL(R
z(Axdzq-Bfh{F96aE}!3!yuYHuYV*fc{oM=OgA=lAK2E)N+3@oZzT}Ok%>_SjHa94;
z-25QgSh42D_F0L&d+z*^kF{Yq(X;IKk^_&Ee-xgueZaqP!S4yHAL+J)^Cty$pYqzW
zcm8~lh7+5Y+(@66^5*WH_-hj-b-GULa5NmVYh?K*e=oMGlGXiw?CUeV)ms-{E6q6>
z{O##9!`|ArJM_*^w0(b4V^L3|vc{VFd$G4CWS^hl$N#^(x9JD#`ToYu8jt^MpZ}(>
zDO3N(?oS5dhjr(@*kH4Ak62fe9Mh^@t9Kpwd1Hz!_sU%#r^WO%-TJMX{weG8v<5Hf
zzPk523M#t%61n;s)OHxGdpx83$1+p>4GX^gFiT`?`crf9@x2KRA0I89{i{IS?BfL4
z^;2!xnUbbXOX_d2-FY(X!i~w*6Fl8}8Yb<`x%%<KVJ*9}HM383&hWPgXqf-+)`rgG
zC+{bBw@hTRIWhhGji9`HOFm@!_cwoA@L{4r_XFj-le>;LSAL$}FuSK|dG_v&KF@w!
zXl2}Fb#&&tUpIC*`Z8>}Hq-m)#HZc26YQVQoYEO*{$NGSzod*EEv0W(o_*92+?@Qs
zisAmBpRI}Cl78}<Z_;mgd*^NyZ)Z~7?j8Au=JrHh?`!_`fA)cockkv^?)c<C;s3oI
zyUsVYMBY&S*cr7kXhZ0+jT3*?U+XxqXNQ#9g5PEwC;nP;L^e+SRMnBw8*@@CeoyQA
zH@&ge8$I|KKRll;xN6Ov`%#=?dW=bCn@@a7?_Aq4ySQtOS@-O^IZuK%f4DU7f_{IL
zLg$UVAAghoU!1t{U|wBYLqkl%>DbLXCe<CA^kZdp_J$ux(Ord+3-|SMu6lHJ&&Gtb
z2gc!Vo(Da@x%0-S8%sp5F<zMc@#egUi?ywc3vPbA_*d>n`U=sP`ttuTs9R3BSjPB3
z@c0CiLlT{76D~~1*<o6LWI~bXsjd4XABXlcJ~(*1Z~Ymmm8y9vHx{oGT<G0fI$zQ}
zMd)u|{G=IT{iVyFY`Avhzv%jdGt+vb^lD=NUwIncxAWsRhxESg)iJ#(r)NIsPrtS)
zqVP)8k8_{4trz=#TIj`<u=GAJZ=sw2wwCXEDw)xJ?$25A=e>2W@AdvuJ>i|bK54(#
ztu<RJLc2Fui?YnCIj}q_W1CIGMDaR_8y06DN5B4<eA6*|(}wu-C!(fqy!yo8X1~B{
zzY4v$Wv5@BYWu0%z;nNkqjZz-!v4Cex>r-<`vt!KlAD-!b5X_huy;?D#kQ(lb6<ad
zlhK1M6=h391?P15Ejb;(ZmQ2(mPo%@VfXHCU)q-BnKVr?c4e?g#k$_4?eog5LyvVQ
z9!&3lGrRcG-m;t0!j)A_?^{0p>}f1($g=BbeBO}T(>VL*qRVS`a&R;DUue3uY2u=%
zD|dap!Ps&4uzE~?(akPX|12@HzP2|puO7^lGPE>0*;VnAA-1>e&E(`}j+lm`J&z*h
zAGuk-XKM1L&PLZ?sPq2|82<m}PiJ6Y;9>v~trgk}RLnn_wv<0jit1#j{-><+=6O=t
z&*u1^!}XKmCNg~Py*StEBttmM#)Gomm!f@Hs;i$Sy{&)#_G;^%<-49p&FpE_Q~qcz
z&HMV~o5=GGZ&d_8&kPBD@=;%+VDgk`iMls^Gq0!?O`G3jsSuRYvZ8jAMoI65Pw{mR
zrW~KRYu=Izp}$n5dyU(zr#Y4G^_UdX-@e=@ecSxzTF-z~lP@QuTBknoFQ2rk*z`{C
zh3U~ZFMNtF?A`yfr{~w)zJ(FTYnH@MFPW6`i|e4~=7!)MJ?;0p^qz0IvgfVbvQ%sH
zo{7^B1gv>dk$g8$_4kb0XPs`7UHu#Pi|RM-PrCSpal>=bi7%UcGA4A+?O&gD<wQ)z
zyaNXxUEF9|nQ&=to&Ekrl{bIx-+Xy{@`2;Uhf@E1xlu8ze^Gl{#!a1-qW79l=bT8s
zHzV`tg5-A*3-;JEX2@{FbjC7lnv$XV>mpMuLw95W+k_1tKPk)4tzMaFc52C**#9>^
zr*~v-?pU{hVWyYVn~wz>IlC_ZyjnBM_`-(seP?GKSXX}Vb<E=HNr%h6)^z2xHSFQD
z(%rMr_ff||e+$bsfv0XC`n5xB_eQaeZI2rse!eY}zSA~*&y4LK6>q2}zc46&b6<9&
z?!7D4H!j9ZkhT4NA^Et%jn;i1MSt-275hzy{r_>x%^ca{p630NTw+sPO{cp|=hlq*
zw)n<^WabGfM>mFcHT;lmyl}uG_J45)t8Llw8Irt=G1a}Roe#x0Zaz7Qk+njzwXcG4
z#`D6y@=1Z8n^Krm{`@@A!KN0|vwHuV6&L28oKycKTJdHk|IC<Awd^q`esC4^ca?Wq
zZ@$=ne8~enyRb=rc}|?*h~(J(GV18;*K$((Pc50q&LG~=;L5<dXTgMBe4N}(tCRmf
zSopk4(cL%sQ^UL7x{8^hraxomPIY-3VR~S>c$v`5qRkiTs`h-jF-_{<M~~HkkBXDK
zaw}Hccxv0nI4Os5g;wn56LV&F_*U%PU}9R|v+CLu7tP%ZH&`}Kv;Uj=?`7)elREO=
zmCOqstd%$KE79(r(6zu>RFLVwafZ6nub+S3JaMio>w`}Xd;6AuOYf=rS=3vu)Y0KT
zao*~K%*iw4_dIUrySsnMhSZ(h=TC0Ud&9Wk=Io2yUvn;Y-wE!iEo*pvzWIZC$K`Ji
zF7+M1v8!*!#J?L){EmF`b-&VNF6X$S1MNq5=Dz;9dCBJv|H@lS6fZGJZ+gh_Z|8pN
ze<y>BJ1Woh^mG)=n_&Fr(YN`X-SQu21+M6hPVboETEOsVLLghG`;i&?fiH~TecZUf
zOO4~{{(q+ogFA&bO(>nRJ!Qw{*gsRce#}0ZbHVjV+pLw{(``Cr-!MGfJ^R$j`zccW
zo9*41`TZK2XUt6Nb9ue!)uT@B!`87Ug7P;phIMzUGbUW_bqkx&_3?I4*0%@i&u&QE
z6**19f5x><3(Pm1>|bDe<NSgrXD?b*$L;Vxf9sX@y{=csJ2EORzT0po=|ucIgE>F`
z@8)%#5t;IPLH+}AU#|&!?wyKd`y9i){=undTQB)C$lIOx)_?i04CkZ;OQ$R|kMusZ
zY?|n%+Y6d+Fr}>!x@&WrYtO?|Q<RywKg4{A{lBBLi)+W81Jn8+gnbue;u4$Ruwq(g
z{1e543p`SoYkM*$GYL<%7JWZeZtDqW(f&@4zO1!o{j<xS79Zav)y>eQ)6+1C^F{v|
z%Nu)Mt$2RbZ?}se>q{oLvL|^*uN}W1#1Xvj`)T&pttoo@dP=G*jii@M-PjkU#_@dr
zRE@judxIwlMfS}7{%@L6P;bxnm~Wpy$>dJah<<v-VRyX6rhR=Sub(({UEQO5(@4Ir
zXSY_R@{fM0Xs-R&w*C3(FWY-{d&Y&DyOAfQ=T3M!tFL?izUkp}L#;RU_LR--zox&x
zbyo1FB+dzeb^q@F_`NlzdgV{k$il^Uey;Zmwfob5FDq{ACV77@ktC;I6K5-#p3J=D
z#dSdJ|H;X|9UQwO4}3iQD5j_7B!favg}SF9OH#jwc}miZn+xXkR(g4b`<+N+^6~4c
zj=KGCy5{buoM#VgkgtB>{6=e={+^5TN<S~{vJd+*@$=uV<fW75=J}q{Z`Bdpbad^q
zN$3CX?zz10iCy857SDv!Wn1-5Et{lwe`;^>YO@uR>!!xNS2_95`Rh)HC37t8PA+6~
z{Id0$*ZtG84)hnt=C##b?=9LJ8If{$(_drz`_FUc%LWwn7VqtIS^Xp-@`~&0r~I0|
z3$!vfDaIZz?<wBhw`%Q`3@>%Iv;CKuCgcleSe}bCV47&o(;f5q=j9hK|0_z}@X7D(
zeV7#4-E;oJXTF}uH-3#Ow)Yr&dp=~w{K!5wd&`CWk~{By;&~Y{!|5<fhv~#`oQe$0
zYqm>%Wa{ahzCl-hQ_O=YEi02}Sjeuv`F&&3Hx}*6Ly0vP)E+T-a&){YZ>g*cjOlbZ
z-nl5x;8#rcp;K#^U%i%Vt3Gk!M$D8;=aPdu)Xq<1s4DANc&5iCY=g+3FRZhE=E+~~
zaouCM;7i}(?B?YEoG${uZ(O<M*~w7zO$rR>E^uf4JKS(|I&;>3?F(xb%J=_wpL?!H
zE@FjYGD}Cy`F@2r+b&OkyC6f&fuZ^$;~&-=8<P5cy&Yt}t@!filFYoH`SpLcWE3!W
zT%MF7C2(L##?_>x<r$`7-&O|6^vSNUzhHP|_OB||j_>~~7SHnSw8>HL@cQ)A|Dxa_
zu2*mW-}rcIbK^p%MM9kS?j5Mq-qieQvD~)Hrz|@p?Yq)qxO$`$CMPrO`r>8#@#FFE
z8C~vc7~Zz^D6w_QiT*#~c=Leo!K9rH^A3Mok<fo4_vrGBtm=+5!%lv&>s)ci9w;@R
zjq$q7b-YJTB%r!0t!}>X*%zU!D|=G2H}@*^rtYn`U-P!S?qG*2_b<B>hW~FJ_;KLz
z`HoX31$;j;t=`Dh;j)48rkt8U>`x`T6~A*n9<5us=g+4*&n`wP#Qx7!&_9>i@vh6`
zP}0Yf)ol&0V?VN$9*kvd_+D|*b)r~H+u4V;7iKF@71$cx%eIGUYMipbx#afKizk^z
z_pm3%{$H`@XeX;W^PI|RmM_0NokYW?yv#r7-4pxo_pLhp6h0=t)$@;@*q-!)FSe&`
zTf?`~ja{?Um=vowI<NWs;&gXs^3mr06O4ijRkV*a&S~0uf+4d*y-&-0O}CW;W6W(0
z*Sdq(&Tlv{i%GnvZT-o8t!iiY9sL-wdctGoX&<y=H=dAszIu<l#JRA3XFrC!bt`tI
zFtGNieR`B-w`Tiw)0^E&XPb7-Z0&!-VE^8Idg>y+bC-+n3mL6)Soq;t>(c24(j8AG
zDyhzS6l>hNeEyn}Z*K#-T2}5fZ*w@wwQ-rPe!VK^{`KvbpDneW|9bi%vBR5X_1E!j
zstWHF307jzf3w?gqQ?w97S44w^H)vwUfU<}eEVOPpzRLKHdohvogd)2W|`fm{a^pT
z*|Oz?f#({=Kf0R?wAXF73UR#Lx+-;B@g$4Vc;39532WZmGXI&byxeyA5u3Gdd+*dO
zkJ<Wm)#?+4(z}D!pGfAtacS19t#kHpq~uOwXjx)c`--P!Rm`UTjGnulQTwmQZ$HxX
zYQllg$cqV+JI&6WWsUtG=lkHz(Y6&QI<_^e_;DrP_+WWk<I12j-G+^;RKlv~aZEA0
zx#0BrGdjm&euUKhyA-pgHMDEy?Kh9poS)7N{&rychh)Z2bM+p?{7?RO#r^oh$Gd;t
z6WF?WA)9)^=Kc=BDsi9owUr0nn3ny2b7$?Tm)Ys9`#-k&Yt8EsuwK7mxn$?R-wnaa
zT%Pay?&+SMH@Wpz#r88#>XvRPI{j>Q$tTISH!<h#wFE!E%;)>;&9(hcxY~Q}Z9m=B
z{d>oe(>guE(<f-Wxp3{o8n<ha@#W9^g>QcFdlz{<YW02<!?)4@KDGH|_6q;m8dtro
zSNM*;czAcO+Y+VaMtxGtS~hR~<eYyhZS9R#`>=}%KiF2-K9qPd_wSz{&hkkg*2gle
zo4C{JQO>R{3svR$&o)dKZ#|Z2{W-NG=EehUz8|i!pI>;-|G6ZYv-!*fMwtgr3wmuM
zV*i(K=n{|MO6qF}wAJs3yurxO&@`v<a-)B3GSe5~y+tk8HVZeDZTvsy`J+p`i81Zn
zn=V}HKiF^BII-p6D?!(o2@~okzvMmPAnyEga$tkd8<yV!U2UgM_FTxG-E#8y)0kei
z=RM-8m5oV%1?PY2+BrLS%AX|)=cj(u5U&${x9WV`%d{&B>)&o#sZ?EdGHT}Munx}I
z?dvvQS4jIQ5-QWaVdDRjZa**d3Ns5%U;X0x2`1@=U60pINzH#4n!@s-ex}ROw&!gz
z>Kq?Z7$ra29dCH1#_{hOdxz+Q_c4nvypiobaJgm6W5ug-?>%<6>T)ICyBlGx-(i&W
zaVt~9($-fGW``z!IuT@E_G=~cnv3`Q4_>~TQl)u%!NwCIT;E<Vkh<Au$y$_jZRaoL
zvnP6I2~1zp8O*P9l6S=vu7+!~m^gD<U!)vokbjwOI?0Pg{io2(B-6UNt@~7%?FINA
zl?%LN{&KXR@x`UTe;UqpGDJ^md#)SbVz@~{@}g|oy~wFn>p%D=u8w(KZr<S^bEwJ9
zRbu9viM)?qZZ!Y@vhio<(niLlo)0%el_zsItx1ac;C+Z`QHEXJ!ykV>oSU`M_xr}P
zSCj-r_y34K-u_Ey--DR{KZVY=)b0_q+cRN(U&p)8?MrgM^|QwQx3g+p`u|tFI#XjA
z^M>Fxvjt}h22`j&x-Ys%I;K0guW8x&2@;jBbIot^9@%)g-QvO>`LJ~zu@xsaq_loK
zecE*QPm`Zb|9>!UnBU9NK56AsN#FA~&Nh9Wb@8-u>y(oMfh`NCzbp)Gj{R>Wo~Dq@
z@JOWip7_FPZ&tjy`M}iHkm2OpiD8p8bR`^K>V!`gnBK|RD=ie*(|D$HN9dXxcPp<S
zvybeG`zd9g-^F&Wx%jwyU#HyBmKVj>w%UH2Z9Z$+_OkXB&)btHDJ5Ls-q^O{OZvaP
zo?O4?wJzU(U8MTji@7SgyA;^Yx2-5umk6KyziZd&J?s7ALO&@4_jZTGZ!&m#hBIzb
zwyZ~S+p^#H+FVpCa<8{8+1n^LC1#@I$|ntmTZ882O>e#3F!RoK?YAvUrU@6YpVw*X
z`4si}%!A9@d*g~XtlLx|aqhwA^oyS2|9j&$_lC$c-eF$7*XKmR=1=+gN?hS{Dkg98
z=1J`2PwS5Vn$p$Nvg|SAilf)xG<L~FOT?UsX<K4H<IJY2wI`k*Oa8S^e^Z70g{1xu
zSN`gJVitR$w0ormXM`MQk{HLrLi;r#Wj|J)ec!lhZl~ngGl|bSVz1_$PgKqNmE7Xq
z6MKK3S^I)d1#8aq-aME1Zjy55WZ%zcH%G3Ie;sMwzz`Z58p^2h|F1wU1H+au1_lOh
zP`8^Qo+ZgP=|hqwLlR#SV+>=Mx`Kc#Q%n~Fmy!(w-+KljB?|^-UM4Y>q#jmHR&|x`
z7zQH-WhE;X_O~qji_BQqx!KGGk{H>OJ6|$zu_OyIm{=>tFj~E1VqIj;#LB}SeT8jr
zC(A|)#+@z9Ta%tLq_!|5$DCymR$)5JQ0X8M^Erk&iCc*yse^<2canlcl2VckQwK-v
z|03oKN$(kAxRdl^Ud1S>Br!3*XZ+|ABgUkh%%H4co5W`7$#jY39*3*|SBxHq@=J#p
zr5L6dDOS_<?AfjiYb4oHb(zvtc~)?Ac5ue%G1b0c&8=I=c|1mfEq@xvOSY@8T)6Bl
zxmPJM6u%Lv65?RI%@zIpD%;&fO!viE?yp+P;m)`9wTg<8WCo`~IWuq4BY`Bd7<H+#
z#SAePNm4P)F{~^xYh>CuVjPylykp_!yX-C5zlgzCl=u3+X#(rzdiVJ$q;uJ_Bw47$
zoRh0GP-m2CeV$|(^HJ4ori(SFbhJ@pOh=$KSB#6js=~dmwzo5NtvszVtWIkLt#X~|
zC+j8n%z(pO(}2fqs!NOngYYM-A4*>HKYE|^RD8*x<8!{H&3RVL2L^i)pYz?G9_N|#
z{k{uvDO+!QugRtFo9)S_&mQS}bQMdah-<@3L5+LI1d`4>YTXHrd1AyTAQAIZ>&?$j
z=JSm6KZVW|6OH`n%X+daV5Sh0X6VdLSC*46m?|f6{N%6|yyW8fT7s!p<uh|SqwTEE
ztm*9x+ARTdlU_=&F<gwXOp=IE`o=uDlOfYXDu$K8%j0fGj3PtOZ-y|2l}U`T3`+$W
z;#ZrjiDER!;)t0U!z*aCN08}mIztRc5_^((?0<&L7?v2OWQLgxN$->YeNJX*Q;FeW
z6fk(D$RHN;jbSNc;A{RQu_R7`n8z{f0USRV8Fs2BF~|IOi4kICP4ceri2WCpZzI>l
zV4Nft!?Bwo=2$F4PqGR_t1uHIt3vX>$1$p`YK%6Scawg^*qOxaViApHV3?M~5i=*|
zia?B6j0S_mVuo0T)iDcW-o!8%#Q4Pi_lvO*h&i4V#AM(i$RIzH!RnqsQby8V#`GAW
z<xH)Sri?5}`b>iNV&oZO?!_{+$A~8j{7*|VjNlQT7&6b1V-4e46&7Xz@u1sGkJ<W|
z1oSPFt|U1aCo#t|TxH)Y5c}^F(@hgj2G+;~p%|r?49cGwgdI6M{v`$SwKi;yVN7ON
z&hbUyYYgYK*NhAs3S)L91qceTy<*t2N|50IU($+*Eip%UWEpOBymRVLXUgzk<e1^S
z(B*`{A?rjjjwDZJ$)wwY4BqS<9P>Ommbe^c@l?2<%rKo{xe9YqhBFsG(@75f<;e^a
z7#V6O$J~!m(dFrPc+SgWdoIRUkU^G1!r+KVj5mwA_(TR{VFq)Cb{7F7Mv<5%_HyPJ
zK_<@z!5EI1_sLTQ{yk=RYvU3l#mRMpWlNJlZ1TTP46!bfcN7^?1->QmGD!&vGOhS2
zF!z^A(tm+E?j?#GVM#nJR*a0?pOd(gI3^`YFfv_Z6!hR?iAZ^`By@m<HHVWSo;j8=
zW+JDqJVT4XITj|_he@0)NeayBF<)X;{u{9xF$#4gGdyH*l#pQYU}Vi_ik`p`!_H*N
z`OifnDv7g^<z3E<<bRJ;l57Qf6cPk8oYzV0I?<Bk!SMJ)3`47nP(zHWw8G~Y%NPNM
ziMbcs1*b7+cD!IpHe<^4IIke8nc%<}^CfAAqM{PBV;5J*q)&|YrThxwE)1z388Vd$
zyppO7RARa$MHx1!Sj8}DXEu2%7Z%z|KIveLVO)MpBFf`jp{~Rm7e<bckD0hxo-rIX
zjQQZmuzOF850i(>YsSMd3cEaFUb39zy0hgYOS{5p7pAw27W-8gS=%d?Pvo$$w%En`
zagyR`fvqZniv)Q&xuUDXV!HpbL@Kd7wq%X%RL-bXZ<Bqa!qLodQ7OqV;2hUyPlGcY
zzZuV(eCCPnxyV%cfhT&B0Xu`O;RF$$=pIi_UxoKfnv?h=HBU2MULwHHb~%(avXd#=
zilatFNRfYgCzqj+{9D28DhyKv)H{XrodaT6_P!G0nQY<7evtjJNVOC5{+Op09V+K!
zGMP?0GMtr&*(Nbn#YSqq;X@DB7_pXbEl)XOehaiJ#dtRVY`Q8@DDXasyJ7dMNl6Vm
zB`!C2t1#&EEK_ASluA|9NKfMG(4E9+k<qE&{IP^3)su6YEmz0?B9S&L_Sw^TR&s1?
z5pd;9>Wp__OnQ;Tnm?cI>Mm8L>&?}kT=sGaJkF{tx0T+oJmz4$dyy;hIm`XOlO(?y
z&*j^?OPqUol4o-)-%g&rU(7|OGnxEljCmBJV3u?y$%136r?*r<pp_(3!`CF1q*pIx
z7V=(Qy@aDuu6zAt3B%o`Q)D_dBwtv_wz~$HKGm>Ra-4ovW;&;izeeEm7{P|0NjoOT
zOnUBJ?XBpt{GY$Unk|+tOAX(+_~*BV*m?(CbXhq`Lt9%l&~`}yAJ4?E@x1C5b(gH-
zxv%IeMSpxA6yw<3r;xP1p<Litk|)Eb_ZMRLlm4g1t6RL8WSttzZ=QZy!6N2@;MY#e
zVn^|q3Jn$J<XiQg7cK@oymHwy)6&6SX=28O3^~t+Z_c&M(^dMo=khMjT)<VbjfpW(
zEygP5ghI^!SVjSMEte$5<^~Q{Tjiwd1t|-@C)vg9W)bJGs>rzz(`3@LZdHs+MU_iq
zop6R{gK5mEScWAGPAZk#t0!|Tk1?$%KM}(e%M=k9!x+o*KawfgKjs!o3>RZ=EJJgr
zjb&Rw45PCEV+`Z`vyL4VJTWp1(*!t@v?>nH6^RL9Su{^2CXHo7g{>nKCtD0l*>{$M
z6`4<CqEuqUD|8!JCr0^h?=q`Asj-9Q5@)-8^<%!6rYw(zr((F7VqI9HSMag8L^GBC
zP{?N37xOR1E#@yv%(RO0xiObqd)Ee4y%br;V`buyBoxCRbBs+;MU08FL0|Fk+kbCo
zC^J1`IH;E-o77;E)ShITbc%u5!8fKgrc+ShNs>oJ?@5=KzyRA-0gfyI6EapLF>_Y`
zWE4$$HYG`y^=jirh1W?tnSM4|O_;`(^!mYPkMC#ppS>(_DMq=$U&)c7{7Mr41_2fg
zju|X5uO~Jnzhh``UFz{DVDqdzC5c}q68{twVm8N^PKZ%hofH@Itz&OTTnuN${x1ym
z9Eu#vd6SwxO*qdK!^W{$;cX04LoW+Q60>LWzlVYgI6pJoP8UjIux^Owb)4bGpCsO}
zaS~g$RQ==G9WMkNuL!uhEavlNygw<zma99$RfVfF!dHoH#<4FiCJOO$yzPjLQF;=Q
zs2Y(f*w7l&Uj9IFQL||hd*u}IB(}<knJOPYE!?9xVP8xdPqtEQ#72&Yt(+aHQcip^
zUgGSrjMt}pV!h5DJBelQ+s7R*IUG+4Om|_-yvTIZ#XmvvG1KD-5=lV<8#$k6__Kai
zNlFknIWgIHN<ZU-^+FtP8En}mvgk4iOq{sUML3yBNPGfk(w7OAla4DSU5%Nc=qaMx
zAuM1%iCMX$S=d=1=?%wT#?Hp~B6BZn>NerAm6*zFyYa{p#>+gm(>Tp}ZD)NH6%AsK
z^%4<n%v52V+03D@l&ZCMrPk(2F<Lt(<Z`&HNbKeC?PU1R5zMQ=s?vFuLspU_v7xEC
zMJV~7pl}F#`6Q0Xg2Jp<f2l}r=J?)Z$y3f4^Gx8q$0ezg3sYSf4>|a-$+|3O<BVbx
zR$-O;uDsq;l6~Q7rq4nQ;zEKkFE@9{h<D!GG5dq;#6^<4H;p>Yvv_Z=Jot?FW+;2C
zm(2Ud{8v0TRaaz7=<ema$zJXyk?Yo5z<RaR$jQBL?_?v+)e_G=Qdrp4PkT$Bw33^o
zz%O-BLEM8!@UYX&NnCFgG+UMko7mnj<vqHeXXBY^j!qWrF&BJXg}AOph)H;E<_vO_
zUFpwgkt}q`Ut=PpP|S{pQu}#SV!k>&KIkl{dHSitcP7t6x(6${J}X6(eRRldv<gr9
zp2WfP)8fpO5<y2(jvc?b94$f>lB6`|s_-#zGOM15{dZCL?WDRwt%l1CvPm&Xt7CK-
zPyFDFIhSP55OXGmE6FA1s7s7O!(+}1X)YHXR`Xe0UBsGtktH=Q$~td~c9WJ?p=?Zy
zz(y9w?K&}roC3Qoeb2@y*)wpS=HPB}VGukLqwt`}gYia_LFpm}#S3ipanhH6u|A!i
zq&)4~Y^@~A32$N;-kMBR(k__EX;^DK{Y;FKJ(KDw#_toE^ba%sWa3mY-f6t_*Ljxz
zaU6$N@jvzBT3BR1dw!e{$7`;=uRXcna-GyYt)j*Gk?ZzE-~Q8_U%B3ToaFw_^>fJ~
z?w_ohF1mNm@%<0g7kC~k|8%a+<DRAov57JZ%1qT;m>W+{ymT-oAu~hq1XDv~BgaJH
z#qI2@0<r&9bYcoPVi~43WcxLyFlsUs#{RELX6RSUi0zF@Sk9T1+8V4CqZG#=&QfvK
zv5z(Of2C8Di?>UH4O58=W1HPBCRvtjixqQXB3zPq8F={`tazB)rl@xQv}6!{W)Q=|
z5E0WJqg2Ht|B1<;vq){i)Y&l{c`?@*tPNupO=eKHwt2VWtb0iFlO$h<ss(#v1l=O6
zCnnzWF__^P)3L{3fq+}Wx)9cn>P~X1DGnATS2$x_Q~V}eu$VGQK;L3kHIvGW?H0>c
z@w*oU^YYJiFYyy&41ej~@OpYi(A6UDBvYZ7O=1?eIXX4JI&r?<@x<eUt3yVMQhSPK
za!F@VP>WtnN|}p;?3auUJXaQlu_(`oTcM=9$YrBM$I2LIr(~vvjwcM#E52~Z9cW>S
zQF_V5%EBV8G{?c+gGJpjlZ7ef&y<QQkET}q4XQ|4Zg<tQL#N%z@1XEb7q4YjyPgTf
zzMZJI%=7Jnj;z<FlTJU9p18zGP3ahi5XXZrOC1;|o_oW1<J_f(6OVn{`RU8?Z>rNA
zzMea?RA#fw?FXhGBpwwq_#a!?5mX=+py{nL!)2<GTS7<mokNqE?;Lm;)FI2H&AR#J
z#Eh30k8y}+Y-dVJGFWl$!!FH^H$UW*e<&%uh>`oD;rXIutHz06OPG!*y<u48vdrv-
z(Q>yPix~tb89v?V^ZLn`>#tOJ3?5&4X2{^nvE$#Q%Ur9??%fIdp5@8V|LNK!i|h|+
zCzK|?Z2B3e^6Jyau!#&C<$t7E8nS$dN>Yz$d$FVQ{f`eldmrx3P<+L3Q}U+Nj_Idj
zSe$npDNs7CWyHX_@8=}ho0h9jC^1_nF~+hmTxS;v^HWfeWs3cGnnOp%Ch0&+k|Kvp
zl7hvJ!Vla@2fAdE8kciC{}8`p^PGnKo!%bruCQA4OcGG|5NUCSW7CKDGqDT|b7NK~
zy^Se!JP@-wDQw3lp4bh{j0G`&lYX3tOW{#0-Ff~%(t{Y=hKUv@QxC5^{9wW|h6gdC
zNw+0mKHB-r@t<4lzei4qGS(eFrYbS%0w;g{a)}XJuufcXvl7R{x9mNV9Pd{?6%@Q_
z%*1`E^T)4;T8s^fJYCz9*j8*VN!se%z*We?ZNQfFwt=6gbjPc&g$EAto_OcN$l)m~
z@SBDGzyZdjhg-i?HJmqLnJDhbJdvT1S7u_;hj&~7JsonqJ}n)tj7fr_Eg$vxk{(8-
zFr{~WQ;7Zd(DA#W)b&pdu9Mh5vm~;}X1rnf%E|cJ!_hPbI{yzDcwjxi0Nwu&=C_?}
zh`V@9_Mm&rVOfcj`7F9Iia!s=GCW$yvEXHc>6`=87#>(GKcp+c7?aR(S%~q3Hj`-5
zqc0pg(hgZEFvlF{VC%F075k#;pYxv>L2JJb!AadpzQ3fW%sjVeLhRW>iHn?lPKRRo
z8h$<gbSSA~E=PzwN5lztPK{q3o*XfTR(%~|Tmlv+9&nWO21;JYuoRs9z`&O$LxMNs
z>A{{Qf;)LKrg0|mWcV?}yB%z1iB}aW%N2`ym>|J;!EvIdRjN?Jiqn$?7F^7gV7%~5
zP&0^|NnEUuiIum*<dJ3?+u@ZiJP#hQUcEnQ<`E9dg9k6N-0WnLe=X`XjcNPAlanR?
z-`KAru|UGbQes(Wo8^QThXquUmUF+9m^NvPtP|76%M8k|B|X>=seVcNEGXq8#bVAO
zlq94q5yGl`xk=)N)qR<Wt`DN$BxNJKEKg+dzF*#XpZEQBmiU)E@8_JB;$U5((8Bk=
zywk+*#CpE>OIb>T)%9MnT}{zaI_bYfWde`+5}w!UdMXN^v;~weZPrK;O5s_qs}Mbr
zXFD6u{!6bWYxD@*bm4l>BY1lf&rhk3J&e4u|BCJ#dR_?8V(Gog^1fT(wlzz99PiQl
zr+HSNyu5<<qzlj4S8_W~b51;QSJ5;{dV3FJ(w%uX_#W?Cuzdo<MD2MT`&#7o#avfV
z@{p03qj17VX3^b8Du*OCX)NeuG7c0xq2SXq!|T=>#U&Ewl>AtH<rGqsW=h;kWZ`$d
z`G?)WhHcThnAwsFO*h{6#CR^~-MB!J-@<<qvqi9@gQH8&jOivHdz!>H9eF6b;A;X$
z40l6B2j>A#slQWF(mZEyyI4$p?+`4Zm9#)Y@W8Fr4GRqgVmOn|?WjHDki-?^l62Hz
z&l3v<!4>)jNf+9@yI+Y|vt>uUG*jY<JKvBk8L4{N+i)l6+XX>x6MuV(P0&d)l;C4b
z<v4qwoH42G^o3X#3563!JT~6+Il&<Lz{lWXOV3)C*Yy{rI?l7dHTuMIxk-yRx>H#}
zB;Xw5#}uh6SNnMyS1_t1y<z;$ByMQ@LA&9}q(pm;!wNzMXObG%_AstcXm};aWP8|?
zlbOk#NwFh_O<)H91d-znUp-u&@_r6g=USO{j^}G2*LO>~U~fYSk=LF#8>P1kalSQ{
z;t)#W_$$Qw+LQY~6St{?ik2WVlk7xOrPG4!O!^)tCAn>WEIB01&7#@+V}^|QzQiXn
zz7ymZ>`f9>XV>z)$z9+cb=_qTN3ehzf4COg_rGewk_&%$FeZ5m87fP<>=v@+SC<cc
zCBkoIXtSL0fv%TcuQIPuh|Xg_Ei-*rHS5#Hrbeu>58@`6CY`N_Z#eAERLHWHxjcy@
zS%qOL3s+F;M25cSEd0NJPwwDjT$GUfzx)83M?L#wmi%K!^qZ%sF`V{fby4YLxGSX8
z$?){FNlZM8ZPKAzEM+H?8rHBSZBIJn!qRpqY2%{~%fq`KY%@H~WyIpV!0pN()2&}G
zX(+m`tY4eD_P<w>_m{IN>y3(5em<_{UOBOi_l$eFgW`#&2`ev%dsKW*J}#89H(~$l
zni$;~R&ED49b<$d=JzvedL|#9axD43P|DGS?<ZYiv=jc`bJewpnP8`suv4k!uiKoL
zmv8a%T)L6Z;*#{bN0f!Zn1%I*C~M5Cjf|X0tCtzZ9`5`#M=Wb4hwJh?ubxQo{(M`q
z^wuQ?`6H7oC;I%9iJr@%GjXp_LAp#Kqe{#&>1xU2Q(_`nxFxz(q&{!jvr%IHm%b2>
zE&C)k>#%l&B+2f+Kcifc=hH(u!5NiG%r}f#?@V2Ek72LPm9F(-QhPtDK0SHokm=D`
zF+$IcxPPye=SkZ9(>IodVcvu7Z(@pElD=I?47rf%68~b=w-@Uq_+lEO1U0HSKZvk!
zFNhFz|B-n^qbo&qLCI#J$8UZVb^Kc~=Y~N=hro@c-``}+`DOI>*t%4K4~@we&rF^4
z?uZ1>v)Hs3GY)!OW)L?#qV#D?%)Qc2G5fbIoOI&U&P8+6W<HuMeC5LXBL)rZGrH7Q
z?y`H>puCAs<iti_$M-SJPiq4|e~tPg_GORUf*={jn3o4GyZ`F+=h$G@813H7&ig~J
zfH6t&=c6W$6HG~}J1qJcJJ=pFK448?OnTSDVemetm;J)am>)Hh4srYuOS<^-<MGCJ
z0m1sUu|5Ae8j_c`q{>}iuuI{4j9t>M15I|83#800H0aD;xS?V0M2nJ}AB-337W|G;
z`|(fUSIm_9U&(R|4RM@5%Nb^@iE;R!%&;tGUFD~knBz+hB)yLPAF{CH*y2VBHIBJ1
zTrod83I)tn7@2PF_~eny(50a5tSYu;<;kCB498X`y6MmOc|?*$o@qg)x&!-32f>>>
zGj}=JImmk4NIGFC*l_IEf|jJqay$BuB+Y8*JU-(!^ZA$%Mgf&4Ng7F?(i`U8eA)3!
z%23-moy8@WG3LBVjPWlIKBJpno;#L3VOQU|@-mC*Bvwg=jm%4V9!fAWB>%TcVvl7w
z^^`?(!DAIBULAW&iL9==k1UE3E1W%fRxtdYw>+`8fkh@uj3NBxO%CN1tOt@5W7e+-
zbmP}rf8_6_Gf4~O(^kc9J}Nx1BS`S}?}slIxFlZeQZRg&`{WC|;hN^jj2=uP6Jpvm
zmotQ)_{b2wX4~|YCH_p>+t#$CFvWkJp|halMA9*apPg38D_ffxlY$xM+O)Wzi22DW
zykc=$W535g=32%;vvt=`vTbImU4QMHDwpN-C5#KE99?}a?XpGB&v|QhonQGUeM^pa
z$Su1gwMMNhXB(?e@pvDpy>6uR>ZP^Z%nvP$F`SPXV~(V(_ZCo1`<ETRHMjgz%FX>-
zCp;Cnx$@ZgZF$o-i1vOyI%Vyuy#51PGtN(r?u(rABlbYf36}_tjpuJ3o#`>T{CS5L
zkHF5?OZl(4M;+{tVvLz_WR6>5=6tyY0`51D^cJ}uDJuw7-7(GSYs9AqoU#8i4lZzx
zN&N7|fHSG@$Gu}SuPiBNj%8@zULf;+_OA86p9mNK{&sxEWv00m$_&BJA2VGMcVWmD
zt!h)Mbouea`;#0)>A@I_lU9}NrjzDAVd!7T`=mB-sfOW(|4}Rt5@rcC7$k5XlRvOI
zR)wLp{z-!&E1SmMq#u$Ex;x6wP2I8e_=Ymoh7EQO2iAC)#hl5CI5=1ApyocIBP*&t
zeP#T*K>TF$gr|oloTxB6vh?l21u@T(4=+@kA@FnQ$3qJnVwz(a6*h8g-YL=06w4@e
zU^jCtqkJsG|I(QEu?(}48UCloNXPz9inU;v7;}@CzhPkv!`zr3vH!DT8TzI#y87ds
zX1~ONKaV+YtZuxKBzj7_pQB?9>ysGilR9cQ1%yxN2*mtr=w*_NS#4$$`~Re$*nuT-
zNfWklA7WOFtoV9l!MPQ}&u+R}{5&}Cpg^f&%-sXQ0^BEVKIluj8L06h?lJcYNsD`{
zq}{|<v{f(Pko=$PSi_xK)r!7T-&<P`)HcP~Je=cRGrzN9^W+9q-h0V&7&@CgYF-?v
zI5p>o>2aA46=@H-RoFxe!sb7k_T!RDVO*;i=ffCwOU5MbgY%iqVitQ&WRi~kvaf|(
zX->mG?q16!&l`AO3M*zcYda+M$D}6BWa<x4j|pzEcaVsg9pl&TFV_%acKyK~OUAj4
zhKCzN*e7r^FvcuOa-M(l`3ARM^@DO}AGrV6V3^Xe?8sZg2mU{7lMaW6{Jk=%Ql#PB
zk1Hv!N^fjQVPbF9w_y6!SNr#h(GQcSkDGp6ut{S3cj4TomSuk~{S?1A?MZ{&k2IAZ
zb-(_(#HcgOf6g@fQR5!zhK)Hlce`Eu#l<NQ`|sQDsyBh3|0Ml=!q=hmKPkPN@xaVP
z+aFvvEL*r|w*P&iwf0}i-$#0qle}BJRR2EVVv-bC)@%~_SLXf2eLYQ+{=Ldt%jf&|
z$!_D$++*EIC!Zy^xIYzI@SAa3%)iyU&dm~u+!nm8#a%e2yF+o;iO+5Bhmu;{y{8^1
zvAI1(?v;&p+s@GaHx}!h)sMXS>dBo2Eg#%(tSf7GKecGP<PvAMwk4}v7XDX#VRe3K
zYh9~*R&JPXtNZi1lb){0>$i%AoZIzeWxm0SO<r*=?pdsH@kx>~{WI?-JF6sn7V4O}
z+&p#gMV~~{pFPpKjM_|F8CI@NS|97eu#REV;x7+XwlMJhxZJ+sbj<XhGb$Ko{ElGi
ze5MflzxpRjM=V2E(dFh}f)Vi@M-(_>q+e8gVk_J{<B`CPjQ)lXF)YapFSQ%lWB=zf
zMC&ZDxb)Bd&x72P2P_zOO?dnF&dOhjj14?bD$hO)G2T1b?#6_}n{FjPlsz7Ev!`N-
zzCn`zhR<tOweWQ^av#i0I}qa<v!y}zM+@KS1G0}7$u}qeuPx|X(<<<!f&EW|)`kfS
z>?_&?vgc3QSa7muhZR%eo;H5bSe5^3+zXjmt6TZolLRXy_cZaH{4ixnbnvmA35#PE
z^$YeiBye0!X}kUS$YeG?CJUaXq?p+|uD0eSCLgE>?$wNIDYj5=suNqhp`iWH{utp4
zMg5-y{23TyKnq?Uc6!GI9;lCCY^VwBahTNftGnyqyonqeemtDhwAkCjBC};BA7AU*
zq=|_?4v599?QNVMQ{1xaF>l*GiRB%fmK^7sj(K)!{&>bv(IeO;zgzS3qV*X(F^_kA
zYOQVG-O=BErK8T&=uBhwkt_kGB%y|hM$8>yOfkRe8x(B*FWCKqDdzE?8;lQHZ5C|$
zv#de6;M;+Zb{jf%l8PQI5Nn#qeDr3LPSU4%?$d2$$qP+3PRyO?#q(H@L+7Hm!2F~-
zi45lHExip(8DsxzC(AKD)U0H9vLMYMnc+mvy{2sk{=JQ5Xl&yb=6|?0M&fJozr)<s
zT{82QUsPe(z@?HX_$KCHSJ(sBn2nA5+@<OYSYo0YvUJ!NT;J2w&|T58uQ`^XMdEwH
zyV!pXGtW01JgLCka^T!%;idzRKQ9rMj%Cb=k-Wk6$D%csF~%e5V-okoUyPQFb57hy
zK5(<)bt?;p|BU~wtGc8Y++}?h!+f|d_CIH3&-SLYk5d#j3Ao=#YE9c|_EA`<-jeYY
zqx6D(7PC)ib%cm&H>C=3oEBK}*pi9+#GdXCSqs~ax2AdD_u>tf5{O_-YEAoD{ZsL6
z`wUNuSvrg}?oF^rI%i_omNxyL|ACxo|6kQKwUxD{*=_82&3IwAM?i@aXIxv_F71;^
z?S~87($3#~9b&ttH7!QHI5{$wx20j?Li?VMM+(hp$A8Q`^r1cN^z!u6Z(Gu?c%@FQ
z5PR}6K>Vl5o|d!@)_oJ&9rDXtrkNe$Sa-K|I(rOLEW@N3b)DG~nLRR%+xj0Lxcq3c
zaNxRRzyHl#?Al5V?!494Ed$OqOtzn}jwL(zZR~#!mn8R$q7(+c2`xz%irXJ9>1Y1&
zw4*5C&*|2by$8f&|0hqZnRlYu)gp^2vtx16$=Di(j!9*1P0CG1eGPAt8k8T#Y?PSX
zF|A>-;K!Op2V(z+#4^erusx8>D05(E^6yVS-e0KpX<4jpaodh*_3D$oyBRjOEh^bm
zkhI6PgHwyKWufKGg0_vjpK!)BNVrbZ%@Ld2IEh1da@zDD+3o|bZBFk~83J3xHn%uE
zjNxmt{5UOGz3_E>tE1e<&$FAII)B*SZZ-duFujo@xz#cIB)<}Ka@Vz!38(+|C$~9U
zt0euLz`@9T{P4r1mPt>hz4d3Qzcz>GmuhAPH~+5=mguM1n<iA9pJdsQvHDqy)7wjI
z$CtJCRsWo2-%^mW^-25teJMPh|F+(2bqv!<>RxTv#d5i&&y(ZD9L9eO@?O}!>)N%n
z%jU1szR5ZayDvV`VOg4$yScr0dDi}Tx!(J_#^y_6L~gIt^<4LTjitr5#xqGzTl?3$
z&fR}@-IHJLvnLyLwDs;R4gIJa{yEb3=#sM4HLEVpial;Iqr<<D?N9LXtf_3Lucg|a
z<~z9R?X$TVlW+F6_iENpkk(0lDE}$7;epc41wzTbA0F;$n#ozZKbc|ER+C-L3Q7+{
zXTMUtV9feI;zlyVx&<PMUmDCOf1b0Lzc>EY)$@%VA6Rc)`8mP<VR~bULs#to3WrR&
z2ZcN9WLt~!rZC2Q`<nA<&mz6fh^a3>L>_%q_o$`n1YcJB0kg;lc{LX@lOnbUcA4~V
zZmLbr`!U7l#Ce9Kwq%B;q$!3un<91`|MQ|lZNvKm!86-i6_XiyJ~V!AYdypm#F*63
zt(>H0+}iv|zss_T<9O_U4VHoyjw{Iw3r@K+tztXGpTsKP(EL{Z!7R<6FJ3N`l#prI
z&&Jl+nEbDQ&a;ZGXIekW-DuUHV5TH+K|EoO5XXz<KMUEd8v{PXyh&<U`18R|3AqOS
zgN=fmF&vHUH>S*2e&2rSc~e(ggYj8|pNnQsX4h<A{xU%`X7Pe~z03?xBUn1pKThm*
z|Lkyq=Xcw(SQUmzteKJvW<M-)_@J}!nApOFIjl(wCT{XzX!@?qG*P{2U57*`(>%}4
zEGABywml6=-(xl<Mc**?7ku(zZc^%m>gUaCZhTv0y?OC0i{>@QSQ~^dK2eg)n4_LF
z!L{+xp9KdtFm=?VU5qL`aQu_??gcgJ4{v;2((<NdlR(n0hF$jFElXugCpfV1FWAb}
z-LUi~Z~vDGE{A=SR!{k{L7FipJn2_=m*}G9^2b)3C_N~$VcLo$2F9eFG0|_5zHN{e
zSY1$%VUTnrDehF{8m47S7>*^`#GGYG@?sWEYDzK-fAGW1yD5AA4aO5Df}OHVb7GD+
zY@ASjm{GoA!J!8YGvxn^@wP3Hzo8+2AnAMTzpt^)TTklbJZVdMr!5(?%6>xgCyD=W
zwQ`yk=q&EZdDqhPz%)iN=DoHc-<g*-F@>*n#a^7@f1?|ds5CXn?}h$E4)cKP6#;Jy
zn3l${#C~Fsoc_kpG4_9%#>NMkF^0(xJ}@)IGW=zT{m&H3C>;CWuD0Q}T+~CWzL@6s
zH5xZK-brZ3GIXzy=CD>Mj_K2QmA&N8d6A~~H|B&t{P$}1=LIorQZYC4R|xFk+gNgf
zqk5vV0b|=sQJI<;(G|DsT2GpB+_BbPGB3UJ`0*3I&rjT~S#<AB%#wL2JM$(^YnvgS
z^U@}4NwHEFXZ%KklU6T$D&}yXT$bL~cJFoWO1Vk4RaP>yb!2~TRbMi1r7H7u=AGJe
zRoG58F(%2cWMG`XCwKY$xlydWlUQC`o@;&h+l%+P<fMyYjL#;jH&rnx*e{t^ugyPk
zr)N^j{afD-KkL{x+4{=nJ)*O3eOfwy?!HOE0tWFZ#Z#6oc>3;%gXpqr>N`!i+Me*o
zILzO0zxDBNZO`aN)&H`y|E*Z|W}V=d=`x*B20!DL&EFU9e}9u#{hF<xwmVE%vt+@N
z5Xa3+=U-atcYle;yLjGckC?J$^QW+#w772Zyot+lvVO}0?ai5w0y5rS==i*R{-&w2
zPguP>*h*6JKY3hPvS1Nw>5+f~FE%LjFIzCxCG1+vr--tJ3p|p3S3ayOa;R^8>RIr<
zBE%`_T}(gI0hMp8Q(5Nj6KmP8H-AAad*qX#WrycHoYK1IaP0pHC9|8#{n^zI#Qyh<
z@qcjtxc0Hj5{=K*8}H3@{K&8&=HdQ{j0M+b){1MW$Aq%%d32Mj-@0M{$EKrd5%2!p
zYba7#Xx@0Ra^j7qYKNFbF-{Stb_+^xMp!o<{=8tP^P}wlciy&K)y=AkKeq8l()nW>
z>uyTjJhErghP`b6t&`@lw(a|TqIZI1;-xLOi(Bp-J7wEgKfC$j(M?@_EW#D6O@EK@
z{*7H=$<25!reR}8i${TBhD?p(;Vqj2HXiA?d3=lBN#-))tfNV3N4D-RZhkCx!si&{
zk=HFxbhlTBw{AZvRdMj7=Zvi!leZmh+_T^H?kAzS$F_X+YSmzvKCgAxZsR4c(Z`nT
z-XGKYbgQ-8la97lu3vr@I&#;u^OxKGJCt+8!sGc_`}_LNTh_(Q?Rx*jX;S;HpL1Fj
zeA9KNJo>*>*308rU~53<y(3HNa>KNbFIk_ZzsTH0Xh+ufBp$oQ-1?P`Vh1bgxEd5}
z4(5OB{I+I;$H^nVX4rLcgxK7A7B^A#&m>QaH}%gOWe={JEG6A|`yu0^h|Qh$k2Y(a
zePF1>F@f`+)6NI640c-@)jK(szsy@N71j~6<EI~ssK>&Rr5~C;#4@aHa$yKe`WiEx
zE#{!o-x#y+4K|+=Ka?CiHj(4Qf=(atPlAjmn~!{qS)jns63Z|-hWUVV%;#7ehVDiA
z6%%46*bBejs9bp<<?ylzoH3lN6NT;jA2J-?akTl!G4?~d4l$iLA^m#(qt+u^(z92l
zm_6KAy>f*FQ%tv;f@sW}7~v|>l;nRO$~qpN@7O%A<FRcLUy+YrN7&J(?~x5@KUTEu
z{MLCly=~|2Gc9kAsxP0`oXl{b$u!aGu~^K93m=bEJa5@*eUf8q(Yu~R)t^7gVkf2D
z5SyIxvC+QbK<C6}zJ8{Y6a1wZ^CxtsaB}?bWqxIQigl7eY`5l?GxnV<Jg?s+wOoB`
z+{0`n5Z%uhy^80AoygQ?1@?n6PCr@sn{Kf%HO2l<w7hhn@mCIGOzV|3j7PQ}o!GHu
z)*J)*KS^^sntrrgS+i=Z<II?PwxBi37acHU?w-@u5s<V(&(~31f+?nJo+LwRr}6BD
z)D<e{S8kiNb-uma<NqEXoBflHzGm8!WVgMSX+nW%@`dP*<7Yb=1pf&L2X1fqeWLSx
z!+Oh^O8N(%UYoc)VP{fc+s)#}e`On6YZ{a9%{};L<M|(rH*3CTPH0Lp5_tFDv;TO*
zFUJ2m$qZ(%PfTyp==jT#B;S$wP@$tJk)z?moR%LO4~Z+p{`(Tk$Z;mR?Z)k?t2dum
z^--ziQsktoh3)^+PuMCeNKHQH-}Lu)`5~hz*S+{A98Gf6pKyK8jvb5>B_uQ(r?Yn?
zSwtl5X#Dr6kx78*Qi?_AB#E;76X*U}@O4HX6HkM3fT5&PYzG^UMCZJi!`%#PS4?1k
zm6U%Z=J<>)UmDKwDc5iK6`>t-(y&8PK=Rdwzvi=B?{w<4GdBF)`1ak;?$jp=o@dN>
z17p-X+_m;U;!#)~H7V_ExA_L9lj#*5!bhCez3pi4ble_$!q>i!@y0Z<GhW+^dMvBE
zM2<)WN&LK~=J3h-$iwF=F1T|3cAHVJ;A3znNp@z!o|uztKhEXw%=q}w`hr=?-5KR4
zb042N5qbVh?(+$%58uq-J9;wj*o}_w@}e>^8)oE-&p*+5I`Lra|IQO~HjjJdyDB?`
z7$*KOSd;KKMx<k#GN-{du}>4s&A2;urgt8l8RX9>9(HAc%_NyMjFUd@?Dd|$jqTD-
z*`I>P`$X4GXMf&RIax*I$j7<+wF)cOBu!KD^qf<um^b~=$@H@x2abO94&8tE%#={;
zV;`rkKU!n*wqNx7$?B6mF{+ut=_lqN`LxvQZDLM;kNAA1>K}K`Cg(X8vc@t@Yn%Kj
zTcxGXxOZcb2GhyZleP}+M?2WflRJYppK!P63bLFf&=EAdVd~95<xH#PY>b`jVp1xS
z|A%z0|M)`t!;2j=(tE<5iOo3Oexggvmqp*N=_b=Gzpk)ZX=N9`?pZXEgJIKx7_)*d
zv2C)wVtq^}>LzxUTxs)Uel+cDdi(*MO@+r#q#D?s=*a8$Ro^+UDt&f0A9vUS(WEyl
z!fUSIxv^l)$DW|)7tY-2clso@wsqCB9--AK+#8;xM<jLL%IOeMVm0q-V2tk(zP6L0
z=k&^p$82?3)O`ZCKea!+LU?5m<CCP|2Je<tXZr-(8Iziy%;~p(x@t0O`Q52or!~&#
zp78nV54DMn*Zb^#u|z%<cIw{m(JuU@gZ*CXs=T*s`DY?uhO_(*;+e}5ts2Q%eWK{o
z?ink>)tLD6TUV}U-J89VegD;;lla<uh1SL?+>X1w(&kvn-IKHS^|*)670O%FFTCQ*
zOK-ld<)?KgwWNgY)nigQeD+lGO^dW=U#!ock6g=lbN5n#jdK0#Co5!5(Oz$LQnLHR
zl=G37SGvqx|I|NvDyP3s^jx!hMZI=g!_>pVKkf}(+tWDp<>{I}!L4b=r+Q6d_9Qnr
zf3$tE?{V`&*J6oHnKvHZoapnwzmLt|aMpxmhAj`4J=|>?`RDI~iieCp?&Lf;_#rBp
z;beg2j|cXT4$kTBmu^Zo&z`hgAohQagWLO$3{s329x+wNOgI<wv$==&&k+NMmRJ3}
zDlfKJKiXXPa7)dNcgH%odO4IC+3lZfIvLg86S#fyee+Kj9d2zfOl}Z*Qpi8;;PDLx
z+=AJgXKkuF`S8z%J&$fSeMvgudwj;~`Ng&;BC4K0+}z!8*SDbF?L>i7P)hZy^P8^o
zCr#6TDgLQSchR1c73K#kPyV!S5>=~kmp?Hp$?kDCYfkL{cbYe1873r8X7W8c$GBJO
zW`lXp)<1pq6CE8Yrr%icsr%!Jst%^lYz<3ne=x=bHHdR;dU-x6Tl->T(uaJGt#5gg
z|5q}so4Da|Qpa77o+jC^hc_fM%)WF<>Br0C4YG_4eY3tA=ByNb))SULze9)Xt4~kZ
z*7FU=JEe~?b%my{eA~};riq;?#>PDMMAMJ|v3+`vxSO7Re#HG~;?_48Zf+>qbSSA;
zUz#<R<$t`_U-Ovkj|}XrXTDobe3t;eLi3hA>%180UcK)p1=j`ZzHsE?PQKuA`s@ad
zq>JL6jMH8+_)4%eTsD&KTGpwdBYncptkbZ1wsBALiFLjD?{_lw#MGYXe8afuhGc_U
z_9-bFt`!M;3_GMhFwXkw?8~tI-V56|8^k3pmfAO5DBB^FqAWj4&0<+YPW{g4nvWfO
z_v|>Sb7RAOMy8~xNp`v&Mv;tRAN?jO`AzW8>0I}SGqSP6Fqv@$*V&o<^4Ifs=*p-x
zSTQ}GF=xe}%^g+;nPdOGcqEm4gi&bH`R6YR<b)bxuE(gy{(I7s(H#4qp(E|{oUXK$
z2R`r7`?Rwsl{+S2Pt4a18*a`t-n`+eI>UlZ+#Jli44jfAl5WIA^cu+AD34eZv#jCA
z$r;)`25m{r3m6I;mX*y=sEFz}d{*~@_k359#f&A#>N_~Q6ArFSO6jmM{Lygz4fl(h
z0>-Y`xSfX*Bx9bocx^m+dq-c)PyZF#8#_Y^gF2%6X5P-5StYF5%!E4s{{UtFzkY?D
z15?tsScdKkN%F7etuSik+~py!ztJm2bjE|0_mi~Py7i83xHfM_)|&nHDcwbrg{RK!
zk`0UL<h8lXWwzo+_RINf6Z}^v>F;p(5wqf?=fRl03$!;f?Fc^<b>h8K+RQyk49pxI
zIeTt&6;^i`KeC)J(P4hzQ!GR8PM7W%6YL{m8RpDj-Pl`Iz&YuN%+Jof$^9ojz1(dp
z6sxe_kd-Na*RnM?-t5}jp=^GDIYuPuf6V4>eH|0dckH|QvMljNR}HgZl5mpsi=uA}
zGExLTEZo4s!f=W+DZfYIM$(-f<qxH2M7O_u@=&(_3QKR@=9g}ddlu+z5@opi_Vly~
zOq;t3C7$-rU-`Fx%F3Rbb7sf(Jl=EbtLaJ31*R4+glBOS>zsVA)^mGPx0dmnaCOt9
zlT4i)^WR16VYJkl%yjQ{@fP+-=GvMK-1nZbP1}5y!Qo8rl&vCjbXLC;`*b>e<@`6{
zZ=-niPdZt>3A?|SbG8a!B2#?*`@HQu6W6>8*JL|*l5@Jo!#82kPbYky=+VH#@aKib
zNvV)_-N^>JH{Rbk8KE~}`JZ=Tv7N$SlBQI){@<H*_FZ^f=p+eq6({DaPXra(x>v?0
zO`O+jk)oy4a@ev{!P@c-<BS_ef9{fE?4NP&?VPRt3a#IM@3QGS*tX!5bx%xYBtzzI
zv5!A@9((z=?!G?LRaL&NIuicpAE+wvUSoP2zG|AYG8e1PRN0q&xAP9KcxO4aVT-_4
zl?M%6yHz-n1hzAAp1u+g{z`u0tqrFt-iQAV^ILmKNZoz?{ZCSUUnX4p<h=U9v-F3n
zCjPqjK5YMF<*uGxo(8VF;`qyV{E_P^cp7fK#^iWk!KM=rj!)U$y}Z*k#qcD<!6hA!
zK3@0zyZiH}g<CwM7sg(k)}J#W>9hX)-?M9)j?L@O6%P3ob8f<c)Lt>a?L9fqE2nmg
z9E|<n`un75!V24_|2`FK6CTFsoW3ty$sNPpojqYA$IHMKCI!qVJ`1SFgs~eXUAsO*
z`p4-P<sE@Rrmy}~n)>%;BqTW{sh!k3dhVj~%$EFq!Q7WOHm|TyYd8|W=HIbHf6rh1
ze6sUQPx9qY0_V?OoWQrpr>EM}sPq%FXiwq^fxn+Ork~;2^TN8aC*f32-50~86C2%n
z^eUT<ooL!}<kCsQ<`?t&AJ=X^b1CNS$!9%1*(}$u9L;g-ixaLtc7b^<*Xj3XFa5mT
z`(Q?I(5Va4f2<DMc(DD^8pWsHbpn1*3MEXRJT(wq%eh?V{H5#aJskc=-_@Vgy46=7
zbJD7&^~2@zQ>K@C;x4IkZTG&qt^TA%N`HOHrNB7fyYud!(64kbJ)3)G{h8CzI(^!k
z?*Dx1FY7n6w?2x$cXi0*NesE(=|0<jcvqKkznu59&yV5symJ?C_lA7pPEJUY+*lyY
zu;9ParpU-F?uGw9K0Nd6;G>O)7N01!?euk8Q|`srSr+5>C-(mZvk$So9*HqM9qB0#
z4tIGdr@u}tsy+CqyGSOclezzTZ}IJh0~;qUEqK*ea=YR1$D1zcCzT#DHXJ$L@2JGc
zo4@e)xx=U0mTmrUL-t5a`iC`wC(EUi%J%-?zp-+`<>Dg^n?9`i@Fw{Hmr9Wc!`dD1
zlV<dW#T6cxTlnE2gF`IChl%b*N#_o&Z2j(cS}dsBwyWomHGh{OUuD6%6RZ(QCt^M~
z94uVk6`NDoSRvP)*Zs1+VbAxR6OWk+`I7&AOpalg+Z$SUA>)bA<jI8*pF2WNq+fY`
zp@1vK`d7pw2_LqfGRHggwTpf`^>y}jPfYqNqau9gVBGY+%9D4`-jbTtlfK-}^3H~)
zmC@&4KJTkYW9fKN*k6|0yjp(KDupF0w>UmYDxEcH+qqXZ4PW{C${1thPrqWB-cxbr
zwXXgOg>C0vZ)(^m$5Fj=a$ko7b4RdknCuOcKRc5@{+|%Bk!yzgvrZpd?u+J3JB8VL
zE7D_LY9H;%n%-BjdFS;<y=85FF>5ZAh}VU!)V<SRoWtI5;K0<RlB69c-X8nXVafOE
zr_k|E<<F-d{_M@IIC>%TvG*kI1g50M4y(pnNj?)wPH;@hIP=#0m__V=oeS^UJ4$}V
zbQOQ@Du`@+d$w0OF1;^r_neM`dp+{nH}2Hk>CwA=_F34SzP99lUpj0>ZyKq6Snwq3
z#hpG^F3&%)CmKKS-tF7m6Xd#hz1&8-oj=$1JAP_dJL{sn>%^x={I>A3b=huYJTUQ5
zx80u(rw2(6H<q^UthkyGoV4=CWnPw~qUef;UA7T14c#suH!h5rV9nEGlgz>}tAXif
zQndCj%_Cg`Ys5}WpWVUq{XtSzQnz))wiSyM85d|ZFehD((Mh^{W4FAR!~_95;S2Xp
zh$g*Y{<5Y}>QnWdzCVJOH9Hr~Te)CMGXILUUd9D&E*kwYEAtark`!Yu9O{kf4whF)
zW;hx1fKl;7l19v(8)^rolZ0<foPB1=#_ssW7|Em?42yf3o@i9Z^d{!7Ip}LO!D)kX
z44=gIO^nR_jeGkOKlf}r>9C;B^?qtk__>x9DjbcM`$CN@x3%uS*0g;h$Hx8P@23eZ
zZMzoU)B5h3^xC|WmKM@6U(8MlJZ`;aSF6oGd8SZ(Z}|Qc3)9wDlcc7%R{ivsUXa#%
z=%!4<IrDv9TsxQbhg;p1>(!T;7=8U;=}Cj1ZP)bgdmHK`^IYo*4Rl=}y2+vMy7bqR
z{Q9jY?RUlVrzR=vQJxaVdxH7n73ry+Zl=*!r8Hw!|8!t|Z!*o}#8rv))BZa2MXvmi
zG@;k6EcJ?{_RXM0mn4q_u1W5Q{?W1R_zI8N%d;htJEFrFXBe(|8TzxQpy$NqD^k8e
z59KbpCH?lWW$KIH_F~S)*((|)4@svtu0L`9$zsWqn|d1FMad^DOv>-cTpd-pG_5~s
zVt_Dr)X^nNpX}=F%lxhLDSr|}hO$Lx?Aa;o*|)ZA`>;zn!Tk7BK8p`q<Smas=7>3)
zcxzj4_T<D;=EOBUu0Q)qQ;MhchG+Hf;Fx$Q=19=Bsmjp|+1EP#eR?%dbLm}JDbeHb
zGAeQZk=S>A>MXaTdvdOC;_J9AeS%~97wytX9Q%)U_2<05*Y_-Blj_eC6Q18%l5*%)
zU*xG@th3|<|8zb|zrwqE&W%rfx$muR%Ifwoyqc!q(Ubr7*PItNEkD-l{nX*;&0Xc?
zG;doE!>%uK-FH6;IQQh<O>ddD?dr;9?h9W{k@e}#T_5N3+w;_pkgwnGP3Tq>e))a&
zi4Bv}zbnN44~k(Z?@8)>kSW|DbzOzQm1*84!4IEL9+=4W!0Y7hvmd|BzRGa6CvDT^
z8!65!debs1nF5x6``8%#bK&uiJ9Hj(TJlCF>oBZq$Q0{J?{3JxRVm&RGqo#b1(W|R
zv!X)94&`H~7W8Ubm)-XD5Mh@0QscSaQT{z4MmU*aLPOz0=3i3%e^!W@nl&{v^!Jqh
zII8d>CYdp)yTkUxLSK$AENnq}g315w3J<)^{&8JyrO2`OKWaJ;Bwc6Do+sT~E%w><
ze|Kl>)DPm;C+*D|1&^)juxVJ5{`zQp(vMB@c1b7x-)v}F9e5&wL*utoSI3R|BVNC&
z8`eLc&~0mzG`%;|R@45cRZ^f$Y+rTMhtHpiMQ7?HbsvpjJXxvJm675nA=DZ6@k4uh
z*8%gkWu1jFC+dz)*rXTNVeujPf5VMuH<OQcr78z3H~h*qr>8jmgsrO(%e?*_+dKBn
z>x%r?vu6IPY4+SF>Oan8U)ewH)!He)m4(*!cPR8s+xdA#%%hHs2Qo3AR-8NA+g3bD
za*KvoZ@cPD7UABRwmt0|nJ!sI^maUB?1(<zxmZ8xhipt=r)BpI`IUQQyL&oT^oqal
zxN}00y(6|uk<q5J!>%Jq`owppc`-emQH<KldS>tKjNQQ9+xez5_IOu^^UsU|xv3Mn
z`#U<G{y#7+sW_>lqbcd@iUqb2uaj1Fb)+aK_s(uOmSi1s?uUUZljyOIIo+|`H@dqz
zCf+&1c!=@FvM%MB6~`w8rEuQr?t1v3W1^y%#!k8G6AbNpV(0hI*~v3eAZcD`Py62q
z{K;1=KK}o_y?@Tm??1jB+ws6*_D4?p{=S@vz2|##lsTr|;7r;)(cn!-Pvy;-I$c>4
zlK)pR2z|IN8_B@hF~y>*XWEZghWQgt?dkY&IQBx)aj_NK`55{ddM0h!aG{m6V<YRe
z82-x%651<PzIm~3-i@n@I=6~8X-vDAP;oJyW4Felz?hGka*Q3k^E)Pd?%k;45IIp-
zYQBY6|AylW7=HF-occ4XuVe1f2|v^<%xBLOnDEWtfK6sa@8Kln2kaV)xI1sQSUPO)
zIQk|jwvj7+hK%`+WQJ3{M~ZtEB}>KhPhLIejOmSMrul2vi3?0*Sj*0Ea>jF0{t29t
ziVVA%Vi<*um;_oM+SqVBkpI@PG9szLwLht2{@JN_^v_LD_z{)XUE``WrG~HLK*|q?
z;2W<uZiso*m}#5;(=|doX3nRhSE|$BOj$Se>}>`fo1VVEyL<noFs%D}c-Qn`m(7b(
zuJX>8o*NxJqwIma&(~w8SN5#ke(!sc6z^sJe@i~6NCeO0pS$W#TjnRpy1w2LU8SS`
z{l7~$$+YzMT~Q6Nt(EJY+j98LRGy`Jp1V`Ey!-opUUyx8U$2M%r=$Cc+KGkvQ;%=$
z=m~gWGn*%V&epSYSC>cWs9o!;*I8<IGCF_4buAT(f`myL-aUOwdE!e#)^CcaSr!;G
zN&AxD>R4Vcr;fUL;*lwq?|P5yxziNc-{+UeYS#R$d&4TGlQunldwIfs#LVGSJ!!ar
z&F|!1<%~?}6-6=CGa2r!-To}5BV}@8-3%97<<2m6=Iq|O?{fY9l2+cG9-WpU%*?TU
z6PEs+^!w1t8P)vEyBAEU=$Y`Tsb0!fET(Vb{skvHR+s8CMa;Q%r67M#U+qZ&`&)}5
z_OAH+BW{8Un{d;$noWG)8l8(Ts$83SaKpQ#4%S&+uXvOG)+950==+rMdP!IEq@S|>
zJ&RPivLaW0opaGMYGc@$$FDZnCCw;zKefqx)9R{?J0&}R<gePbNnrVgy(bwS?^@Q+
ztg7>K%A`%{+Zs2ORxm9PY-f^~UBTEAbpDC?j~VltIg_*})J^7=pS4x?=i12!l|MeT
zROhlvoWKzK?}3?$@VUg#^Ea*Kh_`9@a_+>Y7f<H@XgPXAN<(ys{n;0jqyw0(A1Imr
zl)CaU@z49GTd%5~ecKVyyX{un_meq3OTF&zyH+~6tG7e``kcwuYmV&Q!m{~lvd^1u
z`}=06pYPqaRr806N?XI}=M$^euX*d8^*3pyGGj-C{ZD50t7UQQdnak}b@Z-1viDug
zG+}k=Q$MSI^hvIMo#J%AZ^zEbQr*2?%illQkv8Y%zZ5OUzV7#{p13dUeWqn8V6fZN
zfA0FJ0zG%Or0BEnz3Q@XxvWpjRN=KTD{XrhPUY*ad1s<4)q9PvLv+td#wMYdzW<XY
ze(pVbvLkrjM8VD7A?ckpXFF?VU(`6>`8Kj+lYdfkMDqWY2hQ$!shA{?@uIpjN$%jB
zZ~r=@Q>qRf`XbE0$<%#daaYXb2lHOVx+-Ls&2my<P|fkEEYMi;kU#mql38ZS*;|KZ
zf91_et2x^(ccCPXW$Ba63!cuJX(jqkup{rs<poc9)nYzfW2juIcrq)Y(?O}Asghy)
zitx{GPaXbnVzNA=L+rnQ$&6wdS)Z8IPb6=6^kSx3*@E`2&9VPFKTfPTl3kK>a&cVa
z^%RjAoi{~S_k21Ls&{eDA(xdY^Xn8gFeaV(7Ur^<L1`tE(w~hT9}b<I@XhWG(~ln?
zm{)YFHyfNth}n}N`uj4!e}9MAjCR?L90nUYx}B{)*w0Cx<j^Gg@yD68MPEfHGI+BF
zJkR|5L-4}xh^rkHzAx=Nnqoej>zVK^wu74~hq2*HEAs@V@(8A`*%Kqbb%^;htUc1g
zBJ1C|pzFhi*7}A%{`Q!L7s(9W$^XmPa^AfCwc$|*w|evSYiEBvc+>cD0!P7$MxKt}
zf5cvMxxShCP3>ioW22fxjGD0VjeplCR37jB{3(4-&Dm+0bF18+aIT+K+#0ho=j_XO
z{Au33Z}S&w+1h+c?tAlm%Je@CtWI$PU;8FZiJAZF|D&YIohmiACRr<=eI%HF{>qP^
z9Y!)2tz*7VX|$f9@PDRECu1PnbnfNhtkv_T@SI!w>-_Yto%SbZ70)W1(im&KVDk2<
z^%DwxC;q(GyDM$M67inC2Mj$=X2@SZJb5AKlHf?$i4lxRJ-bgI(3bz5e@!*#>(%2o
zXMXKvZmbp*a9(^brf1ir4!f1V?JH;Y^z1s_Vw0DXJdOM4-U*wu?p@Iko9V>JeS!D&
z`-XKBJ_)Q`Y5iY;F~(2h_~vOP4Qh99th~9RXJYAu62qJIZz3+({pj3Vyx{J=20O!>
z9X~jediR~3S~KCO!pDmh$7g7&RmCMV#ym^%*&x+k_@T}Go_hB`L58wpj2y@8V*h6(
zJ!BM{8{1%FD)zqPg8WToUh4}D-+K0cIv{)e%Pc>8yPIbu<|H$8oI4Qv?@QAE*cIvd
zNB+&QQn)bV*tZp)hKe`l#&x#*+3fN$_JhsinIDhe5PCkzO#YJsL*9kXv<(*~OG;1k
zzW=HJP*C5bo;O<=#otdbh?pwA&Srw%zYR%$9!!a7iE!VP@U3^tlSRTGijLoKQs%JD
zzpORy>UN_Q%6}MFd`>h?T5zGokuQmj!QAH3=5v#Jr~6jjTv5!P%wYF^68HWEK{r;k
z`|tKUchjwN?W`#*;oqkCM&0Xsxvgte`V@)jH8c0lNm}{(!k^=pt|af&R9w2s(kPGP
z|Ek=$iA5Gn8#E)BlD;(v6i$ndSunNtXYapllli))sI50@`KR+{QfAXit7L}b(=^`8
zPRSBqzo4|hVp_H+qovcNi8(hIW9}|!X=S;==f2?Yy^b3<#2&0l(VR1Jlj;7Ib2u55
z<zpUQ7C5EdkZk^mTVqrAgX4EL-S05;keg9-w}Nv+#AC)8_6bj7^fwf@N^C3^kBeyz
zIvo4|;Ies*E585Pq-il}f%HVD@A)5gHF+*#N&2_prXV9L$4w@|iPIBhKJ4qgH=#Dx
zLzGd_=h+|DkBdGg9TUDWDfLa~tD6&-Z|r>aY}zp)>xlm+H~bBn{B5(u1~D_?iPKk4
zh$&#4dQ4YnV)^%Xr=_IUq}plTZLH+pyH)$Q*IWLRQU}+jTK)CrT&TR+Y)Z~enc#J)
zPj^kOXqYSGUCgwpL4BI_-?<%OF-sqR3Sz(Z^osffliTxpVm}3^Pxsm`(VsKT`u=Ly
z_4Sdfc3pX<!o4j!e)YN!9R&-YT}|1$d(K?(Wv9;W*foEmz@DwzPp>Dx_v0!2y~%8H
z(#7eUZcnui<ILWebi4j*mngGLj8TL1@#-t7svECYR$6SZddzZ2+BIvFMDqX1B9?2?
zC;a{s`~Q$+<c5L<#u)dQKXc5k?l~BeXdTnjY5ICa>IbGBAJrIzXYYvEY49NVzx#|p
z)9QnHOdYIEF{Lrz=FB#qXt8zTw&DrPHg&vPC)UL%n!?=oYHeZj^R}6mOcS|zlmD+t
zh~3cC&KUFS(ebHX4`UiTlEh;;W2RUX?OHL#E$qSmBReD>Prht1!Qxv?_r^IVjysq?
z*qZ$Rh7fZyqe9a9x!#p4y^nvN?ibzj`1Rxo;y*ZCIWt_C56Ycl`T1#Lc4uwZjPm<$
z7R0JgtgK{a?u<U#Ic@&V4*$anWgn-i6hs%#Px<%AgY(zF$qeDs78t+Ypu`~fr#t9<
zkK4_uj&FL7=U&Ts`?f85DtqLg{)bo1e)f7ccjkMX=-$LyzA0k9$Ll#$vid*RS6?ZZ
z#HQ|KKRr}`_Ra-8mqe>CX}@BNRPBlW9+H10D|JSIij;$X((g?VD|;VKW<B1zYWp<F
z&fR8z4!C<T@I3Ed&k`BW9zBWUJD2)dRrC7(hih4q@Av(i%@kk4vR7Yq<wS1zwku4E
z>)!M}3O#M1`R8W(0lA}Bv!mu~Rj%oKKbLQXn1CYZ|9x41U$I@C#&o@Hvh`DWpEdi}
z`tfZ2ugkUle&0{eH<ga6>!wcMbUpj}YuWDi)3jXo&ARI+-MdL6xBpStN}Ib?>ignN
zB)+=Nemht8eAkItUa?a`)GheloXnP-!05@fp<pf(d)<nx2{E4zTXa7CekE+`=`htP
zQ&fZB`%ib9ko-UBhYC}g&y>#Nu@gFP?Ap6|Z}F_i@-x$WPdB8j(62u>X~wQ6^C!$T
zoUo&Aq5R?G|0mwfp3>&o&}TNmNO{8A51*@&Qt#@2R=>8vY2$?q<zyAf;;o(K|GYaM
z=1hsG-r?83*`fAN&%?8f6N-*8o%o#8|EPC&L(I{JE01<W$v<LwAtT-K`-bqB^23Zt
z6W!{rO>!-sVBB}P{LzdD;@UlrtuHoRpC-p*Yojb0v+d^#w#gH2yq++5&qk)>!j~jJ
zyxySNCK|K)==BA$|1ODrTC*%SD6aFaif8nPZ`_Sj8MRlOb~(VrZ^<KGHv4rmbIhg(
zANFk2ZD&k6@q#gFYfMIA)CbmiMq!=4A0LFVWhLe4e~7-fA;EBF{<jYQKOZ_jez+9u
zG$&D^Z$_o>iF=94Cmwuwk#s2}xnWfz$BE}B*cePUx@X08yhvf$-4!Hye8xnk1%CG5
zHhLZ@+;ZcCzVut0B!vs!hq%`zGo1MB5g^H|?RMFaY5ld?KR2IT<;h?s&0ls<OXrs7
zBc}G1GkWE-J7yULgwA08k+kOr-<};FYD^28XDB753G?<`FrKrhc-Gz?=1T!H<r{A#
zEfUuMvm)i$Mz(&o2^zA$I~+Qsx<1VK-5AR#(-~)bB&m%>we~?5-@l}XO~njz9?L5?
zXjiv=l4Z$0TcrGH($0Xl)3#Uo-;i(EofMR`EF+_$;G+72<o`97ryO1Jt83zh$w^10
zC)}C3BD8uE-^8LX(^vfF)KJ*AIOd;uXH4NLuC~XlToV)@8Z3}B*Pg<6o@-KuwcO1e
zl8tf?O_^^lzn4_}lTmz9a^d5(Vh^~Or)-L4SoFAI?b4DTj2refZ%K;0;AvYiKPh!W
z_`~80amPCkJ$ia#5<{;XqeINJq+Fw%L$hpRX8yR8zR{+iWdU2n`-?X>UWj&L7H<Bw
zKzs94zVCOKr>wF_GFicDKT+H5p}*wAZx@$WFwJ0T|2*OH`56bajWo_poT0?{kVPTv
zQHav@pGUM!>nHGi+p*%~my2%i6-s5;A8_yZ-BtRLaYDTu)1Rcs9r?^mNv>;>j%+A-
za5iaysz1XyzXw{23OBSR)hssef268>V_~bM!i1HAa?BH^St{l{uxE(<pBGaZGdFh6
z|ALO1wxka`HeI!uU{etjK0)B%|B~rzZa(^&xN64tM1>vuOy_r>@6|8v4EXlt#;I$o
zqf@6W{den@%e+ZT9Fu|^6#nga;+Rw*vGdr}MJoa;epF1{P_bioe9Fd{j(s){s@5nh
zXiwWyY+m<osr8=DZ!@ONiCjK$@|y`SK141mmG01=@Um{={gjC=(`T;P`Q|Xo0xvd}
z7#aNuZzl*RvFNOp<W8BG_woJFWy`I$eioa|aA|R#B9q0QPbU~2?DRimv3P~OlEjqN
z2In>ker4XUSecQ%@7aXZ;8zQhUo2T6Uw_g<hHb*)^6VLm-;VyD^X{yI-wlze>v?1U
zbL7N)S1=ZfnXojLp*yHjc~!*AwHJ=x-01$FMZ&Q*MssIG*bY|-{};CozkJCz;as-Z
z1K;-xE=SA|O;C=x@Y9t)sj2#e?wk_~0(N+bCV!aqKwvV5;erciXXb4b=vcvj>37HF
z4NMQJHk$5uG;hJD+7>R`*#8sv9^ZK*>(BLRmLL91*jpgDGxm|wMYahUy__o^d~eb8
z)kvCnOHs;0wR%^S+>Hy93?>9R*)nPW`1IHB<B$339=XX=pY1wm-#zj69PXWxVlzd4
zvdo|CwQ-WpqXmthC;BGO<&qMMng3+nA&JQcmreP2a>JMEPmaH*yxKD(%#}G;Zu&Rt
z)+1)k9{MeJ!gijUxQ$KAwSw`5rQr-)FBV;wOEHX7|DN93x!``*AIp{tH}~vJ-`Rb9
zj_MN&nS)#R>^*vUTT9UsuBK@>tJ9}<+!pd>k37w&*w8wApL6)Mn0xaXt3A0zk6mNi
zTfd2C>J*bjys}StXI^>zUX0<C$W5M(37e0su(G-SI{NhdmOaz#P8V@r35q(&(bNCf
zOI;w-zUTc(&RY$8`tR;t?;rI+uVq##+tt%d*L!XLb_$y%&C%2U%d>U6Ovjt+ufKX)
zg%`f7n=<2)Z0CJlf$#V4?`lta-g8c8g7hSB=8owXx^1UVNs#`OV*UHXsfi9bE<4}F
zDa`wJ<?R&r?H)Vs%w_ddeHlFS*sEtNmoEyLyu{;M$iZnwkHYp&<J=nLx#y9eXS7-H
zXS2yG*RtxfMox0+iI<$_5j^Gg+e*eAlI;93tM?ttnPU3XJ0|SfmDhGhMJ~i_?3~xT
z^!u*2S6**@a7$LdA^+Tj?~|Q9xSw?H6o~!ry&>+w`U!0ly*Ye0RGyz?!Z*<{;#R;7
zeWi&W>K!rs^H`F;U2U9pIO)`lOtp$_`ZMmNsBtu(7HVhwDQo(Xfp^g~<IA_VPF$^K
zw^6tI#&^~1lnmd=`;@s8@6O(s&L%l$(w?NxC-^doC+$7S#5={v@9EV2ueL9+P--ll
z!<ck=>y}Ad_m$p@Et@m%^_*!w3V(8@toa^P$)~bolSa&%wCg7|TBhv3`-weq>!IgU
zZoi%``iwVmiB%5E;b`UU+wSbRJ=N&6s)Akh)=5|A8l20y<4}51rfk~&uO}7j&%DdK
zdOBd|nkbck<KfF&Jy$w7EZX-(YuA&g$*aOAN6fwQaI4;3Jx5)hok^l+rWZBTeZH;|
zJzu6fX8L|r$=H?2KWy(t`C4w9I>{?1L~O<Hn*|zsm+tnK^_%#N;rNvOuiws}AF=1d
z>e@T=^f^rOrx-t-x?uHmiH9Hk<Ru?YowhYnbM1+3M>k}pPuxC(Y0CB#t>Y87)^xaj
z$~d<8lRIC^m8nyW<t3S-I^RA&wQ9i$QTL{}mF32V3OpG$U(>Rd+uU8h@>Sf4%^Rnf
zBu#93?7!mD(dm0sek{!I%sDq_{)3%z{PojJA~(+0nU<^jfMa9P9?=ug{7mT=0&c%o
zeElGyy}=}g`$0y9=!IE|?;aG0@!#I>`JgJ}@asEapN{W2Q22Nn%KSeY1Iqlrk#5_X
z3D>heYUy0q_UK~<;}pZQ7ma64usgoN>=@^YYx5><Qhf5U<wWd%&0EtZ>C43yF-$x;
zD@W|c{>WnUt@FKQ(`QcmwRvJ;#DR^%9}N#|d|~ir&DTR77u=*+>OZ`TyYM~b^|}tV
zHD^{nT5#9)edGU+|2M?_+%WlY40Gp#v`rh_ADZ1rW|(=DfjQ=N5=(N+zYh#D46_-#
zT?8z0xExhxvG9rnRbP&o#9(2o^^=2V+PRq5C#_BhxJ+EEZ^Ym@@q*l~bCw1*7n2V$
zGAw3_nR+qe<GYQgHup8SR<Q2cadh&94SgRjBu_hgYTLp%yA3xUPdUGJfqvqzWwHM|
z>SgO6UY47Fp!%o5ys1-dC++<7*!n4h3fIKf2TdxbZ2h%`y_zxk&xE9t?6r5kPM)^q
zdG$$U+sO&jw_KK-e&G3ymS4Wc)Aqf;u-ISV+a!f$0uLu%Gn~A)r=+R$Z|MHDTlRf@
zlk_+A;g6W<2Pe&tn{u!_X6iw2iB)TuF04Jq*mx(RV{<F(hC}xMm~K?AnQ+yz+u-qy
zM_c!=wTM|6anJToc+tco=Ncm(vhJ92=<lX|onG=R4Qn=U*#CS(TI(9FqB&FcYdX%E
zzHh?A;|s3WwXLk0wo2)$h1-;UMIj1mola&m@2+V{z99H$@{gV=duDh)W||Sb=3|gW
zhv4B!yJLTa&z`dD;iMH$&0k-V`#ELHsR=R6u?#EczX+SUiC;A4_S8*VHysk)7JB!0
zcJ!1@+c&J2-^Ms?(^HM_TYqjho{^uEJZ;najSpQDm~>)ZZ2ETa)I`hJnXiw}c|K|4
zulN*!4L`%r-n;*NX4#&tzpj<toujij#>hZ_%Eq!utu;?rr^guNOj+@H?u%PLp0fMJ
zys6tMwsGsf+wV7Q+cQI};>CW$Nn4T(9=!Vyc4YC3FEcEXCb!qjoW&DA>A)U=826;(
z97guZi;So4=-AG{E63q+!~Mp?$+mG%_xma~l>PiYXG8e~-_Q?9!8eT9rzSJ}ThRGo
zBI6E=xIYuL+LAOm+A3bGken<r*&^l7YR%*FFBH`nJLNiV{K;WVTB)SsZqeW{kI|y7
zH7O?c{|3=yhF=RlGEYh>|B?Lf)ye*3?hh)D%O-4Ljb-?;G0Ez{>;7vWG<tu2&^$YR
z<If#llW%CQb&q{BeP+R$37d?Zj(wQ^iTUq@w;Pgn?oZkwd47dASMnN$0~6L8KKit(
zMq|dz4GKw88&bO_-V^^J7;|HnfBQF;g>PQm?GOl(Tw!P)$9P%v^Q7|yf2KSU6;+@3
z$SR@k?GBj<|Nfm-UVZ!Mw%c7(zb-GHe*f5p$6HqG#r0}#n)ZFp)W^n0il;q~DxP}p
z-ejA{jPnHqv-ftNjArw2o$@+q>bf_ps!j?YoBFCqow0uMlowHJ_N>43S68SYf6I%j
zlQ?}NU#(&_o^pA*!mdBtrd>TLT5<M!)#-?H&s>T_H|2#r+w@0Oc~1I)S%1@(Ke2gs
zRet~f6z1o9qt@@6-tl6~i><4+x~#vn@&A*AuB|WD?mN5p!?!Ij_I;l4a>kT%`!^=_
z-tql>vLdYajrR2a^QWdn9%wk!Tg1q&Gx3Siu2ZM>=d>kHxWKrAUH1LX$B`RePG-{E
zFt;^k$J(nY30)gs_Wr84bAx%(#D(E|7PoAe6f^sTzwGP>#T)m^p4`K;J8sfNzL>Cu
zQzz!Vp71Ji!Vmun(`nCj{Tuq^rW}o&SoBPLTEm(t$Cg%CZ+*Iz^SsOL7wyif_qIH(
zvp#VD+=mtC$|@(kJ-Xq5dP!NOfLi0*&3FDp+|)TgWm@I*U6ZP6*SBw7b5K&5Ir8+h
z%Ter+Y=`c>a@A?k(JuK@`Ss|O<9@8O>pDMwoO&!~6Tkf&y_|EeGyU7PsW&Y?Kjql|
ziN{qYpB85Bp0I(#S7*wP>td~+);B#rDUx{C)qiWl^EYP#DyANLm#TE3?Ytk~s?!4V
zrXCNPIzioL`XAL<YNzk$PoFUN3g1>$uI=8_Cwex`F>Ek<^k$_(7~giiN}U_ij{TbK
z6eHKY&yQ>8vuRUH^j!BJ%9~=#w9(Rk_wr*uf}YFRKc8~!r>dm9_4T`;t}Elhju6!l
z-{_@gx6VvC`+jYc<xQno&ltZ=+Z9q3D|>$W9^Icd{Xb$S{C8jSblTLt(M+@CCWcf#
zOuO->-@8F!^R&G>Ov+DLMJDeRWVBAc_kVKl5sjm}Chk*a@-JKVqk6-Gm}&b}7&BjY
zJgYo-lb<nW{@RGC2cG&H#BNGGbbIXv#tFQS`ws9Y?a`jp=CYb$XBG!%+~LQ{4H4e@
z8_%XxOPp<NdpP~jXZ!veiwjy}rkxbq*pt8M;kv0ObQ(4kWk*hAJvW<iQe*$4uAB?s
zzfE9OV2s&g!N@;{C#m~+%M9+L3z#Zy`b^Ha6m|DRZN%frO?<H_3@f;NFL_Lk`g!2%
z^^_#W4NRt%jO>5!{`>dzV$Ise)8;X#uYRSZ6BIMyUqq7ChP00z7rQ4&Co|oYlDA|E
zo%mb-$9b`5Q;Jv^if7xE{kX&s`#&w2VV<SM+3Ei}k{Kpg+^wAPu6E6hhRPX^9fbn9
zvly1AaC%=j=U!AIlRROS?fMPEKQAuKS$XH%&6$aO4cARA8M!C@_}_Rna<}Hu4Xxc?
z*KH=8nK$+EO~wfa6j?s_?Z0XKv0#Sd1XV`G8IGTuy^^LpIypgn{szACHxvG*PkpF3
z`%cOJ3%_<ud$VrS6pnd8ifbBLBA#noou6{;+YN7>8K>t>zbZDdj8VOFu9kBDL*A*^
zT$v^Fro6Xfd#W#H@j}szZSJ2)jhKz9y_-8u^)kLl|2*yb-JFma-?mM;@%Dyg+NI<6
z^?POFE<T>Db4ZCLg7NRA<fIu6?h3c^roH>btnlun|MRIgW;2~KiO-pS;||lb_d2W#
zB+gBIe<FYOjJ}$Q*Y_~(Fm;QTNdIs)$$jFr${Tt8oCj?GTLiC>IKJ>Y(+Mg2veU6V
zlRm_R-P`c7r?X(<r-v_B>-0?c^w8k!)Xzu0P24v7M)2R7hedCMcQ}1anb7#?;A#2!
zbB|5Ey!_VG=XdAcoGKo-yTe#{S;pV6f;&^+oGf|NRq~`jaLSoTLqi>|sgEM}^4~re
zRke4*%iiFfY2`H&HqLoJ{mz5_qtos@UC{E_y@AE{9^-|KiIy*?-g&&W!Q1@!#5<Q>
zC>~=ud1$^>*`9OJ(<h#6|2>1rR7NOf!ktCar`}m1x$%aReAw>;cPwT~noqsmmjA)8
zZ{n@f6;d3*9hXkWXwPVtiJ5%AStcoN+WiSKNtKiCPdPk;w_-+|9z!g{`j}raU;giy
zvypp;?_tIXC;4Q5uP8fsIp*qtKl*=u?1-<tvFG!FI<A)|O+H!dnfjvg(en<09XEXr
z{IBiUyW^q%gs0;D53N6X9N!btJwxBV`(fyf#j;P59y0egDTs!}?hLhgm@E;a@!|3}
z_6a2qAD!qkoj>jRgV=VX1u>x;z9sEB!T(>5>6u6JGVTM5H!gheb7pFaSKkiL2~0_T
zeLITn+vjP=)GSPh=UL=`aQl3%&A$X4*bM$RWLV7BvfmYxw2E8m0o(Bjs~^qLw2Pj{
zo)n?>We!_(<Cd}~wYNWMJ)6h=`$^!EX6xcPT61T4Ope&m=HeQ}Q#R$ty*aGY_qczW
z`upyq1l8@&XNqs?VOoE^Xj6iB&6J<lCmlW+ZGZc^X#CV)TjwnGWZ7STt@qfrIa*uK
ztle{G<B#?;lV(ZpiQels%XQz>U;YWk`=%e(x;f+H)0U@Rm-URKgl<1}n^dpFvTdG$
z*3T;cX}?yL@a^0#Ffr%O+m(D<<M+ibo3G@pb!eAdx3@y-w)qBHFDKoU>wNu0WA*;1
z_m7h%WK90e`zua#_0xhEwr_8>2A_1^IG6w0r&R@S_`9Z^(7(B&dyRTlEbHOZtkI8u
z_PcD1<CwQ^+K)Jv9p9#0%$fR7-&^D)_uiw%(|=t5#S;CLRr9{q$0<MVmOXCSxWYU6
zdCT;vESp~P$p73Cx<C4N3hTw$&YM>#&YtV+%Qbu7)2g)-O_uYRcJ}VN_Dy)=6E@bV
zuioC8`b+(Q|K@pWQ-8mGbK-I#<BN~`rvIK0b5()y<4)yM4D6dOtor}r;Ip%-XFJvU
zPSlDgM)<}onq+Lh{$Y~DufWyI{BBM$Uc2q?=3-a7h_G){9!8zW-gGd0rfl+_*xcE3
z7$Xn9dDW!6CvYPZKWn#uk_h*n*ngM&lp?ljcj(;P6Z?6>&1Z9%UK`J|j5V9d^7zTw
zDTe!VuX11Oo&4u|=%16fq@SgfuZcMlfBhEQ@hNY@J)0IC{QIx}@ITc_#|0Ms)UKQQ
zMk|)}uys%E>Q=6+rzI<T@8;F&I~m@dqA>lJ%VEZtyH0-fl1==#=P^%}>)scy<+^Xm
z*;grx;+jO>%-nV&z-#@TkWWJWOS(dr+c25UwcM+1_#``Q%Ko)_Z+TZua?N>UpcL_+
zyV`C2v5%Rq)AN_={PK@3nl#TVL@{CewmHnvLXp>|pIP^$VeQL#=cj$2&N#KFV|`%P
zo*#cEnkJu^qc_>6aAM2xX+PDN^e^!qa7)`FIm@ViQc2uRhmTWq=Pmk}67cz8g#9t^
zS<WZ^sBwrYPslCbzAtv_ALE%ZA7UBSOxmA5<?nBqS!I3SVp=&SXLe5c`+n0U{SUvV
zZ&T*j_qg%!q;2jTr)oqd{ujGs@$>bmNk&IE7}l3fVZJ%}|HjpiWHxZ#+Wc%D!|Fe8
z+HTHg@Dhm0n_KmJg6fS;^E!N9nC(6BwPu#*x%p-fmOXwEBKm)#4F~6wq}tt6)GInK
z&soHKXR)~*<J8yHC;ZMWmR#bMbW`Z;1(}~4cNEWBe<H?L;NHYfkq<&rE+pUB$sd#V
zQ{clZ)#DGel`oWa-*ovg&-7c;-k3Evh0^D6ueoWLHs$n_kA<BcK6B!qPuauI7n2a2
zbRfxkcKn}5Y!Wl2?3f?Td&WE`_V0(u&p%enf0`>Xd5&HSBUi)kuNB-g_}82^o{(Ho
zGbiNa4UhQ~j@U*@9Gz(NrctY6w#uJ3a%pn|Z=DM6p6hQpd!qf#tov(j%sQdH?9PR*
zDev|ky>ugHj%?8F6IDm2ytqI8fnG)Ilo!AM{yf~tAs=J1GlK2j`<>sWy$I9Q;lDh`
zC;#@lqZ0$Ge|(5}QgyGPyicI;&)v5_9#@_yRl2gQQ2*xLcQ1A3$?jpCQ2%Jf`6<iH
zW{4i0-O<M&UNLQ+?50MAz8kOa^K>v=xBj5_=KYLbi;2HBF05dm5vMF`@&4shi!Fwd
z#x8RM@@JIWFg|ary!GMZg5tiX_3VC0kJd<}vD_$544dcqZ9(Pi8<l70dTfZE&?EKQ
z`qqcKiNT3>bKE0BZyo5F>9%3_-6J19+<3q`t3mYZn}#duk~{A)H|Q33zJ7CmQIT`9
z#OG@}+i%EE4L;r>wUP11|7O{w39n_ZeVHC?Sv~FXT&4|graeBXaAZl5_P_gkv-y%&
zBr?UmURoG&=l#y33L6-2*j3fN*jKn>ncbwfKdpqPJzhO$LC?+(Q~LwrMU25KPKMpv
zZ+(Bh$nAO7d!{_Doy1hG5I0xk{WZ4CO)3kf-&Q+)@ZOu7UX!`>=W(q4{!sp5Oya3C
z@?}puIAta$ebJvGZ}-wtdLCQSj@>aHbM%$2OSGh1jImVQc`jzY_K|FdPNp53r96e_
zYdOh3s^-g{aX;k~Bj<zH;`2YW9z0N5acb7e;~l14F`McbuFPV7nDlVA>Yj-^+LDB3
zGM(=b>l5JG@HO^>`o>oiQo3i_+W$MU;oxJ&2kDB}ECS^$Ph7C?J!khxefEjWc^p%J
zu6PhDkvIFLT<wDdInD=(l1v42#Q)#8C^2LD{|2kd2k9TglAc(rc+A%R`0?8u`Av&d
zlkX^O|2xxv!^%k?(q{SW*}p9@sbMD5*$GFr_9)(XdaUN=vn;tsAF_9BH)0Z><7*l#
z_W!}0pB!)RpFTR@vM5PN`t1x`UqO`($qf7FSp9h<6e{sS(ms7>`l*$hAKXlP*!FR*
zb;u^|Z?kMX0<XCkRL+)85!m@`%}d{T`sWVZe6l9EdWMyXvAKky_7jbpb0xLC=h_qn
z74Lj=ZEErEc)rGa)B8T(JJ7#r^?#Lw%HoTwzr4AVe>LaxvaR!!e#gwUn?J+$bGx|T
zT#buszP$;a8y)uN+l5UA>+j69o6ocJ-|YpvBBxzG{q1e#JcEBlYt6m8dgZ!HEmthr
z-`b`!DYI6tb8f`PKl6BM^?jGA&kL@ZoWDf5{<P7pXRnsKuYI!O_S5Os=~I{foj%<w
z<A>Q6K1=O6vtPacReNHC>ocwU^XJ;GK0nteh_f;}>CWq;XTHvxV>GF1(SkW&pG=OL
z$?ZR3qu7Il%HysxjE__u_wSIc+O+fY10A)=?e(*`zCEe>xM(@|FH5G$5By#?SSHOe
zvz*8z&nqC*%r2k&aQf83y*6{rw@)d!zbo~?%_;x<C!W2wZ{xHB->3ZBZhbFi>c4OE
zwI+0&N}SDfbl<Y5>Z$KPUsbK_l=*mJ!~EZ$YIWvH)}0L7Grb@zdgA}dbyD+1)jd0I
zo>gY5l$&dIexBATmWzE0;wMd%Ru}7Avp4R|wQJM=pPLi6Y0AI->r`rYeGbi@^nB9{
z!zc6a{+q(QF6d9%X#wYnle!vix+(>I>v^;1+R^Zn2A=cGgVhZ-`p;*~<XsZE;K|gJ
zt0w7en)bswRo-vf|Mjm@JRGP0(7YKsdBew?M_Qj+?o2DV6*=|)@9Ih)hw1;locQJ~
zmEK*V=ek{{x2p2Ok9p>=-ppmGTp{-4M)sUv?{CkMn=I7z#dYS~2i;Rb)E~@TGxh)6
zCoS!a&%|Zxr+mJ8GG*pG?N6s)^|Yu&O#8ArUhLbZ<hV&${SyjJD{l%+xV-u0w*G`8
zHV<1jFedGul-W07<HngfCqB-a8KU%Y(Uau=AGI#({aNt%q($D;jO=YsCo5}zRQvt%
z^o=Kz&UL)+>W$wsG41)Rm=vv36P|yb#Zme2=lMqcc?;z?K72Xr;IY~W#v5guyLde^
zi+`A#-E3IDu+pMKqw<IAf~?6#Mh!vtpD?hunyY-sV9}lb;69Ul&Riq)ADz?ZW=^mS
zt(j5SHlbxNhscc;GYTs5CO`Z*)3bw<qkc}(!DNP+B9G_kZo6D6Is0V)j1;C#3Vbns
zKi+ZARNwvo*Nw;tZw|*4G%!fai7u-?c=0jgya<aqtCmzhY2r0aI=OnmT)|6Dm;HBt
zVb<Z;`(SlUa?<a)zFGYbZ|?{^|Ln>k#$Vs<`c^$kp5w84-JU7^$z9<wb3FEUMt+|7
z=@|D6j`{Nhwwg7~4cnRa#Or-$?wh#+lFh5mKRF~f&+DJ%g54kIdYxg~F-I=ae#VR&
zA7bVS?p!;u^YI+P)WZ{hf0?*=wv2(r{qC2Yik(}&-e!>f@nZ(l<^}6dPSBq3wRw@v
z`Ht2bGqrCRt}sac^J|gl?}fK2<_MnLa#!mHW6T`E&JP_m52roY&C8TzJxlQ0hPw~n
zOh^;8o*_{8!1OWag88EMGX=z6N<N?IGt+Y-bH)v=Qkhx)_pN7$#(g-lX438r%(DcQ
z7`4ToKR7Ir%*Z*xYtxGb3s&|$-f+Qo|MdPXbG%l++dJul<t(o^F^2P*D?S9eNWVUA
zu+{GIilo^V>wIQ2A8p+7Dq_d-jVD=7de?r}s@lAKr=$El|2Y;4F?BK87L1eUxi`%H
zAHg_jZNK}BHHEVsd73A@h!UUUJoE5`52bTV*|}pGt~EC9G@jvc<h6z0-mcwt8#cv!
zeUWiUX~zM1sqAN+-x^jPop1bT*3BmtXI|vA@9FTd|Nr9V$C$Y@R2i$>=eW&rn7oH;
zmQ8|0(h<4O&84#+B^;lt@wx1yonqdG==weHdunDB%_!M8Lqd6jQvNLWb2FQMvL`K+
zK0miuV$#H)UT+^&ADtWf&AZCi-zwrn%+yuwR~y#f|Do_Bk5?h)nADH{S<<uS#I5aJ
znAWo7?&rgDNuMN4SN|yV^z;bKR|-mv2}%8_U_K-92$M1I&XYHPBuw~UFJyOGYU7OL
zj-9cwjW@2_%t@ZVVEN`bropN^u7-V{Yx*j6nL|_S$2rM=CI#N+(wdv7T|HAR=|K0k
zh8wXz<R={Czmv2{=D^IK;hmo6xLzC*jZ-)<BSpAz#@dNos!v`;9XL0w{pnMN@{iKu
z)rZ7C9q!+#I4jZq%^b~;wPzc@u<cjpIe&V7MDB^Fe?Fu?zcn}KLGUK7dE0k+eTsNL
zWnI)KVUMXFgRg%Qcs5TXNS$YA<l>w2`roBUoS1uT=j4ip`4Y>$s@OLf8qL=%Qg5j7
zo<D6W-^F)fa^3qUnEaU=e`V^aew{htp+$k7e^eq{-tE8ay1LZJdfU9-RqpF2Nkz47
zFOLq7tK0iBie*RTlfsX4O?5mfe@|I=b7_MoLvGlT$y-7#m-X#Wxp};q@AF*app&=$
zOj=hRHpjAP@};sJmnJ5<T(-ZvXCIexpzQt^`Zwoj?A*fg@z9C$EB08&J)35iyi|RY
z)W&@;H<=!+Y4)p|ukmZn)Hlq1DI4SFX+G7jJo)N*S7d^7*<8(6K6A6amL1@H$h48)
zKl?>lr||LCCjI$WlGppr$^8@4Gry}pv_3NN`zD2XbJMn%h)nYPJTJ%6e~!QBH$Il^
zX)QnMPHH=u@0cs-udXr0dXCoB(|`4P3xZDab<goH{<)EDvUqHJQ~AEh8p$o5<-hbF
zPiokI^ZA<_TRsS1JJsF4=BwvI?MDKge-=cTi!n9)3u9_nc)T<2x5Uzq|2r=wx9^?0
zKSg5slyA%RETi@wG>qJ-e8hj{<jkzODRci#lUn-x^V&)Ed~<cDW>wbb%(c~esZ`;z
zSwH_tdfL3;?mzj@QcbOIYW(@%F{kUtCHb2iToe2DOyrGNn0@}hd+SeoCSO#Ge8j%z
z%*o9h7H8&7ls@@gOz44Hedqm8CmLoQvz*Ffy&-zjfwdQ0f8I3sc<?~qlXTVnlbR(a
zq~|{>{p6rBQ6_m_koSR?=O*2psX8m=c+Z>~sSAI0Ub-<)b@$We#S@p+%<?M|kZV*n
zyEx^fQU#;jT;K0~6MwFKlxAK%PqqH$qv(zERm+MbqE}m<JN<UfzKU5&n@{dM?la-)
zk2&5}k&2EJR-T{d6J|N9-hS@|=^0<NcV0X<WBN+vc^}i~%v(H9)PDWOH<B|}tY3cn
z#?KSS=M}v@s*|4or0ft=0bkOAA6arQ?AHV)H9VJ2s^5R&?VQOu^F$&SemLGIwa#)*
zcmLw)FJ$CTY6ayQALF}!b5_8^q`6(Y-ZrkEui;=bk@MK1h=xe3YkU6Kycd2uKWlgG
zOoe@OGH>l-(7QLWe&fUy$rHAUuI^Rc#uq+KY{RrG^<M0i-Xilp%ZAMiely4U$-K<p
zaceC%X^OrPDA~w7L2Adm4s~wrMV2Df^V>I-u!zsie%m^4`>pvdUaE#N^Jiy$*l~By
z3#W)#_dd_?Ibmq>SYiI*h33iKAG^Lh`LS+J|DPEyKPGC~yc4Q!n(w<p&u(tk?-PC&
zt3H{rOsLHZo!1q|c3^J>(}{@w!dLw5QL{RjS0!a89iQJBa;Rn2%&dN?Tc6L)ZA*O}
za(0&U?76L{8>U*9&33Apc&6@7^3FB;-iO%D&+LEXJ=cD#b^ToJpU-FfUNiC1<0mt6
zt7rWCHs9WAV~1#L2T$Kz`>pmjlYY+i@s_pw_H4ot<qL1-XO&5=5crn#arwiTc^!XN
z$Q@(qh@CyJbM~AGzr+80JjnhhX<zx=_R~(12kPqDW^U%2n|^j~`xUu)Y1`+tgl?G-
z`(IM%+n4*NW|g1h)?JaDf2`y1+^FeA$tey(9p`NxKCIZCw9w%Ai?ruQl$RIJog?=A
z_TyRkE0RCXcS)Ypnkku8a-5}TnS#;08Mo)@-+uhHuf_3u@dVXRk#m0rMqIcin5mL<
zZubQJllrqi<R0Joe*T3!^3^-vKM7-!o|8D|;FLriomWQR4%DxiWTfV`^Y+|sRfdB7
z|G6XQCav^0nelI)$DBjYj!I3B=b0hb98>-5$om(E&94bJl$@V2LH*z^=@ajn_IIQl
zmfdi&^5MhH3ndGLHk|+0cvAUVM0TI~0{!QGm#-HteiihFn`Pyu6AT@Z-LK_j<-RP?
zjLg{gV($&k34-Ef|7Qq3{=e+WrgyxNZ^C~tK6`cgh`QgT$fFNjdnBLEoe?`XZtpwQ
z$QS!hd|%F9w&h#VoXhHk5tUqu>y_V^&t1O%f}G)!{%<S!CueOtDl}CqvL@=OyC*~T
zw0S+NdM@mHGF|<q8rxho?VB9`m%du7zmsR@oLftz&hYJgox-#CT>bR_OPW^7b+0#m
z@KtZlty;NGU4zco>y`gT&vl+;vD<a|uW8B`vd{dPQ(AgjsHAnjed*4*c6*lWpX=1}
zr|<QxP0@81+`As;+?l)d>b?1K?|SFz2VZ}(NMTOg`s_lhr`n7E@GboxbKY`B#I4(&
z?BZInhu(f-)&95g^rncjPYxyBzHc+9{eR?#1(Sc;PyTpy&EFM4?@w0AR?m#Tm8&wZ
z@KRCno9R8@=7xk_^SIY|Y~zLeuDQ9#=S|&|uwQ5H{FC1t=43~m^m#kKBls3e=G^$(
z>09+vPVarNz?JQ6Setfc^^}PHJ(a2_UAQ;;v~RU~KPBa@*3Ovfxl5}oBW}ELs-GKw
zf77gw&(6=`TQRMoXrAWQT~8WprY_m(y=wWq`9YJMH09z#__ppZ`_j`^WFe(9rz7>m
zqGaKY8TWgdTc<s{esY39UEEEd8xKy@9^cq!U&DC9%dO#(Mbftkfs>h79|(W1IpJS+
zb7KY5#`EXTsXy-sbN{g5+kBR#C31UpcWtbmuV4OBZvXC7g_-lx)_P0Ix?Kr3IyP_4
z)a+1~)6eJ3S*L1X5ZJALYztHL_qjb~i&m#7)=j)-dy^w}hnB0tkGP4)`u}aXR{Ewv
zSoxBO`b3w^`2P)SPHKoX1m#U)64i{$EZy^D+mmLqH!~wF?-o{XO#GDa^?Ok9rel3`
zk6wB5$3kw-k4G^})^C2a;l_kO)AhHeczQp|te7@;=CpI1Z?#qP=O!@)D<%IAPyRjW
z$4ABuANZK8Z=Qc7(Dmiv^5f4ApNe}i<Ks={h{l{Bj63+xPTbu8d){@IOy(Bm?0W~r
zB9ium<UgMI^^M-{pa0(J*4(VUw&2;rm}f`SBSn}R^^9i=$<3JYd-~TW^HbIq%{%(`
z#va$t)4$D6S*PM*tgJMBDbt)8YbPnjuF@#4nLk5SskM4S-KL7Ooy$&e-gu~TjB&!3
zSsT>vx>P(~DiHJpb^c!gW&XcFY4s%gzi)2tJXG~#XWk~oy`2Xlc4%&H*uuqNKQHt&
z--dan8zq`Ey51DH@<hC!V_G)nVeGMv&beE!KcBKl=jN|>^B??MJCD0xyXeiFh7#);
z5BBlQ-%_+`=_CaStw#z9bLKyKbL;LC1(P|Cx6Nsod~#)Y&fIO%8_(2jn$-}&*x@a&
z{p{`bNB894-`H{UMarHhZz~R6`#h^LX~W+mU3-(Cy*qu9`O5qzFR=wP^?2qyGV^&E
zV`+74=HrNvq~Fip&iwc)wwH14_Omyx)gPPSHD~*?jV<Q;SC$^QkQBUV-SfHIm01*?
zO3&SXuhL^`r^@F2caOhZxbt|@+e9}`j_5ZpW;GbzZ?N*)F?G@mu_<o5@0=<*sZsJO
z_SyVrug<Tq-7)Xko)a=V<~&QA-7&57P2+s&Kixl)J%0b`ocN<Da!p@PROKPP%`?lN
z&)Rx8sdvG&!}ar?O?eZZzo#!|hKW=Y_v^^y)i>TSFeT;1{+s<GYUcBg8`F+2pUtQw
z{PJ}R-=le*pI5BzfBEKkPw%?ac`t4)u6g$E=*E=~Dtcy2|8tckZFYmb@`Q4h)Xt_L
z$GMyxp|KwVW9FY#$@1)8|861s`8nr;Hzi3fUfw_F+UdD7%KK*gO8VJ$ysv&;<OQ{}
z^Diqg`lQb~`*Nz`GQR5L+8^gQ&+jZP&sp%??&r1l3#QzhH{)ega$wmD@y~N+d{)<b
zn*HprYK2|)%=#3C3b&Ju{kIwzOn<N>eOS@a`+G-IBJYltAOB{YX=RylNH$D%bEk{O
zIvys`YmJ|pd6KTqIG3#X;Z7pU0{h<I4AMVTUMM}ha$;qNDU&73j0Lfebv7S4y5oM{
z8^#%TK75<8rYV`ByX$`8+RVCnY8zi<D`g6<U-6;SqUsxCwTnfQ0Bf^F%)bSUZQK{0
z{k!mDV~1{E*N*?6cb?^vT)pFQWP{!0L$}{<J3p^;)~t1+lYX(>Eu8kzqBg~FU&kEL
z*PWZxW%`m=D(}25dVRU;NhztLY5sL{_QovpILYvE%6cuM;?UI(>vnJZJa^&c?VVjc
zn;mzb_<MzA|0j``^IwOa&S;%yaH@1uLG1jjV0DQ(+H)4xt4?+~|K|H6g*VoFS8mVR
z*-*P?P0#92t4wR=zYbp|+r2M!#=_-_t0(z<o4auO>e{)%yIfaBb65E-^SIzz<+9e&
z=*gLY$CuY{iksYJ^C3g@_T1OM=T6x8=s@JjBOl+&25s^)dG~tuys7h58E0pe+P{$n
z9k|0D{ow87O^fEfe!K0=9q*}g@133dO7GwPm8TCp);~6fWs=zJIa=YnkFekFJs176
z;k>Wuy>q8h=PmNudx>w)Oha|{>icuw{`_@b_~f_c)*V_p%<mRXym~p}LO>&9%&Clw
zH**$qFueQ{^T{xyVs~xj$)`^eBxf#mWzqll!)r1NXWPlMGnVqj&OSY9i5+Y5zt=OF
z(%N6m{k)=E{o=ClC0^=X*^w6?b^1(v%+|2}eA8>Yy7%gnn6xjNyWbR=@Fhl?^Dc}1
zl1J(+-aj~2HGG~Xs4mmFztcIX<@o0%RTE+vK0aZq_hUBPww(F=vc%G#i<tjBWBa^a
z@!pZD{V{VUdBtvOsCc9E`8509DckkFo_y55l=-@@jKluDQD5gem8V=?^k(PE$vj)%
zWj#%qk^dw^ct$1H?-^STG5$!({&ryR!<gBdYAceDY|ECMv3Nu2`&pug^%mRiKCwXg
zOw{uud)}rB&3Q9J_vVbJ^DI{SOI7@9e{1=A((Q<e9=(%YjANE2|86*ETRq`Y-7U>0
zR!l3~K2DvZ#LVr!IOC@W-@S)Q9V{GAnPwz>f5^C?h~vJM24`}^f9E9TWQMad9@ZyH
z-!Nh2OnRf1^rNlwgv;XzCH0TrENGH=*QmLE#@FK}(=O<Ldr-1xcGCQxPsG13?>4fZ
z)4ToN(ckMz#bfuY3Ga(mxW8_B_oU!o$8u~Vwev1MdNa#r_OhaQ&6E0PoOow`)URRO
zT30t`UD2}gUo4SZ^$sxcubH=d%hAul{_^jZ7k|F7bpDw`dv=B;oh-IZp1Epn#Qc~g
z<tI(&HFj0KTUs8h@@~?JH%*+&s)JLO8)<gU_`s0--#m#qmf`oD9qP>1|2t-<?=(Gs
zP^w>J#hV-R4>9dvlD}QaBK`16JM+cI{>{k~VlE|R{+^K<{-ii=M@H{I?Kw|`m@gXL
zS^6e#X~P=Hm^)v$pLr&qe{9mdo9}P@UmDl5qo=R`>f{^Gb~2uwIa@tvs%7M&riX&;
zm(S--{W2#;aYe)})$<dky!Oa?Eug$9bLGv~vlkXWWL%&T>!Hu!%wx#J=o|AP`CtDL
zro!_*9R&=@I^P_=omjB3fi*j9N5Evk98Re>J#zQmmMpI;_}3}0al)_J>uwzHnD!u+
zq4AAq9Am>T!D6xXHyIAhlyCKJhz|E)tgvAgeX_B7^Tbc4kM=#d<=0WN=c5YSy%-tp
z8w-VZH_T9HR?~j;yC>#=^asOml~;_+w)gxR8WLL`3fcD`P``ERDg$rK`{e(X)e|=T
zh-EmsGWpL3LDQSe*)R4zX;Rz~XTN)eLgmfvz3wfBPab@Hu;KHJrnZ=^P0k4hF<K4V
z<^OTcSlRx*gCX37(bnrl`I9|O@w4O}#>})h+cEE7$K%icX1bK`nREW?L>8`$cmCU_
zt28Y0{kSyf@4Z=08|R!4-zsp~XASqy8SE1U4^HqtK4XLQp8ps4Z!Qm*r1*dLsn93f
z4Lye9*%O~83I1L=)9`+Zg#OH+e#Q@LdRBg(xHNv_vdSO(PApy9W&CJ<xc$G_$*Ybp
z`?Ysz?)vD77m_5tCwwz`9j%eMi93AV=2=3MY$l(tdsFpk+2PcQORv@}J*=)FZ{Mr5
ziSzv`sT&)Xw=+(t`M+_-!Yn1FBR+zWAC=BUFYVP8@sDJ$e_Q=>65IDD?%(w`GOdo8
z&}jXJaptc1J8oXBU~2TV&;Gz-H}iSfn=ctFKh4RS?c|@Lp>pKF(t9(q>b}i+^KFxN
zdYj#KwSyY|arfqDMKgEYo0Dbj$+B3xPV-;goUGZ?TkYbF7hK)Ilr-asNAx6P4a3`S
ztM@v`RCxHWVVQL?a)Q_Km({bEIQecl_etl;S2LNIAN!JQ-d5k<c|`x%jpEP7hyUNG
z_~8E~Q+l)g!h}D|ALt%pnicu$#>`n3g*V#o?KqK=Gjr~>PKk|kUq3z&;^Og4UFBHC
zf~23ZKFi*pJJdc?yz1EE*PX)FpB%b6g}E8)<ez)~e(F>(WB=KPhgN@-o_dy7&dpew
z|B>m{wiUbj=f9n<9m(<LfXVSWZ_m|!66BqF+v;lC(jWF8HtkV<lRaUsN8!OO;@#hk
z=DwV6zT~6aqWI)3NiUp^Pr3POiooSJ?^on5&GP1Jo4Mrexn;8Rr!kgJmayL1=W2ZA
z+Qw&36k8@oonIO`Su))t#_<iKd|gw(ng#WIffJcTml_x}s)!0NxmR`gWN`Q5^wl>O
zg`Sve5VBTy4Ig9fvQB+Iq3VS~_d4W@SG@bzxhPp|3H$Q=NFVO$4^7W6Z{f^&%@|Ym
zdJ#uTk;a0q|3CIF<yiTY*`y))tH%WaiOPdd&fVf{$QP|-@S7+nd+&2cexhYZUZ~B|
z1f9P>4$BB`n7~-SU|!wKD`&e5t53u{ViY{^L+W7l0=pwZ47yS)%-MsJwymE~fA~hr
z-*uhYwXYf?Vt?o?Q1E|zGVG+;nhv}46E94EKfak5`)^_1pLLecmrYCj{h@baf$NWl
z$3J}IO6p#i^YOdr>ks=R7Tnmsk@3fc%|{Y8FkJ|<nzSI#<m{`1=DTMxDtAa(FA;e1
zN8ryFpN~6IJu284_|ukNwq9!SY>lM9QBK<OyTNN@JQ*zc1*<zFU3mqomuy&<vd3=f
z)3vD#a#Q}k&Hu@Ca7oqNce~&8>OX&V&OQ2a-GVq)i<phkGZ?RXox0g;e7^f^{=I9S
znUbE*S6jYba{01uz|;Ou*_)S{ukN+Ex#XDq&xp@omcD-ykhi2NeBUH~l{agq#^=uX
zk#ws+YybJB-Y1jnZ%*cCUf*!*(ehHG^*q-Wi5^MF@n0x9E1_hAcG8>)QDv`6Ph_#o
z{jkZp?qSX2pocZ)KN&YnVB>!l^Ublx_~wggb$b|R81fynpDgBerzB(Vq}yF=9h0KV
zkFfL3ds>^le?r#Z&kt&6O_&$DX4aJS<;UOL^7UXzXIi%D=9^`!rxZlWba>bw|G~!G
zYf{zuLWp;2@zs+ZbCV~$5?;7R(7hu@H)8?MyA2|Ik#!+cC!0^Ync2W`@kUYTn&ZzR
zulX+BzC=0lq|L^T|JHR4Z=ZBs*eohrS)j1+<I$y@QU4ac=lzuA$*x{$%AhWG_v!lf
zgtEBV-IG~M7d|TAyo~4iX@=q+^KHLgyqVYiU6=d!?DaohY!O{OcgD|4Cns`<e_6I?
z;sZ71YrCgpsj;bB7TuU*uUYhj{iOAq{j1m_pD_79_10X!z(@JhqDtne3;)@EW!bAQ
z5`B5e%b%02{bwHB#C&m0b@tQ=8nVIjK1T7(;5gy7jAv5lvUy*R$jo}*FvZGk5ifh{
zTD~Qz4E9TSK5d+<JYk0Ry9G;G^P}Y~++N;dy&C>W=*;{jVgDw7O_@=#?1C4|{qoZs
z&zC-*!@G6gG?|iR&o%2iKE|y~R%USu`e?X`arv@}r!~3#i<en{OOX)2dAk3{jhX!a
zlV;Bj`T6Jk(l;TWwB#NL|6C+?cFFr24Q%r7U;OyFV2XK?nnd}}1`GRhj9o7le3baH
z=M&?BTMvsrUS1_P@4*@Q_btkgAF|2*Zf^`<r6#>Q)!^=u*Lo)ncX}(Fof*H%Px;jH
zmD6V|wYRkXx;9|_-1?M>7wyxRnJ<|3-)5on55|~>oM)Fl-k5x2*Ns)D%qPC+5=?lw
zbY=eYzsXC#dIf)}yO{bUz;5|k?THz-pG!EFtUcS{`u;@1tQFU@{FYtU_<wH#Xlr;<
zPy6D_F}ElF|No}jD6R2K{f*dDAACdpS>E1qGstn#$C%~E>t=?&*?Ftui{2wX{Zq@%
zt7d#$zu{m-SI`Eom<cIXN-V3-dy2X**e}XF``<zNBOaA+57>6kNWS{uZ!BZ;-t&+D
zKU#EPa;9;S^qZCYk9i%i=3dKb_|ougGQ*}#9$VJ-_4meoT=+Sn!<s$$|AeSyhII^4
z4?1M|KZrAS&v@5Sdw%KEH#013|1qxc{rrLNM|YNd_QB;zjBXDnT=#wOC#8YIr^93O
zgPP=nn_64f<&_pLon-r5eR)5R%lk=}m-(fgc*d}D!R}`re&H_6$BkH?T>F?gv8I$k
zF@Z5@*}_A{tIteg;<rAtAn*7>!)~c<3SW}8C-l#(ow@EpL-PN+WQH5j6Qv(CU#k^n
z3ZKM1VHF4W#M-2k8%Z2%4m@*z8L;O?r%T$}X=^&;`xhD7o@BI1u6wxa`J$&P+>;nW
zuPvY5GqEK05UVWr1E+w4O#EMxwodpq>&0!`lZ>*?B9or3|G7r|Nv7+ukLOp2EAw2a
zWcbz~AX~|5wDPY%*UL{oZyozGeMZgsenIKjd;ezke_m=bX~{p$>=~|MXZRmQFO2y!
zbA!5<Vp!dI{uC~~P0tf!CcZDd!T8a2&f8EW=9+IyCNciwSn+Y+`P01pAJ4YtbL{%F
z)a)cj<qO##jhD_YH<w<aKilq+bn^cL+Wrjs@0Nbu8MAcLQ7*H8M~v$|c_wf?TDR=&
z>+N$k&p*XGSs`xu+t+)pcPpDt@?2J={G&lU?&<D{hFi{PZ%^l3byWWMoSU5up3DoR
z|9))rO8@>knPc7-Q@<4(Z|j5ze2JOgP%e5TDZ(O<Y1dv4w$?eH{Fb~`n7n7&+|An$
zsE7agaPj#M;m@-yw69(0{rq&->}8=-pRp`?{;i`gZw>QlJ0-=b8%>sRJyM+gVE5NQ
z9jlTSe0A6QbM0kc&%}ohIX<rBbn`YAlH1T`e^R@MQ*^<<H?cG1`j4Cy*I&W=hH=JD
zWy7;&j0+<69GIfS>{hvP;s%a>!380r_s&kJ-Mo;ud!zfGh3pk4brKoR`tCV={$s@i
z-($gBQmgmKUC48)->|%Hj)QyE&p*!^W^;d(i2e6*=l5@A&-N-V<A}{)dF+kwin~nr
zV*g+BKcWApqg!5V{W0eWoL<5kL~8eJ;oSCNx9Cj9`)fE?om(#TI&zuL{=F;slfQkv
z{r1<qlX|wF0%RQ5Fw{O<&zTpNv_$vqrrwiXx801_RUcda>!cG`zV%m!ZyR(zuE>wL
z;QVps;rSW$6OtKxRy@1@y=YzM@5V0^6<*AH_;>S3*R+EV<o9ouzIp7y(GUM0En1!U
zZ%6o=rKORly*@5n9kEsRct?Eow^?py7Yn?uT(Iy-oXwJVvsN=~h{&FGzrSI%9&1eX
zM>hS}Ta3B`4Pw^EpWAWhs`so_`zNL-%yhfIaias*MM<H~6-OfzEDp{%`op!qMS0H}
zk@-6NA223u{E=+GdZoXI&dY$G|4z5&{B)k9e?s#Di|NOJjbBy>A7Xjn$?v=W1iRo~
zjjs{=Cx}#RJkTFzz;1I$K8eAcqanJN@s~nqil*m^`1>jv`!qKEcpM|%eZ#cu-Ac_C
zrZfNEcQ*g6h??=v-%@dcP)v8isu^#uuW3lWx$=g6M{!IaL#r7BZ^hLUeCH2Na#ytw
z_YjZa3oN{xl=1P}yxXRm{zU|DH1B&bsU;$oJt^w5OO6qX%;dO-@jn=6_=+b!+7|Pr
z;bi#_g^m_qX5LeIB{P_<_ULch{k&nn?fQl3jIsazBr|>zt%$s`X%a(r&6Luxx%W=5
ziMV?2C0C+g!#Y#uo2LbnZazP-aw4O=_>=f#^=F4U|MmYC-C$e(=X6cQvOh6vQ?AXd
zIoh%L`TE8uMH*{(PBvbj%^>_|!o6+=Nu9M$=2EW@EST^z$9YY->Q0u)Yg50?Xwdds
zeKNB&h+{U7{_b_@&!rX=*-J0E_D}o&q-zH(U3hqZaQ>de@wLINGkMO2upd#^=WJ)L
zvG7?J^~t+_>aLrU+f<l>ZZBOw!)qDqYS-7RyLr02*)(}l*T1>@XXfmmb3I&HBlXqP
z-aq=P$)bN!WPf1g)l=HfSBM{fC3S+Kd#C5KjqHE-yogb6-nYMD!jFTyjxX!Gb#j68
zx^wSOvOJk{TWjh<$**hH$p2U}>-84aR4$)2OT$7pO}e?^-+Y#<|E4ir|7tPaUsw9t
z{2LPkmp*^;e_BNOvLp6adAIJh6nr9adU?<KZ!15fwXCS0Wc=P>V$Qk?yLd0>ztorw
zTDWNu{NMGpid^N2pG!^k?Vs5HbkO}|9&Gm@C}5lGqOYGE-u`mca9<D^QE@gy`~Qim
z8_io(lEl2dX3u(*aemFxhQmoq+v@Z^;`NvGZ1p|!ZOQb@CtVu9Slr705x#Nmt$Xtw
z?OeE(+l_R;eK(I{{r+S^K>NI#`|A>>#}vi>U%KT;sdvnZ^Q%63H7v{8bH71{-+O+C
zTgL)%nV3l*{;jHh5Gl7(`TvP49c5*gCz;o8-efXsLT-75*8}&*!7~iM=Nv55IkQ4f
zg)jJv!<!$hF*6^2ZcXYix%#<fS>C^o+MB2C(|*22ZP(MN8=DMl@7b?#FJ7y0`=alg
zF5_9<8w^*U(p#goyCLKOW73UN!VJ!D1(H6;@Ku~jc4K@n^GTq}4bEE;H^SFx|G#&B
z;+jp~^8}b}o^9Kd|MA&I%QX*AmWVgj?fX@g`%h)3ipnxA+uz4q=KisL`u$I+ionb1
z>wBhuR`vfItSf2tlUsUI+mo26H9R}tJpFX(WCs7T=Hf~0w<ON3(=A@-p)c_x@<aQQ
zxziN6=DnDIoo~q|2E)HLA*xK*{r|I^6skM+A-dLb%6I?oA3Rq-7TEo6o#D}&d)c<1
z`xW^^sh?%Ti~pNvD{gqc<$3o1U9uB*r_Pw!p0xam4V&G;ikoM;r|g;TWpnrHX;;n(
zeD+mtvrqq*N?-E8)1qnK^2NSO9!M|yG=Jv>59>EK8r3}<)i3<uSaABEl&e|vf4L<$
ze{#HNwlBV=B)0TMrN`38o_l5<cz$S>>HEfG3XJkGANxBa)(SkeU4C`0K*fnK4ht5V
z_b*&JIcX!y#uMkQA1ptw%(`^-f(L4u**_~Lu2|Kc%)T^*JN4mvdzEB{ge8vOe;%y=
zsH5oR!D2mgx!#id{0k4R@y!3r#Cfv)jrX(n?)w;I|M)dNcs|40{PxnlajVuHj{U!K
zot@g!$CVGY4{97=P(5SWgL@0242+gP{E@Wn!KU`T9nIwml1XY=H5JMKZoFuF_@QCu
z-91m=8Lf+CI6nJod(%#t{}0|LO<TG_&GU)-`kAc{8D1{gXtw#lrWm;cZ71x?wut+G
z3UK)0wsVcx_a!@~ez3Ovy+O$!XHovS6<Hh8Zp;Wg{`qv(vCbU}ZM(PR6fU^W!?=r4
z(DUJ(w@ECo%GSj5#-#sbn|v_x^U4){J#Quk+<5aYg@N;>%GnK;+Gamz3NP6dkvhj`
zMe@}rKN6Sxf5Kq>WBTMzVJC|0JsH0WXnoxh{X^{ull<A@_t}*kN`K1d#VvWgd+*E_
z$uC)CBRO(jp4`0rZRovaO*#Lw)VcfKKX_`fyJ-^-$BQNFuB9%JIC^eEbp0cR$FWP+
zuKl+3P3%^qO>>%_G??D~y!D55dWU~X^1YAi`=>5VoGkKn_T#ICOJ2XddHek2JG*aQ
zT-7df>HF0ui7&SXZr^m^nH=NlwW-Mq+WW&9`QQ9%R?3#$y)Dpm-bW1q(M^F;EW3Zy
zZYbUo7=K#BXvy2B-;!0s!gd6oKbU33x8!Zim-k<oEB|G1#q6$MFnimQ*K-!V>)bHe
zSYe@4_?yn&?!|95&-^*-lDKW<gt&v15^NLZ9$)<J#|!PeqU^s*UfTWcJhtnCNn`c=
zl`iuCw*;P4xUn!ypJ(F(W4m)n3!krY-6iqpl=a879?3~g3*R1YO<MN&$BJ*V){Vh$
zm>aETIYh}U{PS%6Mui7WNz0!6X*%5fRy+L0#z}$l><gb>Nh;$$!SuEJ#m^bXuFEuh
z7GHg=qGIK)&3~f(Pde>g@-wK^)A5MIn>7hbx4zxrqnswRu7f$|xWfVaTT6?VytrGr
z@TXwZk?7wy>Ob-xcs1|SI{8YEZOeY0`&V$i+v89yOX{>mmzVr{KFQ(nPgncur7OSf
zTJs}m<CZn+bE4NRdHHsY*pGkxUThyH#4^lDn0Rj8{OU@RuqDsmoLhh85{qN3?2ThF
zXE*%pS^Q|xGTY$gpYOfOO;lMu`|lGij$I0+e@<-vV6djaYU8pkve{w_zO6|LoM@D`
zD&6(v@;@9GU7t;o@O`m0?uO;(g+FdC`}^j{9**dDvH$8mEV0|TVekBp3uJ{}W?5f+
z%OJOS!>Mx$H~m&P|NAJ}v9j;?AMySB)HkoKum5=RL=$Jsg^%V(V%Blo%Q|4$Ww2w<
zhV%(df-S#hhtB!cbkME+_l>NFvA<@^`msM)b+RO`<M8GSJjVooobKA1QJ#Ehk#MYn
zWYRT(!~G}R*D#!4C!G3YzWhDTA72C+gEjUf-S0ZCCK2nt;Fx~GnTB^spOgPHyqp^P
zL*&JxvvZX8sdD&epIf$Vt>(GKKX0zonzQJ;Y^+Mf?#q$ufBrndS@plt=+94y&NX_s
zmi&0Tm2KmC{kwTfKC8c)uE46krijP&`tq-GKkilfwD)P9UGo0?)8$|PylI^Lec@c)
zzxz@f{$5>D^o@^GMe*qEUH)$;XY*XFWY&C@n8ILj{=xI<Je+?fht7H8*jP4M_*~cg
zKX22otM2``{!fn%)2sK}DoX3`HDn%N_BLhB-f0%G+csX`r5_p4-?MAIS2VX<kFiwC
zy)6~qwwZCQN26>%U*E(K-M=etx%<Zan;g>HD&9|b{?PreaOtP7&yU{N?iKJYZn@k`
z)}UF_l8V+hK1^HMFsEB1@bZS_|2KO-22RjpVvNyEN%9VO_E6mPQA)tOi618UF5dA+
zy}_;f_9p+#ZFct;h6!%yPz;WcDAJKSxS;<=5?_PC`9=Ma3uc;D#K#EDV79G{-q^q6
zea;%b`=2bIt>gRpDdNTEJ61Ph|8*YdnW?b!-R@IeTl4?5+<#x^DL7dr>e<D(vq!S-
zFa36sEu!i9>z`B3l`VPuez~Uj{dJO)0(lvhtyA_lSz5VB-<365`c6}1XW{Z&Cr<<?
zzo|9f%bvV;`q^~`+gbLmdde@o@YiLw{gcWb$h_=WcH$|A@j}zbGg?I>xt6}lKf>WI
zv7yED!)obU|8_1rJD=&@)OEb?rwOVqyOwRqy=eLS`1R`6PeM-eEn%=<%Qvm!am^ad
z@J|eL|9DPmHTu|hEbcYy_31))mcP}$zb0`z>;1S6?R{(FwXgDQ)el~gzm&CZiD%|j
zzMVx=BA36N%X9l*{EYki)?CqkxkOiX;;NJt)7Q;ddPT1Pw8YURZ@z1q8AmUB?QM9@
z+IW@i-EVuB-rT2_v*fO>N_O_yL#mD|>d&m5y7b}ON!r58fB9No-M0LGbj&@sMNb47
zH(d%o#QbB~{b^3lJ7$>Y&&;t~w&Q)L#|f|fi(brHe(U7SDL<CnyB2Eo`>)5X<qxI@
z)c)ycXNqMwf74QW=|i!g8E@nlKm4~Qma+T%<0TKfZ!s$9{4BZgIBDU-IScbM+G-X&
z*u-S9RPxrfhqpIx5X|1h7_>w(&Seink{^@qz0Ma$|LIO%C}<yfW72`-iH!c8O4lnG
zPbE*ze6{qkKcnQHq)(lZ6JE9ynKHC2dAc@UMB(SsbJg7|<mWfk7bG>V)UsPpxRGJg
z)TI~RtjLq;c8fi{=$+`SpRX(*EMJrS@64+7jgg|~KCF`ZP&dnBeb1sr7Tb9i9^Et1
zV!yw|;e~g^PyCO`u{gV^|5)tDRr6OZYOS$&kh5A%?xF4f&MiBaJ$NEywcvq9(vysx
zHV@V)o!*eY?!+tS7i;+g{=YJ|Tq(!I^1?(YsUdj}L&t;#k2_}xCuJlj{Cl6wu<*)3
zR>`FIJwLXuWmnCgwPV?OvA;h9pD$AvojqgYp&5TugpyW@{b|_Q=eXQOsHj;`L&@P~
z9jl;P(mJu5Ug}HU-kVd|@1bt<uk94;hoAGcYVv;?img-meJJ7Q3*im_K7HJge&t^J
z`U~5B^d39D!RO>h`z@u`zt3H=-s!P?9qao0^OSaZNR%4xe7A=6_O96{99UN_?{EDR
zKXKuTrQg@57(6@p%r<)Ik54SZ%YWpqcq$rK*l3)h^5<u?;cAY)pN-#ktoYo2DQc5K
z)bd|8*ME0jiac<jo#Vx!R_2Zq<vL4I=l>m0=Kud(>%C;Xdy&lt<|TioyxM(qSKs5M
zzatsNr!D#YkR!%>*MFb&Twx1s6B!pwkhNa9ukEDmj)rfs!knHfxi8H<oc-Z~*y11Q
zD|f79oU^ND!FP97ezi56zq_VY^j`62)ZcUOme=ET>^EZG&p5xH?Vw)Fv*vFf|Nor*
zY{|b@*7uSwTzT_>&HY5g$9uc(vjlAT60_FO;&k$+&~?lHzS<eHHviso`?`<I4ji1A
zpU`zq;(yL6=8B~HpNw;E7XJ7tTK`Dy-`T@Di-n7_xR<_u-}A_xd%>~@?n7w}^^2}r
z{EN9(o)>ei{B<Kw<yz)@hZj6rykO(uPqUW2e)?y_x$cQVcVm`6GTRV-&7ed1O|zu@
zmvb?T9(72ria1#EBWO}-FU#VhH4WnZ3mDx6UWj$1nbpjA9rk9yhaXG}63#blQDzc3
zzWm<Q8K2r;CGRl*|EG~fKKb>DxF2U;&9+)${`q3htVOGj9u$4HqoQ2rU+(QUH_oop
zh}K#AD_?B2g<In)#fO}G*lxBtw^h`aZQb{H(T0toM=V>}mc8SbkpEHq?*9Uxn+xtd
z$r0M{<Kv?E*$eNSz0+rP?t%7?|H=}_BeR-U7EG49dLZa{gKpm{F<*(tEsaI<7+SI$
zKg9eyaHZnsyc4q|{;icaiG6=^eTRaa^*YI?sRiE`{_TIH;BkYof!p*CW6}x5N1YM(
za}KU}R3UuhMw97B&WulM`L{5c+bn(VsW7Q9tnOy>=JkRl$4<^UeMd`d&AI;Nr`M>i
z+`G7;dn14BpG{XDE%+PTvvSiK-sOJNJj9lN@L$8HE^<D8`iWCKhHC|bPip*n<)A%p
zjX+$(eYtg&Cueg!Zd!XUf7)6;RfDjGgh(dVBQ0mAE_-&kHr8gXq<-i0iI4AeRU3D$
zuvy2vm!bZ|Er#ZgQd$2d+JD?}RozEt_eSZP=VjL4nUlMTscFgaOP242)YqGHu$HoB
zf4Y;=!dg9ly~5Jz9E(|Q+CAQ3x6ay~_3D1E6E^EurZSZ7S@!w`uXh;hU0uP9)9d8)
zneKY8En3cYJ?}36)=gW!{p0_<bG`Icv5oq3%hn$+UnJZ6FJ9sDy!EW!8lq9Ufp&ds
zZQj(*F#N<|-6S+?={|K$2N}OZFP&v<-%MhdzJ~SrH5Wg9&1<D@t4?x+uVww5?JKdn
zg;D9K-s96p78i(m|Jzi3<?EYCqVpCQZ(JjKKW@&#<tcnZ8#}}+Id*?(Uiy@)yNR`S
z3Tw20RR!Y})#~$WSj#tA&7CPaZ#I{CBs1&VOUv)CW>eybocL(lsn8Q^*q$HB+PHE(
zyHSkNWr=0B5zMbII|&|_*y7o}o@3?R`hziQvm&d*4*qL*lDOodDsk<C`LzWxA6px%
zZY2M^U;NZ&4d>raf|5slXMI%sxQ<JQN&fk(j=RNkZPs#kGC6DK$Vt4JF{OVc&qKS(
z5xdUZ6kQYhZ|8!U>vYzApFHcNcFxgB_NA&yd;Hh(Zk5d2bL??&{AsC*b-cyPWhcIh
zU(s@J&4pEyLqwMU*?w-@Z>0)`{H42oHe0XRzQ|8Ca7SiI3R`sjb)NZ4{;NwKv$&yJ
zS#e}*=kaCnTIUv>Si@Ybs??|7VYKN`#gY%x3XK2Eiumzz^Vtb}zi%#i?=7HLwsQWT
z<?mDrQuE)mmo2b8dcZ=7``ql8x-)Ky>aSRs^00bQ<KNFq-hNjXI5hvq!h^~3?>C)H
z?|D9%ZTAXxcc$lm#Fo6)WncgLRMzubON1{5eEj`lx8zFoldS7ked}`W+pseB<HDC}
zXBKyNq^#n0-z4^Jw$`65`zNpCS)RJlV_~GZ_j+E@nExx|jv4;1OFL;@`sZQt@tB2g
zBNqC<Y2cU;Q;^o>*O8pjVS8YPedMFhOCoj8uNRQ+sY_pNxcS}Ljw4A|Zrkr)V67qJ
zn7?d`U8mpt6$YKhTNy7nik@G`_I&Oo`PHBHTdYa>zwykEWnt?5KcBBk_<Sn=`3oP>
z{s(&-cuIv&FuY@l`Txo$nPI{7b+R+cZPS;1T4Ve3$sw~xN}oHfC^Otm<ouiDcgjL`
z(?5xa8(n90-nE_ouYyVbN8R((8_5qR?zyBCxlqzyK{#wf=wt=SlcM(fCm1X9tk}OY
zCgSg&to0LQ(l&^ze6&_hUw@Qq@(O+PpBLB{r5|0JHDhg}LNdcr2KmPm+cpM?YyXh>
zG^^&nMzN}fhV>c&b%vwUV*f3z|JZydY4PRc^#+>ye_gMiw&3nCTzAq!aDjc=AJ^wu
zl~dw6Tx_#XseMwszMk*%&4op#+H3eqbvgceuhrlFQ(W}-&Au7y!=7``%KkVpZ2pY;
zzaKjctB$QKTw13WJuPVO=Y^9Gi%tpp^^ti=dHuCBuGal8zR#NKF7T;l+FJd`F(C)m
z|2b&Vcd;_`$NL3mTG<Y4-`pshANSvN-ku3k8`l};Hs+jP_$Y7RpJlx#d>7=OU%~Lt
zQ)7z9!fTrZ&#n)CvQklbm&Vrp3tvdC4t{^q_2&-nHxsg@Wb_-}@d&L9N%|D3aebxc
z&6T<*q?oxEPTln};s3nI6EjT17*2fCnWfCOHgIW@Sn&+Ad*@H|N60U&KfYmcmD$gO
zO)d%6!Z90X#K(SIp;OWD<KvG76>D_gy!`a|yzAUg{}<KRE$WF2J+Wxb&(52Zf=%mx
zTnlTwtg|xp$Ag&8^WtLc8@~RZD4u>mzI@NJhTz`|&RWFHx^Dm9d-~#icWZWJRG20$
zX;5#TnH%$^NvZVXyH8%J%g&r$(x^3k*%{s4#}p=Ck=vfyC3R<x?dnr*KNg=+VM*V6
zQ2(D#sNBo@t0y!@{9E!%D8)6}ea<=_j=Zuf0aiD~Chc=G|EVx#jmYm5jw?5#kFL`%
z&Sa~O)ZklhsJ+opHRexRxcU_%>ox3eFUFl;Hbvjtvqk7&+S-`DB{$mGZ*)ACyU|r4
zwq$B*|6)yn&pH369Zza>sQ$BkMbFQl9Y_Doe*R^#z0z(8Q+)@m)!q4rzpOm8FEQ!e
zTKR)X!7I+pUU>cU&6&?uYi|6RBDpS>t@e-rOH$n931zLdpM9>TJn&y1*D-}j{_hTv
zaEr$`O8z%FKDv>==Y{LxM;+n&BYrpwCWOA>U*V&BFX^y!Z|n}1ziS%Jy4J}Z?~8h0
zaWE_*=hlt02Vx(sunc$MJ06?x=?&v1(H(bgzrD-7W6AX1HNH&&wI5r!t}A8l%T{pN
zKgs@M%+J3kob^|9MIN5_q(S&b<c`H_J2$TnQc;??d!o#bC0ouOJpI37U69{|n>zp2
z1nw1Dt{{<g&FSjuTY{Axo#xy>7yi4c!S+$l*XecG2J;HJ1N^q!Ki@OH-yu`6HfWO2
z%Z?xCR|G3Da#bWV3a<&CCZV}rX6oHFwfSp;J%z6P`{4R{gH(De=bKZFkJdOm|FkYh
z<xR)xbBEO>E}s8?_WibhPdKdCYv@*A>wLbW>i?4x??!EL>$OhX*M+J_a_n4NqV|LH
zbS206lX*Y58YapAQ<zZo@y{I<g|n-tIGxNsxZ30K*(>*L)_LgjcKyEn<j1;+E|Wj?
zDEE94+Bdx@>+gO8fg8+Ii}wF|I^)Fuy=$#*26Ro$fA7CS<lB`4lZ^DX&RFiX#O~Wy
zOHYQo-~N4hH;Liq|K_drCoN}Mzg_gB-{Vt>{oB)A8yeouT6wiRX8F2*kH1Y0h*+Z^
z`)VosUYFH%l>!BSns!gh?ePx0v!=AZ`m5G*VF8&t`<E{+X?pxNW@^&K6`wcg^)LN1
zG4%3E-{TK`4l{QA+7)rI$Fz*G<NVQ!FS8>UV}42;JyTG<CTY>)$0zbk{r?^RcVKSL
z#g%Q3cXT{>XxqIq;6j?cWt7$mzV1~%>-d;srYFT8Un7{jruyr*lZC}EreFJ{J+b;>
z=7XLG*M9EXyiQLkYKG^^Qg%UB-tG#a<OT-wV@xmhuG2fEo51vm{kGa#-<Y4%3b$Il
zKT~j3UwGC{wUD!wx{-bVpDh1$V-wf;n@r-1Ckk)W{Or9}<g`U$kNnehy340EdX+o<
zStjyjQ<2eJ<+<#MZ`N7;`Be1f<h05&wx=fvuJ&m9P|Y-No%2$MULI+0zSenvj+~og
zZy(l^fBa&=?}+tlrf*v3cW0A;%o?MZOui+N9&4@`o?dGbq|3kMW`M*Ry_H@PIbmzP
z)=i2EnYMXiL=ykjNhZJl&0n6vV0tiQ&zj<zSA09C&$+qY_xU6a(F5;Ale>-9l&lOB
z+qs?N>=Yd{p4(9z@^Nch)=gUEY<@{+QpzWRom-aGZxT4%Aeq!veUWc#>?Wc9Hp!@$
zGX2>%6%yCVuAHJ5yhdhzwWj1Xx7m}|C#@5hZnxL{$$G)*(J?#bt#S6!F#XqY`Oj)W
zjnpjvwa%)-&DLwo)33RzTdx=NW?31yY>&hR$BH$AJ3quStP?Dn8#a5>lKFADKVE*G
z;H-acVdhrX$Q7)6IzLpbDzyD5kU3?obIy$`wvnC-);mwT8$GkB^z$m`6GBUu&CNc)
zY7(Pcj?nqAKOq}p|8K1AU$}JRi*@rA7}hLWp1i8_<H1AECnl8YtoK+h;x}j2)HM!E
z4<#{8YI?TDuCjYwxm3Bz?YEU1x+jZ2oZ0TDuyV}`fg2xKlNm3$hT1%wcW&m=)8G0h
znjC$&Sc&0AT+-RH(`)_b?AsMSS%Yz1<=Sm8-*V@5ueM#`Vy@P;w)b9ghgC24DiL}6
zD;H<4ZJo7oLSBDX+u^I9e@u+HP<eET?z5Hq@2**~Z{AML&9iqWubLLKzV+MmW1shI
zs{5qza$Ww78K(_bzTXqGRyk)?&cy84GyQizO?CFum6Ms@`R0mJ?ZyfG^H<#APm0xE
zXZU~9mMxc!lK;QeyuP;bdgLm@iJ82N%seNBAFrMx@vKF9;)2*+_v;_WOkkKZ+4kb|
zyPN;K`E%?b<I0SrpKmv=$-FYj;gW~>MAyPDpRft`55s0GC|+A1z1C20!uNvgi!b`7
zbbe`=E1JH#rg2{T*M@oWo+|HHw|h;vx7P69s)~~VXHFc^uZYn;5Ptl|m%2?2PdZDp
zA~<5^tlEEW#_31vs<(agoxOUp1=EQKv;V(eX=HDIA!ib21;f;z@B7&o9W9SwT4PlG
zk7<SBpB*#QH>~|s%rRkQ){m1b&#!2zY_RD+e!{u-&tARc1qSz@bWC5{vS+p7$v~9}
zySXY>&sg)gLH7JC`Hy!`2x<ReT4nh2#D=4rgu0nj-ZTVv&5+$Zp))IN?&{WM7aFfV
zWZbdk@y2xqXV)(LePgY`YV-9qU(;6_`gUx{N&5Hp=i0ngn-)BOwt2#yKkH)ut?W<H
za$8@sYSTG>y(c^hyZ@bD|76apD@kkruCd#?CfD-$#gl7lZ~qfh;>xjKqdL{#0MCl7
z7$X&f6YH{m{<yS(F=>U$<0(h~zFDIpv3TE~m_HBtkFVHqE_)5js`^=vEMzM+R-CIA
z*Y2FZhjWGUhWj(lR{7Me$(#_&Fi|6Y=au@3_6g7WSsGIRZd@^0A?f~um50}4FIbWN
zW8<U83JXr&;9T(f><W(VhRxv-OfNK(H72ymhk4zwv3PLD>iJTU$3ZTczxV|c>^6L^
z{&D9K6Q|z_Yj=jExTftNS9*U4`_#_en7(@3ioC~PZvEb~F7v~J6LEHCD?=Zz*s|bF
z@1slk_Ky@9Y$Rsfiv6>KYxbITkCRudtLb=mY|-vDZ9h8pfBx~^gYh57#j;Dm8+Ud0
z7YeLd^5OB0JL27|=D#$4{OQDuuTLfjt^9I!&9*J)ciw%|#9q;2sOi0K?rEm)`@{2e
z7Ot7MT}Zd5xvsfB{PVK+-6t=_r%X85UER8Sw)pimO)nxYCZ3-uo-MX&kzi49Lt$iW
z{|SdewU(lfF@Ja1m`-FCoyp|V`9t*m%83#S57xI!imh03bB4;tuCwdn?wxet{N#Ic
zM~*w^yK6W6Cp$<_I8?v!f${8`pJ~@uE}K~R<c3v!%8T>sqSxO4q;&F@)zO#Q_h!VL
zU$-py<l(wLvD_z$=hsHxF8{QGYtfJHHPL&cJ$~!;oY+50)3c$8JL%u&xqH?`l^#3B
zwa)*Z?utX*FKyS&e(skLIc=T0|C3qM*3R<cRhqVLdpy_ed+V}RJz=`N&VT*njMeLQ
zJ`HBOyZwO9o3(ARpEzHy$@;cmu1ROj?D9)YzxghgZkiLZPHg@K7n`kHV_&JKf0gU~
zyXn!ir-`>a15Q4Du;b0mr<HHke_mj0Eu(j5+VeLth8wQ_ynXVrZs04Mz9;UilZ|sa
zp9e+mw|_NBFvj}LtiRvaZ1KIbNy~ZPTHP0||F=6%_YO*7PF!Rf%#ygCW&ioT$CkOS
z^8R2jZSCxMe(g=nC)c`so8-;Qu7Bc23fIIx&zHxueV=q#E`s&Uk-K^8cXB;{QF{Eo
z$~qm;xg1&@46i>jyifTNdZ)d7l2B;JobSdjto7!oR2GW=d8T=ic?S2-wE^*=erp|g
zcU@Z(`0fZp2>ZH#==?RCU!_XTY&dHEc;(icI-9S~-o7Sq>+dsbcKyG-HgL77=DwWo
zl}QYLCZsTNKiqx%MY@u?PyMR!Z_7NbDw(3cGM?&jUl(!fx_vBb;-u<}#u<B~e1CeE
zeO(*GvVX5mk<Xev={K0p|9+u=?UDD#{p{K53bplZ460mK(SN?pTzAcULZ8~wCBbWE
zpJsV9_sbmC!n~Clp={UvH1_WM_kU8J;{F)pIiHua+})kq8uYJ?A&PHj{ArP?>!ubh
z7u%V4Gt%Z7!`rQT77MC2PTS}edXj7V^fTJ!C#_CB(a<ZcUemQM)!OK4%kdlQ)2`Mo
zU%S@zs%Ol?R*`Fq+Fe&f#I^8j+H^R*d#h~qTG!=%>EB!UKc747_TokVO11Mlw7Wc3
z=X5TJdvR~giOL%*<{w}BLGP1;)5C9ljsG^!bh)|4&6{QSz4dNYl|f36%s#Gh3yVG1
z)w`}{^30X;&QGczgh-r@ieK~M#-I7x_3NFcwiNfg7Fc)ZanjPqd%rb0tG`?j`QYH{
zrYDg<FHAf;anI_Cm8lVLS2aIj5?OC@Bk3(`(T>;OHa^!`v+0x2#dXyo=T>q2**SlG
z)zUvL#u00_o;rD*KkxYg<11CHwXyq8Oni2|vVGp#&BtH6Z1~?4WWDK(+o`ji43^Ir
zY;9INxwfXl?)A>pkN+2c?ECTh2}9Kib<_RLCdw><amkGaJ65i}@TPlx*Th0s*3xsc
zlPA^deM;GWDyI9!&vKgyr<yowz9enrXu6U0$7*7x;kq@d-zpZaeZu0q?!3}WmbXSh
z9O4gOhP7xvi(7Ll>-`SJ1jd+|zMC5p9&hy6+^YUrorn2x=fu6u_WcViIIi2wd|kGV
zZ}XoAm#k-qhO$1@)ZPC7dC=Ci8T0Mle_S8AzHj6DMYVfdCQVfGys~({{o@7SH!z)D
z$h80F`V31;1ErPst#8^lDPCnx3TF}A)2{YoeMaFAmraUinmzhEBbC2ae4V{|@x>RV
z4?j+rQ8Deu!^c0bFUjB8AiL(wn{}B_*DpGJBWB&1n=2&NG&oe=NGV)@_IS(!{by^=
zcCl>Knb^^PW$FG%-w%7<bZOtX6wuFh;AnjDniHuHBV^a?N_yzVH?=Qm_3_9LC;dJ9
zH@uEH_Mq(X+G9N%G}dJ9?OC;P!mrOO`joo39<MsICiCpWw0xO2YwkSz5wkSu$GgpI
zmi=6tq4=u9E=;)L*PFE&Ia~kTeY0|6@|ujN_t!7H9(wME(7I!9X3CoGV_dUvzwVk>
zH>XZ`?mdYsZf)tLsQc?O=Id=-p%S~cHAvs5x_Vv0rb(aHl?6>wTD<dctIF2i1;r<n
zjyD_5n(|Ei|E%DOSAQla_^mmy`fY=f<%;8<eE;O^IM-$L`o``X9QLa_POBMx|M%sA
zo`vrXov^)KeTktf0?(eZQ=Yl3x<%=8WrOhHH%&_O8eHUmGFhBRUb8N0;v@#y1|?gu
zt~FE2=C5M@yq0ZVgOaWFHTfqj36Tq*d~Tbk_j{q5>HIbKACzrwyk;kNqW=A)?LRJX
z%Fj&bU00aXB3#$7&7Fh2u0`h49%jo`_pT+)So`Plm6J@TcRCziHL<7a+}ejzzPwAl
z%4>duDe23qqjOfRU3v8Ut*Nsfuzvr%GVR&gN4Hj-P%oKwd;Ljm(fhwA{(Clobz(;L
z+EZ^+xLRim6|PzObAgm>9OER9Jui9F)?3c~J2RR6e^Rz2ll7ZK`_9+jet+BZg6HuC
z9@*C&G0$%Od^3UfWAcjrv>jVzZ%XjCd)=I9ki1Lv{1n;bi|5>V_C~Hh@5i|BM$F&!
z6LwEeN$XJCykVlm3%5vy$txf0tUEWC!TQaL2kASGOfLGnA@%IS1N%=DG|W%l_Nev$
zo3#^TRXJo|?^_qKZsP8z5|ifcJN;x@b=|b>H`h(Lr>>`$y}tEp+LFJKGC!^ySo!2Z
z&(nrdtIca}UVHUtt&IPC)5bSz^iBl{ak1T;%H_Of-}0cPeA{>TZgB0{{ok8!>t7?6
zr)v&{?~+x1Dp~tvW^EYT@9iAPHfw{zCrNiR-QIt(eAO3F<s|WQO>0={)BUHP)#QIY
zw&dfIW08CIMM)J*@;T^TwW-fMQsMQUdHbU*muzA-U9eBtVxum5qR}f|_IQiCr`LV-
z&VIoh9X54G<w}zWEc>4_9lR>CeKOmQJzK9AuRVM>b$#pF`x9UFuMC{1`)So7R|cMM
zYg=Fa*?9Hwy4JHBZL-78<v)MZXt!g{$*M|*J56k7AFg=zsxk4@?!PI`wH?pSUMX9h
z(_*W-zlBYgLm_)@+y8m%_F27tUKx6lD`T<v?hi4yJe3qmBOe}Y5b2M3Q}Orn8_oLM
zIUClTF-~2-|K`4>+Bes=X`fVlsi!ext#(<P?B)|@o=KS#|4&;x;Y~|r#4d-A^VV%)
zk6g39N;hl1^|C@$bDzCzi9Al9F6qu+GjDtKO4gSw`=9c(t~qE`y{@hH6X%&V*=71x
z4=X!8S9$ph=uBH1bZ6Zv?}b4MKGW6*_zN!jwr1hG)RJ4Rfi_ETEoHl#cT&5I!~5F8
zxR<=%*W?mb@$HP4sra_G?bRfq-D|S9TgS>y+%Ax=vu1JlFS-6G2d8Ok_WSDvue86L
z>YBa2dTk0r<8`r58)ql4ty-HGnUcR|qgJK!hmUjFWB*<Iwmxmo+N#<t|Azdv)l+w5
z?`iV*c`#mQ-L_7h)vs6An67{D_Bhuf|A>EYn`0SnC+7Z{cx&FuYWq*?HE*w~R@=2-
z`^&dUnje^$SALrOz<THH1Ihm*l2@x%8wu2O<jOrb{?mEMO0zv{YNj6Kf3rez-lBi!
zS9H#QlyZOLguIhXQR{1GF{wUr;W@$N&Rp>!nW1@2ZTb8)r#nU0)NWrjMXqfN<K`J#
zD%V$4KVCU;g<7c1x~;0BLX`@+pY11qbh{=|nsVyH>b*xle%F8UPhs-M7<<W|dlql)
zUi0?D)UPSR8Y?P%3trUiUHtjRzxkJpY$iK=`;a?X;qt_<XE!*klGswXd*$o2v%H_z
zzk0iV<H<E;((@;;J-zqZ#)Z5|Yd5_8yHcO)|L^PKKNl`IKjX>2%0|KC7hd$Qd3yZo
zq5i1{MY|_vNHe@yxAsci$1fXuPi3E!F4`Dfv0{z#T<OiPr_9myX@0!w?E@3>o3ZYX
zrOr;;p>-qa%K_`7m-M=Cb?td7=Rb4p^EsPh6mPC+THLqp=Fzo9S56yjeyuOj7}US+
z27C52rJNSN>*pSK{W@vzd)?D3|F5m_nfz;t#j-uEd|^*l&Unn+&}n~p{nM}$&F33<
zBUV1PS$qAoqs`hILB}3`TYF=(;=4c1-W9JKKd-%66V~kgB*JXn&5bv%<>xRpIr=cI
zd6xT&SAPFb$;$P&w%s(}z}%7gXwA);%qJ#H)?U=;BYQ8nLFH(>k6+vj1NRxfZ5VGf
zwXJ>@vE$g?o>k8-$cuBYzs78T?NM1H-$W+fb<b}8vQ%cA_3!lq-al&=+`N$Sd4Y`H
zwKWTjRzLZ+c>nRWzE!C$9!r0vC#_xZ)^6s6jcYUXekwS9^!nVr<I%5;i81SMzuLBT
z?ex&~Gh)_UIePf&>)ju%H_dFne(rPJ+NpZ`8-HyKTd8)EDR#{<p^D@cyH}lh)4=?!
z#dc}I<fIiRuT40#`6OE#!@P!L-#4#5WV!PAAH$@znfIox?MPd>;?0KtF)hmd6ST@d
zFX+ljGH{5!5p;jf+VekFd{1E%={ViWG-X-srVBd`CCzTFid*Awd+p9oWeaoL^JXmD
zd?jxFg{R+ZR(%v(v$JTv!Qm|oZ!ax(H@IJR(Q>lLoEaxRuX}g(*sLeV>#Fw5e<0Jp
z=KcFgT$5k_I=^oB<OXls=PP+9-;oX5eVf(zAxqo@+oLNbCD)zpKT*Ewq<rPd!;|i`
zFJyn0meiVY^!m10>rTx7$FpdemG;_`_cw8^Z|95q<e{?e<mdST9DgolC%0<sj}p0i
zbKRDWcSXKc-r+gD{=|88y{7ne_w^%qHr<L4Sa<)f<VK<Y@9(Ka^l7i#TYB=g;<}&T
zPTxsvldqrJA^UON-k|g?l3{$?uOI8!J5ToY3(@}Ra!qUQzxU(0{9jk5cmK61EBUTZ
zYtWMG-RIZwC~n=}b+v0#db-ZkuREUq{6yE@TPN2Rq<_{auUY$5Up3<A?uEY+Co<ez
zvv+!ZEbIQ?>s~(5Q(d!nsUORxYdf2dU$CrPw<l9ld+tS_$FsNp2(*9P$+v#*(ktxo
z$1asjJ6-jOwYRA?sms>l`K6Zkdp|x|dw;c;OQTt%-^rCZtjtRzc7MISc3<HQX}8s_
zw;4Y%vd+-S|JSB)`;5o2743>u(KF`QPJCEo!(g{&zv%H>E*@+4pX<BjtGa%_c>1l-
ziGD|0!uD0J$~@k&Nd0!%>PeFxZ{yfCXZ8OZb3dQ?b#wLWnsu+Fn;rER8XlXJx1)A8
zv-zA2((4Y+cM-a;rE0tB#;s<R*^B}kk{K8IK3aF^_(bE`KcmmKsO0~Dw?*#%-k$;<
zoUgS1U0Z(Y<Yu|ZJLk6Uf5N_h*UX6)Gg=M5-uf3j|BYhtw))5=kCsN~r0iS!A?v5~
zf@PoL9A@rY^HD2O;#P~po0hBRrC%M6U-MzUQeMuw>&w}$<~{zTzvjd5NxCi^!E4$C
z%YX6g%#W0*Sa<m6BrC18t>wS`I1*RMPMoapc<r9>Uw&HN`__N(GJdP&I@^BD_V1JQ
ztBe?qsQ5D_m$k_3o@BG{$4p-)&U<TvJq;>0#m)X0+JE5U`8C1XCoTGJ-~4%p@#JbZ
z`%eZN-gD`jJuIGmK-IZ%&WhrNZnc6APh;i&tthPIcHe0vZ@u<SCztyl1=RWf1cv{=
zSxOlg7`Pc27#NQHxt{cBUGP*d2fZhsG&mOAnw)C;->lq)<?Q)n?@g904Jpr8b-S}4
zjD8`TGeL0^tL9EmKE~e*3nErroXCFACtl}>K-glR)*Dva|IC{4Xw7bSW`RvU-BX;p
zJZHXHw`Y&#zcuRupS3A&tzNw{pu@o1V9lQSsZPBQZn_&JAKTda_w&3}@tY?e>FNnf
zNd9-NO?mt2t}l`6_U-hOyLfY(ZVFe>x?ihP7|j>$H`iaYYwLD{w|@_cs{O3pe<h!_
zCYIsmtb2Pts_vV+?w_hdj_lsqTl5$Nk5n%Axl)sV^Jd7=Rlk0${r2rH@8sA!Yc76E
z+p1Qb+<9i<1@qre9))b;3U6_}r=G1`m$cd;QF3jZ?8!_^?)2NfU8{b4-P6{Tx-w04
zW=`T(FDvd>|6}Xh>h7N6Wp6XKn<SFG*tf0M^rzs?8H#)UMJfw$uU;|nq=4Wy@$i+b
zPvc5ODz8smdW1<k@g1|)UvExkk?YsimHnJ-ktn?L&6*kK>y5ZnCw%#&aDKznpKD8(
z$M+cgn-O@trT*6R-?^bR+r{7Rlzq&&vb%iGg<9uGjop73TP-K=Wcb0-`pSIv$$1^T
zv4R>*Ecq>U8^2$u&KEnFX&2qmx^bsI)4BKcf4)z@v5nDs&(ayQPJH;jd8$;NVoa!k
zRrcz8b$3kubn)|j`)LsHCujNhnf_hdyl&1&cztq%PJ5la|N0G^(pKK>51W4ddK$-8
zr=;i;N`F=;Drse3)A=y3_wx&%>&X{iOt`vd?VZRQBH|x8c3$hKOFM1yrn%}yqxIR}
zw)$na4fafsnYAdm_uZO1d*A-ey<ENS=I=QtVz+Jz-`$<`KezT>i^BoNq!y3ux;u}D
z?fs)2Y2vqavv~FDU)R>&e3yH0-<p_rER!z;Co-?QxwihN#p(~+W!E1S`Esvg(Vx~f
z6|49A*OrA&;{L<L+RP}szSSZoimg6^_u87)*ON;$+biW&*<P~9f8Opaz0xIY+luvx
z$}^YPcN)*v*|O`wr~jX~b8P(Fao&BaN8p+8?amvL|5uy{Yi8(Kdv<%r9FN+d%|h{O
z)@+qpk-skWbfWDHiKJ~zw>N%zb@RQ-+nbWpUg=xkk^Q;qkofN@ul7Ea4Ly+`ApTY*
z|6jwejVp>~t^9j*gI?O2zlJORmNoqOyrJ&Vmb7!f%JYj7zHhPEB*46W>g<hg>OXHa
zPz`Eye*SlEhW@^-hLeSQ7q`hqul@CjNqmd3rpRig-Awv^jI8@-?T<Xoc)THfzQJ~%
zt$J_j+ox1)GJC>kzUklBKaG_$w-;{T#!xghMf^`o$hYnSj(=Mi=0<Z(SR>wW&f?Id
z?t^+<D{gRiG23fzWt__7zGp$WWAcB7VAF3aypL{W^xmGOk)q(WiBJA<>)Q+eWGyos
zUPjGth*`hz@2M46e^)%bVt#Yh<(6}|Ul?g`%4(VZ<@Wn6jP4niwrv;tJN?V`e@A^i
zC7SB)w(XhnrTq4mut<LHlphS;Z(ZltZZY<3wi3#yTQl`^-z?P>-u2sWT?;*_P`37~
zf9a-%_%;pY5Xbnfn)2qW8yHrtTBXIX`2Sz&cm{^OsSFGZJfK2|A+jQgF-bB^ok2jB
zDfWL+3?oAlV~lmo?-&Vz7^N5)CedVp|3yi*45ExIN$(hBxsvo48CYK?DJ3zfBuTNF
zG8jwQup7rPGQ_-3;*QZvdL@vg6vM>8%h<`t%#bU}p4-AK7-Pv&7{xH%iNP@G4GX&f
zN0J^3=kvd;wLuKdY>($Mq-RL5+`Y)*YsGTAo5S@jSEvUk<8=n!k{2vj_cN?sz?dY?
zyS0^3IL3l^dz7GlN6b?JZ*z`HkF%Ty1;T}R4hkebXNWP3`Iy8MBh?b~T!=fSTcAwA
zCgy_x2M1pgBa={4w@Z>OL-~6KW)}+vc3x(6mma1vYi3O$Ms8;9E>6*f45^Gsoeaec
zYCJ54Usxi$nWC*&qg8}wF?UbukYr?v=Gg4Q#BL@s^$Ry^swaaT>;76LE?G<VgA;kZ
zJDK-0?QD3KWD#?YXQB$zQI5Gv5)5xX@l;&o>Hf&mEyNMeQSrf-x4WAu*TXu7NmKrw
z61QQSigDC?{)ybLU$MlyFeO^CCVH`*<yheIoT<r-se?fwX?>Dq(lLe@&!i7Y{7L@>
zg~Piz*4U`Td}2H;c%+l>EW^o<tY_7_Sk7uPX|rYuGJJi(VSHgCgO>%THsi$!F`pIB
zvrG_(X=h6PJR!z1iBDLcBj1HV{v|_=l3@1*h5H;5N#A&TCd3H+;MHYe7i);Ii8&U_
z(8?~x!mw>}5(lG5^6g}X&X_J{R+%}P^De}wvx*AD{?C$_cswJPVHS%Aqf8BB%#@@e
zN9KU!|Mm=#3e2$#r`X#$lK*=NUySi*NxIL%sh#A_$RfNXhAAn<kwv~g=@$zp3ll?~
zdQ5~X%NaoqQ30ly{~B)v1!6jqf>{i;IJpcBBVQ*ed`{v^{>Np*k<2K<5;!eMD4tPK
zi8Gny-+hDJM=>mn8gG@7WRhkHBv~XK=cql;5);DU`Xot1An8-m4}<Do9!bne4B^7Z
zC$aW3h%=<BunG&!o5akn$Y9UP+r}8f$;suysKm^{!^PtLB}RicMwrF2Ns!?;Q__Ei
z_y)n4+ex|%ofF>0Fr4HO<X|&C|L>+C!$KPl)t7=2>gE#KiY+ngIezlTFqpe2yR}<*
zxO^5^!Y3)Bq-@bH<05ET{(@ug#ZEzOCgCs4#wr3{44+&qV}v7Pgf1v?aDL?A6%_2{
zW%Ei>R9x~YiCt4scwvkc3%kP07>lH19ET@r%AOP2%Q0Ud<}nkegiF#-4(p$c-a?F$
z>}x-IvioZ-;%IjHz*-rjvtp5gOcIOwRF;dHdj%L{IJp^Bl6d&1bMpqZIfSvr>$6-G
zvXpjXnEY&_!1wb~Aq?3r47Vj1vQ<9wOL96Zec+euVKD6ESne>FkwaL4F^QM;q^CiV
zf(@VJB-0$u*^a-bu$*N19mW=^&!sw%clKMBiwY-OIBk=-b>^$saB<%J%CcXdWwVfl
z>KTsWr=1+XIUCQaJWydeFTg)ZLgKsNL(lUnESDv@J$@%iID83C6AGx|o|e?{K88CW
z<yAm~ii3i(h-Od|o30?2gEbTDJ3#>^u7C_ikC>M+0W$>dO!9scyo)_mPnU0nKpqQc
zOe70q%!^LOT*0&$?=K-N`RW4auCiVAWV$Zz#PUW-h%ttvlkqmw=jY6KT|~QLqPM+b
zee9<o<t3A(ps|W?r#H`PA>}u@VUJXj%p3$6d6gDBut_;3bFjs%amnULQgDc2iFw7s
z>&bW9fFt_GD!%JhF9jCLOcb&>AhuUm+W0|<uIJw%BV}8TNtr1!**bwO47|^iioPwe
zydzi6;^Z777{ehP^Fv{&P>f@7SnU5G?vqk3tC9o+4JHaPME`J%nDReH$ndui3v+@&
z%p`e*rw;!_q&=8BcCkk*MeK-Sh;E4Cj^T_^i0KtjNr*Hr_B49yx540d3%`abV^Rcz
z3&Yu;3XUHCl9-B_4o^y25Glp5UBx4hNmz-^WfxaujFVZ!QpTi+7@;O64t_CDryEgz
zkzy=K5q>fJF&*Mb?2M@~9Rdxp|Gp$MhF?)h=Hr(Q`lE4}<)9El`Gkn1CXSG<*nf{S
z9xsV#;?ZCHhew~ap+-37tEYh=r!m87kJ$fgs{=h)BsjW7V{R)l&W>SsVq|z5^F4+!
z`QKlcSjOgvWQJ@G+a!(DWCo#|njxG5u?+tnCwV2Y#H?-9No7oC*kn-Rv6_{Sg<XZq
zAt)o#A^AUON3p>am%R>2eg<<`R&vDMi;+=@`O0uDV6q@nXe2{8Uqh035@YQDY7YAj
z9u}{M9ab(3u?-BRE$<kl|9xVZ?ZD6)qr#Hu@h65MnW@x8mZka*#|M^U96y+1+&Ni#
z^H)EQ;n0eiDIk;<GwFTOa)x7!8<#Ll44dz1#4KLQ-I26HK*Cq}oJSJZ4-cIQyOIJ}
z1R1^yBxSL1^KddA=6sU;e?omSLmP|Y+}j<KIr=yRr!XYlNaBqB&*YQP!x+o((vho$
zBj!DKl@Q18*7I*2g|3;#q_Zq{S;1i|z`*R{!?K-?WxvZV262Cu(=IEe^m15k3h=~;
zGe3@X`CrSR!o{GWQp57ahf^i?U&DLPKOV6RD;Z>EqB{k)GA_K(A|c9=#Al?!;3!(z
zWWsPSEon8Ei~?uUUJe08i`Jx^hG|SJ3_n22r&Sm_7_JuhJ0$=6l+3U&;ynXrl0uSX
zlCYxmwtw$f?+Y?aTNTv6-x<_a(I3Ou;jt%ZilA}Ja;Zs-F$y9sK`Wve3|aUj0vKc3
z1bGhE2`a}n{mbBsWmv(a>>_+Yj!AzK2P;SSnu#9&651IGg<?XO{EZhfh9;%5o^fHE
znAefaAf61`j@`w{aI&H_`QL<($qe&ZPpZ~U;#$sdQiaovXK5$Hb>`OH3=dT}7BW0n
zTE;cMfa$0ZFXvpr&sC1xvtt<=nA%m|G8|`oHX)f|c@mdlRk%Rxe~z%2y}UJyUpWex
z*ViPgF!W@8=PF+`S4Sm?H&>O<o%54m!PXcJzZg#LV1;E#?>f1>t1iS8h`PvVT1wAQ
zVp#W#VUwZ&L(B!4Ri0AD46=ojUA#Hm;}~|j@N&H7mk?Cp;ItMlYf1hm?3wg_NA84=
zNg}tIzA7w_iDQdZVr5F_7FspoOOi~C&`YL`9vl;Rgf1&F?Pp=_S4n!!Wh{JqBJ<YQ
zBC9G-wg|0?SuN4u5z91Pg7dnHM!<~io*c@v_1PviNo-ve6UXpag;!Ex`d(g14sMm~
zdCja7MLc7)cqRU4M6>35a+V4>vlVu7eO<};UxmR}czY+qZw78L5%p=DtO|iDqO#0S
zJ$J?m3bQ_q7ZTF#Pd0T)I?1v7k`S+ef6No5APJY4AdbWU#-B_KM-!8NCv|YfuyQ2x
z@hs+Gwp5K_R!L&j6lGwZ#KOQnaf)Th1m+|L-WksvKzF+-OqjqN%cynJ`<KAK2PbBJ
z@)Xu)u;x)0j4{;s;wf~JLsQCHg^j_JDRCkLFDqlA!afC-m>aX!I;m>xWr-0KXG-+>
zko=#eBbHId-~*FDyaQA0zlV$}QM{oO>xD$Dr5Td~xzCG>+IuoBWw;+DYVW|eO2ppd
zh0sMI`;M549L6Rb<|-RDa_D;bi0ovm>=O6<EbFTx;B2VL6f3itErvH~(ie+E4t9c<
zC&aKC3JavGNCb0q%8K|VHNE7>y%J;Eu%p9Y?z9-oXStYHoC2FUlw%YGIj;M2|4s6U
ziQyDDDPiuk;x1E?;6)a8uD@@RR2>~Ay-1RCn875tlQV`R+2h~im@UU<xKCo-%*-sH
zsvwqREy3@*%_!M{aZ*>Jgf_=<7e;$kb~7d+mb*zmVmRz#cC(8nGjL7a9(5&Vl3A&T
zKy*=@3b&!)e8D>>xgC~&VoCbL6lWg$-=R3>e=Nf!)|^R<^#YFt4!a0cE@$Fx5)5Zf
z3KMv$BAD2e)5xUD5&J)$$xOjACf{M6B1=xv7lBVra~S+YlOhEEczj_fQMo0=eqKR<
zrBT)>W?E7%Qw_`Jnwd$V6B)Xc7!?_8J>E;?C|ap}Te4wulJ8cH+YHwnek9o??b;cr
zkYtl|rNX=E4AVQ~BxY^}701aE3z)bcoMTKfOcMAM^C!kBFGkRM0i!2FOj9ry)6bYS
zoJ=ene4Aq!Jz^P_2}Dl(sU&GUZC8uZj@_n7fej6BlCCG&#e5ME_qedzP>6q$(ra&H
zsns6Wg{LzFb=*tZxtE1$g^I*R1!G6f^k*^Z2fi~f#{T;l%kYh}fo<hO;aGwH46%%h
zonwXmY4bNQBq>VRCn+chD|$#Kt>Rj(6vGhCG2x67N5C6#r$kqir%4XIOcI7KV@zW>
z6k|3C{5})Q!Z26h&)b+n!GKk(Ij*c`iHUvjf-&ar#2vFZ6{-whwQv?bi1}dQBJhMM
z@}@(~gBZhP1uq7sScbo`|CtnG8HJN>E9x}GScJs9OOE=kVl+SE=tQGK)+bUt9(5!|
z+QlYpRN##fi|Y4`cx%8TJ8xoApa5r5#|x%&#!RdeE+t7W<E&r_SroG(Nofaza3R-q
zLC*DWB@UIc#C+js<4odpN>U8sNQyL2VXv^{@DlWx^<Md0(xmqXwpiO-yx?JTAuzDU
zWwpf&&I4L1;>^<x7P<19C0$HY;w(thXzAq0VVWPUF+qj#XvEn`NropP9%w95VJfvP
z>2#Jb_+rJ99j9_g<&DjqyDx;^JYBJZ)6^yAB*&(Ug4=}>4@dlX%*n(pz!am)=va|-
zFqUDVqlV+lm^3B{55^>k)tn9rF^MrJG?beRSwz3Y2r|hlFvlEc5OuO=)J}S_>%739
znClGc0h}h=oo6$~G)bEr-;?CIGg-y(s=@Bg2segcC#K8>z8K}0PfW!fa~aa*8L~Uv
zE$+W#Y-LD#82eu|nT6q31~+4pP($*+SFwx=NgCY_Ut<|wGfY+y&U<BbKl6bUPluKS
zUx(+zy?h-Of<gwYg%(MkoGp>8ye+B@<traPVo6<MG*zT+`s1&{Z62vyp8|eQ;{GMX
zAo$6HapzM8=Sh~#2bweGT^It{JpNBo(Dvm#wD^S$>(h7<zb}?N{*$y;?%W^l?UeNT
zOQbi$e$GUdi(LODS~@v?N{09d^Sdx4FZX=vk+e;=g^OFs`Ri+ecBN9O8D9=)3rhA$
z$t>d#N)qyCSjg(hDa~k{F>6IKgY2x%4+3A(EoQqM&W~2I2$7UqFzMoA5pj)E3W7<#
z(sL%n>`4k>+$gYDC2_LUBEgF0q>9pRPer)|M@=>X#w4!f&Ls7g-+9iREbSbZ1vp|o
zd^GM?JXeVEk6D@Yd{Ta6R6(_c9|vc`k1w&F8Sac5(;vFb?O4LpP{YFX!ICpZeqxra
zM5gkbZyqdv5-a`(37CsyPhv=9ILZ~>B9tDpyK<rm$3utTE=hS@#k|6~0<r&V7|(YK
ziWSFZ9AxxlSb2brL0xK!SL}a7!&I9F-ANMpoUsC{JNT8fy%}%1Fmd`hJoaFTnXHgl
z?-JH!*VrM@!q{+=DT(2UM+ZY)w!?&Eh6Nl#jA|TzI}#OQlpZlXQ=Aa1B%vYv=0#o7
zn<e*|`dGg3E^}F}kdh-|r^K_Yp7EMeJKwT}3|~Aw%Q7#_k)M*J;vw>9PU9b@J0kp>
z)=n&%mCbT)LaHFs54M)1Z*3=I-WVvT2ybA%;XbjP&5BQmso;a}yNL~Yq8?wH4W4++
z>DN>;68SjsZ{~`I{{p=#nUaYQ6`9y~#RyL26x3w+6q7YkW;>6j?u=V1j;Rwgo9Y>j
zVi{UwuCkoH^(XdU(--L%u?#Z=*ge=Msxq-pTENqtZPVa!sZeo3QqQ%<%!X$Q!b$%)
zL_8N}Nrlc>ry^(P!YrutKqy>8X7i*w4QFNd_x*H8x)!5f{9egG@I&BN1@HkA9KxPc
z%DIF&Y9@U&UFoxsO{GzP;UlHrO|n9=dg?Q@CCqf?WjPG_n49gBZcgNQBqNxoTB~Bv
zY;(wvE6}=gvPTjh)7^mM9!WwBqBCcza5Bg`CY>~x>L}F6?3t76no=ZPILT;IX1YXR
z_uM%RbrP{1-vnO&lSuUtU}RsBp(H8zjbS|pcg(+l2MRGv_rye{l0;+FI|QA-NXo@`
zC{1LV{EJ~J>twGzKPIiX!*G&Ech+})-AOAx$t74wHKeGjtkt`F%7RC*BhZ6aaDn%v
zO?pqC1bC`=UK413sOTN@v_ZS$oc6<{NnOGUi4ra`+Z57mOhR35C=@FyloznYi1y4V
zdh=$vakoMHdyd<47D+lxJ|V>;z0^CjXoBmK^pi}j%hQ&!rcM`<oO@%n%OW;L-QP(c
zmN9lb4Pnhc$sxLATj?(Lt51bKF5P6OzVw#I!IzhFc(<=U;xp5H&B?9a;Vg+kF^^&_
z%#zMbnCe;Zg-J9he^Nx{%Wo$dRf@JqZd6|}xBB43h^gYTv9hXprD9vX8Pa9Czp6`~
z^h?^dfOqG2T`l+P+p9WdYhz?<(>|=1o6)nSMs>lW?gMTyYqzs%dN=vIPdMrPe37W9
z^HV3oFG;MCmrTC1MNev4yP&UWtBTc~0u9eYHHHDqsgoivC5o@B3uNEF*W}?A_Jd5j
zeYRG4&Nv!nq{Mu(Q_44aL(SU9J-Z#ZR15NVc1c?KZmLmb(d1J0to{)uRT(ykU-Q9N
z2iYqu@t!X2tlHew0x{1et<EeEovG2bwQ5GpwyNH(?h7tVc3{|2F>%8B1UnU;-A>|e
z)*C0VXrJVIyS*}H=EE&K>Kn=@p7_n`Ws$TZcP7Kzt(6inTPsy!CKwq0usg}k$HKa$
zYR`jv2KSP;mv7}LIsiHvV?*JIlTIsNbF!Dl{(t0kqU-*QdkWq$%Qu|pNQs$L@nqGG
z9~R6L<_K>|Nt$$dhw9768&VmQj>mYp#B{8<smpP;;9b(02^(4Xcski)W-25(C8;It
zST*U9bmGe$+u~ME=32ahlV@WB)65x(TN6@u*ss{}M4+~VPsLT`!&)Q88P7H)btK&m
z5YPz{X?P1d6XU_93CWBLI@i>zL~eNDw=8K}%5Kl32bRj4+_s+DIJIpDd(7#{6E$CK
zOqp14SK*$D36IPx&qk{c+XU>}Hk}5^u^XOInREJtK<XFPNTFs%ZL5x%A<OzCdbeau
zNe^aA)n)B}sawLbKZ-S4rBhW&BGj|7;w1}*`iZ2c8D={*CY)uF-<Ce{z|2XrCR>MX
zNnLs5##P2CJ7#PVnw&L%OPc1%8@biYTXah%?LJz&e9NrLPLoKZZ!yi^jn{O&WX+$(
zVX<wi=E|Z{maBfrFN-*qJ(kttb+!~~X+K)LC2JSU<7k1FW&4z$u-u+<Vv+$9@6J_|
z8FmK04&mEc#B%rWJtNt=tr^pKufL0SZ1RcO=H%&J?y%yQT)$PW9oN>3I78!e6GXSh
zo=M&iJHghuc|o<x4aSYWj~~?BSafDvr011%0aGEjiW98D%VM^~dM00(%#u7QY15_t
zz-K8M+FL{YPW}-3P;GgSu`OmxSklh#E>S*aKRMjCMF>5dv|;mygO3=DH%Cs2viZGf
z=00IBpV;;Vj~V4->@qHxeD_>c>668DQf+&p`jn@MR?0h=J#Qy(`?xJqh-vp1Pl><_
zCs;PSo@ZL`B7TaQ@yF&#kxy<3Fh2K)d8Gbet4cEa@`<*FKjunql$*43Nfq}7Q^N^1
zPrMjXJGEoB#1_OdY&xelNo$e8q!R`QV!UJjudrf_Wmp@tPtahA-wPKOwU}+mDKU|c
z->ux}vo*18;hXn~wFV4r+v04K47SD?#cYdj`Vi%lw721Dvt*JQPng7wt)h+}gal)J
zU$qGYB(1aX%VOX7E~zQ@AVbyrq-k3nd_7l19qU-L*-3K8M9Ul93$Cd*Pv&_(>3(4(
zNAK22*6s^e$6PpQk>oR>t#5Bbvp<K!oR`WQSr3ZGY`A9_v%y9osV3(A1KW;RhBe$b
zW1cQjx#*vH`<&py<C`o_Oy=6#WwEVZedA$<TbACJx70dMNDW|~?~$~U;mr$~M~9es
z7OAUTczsZ?Ao+i7>;{)ZT}(-DCOqVLDxb`7Zr<7_TRatG|9woKzINm64C5UWl_b5r
z86P$tOil8OS#gi?2y<j~*JputmfpJOYxZ}sKM^>+_|={5Zby<YrGHqMXW5}}Y}JNc
z9gi(G)>$O&iRrXB$$0hS*-d4gOeecKOeTMgVcUO1dh-9{MQ^s`gzzkuS+UV2$$gVV
z>`%oe&LznV4P7%04>0y^C|<e6?(~9b7W>`YJ4#nFt}Hs0zbf1#Y4^*G4B}6g2^4M3
zV@m#C6|26%jnmeBd-iOW^-F>zp7Q5D;fi0pd57fE%|d+oG27Q%-jcApXp5*PTeI!U
zJIPxU3u6{C`7PSbCAn^E(z$JGcWzHKnt7v$sdeYnV+a3#@Uh%AqkQW}BaOn>CQct`
zGRBBlMzQBJ-hQ_wQ8MPBqWTJJvG*rexM&=o_|JfGmO@eGv~|`;W42vg$@)~xKr`l|
zv2G0e<C9#|w<MRg%{Y;~C2{xfoQ8u%yF9v#*)HyQ98<m}QGJE><5kbM$Is(3V105>
z=JZSp#tku#!kGnQw#Uuc(Z4-DIkV%E${A^eCq-W^Hf&B{iL}`DA%^8Z(zKZ3n4dch
zJ+@ESGD~ku^17HU$te!2SAL(fC3!`-@dUk1AtsHdJ%Ua-tuJC+w<YD=hL012cWz7R
zop5@Sdf}EN=@}K4RxFznib6ajx5uAUoVg{=H<Ix|Q9%2a*xwD$Wwymgo-}yBvu<0|
z(;XXlCeC|P$SfPPJyIZ<;hn`zc9|scRqDb#NwO;rd_9r;?_ZSE;>N<HEiqr`o;>#?
zLwsBGv(;O2?re#v6-t<*kQ~z&GeeknMod4yf?Ur_kNwJ#4_o@y1tr~JFWwrvch91e
zTPB|LYTptUTja3!;;$aY*O#|O?^b1e%rScfV@x#b)3}@Wi#UF7iOphpxsye4d))cs
z@+TP2pRt?px*%dp%<iHK!bjqScqR9xPTdlD`rw0;Oua|6at@}(>`S-a<jcvBStSs&
z_xTFVi`^#*YL<L3D`03gYZ8@@Wtgq8`OemoN!jx)6}LNh7Z$et3ijbrpR>s+gI$3!
z$)AzmQEK)7q<ki68Q!ff)s_VhHnmtJGjwfp-;vBPe_K=WlRti&y;#p%p3FX%w|V1>
z28CFLpSLF#OUD-6-cnfYImtg^K3}Y7!p6ywDc%XYPug|tVY=!k5ZbY-=;i+j7hNnP
z!WiV;HaS$>Y}=T_Qs6G}<;B<JJ>oCE#B8ZZKhgI@WWyGZDZDEhRZipxNqzXI5X(>#
z>K1dJh2?(wwsIAotxN|Wx4zkC{V3_9kjl)B9D6;PUd~cw_^q;wftll=AlKnlRm#~X
zJJlE@*L`%1{a<(SRT0;-4gHh4qM6U^y7_qVj;1?XdaNIpcXlMsael0EcFrC%#(x4y
zzFm$1EHUdC3bT^<+fVbwG$|fUNM>l+nQ%lX>dyt`lR|5L+>%`RjF0ihJ%t!I$=@n-
zGGs38RF7$s+~Cf*;0n*dy@JsBf5=oDTLWzWKbWtUw7sAwMsCLq#+C%e7>yY&i3%Iq
zQZ(jl(BjbNnEfuv0CYOakDYQe45t5K&<f(&q#a|#$I!bq)}ASOgW0BN6(&!OIi53K
zKTcXGvq?T{iG{=_;p7_!*Iqfop~QG9bH=vV-YfqmvKltuoYcK#!ljMR4Yx(?mC>2@
z!uZ1c$(*j+qcW2ZD7PL@;aI=PVhXSJmYAKYV#kCVK5uo3NV1ucW55`*!Jco&k55T<
zij6grLJPNipS&%PSM$s^NzWH5vyI%QJ>>a0Z>_IZq(s6Ry-7miYek-V36{HUPh-3K
zcazZjwT*u~g)2D%-L|E%J^Xt~VJ_eH(nlsM&A0Gmo|K#|Gk>dpNZOv?h8mY`jtQ-o
z>)tPJ_&jOxwlvw^Rnx3Ox4d7jBHbMjzUirl`UVe$q_Zr7-dj=?lb(Be7e1O6v%1r7
z#VSXONsiN;`&X#?IxKFxawTa?`cm7ia&`-^sJicDjxOr)*tAJz5@R&0{_4=n?2((i
zgtnx6uxc|!7kPgF@ZV^W!DWX}9=6+4b)3t7vZk)^0$nv_@<fx%o9*C7ro+!>@|@&Z
zer1WoEr&~=l6iUh*KJXp93ikJaJRNi(u6Ik^93w-+}$4Nm$b^Pp{aYq+YP~!Up`uS
zf8rLN4aGVelAQ_|1Cu@`bm%4(l*LSRZ%ru>oiH&eA~E4l%(n1JktZ!Ux5c>@*gw&U
zl3ejlXHyK{s&_FnHpGAqZ}B`KefVMej%}e<kp*WrMcrU*l;mk#cj~08WX9$#QN^7V
zf*d7M9yb-u7#!bi_0y9)z}(sN_=CWDIhzfRe(PU;I3_k}bN800wJ$esRQF&~_YYIj
zc5AaSm(*l@Ik$UD%-T1T+0551napkfC@5x&<SCZ;b=|5A`j4kS+!CevB<8cR$Tt5-
zmh^L56dG=*o$#w<*4)@*v&bgMgoEXv-WK2f#x$15ypzT3%(2_NH~0DWG?lEZn#g6i
zE&6CrYx+T%&s*!4u<fsoWNqE}XA7^i=`Nie<&!Q<m@K{3w>o{t^V!RdyTy(jJTqZV
z+SaI*la-Edv3u?%bYkBUnG}H)Yu|Xz5SzB8OuJHoZA-sj%C?=`%!E{0-s{A0Z&|%p
zq$Or~>(2ec*H}x}vOb>9;mx;m-DHOAx7Tdm^7x9U?PLw3ciVh7&v?1<^bRfuwWK>7
z#VnE^-2W$uxc@l7!^CX1-0=Bi<-IO1g)}}fUO&eD$yjKD?F*5!lMP&CR=%1faB_>&
zb1%8&ci(R{^pY_&o3Nq3qhw{*=7yV<Tg<<8&hW4@6WFMB_MQK>jBd%5Nh|&w-4u0_
zfxWBYQS<lDo$Q8;3pwR>oVuZq^l(Oy%?#@zr)%5XKUHXNEUMTV?d1}dyTG@&({$dp
z$bQDyD3z*fl7c^H)tu7R*%ozLiM!$8!PtLaw;LaNxa!KY1N#a$ZJW0}GB~8@l;4J}
zv0FEo^nX<_w%Hy%siHpR=a!hIM}jWBS^by&Uo7M7%aPk+*kc(!-I`=MBV*&^O)(NH
zpBHl2CvOP&)X2W#jEA=|3#(jbDdWvOjLH8alZ6-<bQJdN@vQiN<B-C!V=KeAg&$4o
zEQpx&l!Y-p_J38Sh3>YvX-tim7Hphx*Ml+dLi@BW33X3Hsu$l>WPI_0d)o3O?n%p&
zKCNY#JAomhW24SYgPg_*%0>+9Zoa!Sb!*zO4bQr6CvOk&j_$QvS$=$tbj(6#^@}?^
zU+&~w{qXgpms?j)a!}0C_}ac`QtbZ;5$;kfW)mhP@6_GVkn+)NqUuCOvn`39D;I9t
z>a~<p$JEYM$j^vbTx`Si+uQw4Glgt;eE7pQ|FTUi8Jlf?cE5O1>9b_33scE1rYfPA
zrv)vRns1GXIr$@)Nq$@Rv6!hL?sY6{ZoMgA@!_O}=+^YDOB2rCJle(>v&!%MPR|n$
zZ|;bE5RkO7GC6Oh|K_csKPPO++1S2sYsh4aM&7lzKIB#G$n+?%Sj@B5rP^X07w3XU
zA54<APW-WAYe1O%Wxw^s0u^R6EW0;u+Bo6t)}ZN}_BEU8wrvl7!WFsk(i6!^jeaUG
zdnD(juddxRuXs)E+=Vgjy!ttDTm8McltqsE$ExI>TUoQc;M>zJb+3NqY$!0w2>)rj
zsq4kof>1%G4Qfn<5sET58n<oB|K70w1Y`H1J0~@_ZfvleQ1E<n*Otaj+X^O{Z)n_F
zkUzWe!sbThh=x2drVW;POxx>s7I<u_-;uPXeiEbN2A)Kn69+0-H=U9A@@shqd(8Lf
zvVVblRJcse*xE5RY&T;%5#(lfp=mbvw(TXAOe^+CFkL9>-tcl;aW9iqOG;$N1D=`<
zMJY@xR$n}oGP87BQ8Lqw-HD7F<|q~|D3ae;$i%;apK)8v*214mTMH&J@ouQ>W!$;a
zzoXo2Yr#k6S+7^ztL)S|aWBDtOM!UOB>9B;gAD8T=!S1;zP~wX#f`+6<gNM932HSd
zo66s9X<GGp2gk=9+ww!ZH_VUS+PwN{!OlHhWm_8N?%X%o=<#l!;w?LhiUjxcyx$V_
z+jH5437Pd~+rCA_{;%4oTC<^V5@TV8;nx1ODHlt&Mouo6y`}H{B!;8!YCdo8-COWE
zXWQQVh5gET6_V+@6gRBgyrt9f;_;eKvD-Rys}Ie8F=yLD--`IYU#DZXcCMe!;;}v3
z@Pp^NE%Od1|KHH9{+Kttk84Yqrt$_2rz`wd*T%^0y1Mr9!>bpvCNs-!$vJtUvpJbz
z!xaC=nPR)P#BZPalJ8W_wkf(NW^*5K`P|&V&#-9g4D0x9lV<T>jyYg^{Nwb(m`~F?
zV%7@0%o2F}gKu+}i@^8B%8xR!8|E=`H?jYdiT$_1JoX90ED51)ZJLQKYEm)V+BGE^
zB6d!3dL$W>q`{D;b8lnIA<=-O8ylOHUTmE9X=~#&CiA7Hx;rJ7ZC!qUOH=S9p+lcb
z4;P(X#Pev&5<B%x);w9WCw6_{b60Sfx24|d<m;zgv0IWhHGD7L`hM+}5|xm@H?H_^
zOYUS?d5dAK&Bi3L58L|>_%U*(aD1AueB;OM20}lo&mB6j;rgSk-IC%-hhA_Q#s1&%
z`q2V|!YzG#9Tvhdm1|}-{!V!iv^AeI>HG5?tAAKICkZhGx_nD0;Zm4&Zs)Nr^CxlG
z{%P?o$y@$;Cr9~u-c1L#Mr@fdi7RsL<mJT;nsIGzC9JutS+7rHNN;l!zss8bNk@HK
zS;#B4yJFfWlTL4&u$*uE@1rJ<&9}_`db)B>`_69B&FfMXm$x^$i*DVoVfsb3JGO{x
z=eC`zcy`w9w5i-a*K3y4EmOm-%9}kndbZ4L@V5W?@_qN`A2AE&r#QGCSu*kP*$siN
z3_{;J^ir5uw}=#OnRu`Lsm|IT^R~sPIJ!7L?%$xLV{fyiY%fb<-AV0h-kuBoFJs-G
zUFjv<bSs$Uz1wNMfT(3#XYXl9JHZp%ayCARPa<h|g3Xq)V|x>}-bik{pMR38Gf=OO
zJI;$Wl2agwJ-+SK+KcS*mrTyGCw}65yR|Gv-D>{)8`qfsr?9YYE&n+!A!z&Tn_J3*
z%_er~#H`$2p&WBrqM*LRyKT#?;}Ufdp4%%0V$MkPsU1I5!STV(%}HWTiYf#1g<#_b
zN4XEn)0@9Ny1#i_b;(I3?n}kbn!g`CQ1ZCp`|+zAP6Vu&*_w7oWb=%=llysEu1Cq;
zxb5C)s9hT+wx#az4yO|jiaYEMWr@cAYkc$k!-b7o>+j@lTmNtK)>0MAeUSolw`Shj
z>i_5{-{haQ+v=C9>W1iC`^yqr6tiSv;u*$m8{WipFNxe<uOfJ+x@qy=QkMPM-P=le
zr0Ztt?%J~9-nROnlal+krGDO|khH}s<fO!{ZOO$^e9IJW%-b$->dE`3+fpq{*>0b9
zQJ?!QVoUw2626`L4cL6PP4VRN7MoaVBv-R-gT3sUm{T2wjOsDBW2CxwMKy-nA7h#z
z@<8VCf{lqh6C^9XbsSB+e{1Q+&ml9nY&*9nIQX^f_PR(1wr%--@>{>=ZkwMcY3+8L
zxBsxww!WP_Tdy(Ce|UeB!SO9|hm(4A)OXFg-N&hSrRww6TYV4erI;R{_^GseVqo7!
z^|Ko_o^2@G_#!~!KtrSt|F%B8NF(kKAD>)`ZMk#)MZL1)7s;4euW#44-hFhrHD*%G
z@rG78#_j`+XX1UUZplrt6H8j@Jo~^C%|e@x?{gSmXiSLx_wexIm*q!WZpPP52zt}W
zQrZ5%J7vPWEff5ly5lA)_pEr&y0_~*%gze1?US~!Y-|u0_q=4tDE#n56zfKFOTLeB
zu?(lTP5Z<o|2p7i!|aKrrrV}3W#y7&SQF#FeY$8&(pC*N!|gLZKH56bTSIDNckx;s
zp#o{1hU@jMm(Hzxvvqcm<s@<cZL?0qFiCSy$cub1HASO$U9-&=oug|u={(*xb!A5q
zb87OWg`2lV@9C6~eZ1-4=6=Bs{Qu?ZH}q|k;C%Pv-^70fwJ-J_-`=yC^KOcP`xTK%
zLbBVweBL(U&nM={zoI7?lDA9@KFMsn{dAC9=YkKvjD(JE`<;;dzdH6~hM?f>q_bZp
z&uP8qHEr+uzb(lp82;~N*t~W6bDk|fR$8~*j@&YR5=Zv-gQ=2?Yqx!lWLnw~%Cqgm
zoQpcXK|W_cNzLD4;K5lhxjwF?TxUy(<i<6dJ}QMZT~ZQ~tYp$`>G9;a`E1+M%`N99
zZ<~00%d<T@dOmL5^re&OVob}YsjFQ@R!1|<j%)k0%0=R2ENk{@&bM1Of0CQ=y?fz;
zz0YrM+Z5a>{WpobeoYU5jAm<(eM8udq?4_mDmN<3O=|sgNq0eoWVgVvI{UUyf93XZ
zYPWw%J@w<vk*U`@zPxGw^d*YDQrt7L{nN_Zvv&0zUC}df-j>ZzyO|d_9&i76s(SY<
z3&x4r8$EKF8(uw|l<TwQq1eyWVXUnmc{V=IH1wFp+HgazRXTF#z0I3XM0spjvFLfj
zr`Bm3Hn%fQ2$fe_5^*ZQ_gE?m`_`?NW-%MOCNiiiF=%hudi-RfI)kOt*NY!x{)U{X
zdG!1Eq^*wyTw1<}t4~N@m~Oo7C9Cv-ZQS}TpB?>@)(BLzZF~~C{qaopt&cjRwmeK+
zHDmYj){lIl_H7^5NVR;}via%939^z~?pil}<+(p$yZoA@7aevR-ZykRU+3VF+qCKV
zgw+inj&I%k`DoGvpV=L9^2azjwzhmcRbS-Mv`+C6*W$O0^=I2Yp3-OhT@w?#DWI(7
zqu%s&VupKa)}B^1@wxY@rR!A7zkb2bDFIK`CrtJ>YyUX+-YfOvN!y+rS^Yrpa7xqH
zzP68Z*SUOp7Gt1%tygKz%hcA7)Ac0{CO+gpy=}|WZ5bbXct3Ym`zLM5NT15~R3u<e
z>&F_&gQ7l3+qXPD?O?rqOOZO~+*A?%Et_{%#%(z}Er@x`qn#B=y~dT=6Jz4~jc+ym
z?b@25uifxgCuZyAlL~TM=R3`b$egz2(j<oUoxB_STGbf%`!^-<u+RA!*0EK-|3cWp
z3Gzny47yv++SF`4E4k^ywB+q)r;8+RnZ90k`#DYZdrx8+7!G$x9$&yZPvP619+sTj
zDTSNo#7&S$k-E8kx+jyU-0dvS4i6^fS>8$<jJuc&Klw@PUYWSz|JLg#WSqCon!NR9
z?oDR%j^2%1Z-sthSiEKClbh_HcR5#Fe3Y~0rZ>YW-UCk-FkI{9TzYm3e-{6#iQSvB
zc~9M7KHJ0XC3ai2y8FVkt!KRo&*#K!ToBj2i09MonC1_+W7cKdx7l)5*JJ&>e_PJP
zah_3#kx076wdnMg1=sqRH*Gz)hsn|A!)$@%{|t;i$=VYb>gK6$+PvZfL*~}6f97pD
zr^3Zz(Ocai`)_T$&5T{2WD;I{uh_C`&V#xw=ax<jy~yz2X3J_Lu9WRR_si)#5#F|S
z!^_$QS`&n0zOz`~oZ%a}a?;8RKN}l!&mYZt-eKae5M`u%Y|X)c4d3^)TzNVn`}l@O
z6<ZJ1^cdQ(?((?S_OWNRHe-Ix!@Rf&HfvVcNN#xbk&%_FLDKU^mg2H+6TEIboG|zE
zLAl5+$146c9ZwOMuvfy%>X+EZ#rL?jaGC9w))(mZ-^QxSwf*$g^HV#V-fZW&dXnk-
zHjSuSBjZ{7#f{8XEjgoZVU|DfsSD!@BRwJCds{U2O`4Ik)p@Uqa->Fh@g9b!6DrR4
zrOlI3%_<e!e0o*Jp3{@%y4S_;Qku8rMTws4ex{pm+JBdBs+hNZR+%?vUbB7J%KuL+
z&$j$l{g$+SR^Crv{+01tVwbi27XO#DeP-23?LEx<H!YhdU|Yhz|FlrSwpsJo4o=eg
zy!G7ECqDo7OrNu9r{FmizIcJ#-}f;5*GbxPZok#SiaXoS>3vM<m^yRC<IU$cHkLlx
ze%>=_txmv+cTO7*>LmT#IDs){X~6Lbt8E`fT%3?J^V#MOlgRBess$tdYYU|^&Tsg!
zq0V;phpcTgm_di{B=>4AGCaSZO*SU^;q%7scCD?~pTzv_RG!Ev&cd9cmD(xc$|h{L
zg7+z_{7UWPvkUw7vEJ^8e7t4)TcL#8?8z-gTd(dc+Y&LkBXT##+&42HMsAtEX=BTV
zPa79MTX#>z?|b6KI>s3@_iUd&H+N-<w%x|H=eKo7dmj&H)<1UO#+Dh;>04G-ByF1)
z&2s(Tw#6!fjcOUHY>_OtPj9<gxv@}%`6OfJ=`E*=STeWF>`D6I$oz57mg#>db!%{|
zZ#$j(mnGInXmQ)y=q)q6c{E?M?2l%Rp0@3DDC^$SB8e^kyq<5I?jO5;lX(2v*Ci(#
zirQXzpA^nm^Xjtx{e<kTHR0Y`8uA|Zw$AYDI5uy~ZtX~exGm>b`zfY`P4WD*wY*ea
z)@xeK_N!hT&3zkxsddCC-{xZXli2(>VZ$GR1(6##7eqat!CAbEN$laevTqAwey}fy
zc_=?4zLjagcX|E2MRwiXrE(`#7i`Gh+8q8;=J>z6+n(zNMa@;+yY=<&Fq6Hzx86Fp
zSD}z)ze4JfbE@}`p1rnhbDW|2qzw%_-8Mfy+M)lvX=eNX#Sg?i6-pIdBUjB~+R*&c
zNs*C_-FiX#zo~^sqNbc#^oswBP0YgyDHD`q{+_UF-YI{MaUaV@uk;%;jwqad{OUf_
zzt^$<7?#9++I{iamM1T_y8qhp==tY`|I-#Q=v+|zwspRqM=Zn5HR~^|lH2@f{)Q!|
zjdV6V{-m*}hkg1fPn%7Tj%}3RGXLK7Nd{3e3R&$vYo-|#oM8N*wb4nvb9(in)o+rP
zthStFI(uUHcbz>OY9_QX8Esw=F{PJhruUX7PoG}hu=~lHravbPo;S94Z+hxlBo@~E
zY|Zxc534pmJHaHqExl_)oq7M}=S)U6`!&TjzMQ?WSFl27+sox5el^=yO<u#$v32JB
zS5LVN_u8M^_TbZ`!(E^Jx6Ph9iEHxDk19M1xfW}0xuDxv=l8#Xd*+onj4N1H-7?kR
zI47mj;ne2m6Oa6vW1IVE*@0UMeI<)o_@-@c3{BoTcd5Uu2<z&kxb55K@0HDdzR02d
zLF(zfOmn3S_q05Cv!Cf_QOB;htvmlcx#P57_E)w3rk#^EtNaw&x^sG#c9-HBo-I2=
zj!p4dC~?xMu~U&dtpD(XAM5_~D^~1ddNMP3>(2E0l?&zeGF7i!Ec2y9bE)zRrXBX4
z!FsH$7TkJ!Wc>Fr$8`!zFn;)2zw)FF^DgFVyZ3s0Rs6K-d)&6&aY-U|TXx^t^l<~v
zw%wCY7`rm`hHc;d<JXp5n*0+bV?Iswn7)_!1LKOX%#1Owe|oIkxa;GB50w`<H!vn`
z-mPr8SAR0oxdqxcmq<=rUdixbcZK3zkGo2CTpw9Dqa!_D?=UL7wf)Dlq(f?(7udI{
z$86g@H7|U>dVJZ&-A^3E_h}qk8?#3}wzh0y&7D2!x3}#6IbGmncbcqzkIM9Y>`SLJ
zq@LE=xR0$wC_}tmjeDn&#~!uwI}TW0zUaMI?URMn{-VhnFUo4~s`|IX`_Go^C8ukQ
zx83kkZJIy*YyW=br*pTw-Yc%HzN4b6z>>>;k8u2!S7AHDcI55nvAwYST!T?B)9hXT
z;+y6(IBq*I{qwIi+wyO0+CP~oeV@+KX?$}w@3+_9a=Y{-!?``|C#=rzoxE+^t@+{-
z$#0b#$~XnocQ5zcX1(Rs)Cr4i_MA+g8RE(j`)SJF*-DRgJvo1K!?TGGj_!O`(fFyE
zF{WYS^B=JruTSRa+pL)U_r@X@@QF5`7P|La8^vDxx8=H3=M1;mdml31eRJVdr{s#e
zo3GhM&PZx~_T&2ItHvLC_k7%T^{(_w(Z|2{ZGP$>c=r>-*FCIn_QYjn_iQN&3VQhD
z+P1BJ9HC-A!@75|FG^GR5Tm##um0kSKTH#*fB5%s;?EO2$t*h<`#G8TZB9OPx{(&c
z%^<jk`#{Xbdn@Xac7*xfOle}$mP!&`;UOD&H)F5I=DqwMSWd(o<KAbTx+BV;;q|or
zf|kE_8TIcFJm4&<zSE3>xp0=)wH-b(>^uDAj_(MN*y-Xaduf%1*gmnH2`}~(P8Pg<
z_ttjZ%>nE7l}uZyzqQCULu%T7H~&?y?rn|Ni)1w3mReWI!Mi6(ue*VJpWD;PBB!@q
z+<UG;^U=N6z8mLH+kS4Vn5_u^pFQlg>fC~-_j%|G94+PBev)VR9-Sg}q0O;;SD!ar
z+1Phvk;vNJOO;Y(x_?d5a^2_gbh1IPT<@<GC*l1%FP9jl%GT=c<vMN9)?+h!`+WQU
ztta=1q(}Pwd{pqU%Sv)Z=Ho@j`#sL@)d_ancGX0_?oolww%?u>LaD0Xwp^UVYPo9C
z`7L*&^|=;bW!XE)vW0p7s_4yIUY4J>+Wn}Yf3MEc$?Unzr`K#fx|dzkW^doMZAYg$
z*sZ*JbdS!Xh4${u$E(;QPp<CZ4Q)8x?b7*X>&2^UVxAOid3tPPcl+}#7tijEd%V^9
z`18_pk0b&ZPw=u^#7w#n$>hkyDCiLrFpc@*z0KeDY`OUN`A+S^%~z7PzKl6g+UvFD
z;{Lpk9%1a;E?%3x$1|^zbLzzNpC5NW+nM@i>!qvd`vQLLxuBdN9P{vNBI5$t<0qo~
z&uss=dg-HmVY@bOy%Ov_nNfMZ+|fz%e`ajCGQUcD%L&h%;F;65?brOi&(G6yx4@mS
zZ4Yl9iBgqd+df5hLzL-F35nN%pSRyHKB+rnujV!Nj9L0ycHTXy5V)jonnL`at#d<E
z3+~zXX{p*qYrk-kn{@4_hVj-bFa0zhuiIz7wB`=q*4HU5JN9Y*{wa6CFj?t=bMmcS
znwwW%QreI%zaW+=|K|NoOzbP4Y-D=0#E~gUmPzv8&8Ih*)_h1zUh$#bwtwf`Z@cVQ
z${qFR5Z<>>;Idx9D*3~C@q2~tPqLp9u=Jg)>FvEd&-d8cRYq{1ywLG}hm_ufcedx0
zb}{def4)yAFOtE3k#%rv^toMn2W@9vcx~FjnWU^R|IZ$S?4JtTcl~uvdL+?nHo3Rq
z-^*Brw@F4lGZHT>h$`H>s)luM>f3V<jyK%sFIG&Ey4{oY^wYkyiNBQuKeEWj?@9al
zAa3K<IY|>QpMS7uUZCrqtq<><d%b99>Pb%16>H@Mk54KVJQO!?@n-qiKfnL-{<!U7
z@TXd~4yk8b9xi|Nlcm#o^TVRYTOO*)UlET@`S*b9`kq;*_Gp#oKHNT8Wb@7YqO*5t
zGnV)4JoO~@Skmq->-u(1KfmFAmqhOm-rc%S7?*ZvAK#&;#$;~yc9&ixlgNen54ksQ
zS+nil75zzV@%!RVDlFYAd^&8~eJ?JRKmR&%Z|qg~I&viJ!J#$#1VxtKJn(A6gNd``
z_JrxzRc?ClgzIFBtlF$U^FIY?`+hP_e!{oBb`O7ekj8e=!w-{U_Y~#b-g3OMFLq_l
z*5g0-d#uaZ$F^-x`;p6=lDGZ!@4S2^rfY?0<SM?W(^qcZ^6&F$i-}uyZhJO;>Z$$Q
z=RXN<-1_(OCZ^9TO>ge^w7bgsSX)*4{yq=M6)g5!|JH8X<FV@2rV7uQ?`BLZdot}`
zPe;s-JLmgjy{ivOOlEG}@^|{v#cCb36GPwcsCdlwbn5ZO-n4E1Qg3!%+WK!|=e=$J
z#BGw690-3RlpwOdY!XA%*8kJX_mmkiD(|>jxAA|^ZjlqwXB#C?`f%-I@H;&@r7!+;
z56cd%J*CpVN!bVa`*s(Hbgb}Y;{C*s_+<Jawta<1Bli}FhCJUD`p|EO<lfxvj~6Oi
zyv}>GCntMB>>G{`3?Id}{V#sHKmXO~13X+z`(pNa*Ie+t!?Y*=e%ry#F}v3te=_~_
z(|zks?(x3n>9AXI!k?HuJUU)eZ*MtK{W5t2W71Qv$y?I2t3Om4MQmO=Z4KMg>3dio
zuj`#WZ-;-x$2~7jJvrE4dnzJkAHz=n4C`%sF68d>3Eh;j_GItPy-qjxdWYTKn>}fU
z{=OV*{k<~VL+<A6Nt-HS|D?RUOaA<`UEXf%mJ}@Bx7T!X!Tyd?aq9zrKRJ1RU#MJg
z-F}DMrb*qOVv={tyH1=L_CjOMO(qA8AF(sKA2W59^(O6LHP_!IU&JW?+d8hV^4vc8
z^M5Z@b@1<-w=eZ6*Yo`$NB4Z3y72rHAB~l<=NrF1-y8aT+pPJ`N!eVRpQyZ@Isf>R
zt{*4X{rI*=X5vDv6U^fJdu6UCd3^u7U*>t-T5++C(ELqIp9D@dF6#)q`+L?ATl)@=
zO~R+2Z3*ADC;N#6*MZE94+JbG`G+O#lI?la^itu?)9$%je$D?jVJnkx%(w22<cI6c
zllTKN*gDb*cf^T3+Ns?crStWMrC4XoAH}4Hn>R{5*q!!pL(Q6P+xEHcVBO>Q>CxKs
z250lvEoYzJ@O>z;P{dc_!oBDFCH^*Ld=uCa@`Qo2<6|$&i^rmeUvhI_2vK7cY~L|8
z=I)J{)*m~fZ0jGt;M*}J=7l7q=~>U4LO0rOoZk^Udw)iL{X6?lGc5M|zuh~-?kB^+
zeIY#`c=oou_tTkI*V}RGNY$e)U#;W!wr!vFBWB5xlMANYRPE-CFWRda#bda6+P6lp
zi5WAW-7tNASZ%-KoXuZ;{E1n5^W+MqgNx@WF8Q;i=)?+<ws-kcS9!f@c`=>s?yjd2
ztb2o>p4&HNt*ojlf3rmI{(i35PXf(7O!1SHKTA#CpY`60@9Ou{5+C=k^qZ=cTyxa7
z{+C>Ll+eVw{pPEl=(z9C`h6qmLFPmy)8?zSe~v46DtRTX_~9;59JfbiovP#^=4fry
z`Tqqd^Z$D_bcLqa@0Xdob#ox=-mUEW*X-5U!ZT}4kA^yHxBf1NO*Yr}X3u4dN#W_;
zTfeJ2X}|1LyZO7#_sPz+v!8HoQ&rbKxkImBh@|by_`P{=?u@-{Zx<v+^r)>BR(Q$r
zF<JQEUb*7jeHp7a@03^4+S$fC!RT&7ad`z(laeA=#CzqIy*>xCKi<B!M>Z~T$tDKo
zy~@|VJ!!plF)nhiQua*-zbP!6U)e8aiHuWwcy8^3{Yp{l$!~rd=kFJbj@q$lqW_a^
z(|(4D{$$qQue(~+B)yY=^8VJB6Wm!6xAQqwB<)L@#+10f)stoK-6A)pO^ZK8Nj=?K
zc5R>1yOg%wd)VLmWkpWnTf@NH%M(7ygMUxYQ?FUolY~kbN|#MDT$*?)Cw2SPdrRd#
zboS;iWxJafDR^p6@Vccwr}(z6PKl}CpTAO)X;+(>+6K8zw>a;AFuQT{O*3Q6AM33-
z8&tmin4U6$v$NuOWA!nnU6W?)?c==0q{@_JC$~XA|DnmT9aAPS<tG`2GViMVc;lJ6
zbZ_G1z?hdS)g~?!u$ruM^wTFt(Y<=t)LAO)_Xw8<b)~9thez&9sk^G8Ic;Csy02!5
z^Y+I_#}rELniv_8y)VH}Re4fFsDfh0#jATRd|-_I_db?Ee{tNjqaAA++8^!lIs0_|
z{NCxJ^*@e;bv@erFhp(l(fz#|6=$=)b!~9|Z6saS`Dt^{lwh}=%}o#MI;K5Ye7Q?a
z_V7_Vw!IhU>~uGm6InRBvs1oD`Q;>Ee`bNczf)eZ$Zy)0bj_P#|Ebs4e&1WOE2;9w
zGMyc2YzHd7#r$Ms@7$UAu=6`}@_*~3*A4X>F0A_hXd<J1D*M8QOXi$hg>2Je85aF#
z*ucs1J3{Kf)58pRdrj^CY}{pYlI6jT&Hb$JQkb4jY}<J7nVOf*hvfg^$vbV;nf`y;
zy~5$*Hl;wr!xI;7>Nc}KkyIwow<Cl-_J7&$esjf`C?2EvFV63fwf)Iq|Mc3)%^%e`
zLX{_<h+NqJagp{Ejxz>%JW1cW_Bs~C{-6AK(}SwU-2R)gt&BI6j?c0@$uWH*zxB69
ztB*HNPB_MLvdb!-A@+YpEW={=8J6x$qH#NBuW6`lKODm?wjuWa!R^nUZcM+%xZlO9
zjb-n9Q31y_z2<7kto8ex|4&j^@N(Jv;OHKw_}pFcANM<jeNw1@a9mBHReSOGvR%z8
zf?E})D+;PG#t5i=R9L+1>5c6h(<UnH?9u%GBW9A7ufj={x?R)1w^(-+eNwpp^wza|
zdmUC?3fulE==h%EPt*3#QIRy|Y<zq`WRK%|l_)`}4L1!K_hx_YjheUg(8nIG`TI{C
zT`YOR<8b?>6Q^F?c>Mgs#>otxGu_SW_d19BHMwT-Pl~!I-m`b#(n(B~``K^qPlziw
z5|%!~dA0uAt^}3n$)3%-dwl+OTU_Pcvv=vFMG*$ee=t4}o$P&M_g;5)@o9VZZTfuU
z(cXQLix+--&G=_$!b!%6o%@}aMVzoZ!*nwIpoPQ{jwgHf&y3l>Up>EPLRr(^%}Z>b
z_xWxYXYOWP-S78y$Bhc!J^RfLa&BV$)2Mv2!?*W$zwgyekB&s{**7U`>z=*K-)>~P
z@#@d!jwO#bupi&Mr#Ojq*6XfV@%$Z$Vte;y?@O#bw=uYXg<tH(q`isd(+euk9OEdx
zxi9f`bi?Okrv>-#2~rjNdVbHB`DfbK><c<+b$MT1R8ZE<viP_e?VG#!=2zVJ+578f
z$HtBOmYXcSd7ykp!(=PBo@w)KZdCtBX4us**)ew4^47TwntR@A=RV(deAiOthUDzM
zjek#8neT77ug{@k|NZfr`EN8zzwK#A>6o!++tckQG9T}(^JOqTx9{VG;OuLA>fTKJ
z{_$hSrf!Z6Z+iD{;oop;Z|(hXq2Rd!Yb;*(CGO$Kf75yP+5Wn<lj6SZi`af@=l_Zu
zi<6m}bdGn1>*<K>XubBLYfV@B<AdA}e>P-yeBQ8tdw1{AhV^<moE>M6Fa=EDyl~+7
z%?VOcN<A_EI=vUhncH{Hy0;*H!_}CMHT@@V+cOGyKS&Zz{#Wxq_D%Y{eVcExO_+Uj
z-)85SKY#9Z22E7Bu|H}1&p%zuHtyeIBC3C2@38|@H|0e9D3~~@?l_m>(LhzDyvci`
zPJJ-l-QhLkXh@c%far1Q^LxKc{}aG-RGK-Lr*O-^H67Paye@j^G)v;Y0%wvQ7r%W+
z)4V<3ex)u7IAW$HeTR{;e!tGvCZ~=2Zijp_*tPFR%_`RWsTNB6>VNjMY}j((X^O`0
z^9q{|m!FXK?X9xDzDZYq|Ge<idc}Kg7cJ+x`hS|og<~NXRerjix7B;=Et<=B{+DdG
z)#Hgr-~0IU?c6%)*~k9)Q>q%@b9ICF7UfqmEZKK!&P>@$>B%vz@9n+!-@e^DH<~R{
zmp!_BN577<&Aw0F28$SvJ(us^cbg@-u6y58rua2`X2*K3)M9Nf>tdg5@{s-DG^WFQ
zo3}@?+&g#V_rB)1(|k^=s!sm=*6j0msr%MFdzzQBM@}<2x#Q2#*E#(xPm+>4gO7GE
zf7Ba4b<>{Pe^j^LzUlDwrL)SG#!Vkz_a@YwW~+Lueg5B`7C-KyZ$E@@u=XG7zRV(R
zv%vgV!|{c>`A3fL+TgLL?baqH!Omxb23&_09*Ie32)xyM<nfO0M-KW+FY4lEtz=@I
z@Yk22*zD!H$6ZPjZ<)WJkm~p?Mr7ZKJNJ%-Z_TcHB4vEwk1HeZp@J{}9Xjt$JjE()
z@UQ2?6(-F&J8w<gB6zS$`lMtNi~auI`7cs7-{AZ?BVaO<?4sQpf6RF{o6lB{X<87|
zS1xgm*nf8vMRjACl>a@Scw%MH$G5gYZ;w^I+q;3)a%Hajhd)w+uIE-2AFr*PtarBg
zY}UGCwbuHb|0fCi8lOKEbhPDGL{IkGw|l4k@jLdbu=^ED<kJ+(BXxZ-`=`!Vwd7^q
zn|@Y!&$OlSY<uUbtl86>bzN7TJF@k}Qj4YAR{rkbdY#N-8pXY&uK)U)U1kw|Det%N
zt(n=fX1T>8*4${$(`9=$u9{vm>#M)c5vI~l+S~WN*i$uc&ZKmUNjh5(zvS8a>Zyz7
z{;A7_Jh)D3@0_-Yf&CbBhg0C6TOE%XI}H2(|5)N{-TrOi@?_>CtcpJsc1(1%Whv-5
z%F%xR*Shly)?_odBrzp3oQ;XNog_VBS-<{+d;SdW5iy4v#LpjUYm7OYB->!2$ZePK
zd}hYsolis>C+xI;q~pPQc80jUMJ%KIvswB(xz-);|I_1s((~3a=Hg!>mH9UX%%{g{
z{?+B(q{aVkSy)ldfkpM31h(%#@AE_8Y>JSL$z`L$y&--lj$34$+gWhIvwwkl=%1;3
zp3P!0t?cmHb6hB*R`UCif{BtHZF>?veU(mYusHw5Vb<QW-I6wmZ(2+@KdYW7(RpsF
zs($}PRR&+(J$Klu?RzfjGwS|c@Z{v41D#vc0#Bbm!aDV=!Jc^&l3vKTM{VvqRFf35
z_D@HI@_|>KF%Kg*x~Z~0+Sy<r{=4yFqHfZKZAWMH*~jge@*(Ecj!BO%?VPir@q~ej
zvMGaO-GiIYWOfMf|L;iM__e`Oj`d)I!oRyQGfvjA$Oc?xiv3q~kCE-QoX*SRV(Ogo
z_MLCSHU|9JIWb~R%;b#jndkqfu=Ad<J%2)f7E|b(Zi}=9r_QfB@ls^soCAIuiE|E`
z9$Od9lpn+p%aUK&<?1VNy6I|D^1r9dNB_)x|LoY_IL@bXCyUn4OgVXzVQ$vESt55s
z#Gb!9x@b+~G_}nB!hmI;jxV#DxRH}ZG-qM#|4GIiKQzAHIOd+U|5Ik~jJdO#Z0mn~
z{^+J+kXaZz@#eHAM#dSHe;S+)Gd1n!WB)&6cOlcn$8{UHe(-(}dd#%K*mM&Mlj_b(
z%uGqY8e;!Fk7ZO$=D7dnp=d|;#3Y`pY3wr+*USh|;|%<1aCxTN*~wB|-|c_!-1zUX
zqEY6+pYzXul{RYJ_|$NA<BYTO4H_BV$8g5}dwC+6QGxI33f5Vo$$zGrvWUho=D)4|
z=rVUlzxcr;0t;CiZg2~`Bt2j_Kb={;Zs*BAGZy_^u~gXj&id>h@;4Y`UN$r{$1G;C
z>e$HQ#Bp%*L&g<#?Tih9wGnR)uzs8|i$7N3e|juKzs2(AGeR+H3_2&g7z}1^nSV0>
z@umg$#Xm5`+>ULS#MKd5vFXFYKQqjo?>R~FBpg_<?k7|9%>Tz<w)ZiZ2*mU<<pwgJ
zC|jG%P&T3IeF~#kLyqb56Fn=Y%Q|?+GF*=FOqlWGZ%jjKYx&G8_cu(6dB7nU%D}?#
zX(xltL5V|8Z&c6gbhwc-V~2mIN8H5VAGdGRKDJx5S2Rqm>DLdz4U3h19(?I!>R~7m
z-LO~mAKL|934t@R70Lgu?z^&k&xQXt_FdWS=h0U;#rD+h;MvVZ*FPkAH@5c|n*QnO
z4L)5MduvbEX`_ujeO{_ek?VV#w_GgPyyKD@!&2rmw#ol%{>9F2`Z#lA*Nl)qH#cvX
zyZ2()_L}N*=k#yvY?{oK-hJIX{-})GDINVOdp>k7RF*2d#@MjBaMhAe94D97_nlE+
zxoPi}@bzN3+x9G6&hoYAQabzRNg8kVeb~S62+#4TX`c5sF^eCawRgd%!YvCwNgQ2P
z=v}I0aUzC`_5HF64;6oL)+HZ>e~Pkh+V}BVr-NNAYw5RRhSQjeTO3kW#T+r*UVH4~
za@m)E_J5daB`foAas2;fg<o{}BHu47{Nt(RF6=#tt=eR^df=NqAD8O7?pa=VSyS)m
z>Lo=bb|=I0H=X*{`8a~3vBAZFo&A)-pEpYigPyLrI{%5q`vreY*Y_;FbK2wYyH)2R
zVge`VDB20%_-XWICGX^`|0W5Vehd&|?p-|pw9Mw6@0D&UwlK%^tw@?UiP2n0G;nW_
z^K|W9@0)JAe@j}@QB@(hqpA43^2vbQqtl8`#8fcK9*R27ctX0bW9i>Hr<JcPc{4?A
zQ%+M#O3&U8t@{_W*GF7gQk1LxV^-aQzK^e0s3+|R^IKMMdO@Q0w;Abg4)Xn2oPWN{
zAv<n@%AZBWhjbR?*N072T{Gj!vsXtH<|l<_G1gDEuKRfM%?hDsEB@MMUuab-d3`-1
zEl#~hK2hLAT5EFGlCMAH_rCx5VQq6P!_N|B;R)YgPjK7tW&elbZ@Uj2iP)pG<2yII
z`j7C$)7t%ckt+l@FDr;Y)adg%W=TP{-Hu&$u@n8@FD<wlePG$=-7(LW7i>M<WoPwm
zU;Pn}e6|_WAFfVXR<PIlCPV2=$IVL$?q3x<IJvXBzQF86#*PTXSw9sSJN&~|_bmM#
zEv9sDNx^SFfugvHnw$51xTG%d=&eZ3p7%?&Hul`#y5~dCT`Lj6(?_RF6%*Xlw>j!$
z$%doK&yMk^@3gvkR_6SK*;!(pyk(3lgeNnz?|mSv-n3d{!unN{PH4>7cej7VLlwi{
z8+K|h5Sp}x)8RzVq_3a$U4L|xf4SDrDwgBr+xmA$fATVKzh-%2vi324U4s+vdrnN{
zQ2oK!B6@z4!~E6D;v<q4W;8M;`7z3_dBAdWNw@x!q%bDKBULAl@ti+)iv2jx>F`Ox
zmj!Ak3Yl6T<-J<8>C8-#H=-*_m@Sgd{bc4}nwoz8NJHhqubU@$JU`G_IMa9y>k29L
zLoW7D)?T}?R)y<bbc_Cps7Y2&92!?#xW9oj>Ecu+Mn%Vse>7rltyuqU!GD*q7Fm`r
zWfR<ZK6o%bKhZLw(=q0XhjrZIbAr<6UcHI=I>B3+W!*!Dv{;5&x6CUUT$?Q1nb^zv
z&fPqkUdVn{p-}kHt$9-$o@{VjRw%ALP4wZPn1fp$GIbm<6=Y`TV&Uif$imLC<FnH}
zhTlJ!Vt!1O+0iU<a>tI7EC=Q$O>jGs=qNm;@6u@o=i^HspRM$ha+r49Z_TdXJI>Yk
zG5CueUmkw8I*M0zVd}pN=hrmGJf0ySTe+xs;mo54?kh9QK7ag~>XL&ydAFafniTAC
z-Z}b*&Ks#iG8IQ&><i|7e(r+flrGQL4-!9C@!YMHG<^HlT9@bOBgMs&1o*b6a_!uE
zR6UX_|Cr25e+A}i`;>P2ac#f0+4FJiP8ZRW#wuL9kIQ(4?&7-|ZLsl|T(?!FRBz8!
z-Bh1V)7_SSy1<{lCwlJt-lGo>sf$NCwN7(8eO%^L>X9dtoF6;AT(d9z(`l1iC$3u@
zlDfIjt0(&SA@%-MOdA-Jj>-hhK5shB>%bY4Kwe!Vi_|koci$d4voeKi<(_ESjXYa#
z9+jQMB=Tl|^khb@H+!NF$8=1cI751wm6yuCDv4eH`e&^D)99w&WbBvRv4%nW$tia2
zjzji8lZ*C7yK+3K+Y>#J<K2$v_zy8ZAL^fE&Y3Z}`az6cQfjBJM+t-Jjwm6fS((Dj
zue%m*G}{w<u6pN=qeovnh?(DzEPsu0!XCpTuiZLN2}HO})XR=$5;_snla%?t!|%rh
zmgM9kZ`_g@Pu`XLINSQ=kBHAnYpZ75JX3z_fO*&6`0z;q(o@<dG9TS$66+%A#lkJR
zNow(`CEYB_Yi8e7=JH9tFmI-@&ANATE8nNZOnb2DWkBPEZwHeUV|K)9)lFD_kTGet
zX7;&D|8~S`{fXHV`RHqfKj*SPFZRT2YW!j@f4t(;?mbZ|5`D8*7H(uL|FbJ5`3U=*
zTiPt@(=_)>?E2IDaO2Ar;oW;<;{7<@u3_2{t8{kvJ-#0WZ&qX~buvkE@z32-$;`ex
z(&mxky&XwCGj}dodvjl8{a&Ty6v5Rq*^lpuug_$;vwm;<-P4-Cj$HlpN#Xz6vl_ew
z`A5|EvmX6(%;@i@qzl_7Z91a9^$GjllMF|XsNdTQx}{d6XKSCgw9<)~v(6bCT(Ty0
zr3eJ=zoWW-U;N#v0j7U?wiKy4Royf^bkb?#88MZB*}>NsK1pvl5?iht!CigSG5loQ
z!M9K1kH_qZ&r?j2G5Y`br0qd9UdE*T4GNu1g5o#2>>r<F-Lp&dq=lg6?j}nq#`+t5
zZ|-l9NPf|K#K7_MgM0H<8k$P7DsH^0e9n!@n0W_J@_+C29iAsVBF=Q3KRP91{sOhw
z4rYr&=8p7U!PC(bjV3x>Q(?)po@(~7<MA8Lm^WW57ySA-J+A!NgOyJg{y6A${MeUC
zO|5g5e2ifDB2pb{lwfw=HuW;o7gfXGZ!Z0=;A-eSI>VyQt|#C6hJBWr|M_F5e@^<a
zW`)>~&fP~(sGV5Sz2b}gvNNhW2hGnl2EM#J|G@K!P9g7X?DLO)n0-d}(*d`LLn(V+
z+@2W2YP-0*w&$qkd6|y~12fn&tv645!?^EH^5s{@_pGUVdhBdwWm3RO3&Vq-lcW<_
zS~A~kSn0va86X>WNU-qWO9_V4t(9+Lo=&(nQIwfCR`<;+#{(QmPbctNui;n}dHBNy
zUG0B28h!3aNuTgteD*ZkLz^RKUdNRGnU;Q1^6n?``3L5?795Z}II;WSxdZcDd19lF
zoZB|@qFYk*@h!<J_7h&4Z)NR0>0)>><Xor6hWYv5Ry6mVw)m|kI#<<JEK}#m(UXh5
zcIHg{ne5+Do5IXFF<nKF`=YD<g=<2Yr#L!d*D##la9)iwy?W;%v4bbW-p`0i=A95{
zJ4uG&K2!e<eetp%i<TvdADsJVO<LN-Ii|^HeGeQ>2{b$MOGVQ)>gN89TTe2HKM;^O
za$?iD2Bw30T^$o+QxZ=flDhGB<7`(U)lJ&<8;|m_=RfduJJaEDr-%9cfd;3ZpC|d}
zs{LK{w*GKh*vdwgrgK?=)+@K}VQM&eNOZ%t{D!wN3bFq+1N4tD{d_qiqq^tQS)PVD
zdo~_ui)Fm}{^P>gvk(10+SslAiQ&pg27}NGWj|z+Hk@rOo^pjjF{Uu-ZH$Fn(wvU7
zvwt?s)D|vm<9NTp`sRXAhS>iW$qWZ>8olU}5oX}z+TXC+fhkGTsK%_(VA8W0ax#k;
zgx7Qgsee*_eo<(qN&CAim77<D&t{R0`1_!6qEf?yBGJ{Ip`DpoUku;xnPD#QM_K9L
zq|395b}^id%<V9ZxyT~TF=M}AwZZE>NxCa`JzEfEUTHO9!w%jU-8D&18uzF%^ILz^
zd&IPMSI1BLNJhi07H1pd-OtRpbMB<)>3oYzw`!8w8zi1o&iL~1!=8od?2Iu^f=s&=
zBbGC(U%bM?ezNcuBmYGWN2ZwByVgCCi#WaEpzNm(CWD1i-4|X@%sTn;>gUD>d~;rJ
zsQuva!H_vL=_~(<-J<CmZmyVMDtG@ekNv}W=XRgzd{AB1$Q<F*@UnI9gt9d|1eBTY
z7{uhnEIILb562EYiJ8C6%4gi$zaq9VrZ%bVWCde%GQ(>73q~%i3<thXSmX98V#1a^
z@4J)L7>>AoIC0Uj`dSk^bJDBW|Enin;&50L%kb&aqNj~3er%ZJ?vTELDMqxzOHKLv
z;gh$RFWB}szHfiWA#+1<#SO!Y&2<ZpH^(x{GVyl2WD;iMuwr3kejCGN-JpByw$*~X
z$VofTADOke|6=T#Mwg#EYtQZ|)Jmy8_@UvE{p&@0Z)|j&C@6LM#L-jC6O%Y&W|a7z
z6t_t_dHz#V<<6E_2R!O)n)kd({$luc5370i87&U>H3!QpCUT!Yp{c|n+P3^cJ7Xia
ze5aro*Mley?KS%vl(;_q-Y~u5!S+30n0_?;KKszT@4-pYlU|2D@;x}keXY_eYG+X4
z!<%uNrx!k&KIzrFs7<F%=$uJ<yK#;E@1EC!wakAy({Eqw;IrM`_}fELnwLRUN^}0@
zaA6}A#chIXJ%!Dbj$CqxJ2rPh(y~hF9ZKaXiVx1UOH_Su_{RC*@tO_GoVqU-w4LjY
zeGn=e$1~$_^0x)$ii!qXHc5p9&3QauFf2tNYL}0_{RHc>zIUG&@Xhae_w`2YimX5T
zn$njZ-TU^damtdVdpGw#k3K1IWr?)^rdt|kBHtd*QcvWH`S;;CvkH&#t)%CjKW7v?
zxs|tfz4`5PA#<53*Br^M*(7n{$eU|>Rv+p7qucWHq-2U@$JYb1_Ab2t%Fkf=nV548
zUsVG`_U~DEQsv;ehLEJ7nR8}TDwxHbS#{qtLY^@qcw^F+1eQrPt#5Xyo7%64?udC9
z(E95`)tY^ariDEI!Py|TW`Fwgm!9o`jDmk|luUI0w(eM$tVM84=-JMV0VlrvC_WUi
z!8-p)>Zj|j9i8=S{-0oZKI!`BGa*wZM73N|iJA7{#^!~JY7FM@_bw6)@%YN&IAJPl
z(w~5I7M6mOe`8n<Mjc81cV)Mf-Xr#BNnZkvt_Zb{VLjV4sZW+!n<4lKL($7Qf(-ly
zjsg3HVl<eq-1~M&<#PS2Pl5sWgPCPx=lqZQ@GF9mC0Xorj7le$&grE)9*CU(;m5&s
z=u1q~{fc_Kq_YkAM|T|1Z(=IFo#eq%tFR|VjPXnUw6#S6FHc-lVdaYZn9><})zj(D
z4$jyFzS#c<4zV!SB{ND{ccjTR)`{JivGLEhn8O;6*T%~}KCx`i;<>(OreDq9y;%OE
z3S0c%#eU(ZBd(pFUi19wgT$mW)6eZ)Y<+dp%cd8NKX%?dd#vYP_$G}nXKPeC(zB2E
zJYCB^_sp4DPpACX?>;xJXob$Xn5hR>ng8URJ#Dq|xf#=EDw$StJ)SDBzL)*~1lxAs
zij*Uk275Lft~P6!cP(kbtTnSG+LHetXsur}K`!Ye_vQn0`RBdgd$1;K=dOth`Ifv6
zKT)F-)O6#7#r#*Zj>W7$R9)1?eJ1VSn?IABcWn~<K4+%-8HeZ3m(M6v&f|(Xey+B9
z=9K4q4(`7>?}vb4$9<&@VN5Fu|4*0`(c*G+&%ye=7tfn&ue`r$gT~5_^C$5gWQ^H$
zsP05kL&NTmmGd`N-C#c8<IEVt@PALt*F4LMnHx7wVLaqMZ>5E3^3l|Wz4H54?Y=p&
ztC=zBHOt>O6BLv>xUF}F*BuexxPi03<Ng+&T|XRbPcS9rbQpeF*|*^J^)-{^l(_a~
z#4;>kfBAm>2Tz|<6I;)nX`C6;y)smG_W{R<1N+@QeDAIJCu}2>^?&IvkC5-2k2VGO
z&yei*+Hr()`Kv=~yIJ`r#4>Q)wF#=3aN`qq_KwN&Zz~sQ9d10o^iy@*z9Ygm4Nq4e
zuoAq;?3T2Cl75GTp2P&*`aL^dJ56MbmD^xhee>C;5Bw{hcnF+N5m8yldW~V9_Sw&K
zc1`Mtnb5LPAtu7&rOpfip4d&F_~d8)OFqER9Q(gI=F;rW35_fg3tU#_HFL0*bw(B6
zNImw$V3uqD$*hkbFGqg3A<Ut)-hBo?)64JQHhlTq8N+`)E_TEJ$vgQOEEQrInwTUv
ze2iI;bijI6qQnu#WX7Ly2V(!%Hr%Oxpb&F9Y3DuB)h7=ByvX=@LZ{$5j<VG3AM1~t
zK5+fUi8t;4b!U2hjrjkt;Lm|?hhl#*vHgfqzsR5}xs=21#MWyMFYAB&k$>WX{DH1x
z98D|p?K<D}|1?r~`KB{8c|z6X7_A&Pn?K=Cca+JlzrcNB#n~(R)?y3X&q*EnzVoAY
z4O0W>w~6~3Ig-Bo5Zb&jIpVK($F+oVg%j7$Z?M+h#BlV#=aU(2q7y`8|NRp$-!lEl
ztPkf;$Xr$A_<vG1a_*{0N?Z%%-b_1s<M81<->P<8Rp9wj&LNhxV9xVdKO1vg4?a1h
z81Ou4w%A9;NjH=p2P*%#EwnT2)=9z54Qr+DYhTbh@?T&J^F|&0Ym;t2zTRx75}?Xx
zD|UMZpWK@XpFDT)3RP<!-Sc?H#lwoN3hhZ(g<m{~k&Zc#Xxs23mQgVF-|HC-;ZHWK
zn8By`XvfCNGikeD9Y1^Y=9!1vCj3#-=6b(D`vuR$*ekAQB`4@qJ$dt>e#MEimsp<9
zo%bYr@4S_kH&^xaz4NV*2>ZS^LyC8Hq|wFc_fFno__^cg(T3aEn>N1teS62Xq<PXO
zR7+31Qi@)(Ex&G_?4~pC-fmgsDdE5Gx?b#+=(Ca^5B1;X@c4hj&0^yx@x^D}zHPnv
z=a|kSv4xL*T=Dt*<=p2@KVpxrSj=`i=31N;%VqQH(Ne4TR(<$4XW0pd(qpM!>N_Xt
zANlnE^r4=!m%>gmT|KhxeElSCy#W31Yv!Js;N2;0$++O+-#yoQ7V%zue_gJ<{4~e*
zJ(smZm7H`LU2dKXXcFMvb6h|4q?YZO3)j7w4|wkl+kO1w*;jjyZV><Z>B^548;`&H
zRCjdSo}&*#HZf1waVhQWh25&UF~OamI=DJf=l>6&%>VB>>#gp@t9|^M?#GjBc~n^<
z{d@}Jjy){fx%}In%atFk=Db_6p?}Ziay?7l_@iIeUsxJB)${yElm5#5J=Yga|7dAC
z`<f1y>YnS1u6<(vy>fxYkHnL)-<a6XpEZklG$mo%y)7$zS+v*8y|l<pE7d@5<)pCe
z7m7cQFSsqtE*x`XW-P<X1uB`v49quT|A(Gn>b)e8+3_jh<I4$Iu@V12EKyVq_<Jgn
z#dyNI{7DSSXKWjf7S1~ODdWS5>-@<&jPhHv{v>r~JX^=QU%P*c^qJMF`jg(&b(c?0
z+I^;>`1Du585LeLH>F(N;<ABhmX^gOo{2&=u@@Md&WOL?d*SUh##ftPZ1&u-{c>#H
z6~^wpx~t_)cVC<JwMgn&=jC@-nf~2-u(<EcqN5EK8x<ZbO?t51y(awJiYbTYJzBB3
zBI)G2c9jK7*PME-w7{PG<c4q3FYYKyeh}X{@%he*TVnFhca)!8*?1*M`A4{H-OU?r
zb6%d=xKojTq4CBAm9Gwno>(clu<h8L6~g)(?k4GUICVMXuj#Z-f7r{@;E|ldD6wMY
zEpE~71Ddm^icjCuX1%-S^^c+t(~l~528ASFeR}m@T)^UYPqjZy)xQ1o+^mU7g2(Sx
zTSg||OxK<=>*pu+i96f{F0a|p@~k1x=G>gQ4QuZlnOc3ZC}sESm6v-~UOgDubHwS~
zjOWvH&YoSizxd4KJrdL3d{K(YK66OD{`+hd$%E!mseQ~>1ehkglz$|1BA98%VGH2{
zpH_D?JH-Bbm7tm=-TLqN`S-TxI!-d&Ki#W$?t#M@kI=lU;&1s<Z)eH>?&h&P8Ib<7
zXTpZ&<bN+0%gevN7*TPqPA^uZT<?lx<b><fURticu*#DmM8kGMEW?ExTTf~q{pcah
zIQxXi#rd^A*k|lHzp-DQaYD@Z<bSVOe;hdfZ1&F?%L}hm-#fTs&8hF|U&H==+qigh
z<J1kz4}V)+blHC+>V$y%i7WaYNuLD#rB4*sH1n9vERkRFI#%xAjNYHsvm0Yw1@Ft9
zIPm+z2Fb~c*@vEeTP3N;e&F*PnV1V4&PAnm*Cu}7^Pyd%#J7y`0RsbLl6Ug|=pQlM
z$rJ8w-uXn1|9!_wM)@R@*#8z8F-{9O_V0Z1<7E5~&eJh}E^KTQi8&LKS`nRe;sTTV
z#RqRhE|sV4erBp;8gn8_pgX3Yqf@v4g!_%R_YZi*GF*94%Vl4Y{6Bx<=YvTlAHtJn
zi2F+zJ+WzEJbk_6%7p~G6EpgyFR;hUoSZAPCT916SsUJ+nEUfZv7khZ`u+oq5B|N_
zFiDt8;@s{F)}D;zLX(U{E-jS&H}hnIY?8?WRS)i;`WLpeU9Eev;mlv23-wwzUw6I?
zpYiHS!;cTAj#k7TVactu$-0r{Tiet&VawAU7w?|9STpIG;?n~Y9<IJ9`(_R6als2p
z`I{t{9sH8CNJ~&)#-|TS&Pm5EOPmx~wn~mI`M>E#rio0A&mB0E4l?nayP$jEqWzN#
z0;euW$TS&mSbrhs=5E6qn=Tl!GR1^nRVzDj;|J4;%l%#t+#=q-n6T!;-DZZ5*F_ZX
zzNub6MOBCK^v@MH9_%b^;{JAN&txg5%YFY(Fn-*B;&Sz-=H)MMPTb-U^`Kp}zeD^&
zxiEuf`?Z*rd=oi0UKHYGN>aa2%p|RT>EVNO{S6-&wdbtzd%zdo)2Q1a;~Z1VacK`z
z*M(=73ZF<YF6{Z(nIzF@q5tiP-m;eU4|z8*u95Nk`SsDt_`2D3vlWWZynZ{OQk*a8
z%<H=3-Pia1)nE1Kr{wG9PYcgoyL)$&<BuZ(^L@l^&ad8k_L_D6i~J35Vhk>9>+f17
z{w?O}o$U0VB`@E)de`pYNIG}viHFmfOHm&C^v+#+KJ&!cOMXeW^Uhp)ed6s2#)9*g
zQdhVfZ(P&Pk#y$L>LU?*Sk7JAIz!{?ng*VZfS-oV*Zl){?QXnL@4UgxY#BVQ!Q%Uw
zbKhpHE^Kf+clPWY>v=QY96pkC;`GL(gMwWbV`a{rN-Dd(Sn>!%yxfJ76P#f^I*c(d
zV*m3#h-H*Y`gEaJ_F=@or1J}${~QoVKD+VeE;04j!mDR){$SH__!#5)6QO^9{|YER
zck<i;$u;42$Bw+7qkFE?>ZA4A@Y*^_C(ZLGKFyu=;zLv9)!)xwJy~LWcK^M9()Ybr
zH!`@So!#?$bz0@bx<6NbUp~3{(*gGS38qOG*IYik>!n-FPKJ*?d@JfZ_w|P^`0v3I
zShIokO-sj`XQwvutmuukiD{V-ZZ>gU8{?1Zyez66Gnq}3PHcGCeo%{r(Il3k!IMRH
z;?))D_fF_J$CNS2rp#tw{qTxC#-%WLee8)1Oe@-x8D1o*{}5&1O#cxu<COWP`;6K*
z+xl+wg(`5ySnX(eAQz*bbopAY=<3_bH+If`ARA*cBlGi2<!7h8-#p=)yx~ZKWX!b(
zKAW%I?>j$r^GfIW5xzDP9zJ5)$F^W@@swwqA{K6~{rKWUP$#3J+NElpNsk^y?0-HX
zLwxqeZ5NzB&XAjRQMY*F=RG`Kc^mG|kglBg?a9nD59Mw=UNrBA{0zs*ETXemo8Kq@
zJ8h->DyGmfN%VNq?K7`$NX0znDL%tHebbL83%%x<=oap1lst1>f5V&T^IwW3&rA>N
zT=s)$(!M7rA~#H}nf-jvj~gY6QY_c3Re$#2Z}Az=V&PL3b~E?iWMrOmeiFyh^USx;
z%!^fG3Vz8DIsc{R-Nq-J)92mr&MIAZcG@rNHA(%)ZHhl-t$T5G){aMOj@oR_I(}o$
zyQ{hBot^KmZcokme1lDX{<i!xk5zZC$cX&WAmP4ZTHEIfH@#=>3Ey+!%c1J~Y}P(W
zXIC8S*nOH)t8U|g%`;7<j<1Qiw86IG<m!miH)_wH^Otx~{f~Xd{>BM08O3QV2bNrq
z(K~!<r_8yX6%P-eKWb|=pTX1S%!R*ac^X`l685zv{oW^3^FrtRrR$Y<*w^Q+pSoyE
z*GbXm9|Z5PZ+-t%+39h<MElIT$~Q00T(PSDasLnV>Eg(lOy`eZkNY`ayE^&N@y<=3
zC;pms?3jB4*R*3-j6NJv+nU{fg#DQ6RWFtYVSlZDO`cfwUvBQSNm5fbZ`D6JQ-bTs
z(a@4rJX`B3xwg*}w0<g6wl;n4xf53&f7mvW$@aySS{4a)ju@f6yes83ukqZhKfS@|
zoZJ-dt4lck%JiQ)H?R0L&*jLZpVDhOcpi1W-uOuI*^$S({}&5YoS#!wsg!y~(M$64
zytDJFblIOvg%+Qa+oZ|8AgljC@MOtGCjBMs+$^F`HqKi4R?*IaEBR2?nK>Iza7Y}?
zOwwSm6*)6ElmEnGMw=w*ldhi_;%A7>o;3aFqMq4D--d6R`Yr9h)X{_MPHen&Qh3(H
zZ>D0x6Lx0Ll>M}0%PfnEY2S*c?0j~1VG3XVS-rA@Dt0R`&Oak~X7SSdv)Cg(znSc8
z@KD)Q)vnf1*Hf&XVY+DjB%_%-FPvMn^a-=_#(+eJjtlbAFBH!|<!L^+@$5;n*{7Dc
za#o8?cw%`VXpZ;4Z!cecJfzPbdyTPS+7WHme=7s>uFX}mIk!|@NKuku?m4@na~|Rc
zt<D{M(6E2r+2zMil&3Z5xq2D9o&5JQ!GJO8`vsK`v5b5h$_}$#XYpV<EvNkU+zQJ`
zPwmDW_81ZSpILD~*f+m0l8(*!uQ9{<5A(tYl_ysDob8Bf*tqeRN7BVT=1CkZzt3;z
z`FJYyS%-;ur8L9YHAd%JjvWX%w>If#_W2hk+4Ih<opamvfN5yMf3sMIYZ4wOt_qYK
zEPZ^DZ}SVIjWILdbqemduJCTp0*&M*{m0Uq#6=?on`Yc^5z>tP_h9m#lPu3?DVbMj
z#k^~<e;o6o@a&0c$*1{KE^ObKsbCv(M8nMfWSQBlvWW#z`vsnCxauo$DDKf-#~96|
zPZ8otov-*kVm8eanslSWvv97E!3V~qgO~ZZ9$FSmKO@$C;6&pR+xiZ@M@;kHEm$oc
zeRjSu8%I*lh8T|+qXp}_PDmV%nSC;ioAp5~!=IQP5AKV9<5(amz?sCGcshShSKs;d
zi~`IlLc)y;#VZ*$ecatEB6Rr2s}<sj|4%wgFkZ^l{^=q1!qSZY#4Y}X($eauKRyuo
z#K9qT@tDNRjNLE(S)6zuC;QWP&-`H7r`OLNF8dX)pcC^X;&q+RCGKX%B!QF1j9)Ca
zTiE-F@xh`WyPA@mPaJMlYM3Va|A+a6z$b@4F!jzV$bP_>RDQtm^T}f$L^?vHJu3yC
zo;-GgV}V6K*NhNzIhhOX-?UcvK6n_TF@a4!N%ljX;0sIJoBZk*_>O=0kbUirlCaj)
z<=rRdtk6HYM$_}v@dnpDyMCsg@Zvss&Pk4A^(TQhv)%}_D!i=jzwvbTLHqr?PIW5$
zRyws)`Kf?`k}MPFD<=N=7X@~l`CpN|pz%q=rh^%#voHFeT`L*OSiv6qZ=%%k2dvI>
zm?lm;f9#0xw*NE!%sP8JJ-=g?-NIYD7ll{OHM}{aasJr@s(+HA?y9L}B){JA{Kw2?
zXE(UoU;DAwX2F+vXZD>wvt)9{iZ|=axBW=57mHcxwf|74L-PL`DZXnL-8P=NnUKuz
z!dL!h#-p=;y;z>eU6@`e5r4+<)c<Ao!WMisJZbwU|K(OChWw8LYMdu`e@LFu|LoO`
zkGop<=S6b<Yq+n>sSs`Ve?j8<Eqrg!bWJQMyQ^7$YQ_An&Y7D#XUzW<YP*r+MC^>&
z>J#g@*Uy<#J<mt7(INds-lbil``;YcvZnU>yC(|WZ?YyC-8gq(;~dj#$2QOHP3^vG
z7FkjEX2t8TN(v9ppE*5Mc-fwL7P%)cwSVIJ(sRF3FnrC_m#NpdE%%D5|DUwK>Lhox
zMApkF?SJcM?-uHCi>?2+=``>6&z9QfnzqgopO^hE#o*#YqZGp_m!Cb+W}CG3Y=>#&
z7ty7s?|N8oWtjNpj@74=V(g!<CEdRr5qIWH-tJWimG?ZJo<EXYam9CA=kLeYd^MlS
zy!}6U?fEt9>Y~4iK8+H)dTp-TzWALF>yA_yo;$ezQjX5KGuE?Q(`O%i5Gbrz`^Njs
zX?Lzj7a?bMgPR;jl7gZ_mcF=~ckbk*ok~qiG5;o;e+z!G>@Zu*9FIN?m4>e|TaqFV
z$ce_y|4_4e<?Q(#CGui1r_Qcl@%5yJbLV9_#@%1jJhaty9)6qSG0B1N+&R^x&;U-3
z4LJ{`SMc}#S&><OgLy@N>z5NN4>HF*KG2^0@8Ns*O9v-$`fF4jtqeCid$ziHO$xW%
ztnlMEx6apipmX}X-3x9@iwhq;)n6ZE`BJHI?$?sfXU<kMi$$D1e^$xViD%xIGiTmx
z?7DfNi9N})l55G?(@{~%-;S_+JaOtk5?joHzI?eRp8k`kE;?^KbNa@qDTg1#oH=u;
z)bpco@VtNXyu|_>(jrfJ-|>EH{ZY!8@r--K7xDL-)@_gax2|9FXV9BJm4XtVWBYg1
z+zb5vB<kDLgZ|M$auaLQf1ci{VjIW!XWF`_itF!2Zq1A2`Fr2{z4b=U_@o=wH#-*}
z{bZ3h@7&}`Lc((mwoYP@77@I6$FuJJMm_QMcXQs(^?B<h=zQ(N{Lf9lyV)N{3EjT7
ze&)w@Yy3Yk$<Ff$KiM#QgRT80ruO+h-?dpMM>5>L#(nPZw$r*C+RA4RR=B-?6jiZu
zhI>TIy;WO2KJuEk*~ztKLiIx1<1;qehrPM6`CjI|{8Q1BJC1i=TQl!Y`j5@7DzEIO
zc)dxgYvXH6X6WoVyQa)eb;_atUyPQpoNLXfXNtL#8D3GlbM?lT{m<4em7O>D{G6vx
zBHsU#)n9RWPtt^Y>YOo=4C^{ld>L3(ycsxmKH0QKDDR=n^N)Wvp1<|v!z|M}#vRR{
zS3H+Ww)_8aW%SwGKay&`8M!mrY!cczVcG0Wmfvg6-Fmwv%U|W9-<e~qpEj=Ez|Eht
z|6$pWU&}V$$)2af_~X?-PTx(z-)^*h?(9gKw8VV=Rq-boS1XbaepE56G^ve0vorTn
z!||Ve$tQMw{v^{qU95FsGk*#b>zoYn{il>}&J^cxXOW&0^C&{%z^)f&@|GKAeu-?<
z*dcV`h<bE!`U%GgixL@cX2`GK;U4*8`kn@xXTKtI4(`8qqhRAEcaF$;>tj}L2)((n
z<Zw*$hxuAf8#6z}<gzsUXnI)f(a7+Z(f7~984sVIb$Zj_BVQ%RkXOODBGz+3%8uC#
zT#uMpU4Pih|Ev3vHj(8>$8p)P4KE%%6!@@}IhJ8{44d{0MaCqKs+c|os~<5Ijxo=E
z?ujstW!N0G`AE&}b0^L`*!{eF>&BIf`??$K`#X2e<^1@?)@u_-&Ck;lo^mxXX})<7
ztejA4$uZ^R=G`ase>6Egel@E^{A1!o-Up4!iX~-tR~($k$@cTMuwu*OPBwl<QKrf7
zT^XKD`7FXSA%UM!Ao5H|$KlBwEFTraZ)k0p${}kpWp&ac-5n9Wt3I8bnJvXA|KQ~j
zM~xizn1=#6Eb2mPPBDioo%DWgR$z=dxWni1oMqdWvc|OM#MEwBdn1-%@-x;wNzZ?O
zzQ}xo<HW2vC!X&t`SYXW`I9`}e>Xoe1zKO{p1Y-K?)K)uw26Wn=OlmMc7<b>v;d#V
z4z|XA=>=-S_6J=z2{zA+jc5;>Y*6-pr}+IVEc?zLnS4s>s-3oS_d5Y~`yKvfmznu5
zEPlOy#l0IJ;*a+Hd7zOr;j{ew73@2FW&1CzVq|?Fll1q4&G8dI*puXv9(_DuBsybt
zzu>HCwMQ5azWuQ<|INP{lMcoH(6L{`*%Wp2%$G?jQrB5GpZz*lnd{BLd+Hq1zj2=5
z`-EZY<(SRqe#CBKXk02e_1c`zXWnl+`&qYAWMx~re562k3)Avh(mxi)=A8R*Qlxv%
zgm~$xcdHw3Rf*=`KKp68Xn4)pk4stqKb7dbpP6&^!&F1LCsNtx?o9qsHuY=lBttf@
zxSCg+k|d^coc!zWRZ#Zl*`8TzBIi%KedgOV8FjP%hf#b7B|n>;|DelT%5Hko>(%^w
z-Y&c$MJ$=887}@k=e;Sw=1z0m^^Y+t<$s)cGD-3+Z+cdwX!P{&?mU<O>nFUpef9Wq
zzU@&GU;oXSI4L9U-HFRGo!3uuIDYrBpBr)Oht$m`|L7@gpAFlM)ZU!95OFGTve%Ef
zY4*E6DV<ErSa2in-hxen%=$eib%K}-=jP11)BJbWG}g(JPhbBt<wN?t{_T31HZ1(o
zKV5$Rd#QO-@%g!@6S*dzeZKtP6DOYI>!)u&^WsYWO!1FBw<m4tIDW4|ue8WZZh?!~
zwpre1UY(n@ZRXF)mhQc44{8-MF21-Y=^*n&DXVQWg9;~q^_%%$IbUq+Li@QT_b2lt
zY}v3=HvFpLwDYg_t@+{?w*8vX>)oHvED4)m74~H3f%hCmSBz87h2A`~IjZ{n;@6WF
ziX8kUb0+&t`pckcm#5mCUvqL7$AnAP=S~$L<lK0zp(g){%mkwu`o|kY-bL=X`1$C}
z?gL#m=fmTsT&~PM*<3eMQRPs;mF?ME{$88->&}_zqDf6xEw`WBvh>Nqz8$}g&s2GQ
zV%FK05?#M<%6HF_KezSiq{{0BWq;0o+j_;?n?ciW_uCsMi#S?mxRlLc?Kx@i>yZ8X
zuihJDPsmmt>_5JMh5th3j43nYCmy|ZQ~3DAw^ngGeoZ|1q2SG%4c-wap6R@hD7qqY
za!V2G@e4+uI9{LpZgKEY_eGA2uRfnR^yAb7VF5Pg{!`mtu^fHbJ%65`sQwG)YbPg0
z#5O3~{%`0@`Yj{A{-EFqS;j_#-44kNw-?GQ-OTmkVC<i{wWIa=n;BsdC$^m^*|_|`
z>grEbJxA8~Z(<ZXxotzt4!*RLk2@kcthi3}*#E5BGU5Bj6SE^v^$Iic$J+c)JG0X?
zMk$)fW+zkB?3>Ze6YhRKu~TBlm77fACwmmdZ<xRN?=w?Tz=<(CdCmXaTb=1EZFw1E
zB06IKZ&;}i%dmmT+56nyxE<ArpI<p93vD?2*!P0ynhAH+Ija7tH|eq5+$$Y(?&h52
zpRaAs?>PD5NmH@Jm3d{4CsgoUny>Yf@$=1&jVE^fxFY;%<Mpl^K8>;eUcBfz|1x|2
z(eqc!u1Cy?+0b{RF#gY+M2VYM*Cg$kp+3=`!|S2s$BECSG>*hLb3`0%yq9)!sq7l2
z*cCoMcdtBqvFmv6LN@v28~=4;UmR=Yzp+_-e?wIAGtr!@6=Ek|M>0jOiTM1&jhoSW
z!h}DZlO}ACI^dCX!M^97(c6C$H5FQg>$lCVF8X)EN~iVHxt(@bxguJ=@406FW5@dQ
zv-tAQJ?w1!r}Q%S*qK`%3!~h#7#@qAd9?pWn4x0lT)$PEKVFNS_;RyC>y_TwlSgjc
z+Is#$@QIHbPriHH_<iH)y*GA>Z*0((I{lMF??R9N4Yja|j1f#L&bbRlZ(<NxF@b$q
z?EgcN0!m4aGhX*Kgv4*2u<_Z9>ef52I&;pyxxGU5_{UPcNw4lG$X?N`e%+|_{3WB#
z%)cpZ5<MsG7e0Cuw>tUb$ybsu7$RSs+u=H=L1cD;`13~JOX_b<%$?97wC>!?_D>x`
zw%-_U9DQ@JP2!^E?}iX3Zo!;SN0=H6>)u_F-PXpNZFj1)ddJ4Nh93V9LXVhv>*m;<
z|CUu(s%lWLYNPl_?(#LpyZTX|*Eg<uwy>qA%k|8|wYN7de!S&jBG-W%^3mrX%s&5j
zQilB5znL>Va?bpnTq$zyujNdSrDy-<o_jp=%-;zye>}FF{k!tdf!l|f&pw%c=FiD9
zkEgHDwV#>s<IInHXCH2F3bmcTgZK2qv!_n<H!O}dKJ_Ih>CA)Zm$r$F4Q-(|GjH%b
zIQfRRBAJnA#pTE6U#xyCf9AyVRpoa+`!JsU{B?iBo%@d&H+-~x-x(h9v)p~brA=N%
z=l46$p0H`znQylrZL|;jvf`!v$&D&IKAw58b-u*kX`2F93Z6Ol;@%l1?eqiOcX`e?
zmd)E_ar~nBjWZXmg3r9n|4?#%Vzv9L6)Jy(X7qn#diXs3)KiJ(lTYk#C?1JjVb^r-
z(lN#z|G8pUHzXvTxM;KBaYc;!LXWd2zWzMh{h>K_MrhLM`yPed9FdddF3g*M=JE==
z@Ef%gaugI|To=S1t2uxBL4ww!ojv9=EFa%$cvHHkbEo{$!zXU{H}F^=;Ea(={(s`;
zhx6wyPhdG&dn)hfxmzLEKFB62%riXRbHVD{j4lbr1GlYr{}(&ey&>swlFErYKQ_<V
zz?w8u|4{NQeXisbM%k_ZPOhIAG2`qpP3uikY$uMz9C6%`$R6`(LweneTowjar<kMi
z6E;{>?uhtM)BGrwVa8<sq;1R>)}M%Rj7!?!zTr`F!@c@_Q`Ya@Afu+lki7c+Re_r)
zZy)A4dHzJ!q6hW)ac|ab{<5k2B-h=P4XN`5_pY5)@Z;u*qgO>Y&8QMr;!I%se&~Rm
z+K;L0FE08Z`D6M){-h5xq7E^gSY-W)v-)Pv<B41wA6-ws$|}e=St;@Y|Ct{*udqx`
zICkV<8{^4!d0ITK%4bA4G=tCXH~X>6`^^9O7pJGJa-EyD^hw}`SI27>^3-j4KJDO}
zUrweockDmE#fvF^+7;$W+$R^c+_kx^X}8EC`0Tc;vPs+e&wM}MwC?zwv+w=i&q>l(
zp7^Y&|93}j(h_;4Q;{~2(GDlx2_`1S#JMl%e#qFdgw;BUzu`g5|An#+FO#YdZ2R_Y
z*T?g}Qh(mfIrHmZ!@;8q=Ve{%c0a#uD|<|Tl3B*~ZFMmR^fug&Ir2O5qU+hS-@|I-
zPCd!F82+u}^3hkVhwrQq?|aB#-rqcVW14UC46)ax+M73&%}FU+QykqSefHnaTldZ|
zeEhOI{^l7z)yRyV!`++DJe}OS=lbTE#j{x>^?nL&dtKQxdDhIp&HvpFKRZy6opN62
zX!j|5`IU1%&u_XhF}n8im-Y8zm-YO5bMEPn7GYQRz46Bu{rj>lzw+zZ+>^?t6(vhW
zRTb>scRu=g=BZ|+Ld2K1o6md?JvQUhxj27yrF;Ls%#WSkp}*(<x~VH`KenCA*PXfZ
zr$NYB$&+iAZYcVn^1Rhx;*{?z^$Yjzzj@^Fn{T_z=33>w`7-C5>Xg^<=fA0PUC&{U
zIs1L8Z2ziT=MJ6H=lNJFvv%9r*ZEszKA$}@HSeXHi;DiAc_P=1b~<+4-*@Keo*fTw
z96Z#sGw<A6{|JGwUonM;uD*#qbNI?$t+g>*yf*EKof@nsIU#AA<hf_j+~rRkIO<Nv
z&-pR=%#rEdC(^?@jveh$*?l6>{LB>9q+9u?T0R+No;ebH?Z@*sjrZNp9ncIrd-T+V
zvo|(=*!)uE=EHp(&pcQv`u+3S!<SBbu%5d4^Xy?yruAhzPHy~gT3#giwCkoBn;Y0H
z&tI(n(6)y4!d#29XYZf6`RGCUw}X+Um0lCi9cHwQW!TSsL6FD#<e?2QnlUGKR6b<t
zPnr>V{=!mu&ea`14>6vIesm&i%_^3SA}8G{ZUvvcSoQeKP46pfl<c=?ok**B8#8m&
z9LBS8940mwllJUsaJ@P6@SBa3Zq8VdGb>-_!GUY)6S-zMaYW5pd;WZyAVb9Fq>h6z
z<t+AHGsVogU)C{nEQ#^t=-AWsxP0?Wd1c1f{KmYn&u8B>>P-C27(A)w>zj^i@^@2W
zSfk&}?U(gGcR}u?Nb<#$7uDuTq5@g3ci8v;Z}1B>zBJctM#%3K-+taWoXoVq=<Fro
z%F}1(%=jz7vS!Az$PJq|?{Gc-@5;g-bDK6_w0L$&w)o_uvK!_H8Dmb>evD}h&=$LR
z@6aBGe1Vu#Pb(LA7oS`qcjjpO$rmw;CtQqA{B|+JBQR2gVV2n0V^3nrFC1yi?d=rk
zxFNFPZt;wFW;0*$FswQe^*z7i*Ae*}JD#3+&CNG2=G^hJD~WpN|L8Z&4Li`N$oX;k
z{tvbl7fm*3CtWgD<B&b};Q`MDg_93Nu5f-m`Qg&#lxNr2osxFF^2@u<cFyC{)<)g>
zxYK`iPQ03vbcy}Otv{1gBX9Eha)eHLu#IE>j=QCA8jK%ZlyYS<l-m`0{2Eu%g+JB*
zUd%kPUoz@qLD~i7J(F(Fy23d-dE%z<iHh9`vseTU#QqORN*0==IOBJ#=r#Uo?VaC0
zJ;*<EU0JHalJm(G;gpzNQWsb1d|cT6V`kOk4QD@ZejxVfMz4JLj8B5cZZI!y-neiJ
zhahWAcqG${Mg2M#F3H{K?_SU>IO7Q;=T-Tnm>mKBOong1?Dd{;MOpO$>iqu$l==U7
zCg+M+hB;>+Ri2EQ_L1rAuIUGUod15&F7C{ObEj&bcXFP;__^}B)g-~dDb`1?N>7(k
zU32Qe3E{^bSJr)Cd|-8)@kmY9ll&L^uE>7gvH8)-wep{07;eANn04-t=)SpE7&o7|
zw^(KIQzq^?b<5wJyRXA&tbO|DzgE@LXYPA{VhU~0o5jTbr}Nvag_@?%Z}uLLpZFo`
zrr+P3Yoh;t`lJYWe6>2-d}-F5&Bw0`rk(TuyxlhHq>}#z^GCPdZ#=Ux*ps2xX2nUS
z?ei=ARGI82#Ta@sJiQ|D-i!6`*G(Lq=ihxgDYtLq+eJK2f2(j^uix<LnLzV}WjCkz
z%&*(s^6c_<iR3jc_fM-!^uJw_dyRkh|0hPti`|Z%dFQpiYF^KzC1>AGk4qK}JGlAt
z=0}k;D<?7wUlGjJKJ%{eNlanPb%FCYQ{=bof2jN*X5tHX-Z?SWC*Oyict0WLqOlk|
z>yrS@YrK}fH~Ly!6qn<_#B0geeL*gNM&&1l`OV^$=iXUopS=0QI;mZ~*K(F-;g7mW
z4%tj)S9#UlG-g@2&h`6wgXy=@u8qNr()H)w$~C^YclylRQw{HwE6TpzKlAoeqr`^W
zC*G=Yws&9V+i7?9Z*9csx1V=939>L%zj^$t^xT{C9Zb*8zVT&L-oy7o`xxI3(b<#x
z<2Rmpdo8q6A}9IWo2_qt9$;gPN$PJ3xx)MW)u$6lv!6wUrc9jLqmlf2if`<`qXt&7
zm&%jp*iX0r8T4|Vy6fwO|IYrLmi521^V6!Q>5czCp5c!Fxg~%7pBBwe3zJr}KCio3
zbo7age}UyDOW%1hew&yS&%Z5O&iAyg(xK~*%yWMU?zq1)CqL{soIE?){#%Pe;=Jhz
zrPE%1_$w28?);zV(;X(44Y-rV7$$Q2UzPaX)ow1y@vl=x{|1xTMb_#wcaE+&aOiQT
zv-tWyG9PQs{CqxVVe7mV`%ZAIK6LKq?Mc4pI}XjuG5y$aj&WnPGDq6}KQeDGrr3L5
zm%exQR~$>^%xhwvOBfP29k_SqPu)q$TQc{~-oN$s$AQgL5;n$MVNRVH67Qm<ziX4Y
zio2pU!;epMf_`0KI?2JZ;ivW|rNEP$A~&jdG%{QY6umanPv_$8Z#zXBn35Wja#^e+
zBzFBhKeK>4k(1>Qi(yRt$=$Q#Z6>~Vu4MLeyC4^}q9^HQ?aaCT|BqXm%TLH$^nd4s
ziiX>+fqg1A8=f(;-_xGZ-pm<ub;+i(s}f;z3f@fglajK2u|b88`5M>7Q!FgpGt5t3
zF`aPb*GZ0*XYNi?JeH88leEM3XlL6$#iYF)$LIgpe528J|AcsTLCMt<mbAIV%<TXA
zgL#JA4TTvwrrZ}zzRM()p7`0azd_^qg!6}E87{P2AKK9EnAFHC|5G3)FzKoQkA?K9
zTNfrOoK(<We#1|+BbJe2^`oS@iKQD~96A=$tkZp+_vDFXYMNU=YVKrz;(q?$sml_K
zmx|v{n3A+9Lfnk;<l;`DW1MEfldhe=$X);Fgk|#S#mdqt&HX>m8*#U2ByuHjR30&q
zmAzT?_`zY>`4{9KewMXwYMXT8EYsGB5!V$DGenxPUstex`0hl^A+3d16x2@~e!v;i
zom4x^`t!}2=NE)+e=_u5k=2^06exAz?5sKQ69s0zh%mR_kYK|x>(Zx_FI#6l?msa#
z_mb=<o>Ozygk8HXbn2>f_$I^hJ^TCD995CL#t}LD{M)Ot?^CRM&%eFBDDLqUh2Lu)
zz5Mv<;Y;;PmX%eazufxoJn#s=aers$=F3WvJ32mJXnS;7$@o^~lMgpeu5^;WcKtff
zo0nN1Uti%4o3Ekpr)5F%vd&0>o&V<4SJz+TJn0}hw;}6pjr4WJ(?)NvD~4H#)MsDg
zoGOx<bDeeDRYf74t&IQYZ2WvmEc2>rxF+lC>?_=%w|Fit2~V5J>7rh#=kajO%elL{
z6f*y`Ni5?jUCM4L_q_J((I~mOEZL{E_^<d!pH#ShuFh`0$jUJG>!&sB&n0Nhck$VD
z?$7t1*Mz4jw_g!?yZxrLC#!B$|0TJ_{1;C%>i-F>j@7kTynD{-T2-#Cr81p!J)-|i
z&p5B@F?aWzqQ9S#z2_##xBrx>J^v*8C#(K%>GSi7s&!d4FLk-yWsBYv<kPW9EhuFD
zyrR%ajGMe2J5ncG-DlmqD}_t?Z07WMUhU|4S!b_iCU0)A-~A*cHu`FV&gJMR_DHVB
zf2@9-PHUJNuXDBg+o|_YI6Ke(dAq7-iQm~j`@I`V{&m{O%m__--SCRj`u4x;Co@Ac
z_O{yAhtIqcfBp*Jr^@L`;_FXs=~U?YpwPExN|LwRHFw2NQL3A6Ml8FYu<z8lKa*0=
z{5ikyn%TiyuV+~QnAe+`|03z!j+W*x|0hUpn7`!2|4l7=aX%McJbO^qbBlA!&vO%v
zm)dyu9SD@V%J4KQXK&x}g?btM6)%6po}FB=QR4iya_KYK=gxGUICCw!{A88mwe*lp
z1v}6DN!gw-fA6X5CoATidi3br_4O=O2fro%`#;<M(SrE+HRo^4t!#KR<D8UGBqRIG
z&WB8=!}pwQiI@{D`+h_E2L4;K<QeN<X!{+!dr9|R3!BbG?sF%4d)i+=nY;S<j99yW
z4}2#dS#x6k#%^ZoH|*zbl`6)ZXyDoO>6P{m7p|D(pSREbnfRgp<SqNx4N4yvCv^0m
zxoukAptFH7$?p8^@=uIvFMJ-K{dIHWSH3%yucj|>lC^I1T=V?gos(NM&ipBEyAZ|M
zAXfU|OVX1WUq7F^rS`CA{)q|aZ|$Ab^z8c7lP69dT7BmFt=lUkTECvWW}CjiZN@qK
z_Y2J*pT2Uwp^Dr6_z6zVhLqP6o@khyIQ_9fc;3h7^4T|kU7K-OXT#THS3EYo>pS~h
zvwE?y5yRw*9@l>EO3^Twx5iaK&hEnR$f*W%-rt_JvcGAfZ1T0Nj?_I3dXHWm;I)ep
zJ975j?-|x^ijNklIKPs8adLm-MvIf2^Dpf^@#y@5ndvhN?f>=Ocwe)?F(Kw?lGhHU
zc8inucw;V}Nd7PQg6Edq1df*)yLYT<+n9VsFH?JlR9HiT0%wxQ48Ct)PR&o$n&o!=
z$U~`*w{j$IiX6Vl|6#`MeK%)ToWJ+2`$FyU)qE#7=eFuhy2iJ4@*lUWDL-#`-nf4L
zs)=*kj6KILBsI8N-&<hRb1C6r%|xMq1ub_sU-)oi;u(468!H$%_s_^X$;djVZ{C%}
z!~>n&M;AV_JoiEQ<}Jxr@)w%f_9RW6SjLrn_+spZ8Sm%KIVHP#LHdbP9M{b@U5(jk
znB>-)-K6Ol`#)u7K<VLRh8c7E+shv&eX!a1Xz{!oXEx8ss^?;ns7+cwBegAN!W*Za
z6N?%qZf?Ar_iy7S<(}6O>u-FxIcwSH=DkUcuUsWu-A=4KvMg>_Zi+;BBj_Z#J@c>n
zpWJyd`Rq^q<SV{&@Ba6bSXlP3$@q%%(^WV5|HtfaT=u+2==(Z9h1bijS*?$Ds+3@8
z-BOl(s%-v;uU5NT>~yP}l21;$qO<z#%EZV<l|MIsCSNr>w}N@*6|?C999PUN53i89
zxK{22JNpOY`46}IGrp^9wEcLUZSuz1cmFimCSTB+$k=_w?B|{f>=7I1pS@}}<tqE!
zo(pmdSj9by!m1pYJ}<j!q;pl<IO3AfjW0=8*$NjvJ9b5{;~5vT_BFP+70RER0_RPv
z63<^DwJF2#A{%ouBWLrExBN*Lb&g+Q^{-c#2)le$`}@(DnSHaa>YSX_JNt_6%|63b
zch#pnzkXf2X7#7%fBsLNHM`mA?uMAgrA+yrp}ZRc_Fa{Aomt7rr1ftJ)7qllqDF_@
zuPN5*gibi;w)4{hu4@yf^)mlT?T?+1>AvvyhlZG}R~qvp55Ae3G2@C{Wz!6$Ggo9j
zR?X0uSQf2#Rm$=n^8&fY%rlB@jUFE0xFSBk>1XYm?g=N7!Zu8+`_@!meB_A@^8$%)
z3q#%4c^gG6y&|)<gR%Zi0~5POk_-Q)bBxLtr8oTHK6xsK|M%QS_hM(vJaY4|<AX0<
zKU>;2PFVT<#)%Kky<8K2p1*K@;wR?D3lfKu8CSfR#dTQWN{rxYkz@ZRdtMWGd{y|?
zq<Q}*N;7oM3^lEjSQPl+Z4&1t_6Ny~GH2dI3MyY)V{!Z%$J9x0dl?tH-+vr)f#c1F
z%!+G{GM6}xyl=3SoVYswBI~3LoX0m^cUhWx!t8gx{Q0ZSCpT5^VfCx){OyuqD0#py
zf;;Al&h95W+Gb9C{Axk(#KdbCwCsQGn9*r#pZ}sdX~!bIi>`&IuI%~H6n#wamUG0!
zFFIG<PHs7Moh|pa!=Edvsu>r<&y;<;tn!2_f2V9a<BuP%A9>>*GHhPqq<`+9&vn&X
ze>VxRZ#i&#_Z6o1?`y7_PwklU`HJ|pw`Z3<srS4p{{BtB)x2w_xn(g8KRzyq=wEFq
zF8y-j@sA0sE?$;M>yQ$^#<*a~@mKa~UC!p&7bHHNI5J_*{1q0m+#8lY`n{5$nKOws
zH8<_5<?8zN6TD7VthsEKe#L6@gge|qCo2zB9JzbF>$=r?>)pLppWgmkBYRVVXKUY@
zCA@LbTNm_p*BPfg_UK}_zsX>I#o8c-dv@r*1y;wG^SxJPP>p3t{KVkDh4JlyEc>4f
ziO*K1ONxlDnEZT}-s)-pQy4mXY-_cCPN`i{B^s$7xQuImTGCa?wbL5;w#@#%YC6ZX
zt&=R1cTWEE=hOMtxXOj?DNNV@JzCnc>C{=N?Ln-Wx<72zZ{Id`)BlZI((cXwv1QFQ
z=egojQUu;z3wV2({qAd?t<hZD!?yIV_LD2ycHR0^gG=O9$=yqs{`1{@y+puUuK)Bk
z{j$^AhvuhhEj#mR(vAsdKHcn*PFnfz_}S{Te(l<xgXhkFym^xMQFl~`%;%d|^<Qme
z|1KPwb6t18ji1d)$GQpqM;C8>{bQCx^65K4pJ&+55;*wbam<9uE`gJOE;3qn-d?}4
z<|E??(;JtVH@~ocv@`dI)K%Wen(_x2%#%+moIEf&@ZfI6jc0DYy~ek8vV`xs>s!Cg
z$X1icUwv}Q&zUiwukx0q?n;>O@4j=dGsB+=O^?r=IR0d<)+YwJYo@iccxO6nC^fI2
z!FTThpNdf}Z~rGzhK7@i=3n7A^)#Bv)vosX&)1uKEl!3t@A?wU$Y7{*^h(9QYg?A<
zS6>%URXn=CiPuv=m8Co7+=Uxg6uzyDnEf*E>`tw|Lw##DY<@O#{hFD*l^g4iAFSW_
z?M3d-j#q~nc@HE<o_zEq?c&nwU)Ri?^ZD4lHS<ce)P=WJGOwJGd-ig{hu0lxdQAeo
zvH#T{81-DLy(D73QER5utZN$>_lG54H1X%S=65<%cxzeX<c}BpXI?8=Gq23K$nR*`
zoU)TMr`0{u`1R#V(CsFhJi8+;6|w(KFNg^{d0k1Kk$=MdPnY-Xiy>!cK2+zjnCO&o
zjUkpN?9Yt16)SBvUd&LE*f4)`+*R@Iw>O-XI`r|vOxMP~<P&NSG?OGRN}Zp%zW;*s
z@!1Es7~ePOekh;edZ>Fv>8=gB8-KSmEWH?Tent}C+}T$H7s#C5=`6>&CMmY*=PbsF
zAGRf5G&p|!#lrcL?-F7eKA&)|xxjG9yXxP$t3qDhUOtZ(UYoi6F=N9$f90Y-FE0sM
zoG4Gf9lD4yg0bO~srDt|Po7OL7X7#qF^O4z0qew@{VQ6o8ece<BFij1Z_@e2g6UV{
zQ$J~0%(*cC<^r8P6W7eUDlq@_tiM)q9ZsLG2n3~Hi_}iP8F?xG^TlV*UqATXo3V33
zj5Y)Nr9Syehq%TCDMH6K2-d%8K0N>W3CsQkD`!nEuetE0X75G+vITu-FCG1mwBhBf
z3!Y~$&Si5>I=Xt|<DV-Ud>M+^E*?tS|1s!C`3=UKT@U{>T)8p(=HskOH$Tsq)3<>C
z{ty0+)uwfv6I9PP%+7nnuu^u$!T#6@uJ$*@*%$WYT%D5p)7Ib6<laS}ji3AGt$Y&y
z=J91V#w4xRs|?gkYEE+fR}7fFv&!t^$x4Y0E1uYI{4nw5jHl1%Tv#8?^zo1Oh7;<F
zdroq5F)m!YbmA_i_KSH(ugu?mp{P0bf98eB$6xa3D@m@oBN2D}QqkECjlMaT&3CU5
zWKU*TIw2`4X<dNAN^W;czp~X+Co*ty7Tt(h5ib6JQPs1TZ%)Ws<ed6nZFXTL_r*4*
z?yI@Gd`b_<$Lx>o@_xU8X~VgB4U8Yx^w>?3v=LlzaBg_ciW85x_I+8oxHKU+nc;_#
z?7@JUf0I-i1U|65c$9oS>HNj^c~=x=Pb%IJD7caomwWZC(WiZWtKM_1cyfYq%MM?6
zht#kYlJ0uCHjF<QKQJZLugbDA@=m$(oFl1;$*dx2(nKaZ&uJ|C_RL<GHfQeUhL7{E
zB}`3?$eA%we)n>t!%2tv=U;K!YkcW_+05-n=k)8p?GO5Ts^Re~$!qVbFGR;J_SV^|
zvS#iz2VE)i_j78dTvRl@$))gn#o1@Ksy0ccFO{^4yb^qRP5rMa*ZS9P+PXV>uabPu
z)qZuxw;vVu#w>DO&hk2i`R$z9bH8sbi$1nncHxY!&R-kPfACA)c6x9AO&PcCyY+ux
zk~ra<H0{y<6H0+=T6d`w*xkR!v7Gm5UTPo1wSMcpykEJ$uelnq^+aa)nysh5s~eu*
zlN58+>Fu=%`uUfV4p|hhiaBv$_N@i7^KS-h6fgaA)o5<ThbPjnC$D+aviR|p^}4UO
zoxba-o$Fw_Nw~HzDeL;lj-+d)v#$m0t&=_=6c?#<di&}1f0l5jEpd*WmZ5bZAau`0
zYbD36`{%Hv{?vUUX1{J;sTX^-wz=`^?WaR^PtJUQ=EDi?<efa}OD*E_HlDl}b1h)o
zPtl2mC+5y7&w9OUfyCv)^aZ;v`E8y%%irvx|LVS^W5GM}^ir}txcZW=vPdlY7PDD<
zg;?2#`hzj^F7zLpw&A!|O0I{_gS4|31LR{SUw<uerRLfM_pprTWf%Q_Fit2<WSueF
zK7XamCMN!dSShC0jek0Ji~V~jDBInne)+|dh^O;s+kf;t!rYn|ZRB|T=aPNbC+6<F
zS2t7a{K}IaUVpeEBSK?7)Eu8RtKRO!gFP{)=g*pcV&|HM><^i9W<{Cp?7Vlo!|*ZV
zj0b0D&dS<$rEhy<K}F+9dFyL_n*yF*_j`1$*LZ`}p6@UAKVSVYw&rTzU9pp*yU%{S
zb2>n4%UQkBvY8xf{;yEVxUhS}*@zp>8@HeJPCXlPa?Si*F?D@OZ^aU<V*5`WVo6Vt
z`EqvOTb+)&HKuFlpGt4c-}vm)ZMg%b=_@~9nef|ROfBq+pPv2c^Pdhby2>-DFZ#Q7
zq|@C?{ukM@H#Nz=U7NaV`O$|zeoR!Atz*36XZDii-i=K?FWEK<ioRYqKUkIN@$46i
zcExPo`J%fo>HcbaPvKT3RuSRf+s;0>j+_y=(R{Kb`;pCO5A$eEnvuEFk5T+hU);PI
z`SR6^cZ6-wKD)S2>)zu0qs#2pS6of>d{Fl7-v{g6v%HdT-Z}sCu(~9__O<TNPhOdu
z?({G4Ea7{+S#ax}zN>N5pR&Dw&~^UWtm%^~|Enz6o!P(1gn|8%vl;`xk^qCS4}*Ai
zBU9am-U&(m6K4K7_Au#6^T`<jzfZh6+@!6Y#NbwU#o_$*=+h^szj*YZ?%I|ElUes&
zkC{G|MStFrshu-t1ae$iu>Q~Dd$WD^q|Au8Qoed^i~8)JXTsOKQ2Dv?j6a8T(z4qz
zS1PsV|F|*p%n`wb?o-+#Rx-A3`f%&X%<Y{AkG?dTe?lf+h3nzU&VvsEVj5#6>edOw
z#Z3Oe#44BcWARNU*^5e#n=h^3({WR+V7l3tYYlRZKjv>NSiyd=%dIM;Tkb;X?w>l6
zKR$j^`{D86H}kWYKNZOgtGaHUZ}hHS^KiwV{*}EEJSU!io|vn*iLt*?ExpmrJn78|
zeU??r!{2nQX1|}zI6*r0-;)Ds2dux&>Aum$_}}JA-TM=o^7W14{~8?sTxH#u^x%8<
zf}MY^YpV+P9^Bz<pLAw|eKqL#fEbq@mLC*i_MdpIe!}(t0)|6O*Nl!QFX($Eu!})F
z*!srVHB1{=+>Wi#DBIBSry=BH?1wZtru~hck&_wE&ofM#yJxxWwH1#<_Oo9<5GVCf
z?$WaCR_{GY8{b4Uc~6d*er<&-!~Q>vFLZx2DkRIi&}u(+^7{D>-u{m1wUe9v&-h{g
zujOOh=LQD5e+#boaxB}!JmYfZl~sMQvr^AC)K|Xjt(l*CZ^pGb4V~R7_dn17GL7Y2
z&kZ(-4SyCUDDALLZ&)yy>-<G4{y#UxTsGc&vcsfd{hkRsk|zB5d~p8rhU%l6KK5;%
z`FG=$(l^(WmQH4#+VSD~nyZO5n-t1cX7Wp&zP40f$7J&L4<|#8&e?1=|LTgRDLlt#
zC%l=&mE4vuu6-rxuVvTbvNxBKcsf_c>M(LXIQnhgI!~_LW7mIQOY%F(pn0YHeD$@&
zpWcR(&rR^S-j+Of?es})T#F{erU?GOs3iV;V(J3*@Doa6)mN8)vYgPSe|=rt)#QCo
zR&~5J-hWw?@n)y#=dC}_zmb~1ZZXULxy$2CB-ZTVi{50gZ|gz7b2FH(hMb>jp<{Ed
z*+{AIS*_lZjhcZe;-8b7l_oC`U){R?1?%-xiz!=w&VS<ka7Ka6m8rG!u5^a-WUprX
z87*xdcO`L}&dn>P;dXafZm#9Oyj4YB#D3bWb9cL!mhf!fFW>p9e1%Ef^$@$=`<2U2
zstQb=9eE+LaAn=32&=*edz8ahZ@+H*bmNMNOsQ8SuKww-x&8b~m^aJb(oI23`;_aq
zT}yt#w6nM7d)3LnAny39Q^UV*@)5eo)?N5Cg_U{ElCA64UI;mn81ZJ>_Fau<uZ4I|
z7uxcqYyZAUo3DmW61sANTkuxbjdv%mMsJa-*zoHI)9$H%HrlCKL`XJjuMu#ISuLPs
z_a^3V#f_`;_L<$$+<PT_>iKK)!}s3Pdij6T6_4Qg^LkW*iW9hAcL}N`2>svdH2qwS
z;?GS!k57I-`Qzv{sq@>etlxJ2yVv{~F(#q%XDSbTJ^0W)GIq`V8J&?c&ii%*z4?9m
z>!Udnw$DBMc*c_dDUt0LQ)QZWU;Vv<-&v-kCG3h%N+xrYwr_Dp*_^YdcZhVKR5spO
zd2DC(l{eF7+Fvg`DRgaC<ebTYdmn$ExM|*XY1!Fxk1P~B-uY&I#XbRFzRtJ{7E3aA
z@OGMAJaMh_>7Jf<X_G#^>3r|@quXIZ^1tWRa%W%JUsKwlzWELJsoQ5iFZJWFUi0PE
zrk_`QCKfeColS`SZ*qBpLNddJ!WrjcHg0^IF~7m8)MW;XQsnx3lP2&p#!TXyAi!|>
zQkuN=-Dj7ofAI9X-Oynuth?$Lb%W>JnfEhabYJnCe=M2t+y^bo73=3;xi{0<{7o{$
z_VZ~QRKBWkZWcDQW4*fIQ}OHeQ}1T)-ZA;@3<mZmjMG<4IzCBe7DL1h&NJ_xzrEuB
z#fTy71ji<Zm}~x<81hf_F%)0&<M)1j^34XPgQt(@UH0qdkcpVJ!|w633x1#*Z8tK_
zy5{%j#lwepW=*rLdo@9E<8}X_x(yS1f6SP6ea&0NXLsNJnSb5mMx$g)k?gE{eLas>
zBsH88e?0T@h4wwy0#0=9yu+k?J2O#O>EH7c>yLC^P40NN@5h`%@#rfxw=Y`XSb1^P
zo}cfOIX1PwGDzC}QsYVEffGLuG9{gS`{P28AQS(Q_ZyAf83p;mE(EJ6y<jrbpPl$4
zrss07qV5Z|?gcxqtbJ>|;`|@ZtHE0Y+|Jx#{meLFdR^I^4-!c&{Pr`u<1YIh5wJRZ
z)wlcVn)3~r`I}xFTv|KPOX=m+wP#mNt`<^kDSXn?5&J*vBh%6A>)vl)G^Jvq$??WJ
zeGiI~lV*Hqjp5w)=hESm5=t9h{kpj-&7HOS6X)Rx=6x4BW%Hj!pR7x}cx_fwfBl{d
zofB5`?A)mnKT+}Al~-q1{ghIifBEHoe$E3)Z512ZyH=gJo}BeaTH?wrj!i5Q8+K&%
zug><Bs1jgFy7@y-?tjC>^qqy`H#MIvTqdYBA$Io#lZmX0t(WM}f1<VFN?+>l4ew9<
za9POTH`BC!<4TVWS~HFwV%qpdzi!8r<BeG!npF)8$~HclEV8&^uk1<bZwhu--+Ygp
z^Tqfc%iax9^&JvIMt5hvkp0Kl>EgP>Gi>7QKa090Z?VKREwFnSb?NZYg$4UhDB9oT
zR$~y9WYoOPGJECj11H1hT>NnIWX@q*w_D6o_I)q+$uEkPHNVf8+<e1?GiKt06brtF
zuD@#*3Jd;YFjcNzD*WiO>c1<0{&k+@$YpD&m?-gg!-@Kd+zm|YDr!z-A7*H{6O?xK
zcSCbhWzwY8x2_9sa)`b5zj4pTrCgI5b7J4Ddl+3dmvQ;~Clb0n1>T!Z)h%Q6x%Q%b
zs^h6Od;ZV+WSP>yvfuZr)$Hrfue|v9fcN?QJ=@K%Jy8#Eoqh4g)hCrRJ^HRanH11+
z{fVc?fw-lN+pcv^y87hgg%@d8pV<ALaP~0Ml}B6V>@8QaiIBZ~{a)GCj<O3ck6pZT
z<>|8%3+#9AaCP<ySZ{NqMdRE=^NUZ?7JLx>8L)8Um!p?Ex@PVvjd;JX>FkU1^?%-7
z>3Gww7XD_AfcM+WihHhWgw4Eu>z|eN^%<ud5}O^AX2@1fc-zvoUd6%o<fO~j@5arZ
z*q-l@{b%lvn@##3cSKpeNnZJL_N<@g_mbzxFQ2|pbCLR=E9TcuE(^a_b^gh$4%<cZ
zCo{0mxNKW}LGRm@!y9+A&%em`<YdhP|IhO`p4xromfgS2I~IRDm@fTh_k>G74yB*p
z^mX>ttIdo_jdz6FgJ;dZ`efy_i#JYmtlY6Na+N|-BFnb$E7!_8_idiJl<RfmjRzMO
z^<2L>b8CFE!U{{#B$fr|c@Cs}{j(~%BS3URV{`n6q~jN&pU*s+$a3x4yEQXENnX9S
z{$Rf3#cTgNBGRv3Q`)_v{$KE{ZTt~2M#ni;U%mEi!dd?fho3M$yt3it(YfZy*RP$r
z&7l;*E%t8_(=_&bEUC9TW=}dk=cV7W>$h$0uphg0YvSJb8yW9&D8%Fl#A@&4uv=`z
zJyUU2<RSCBT&Ef)StrCYypOrZHc?>ql}o#SBrTjO+kW9((!WE|<q;p6G9R<A_`T-F
znq#l8FN&YOF>TF(gO3{HMYFFio+{P<L;Rz-f5PUvmZHr1D~m(b6}FaN-8AXffuCiU
zzNQ?BM4A6*XF!?%U+S7_KWFQ?gI{kY+?;>u=_Kv7Gk%1sFmoKTGK*Q2oPIXrL&xU5
zto|o22+zB%cdW&>wL`o16Z7(Rv+Pd_{CoJk&s|Y#>d-&dEOK<+?!8>5F<16}|9|i5
zRI9^_f^T1+dSA6F@7~n88t3<HO1e5N|07d6=f!DiOq_o{#$1?ovTEr}jrEBylU6py
zeqfB5qfuRXeR}rKs)rL#Pb_#?@s{UmNncG;#@<737xl}oo_|U<X8($n&pLz(C;qhS
ztGC`VVG<MniQ+9Qk1zT#fu%Wj(YKEqTe?}5|Lo?SwYq)dk<yzJ42vgkD=M<?J`y)s
z(VxM&ZVAJ`xz{s0=hfO=%m_QEaDL9KxVo8g=P%gV`CXKXmQad**cSbL_qq8SULH7F
z_v9GE(}UlxubEiD#rPyCxFhz++3$NEw0>mVC}5ZN<lX*jrdvCw&za<S$t`vZi)K-{
z&YFtfKX}|ZqU&ZzOlH<Uc0M+`<KZX9%S%|&SN;!8VUIp|<^C4dXqL+smh(dGuS|Tp
z)5x}xJ^PCO+c?(mtJ$Kb*Hku2pKr1JJ%#=663*)^d-G3bTXM^Ow12^NaGFT;)ava|
z9vr<oaru&&M@1rSuWx?3Q(33I|Jz*OCrpAns?48?B<!^_TF4)EC1c%IzGVx#{v6vM
zwQ13utt;*=Wx2jemFcFuQ~8lqn`Z6mXwI+VZT~5~<Lv9)P~NTjn?ioi+dGBj=DTTX
zU#?G_@3$*x4coOzA*U5o-p$lo%9|Q3+y6D}T5H|S1GDBdElp8wox5j#{#G9AtIbpM
zmf9Do>3_a{=g%gOj!pjAbC^%oUTK~BD@dd`y`^OC6QjcyKmPc+>simiP0XA*8`|wI
z1;4(c^7Mk>=Q;PD&A2FErqQN-{L;C{Gt$~+E-0BlzbUrip>0{x6_wROEV<`?3Y@&!
ztoc)G=_JFm3(6ne;C*7zf90^JEaUU5%KN=p+QlyHdH><hN0|d0>dcQ<Ur~{boqlEM
z^%mJl#h%;_I#*P#T1h&D+}wGO`?9jIhwGi649q+AHlECmnDO%bi`#NHA{{Db?mB+J
z{c%&IZ1&6zVk_*suT8qLP5ovJ?>oV1H)T7MuW!82uEgomy+Qbru`5@AT+>pCq}?$$
z57{@L{=>M_JK|bLB$IZ}p@XgqSCpsRJkbzqZhzz7o1_aJ8Z7Q%ofpIl7dVPtvN*T+
z(7LOgUS)gkdPe`b*HUzHf`auCZY>?o9jtcOW=s>#su$ipW7oznC-!_`nt40z^0tWz
ztQ~XeKVEMOI(_NoPS5v0ue43P^z-t($q)B0s5p9P=Z90*+BY$&|5$XT-IHU6*rnTY
zvo3D2-%)Vx<jG5iCMLc7cd0|RlF|4UOU26T9WSSIJimHJ`*rh#A4gNnUpGzovA}ks
zfa|53o3C%TxOgLfLZ{u0P0z1iySzOy>B5PlUDDkQA5}D02&_K*QZZ}C#zX%;=*wT)
zD6m_4#xgFx?gMoK$JeY9ntg5O(&B6P-Cl?ZZ9jMM;R9i1p~F8bFW-8p!mzVq^5au8
zF81qmy2KsG_f>vXB=Gji%RR|E#nN})KmW~U_LY~}#}8kNxstdsX2s;}YYXID8(Hmc
zzOl30(b~VV=yJ%%3#)H_h?!jZ_d$wqgE`ZlnCKlf^BA=&m@dwK5c}WrR^biCll=V`
zR#>%Geh4%D*V%Mx^R=0bNo6sUlYjj8Prm5p-cV^HePecy?hA>XAME>APP#DBT)nYQ
zf%7J3;DRTzKNW;;yS7U)N3n2hJ{kLef@CZsyW*xR{-1ZAeSG!e^0yQB-CS|ecA`Zv
z=dYQJ+!0?Mofl^0)Ovj&NKNo;`CY-MORd&_TBvZi^^sELL5buuH!CJOaJQ_k)w#uH
z({SU3j`9umJxpEAvv)p|f5gmH#B`7K)|D&#dp}D4kG;z*l01iD#-;sNuINW3{}22q
z=q|{$N9pR-6Fdd?nI>PdvR{A2{Y1;EYghU;>T)&)m~G@re(15(R{u@%{{y-6FaG?v
zz*yPXZ==$Z;}_ng-{F^vW!Usg<4otw=PU2mb8u^(NowTex~1t+6;n2G&YkEQ^AuTY
z!>dblU(XDAeCX5nKi9m1bk(QbWV$_Xd4BYj?@t#s-~Thm{$6~LMT$+|Ca$AbBTtoY
z5;;0&`|WQXPjb#YpL&qp_8Q~<cJ-@#PgSMnsxE2qh^oJGxO|g_&Xw<1zk0f)Ut09-
z=-=nlgVN?b{XZ@6+r>u{1EsD;#8<7q%~P@9lj+T(oR_yH0%ue_zR7X*@cJ81XS9j`
ze$#a#;Nw9@yFZ&R96rbtGvWH-`?(KA&tH$s`ozS0;jlUb`^^}gYmsYnZ!(oFHJirC
z$u0Wl%Hge^tty2HT+Jt0em-`XsZjlG#p{|&->;qgaf9iA5Qj?Ct*J5pC*0|bc(UV4
z#Qz6OF%MR(ZN0x@is&`N1HR3Fk}h6}{3^k8LpsSLDP_-u&%MGMtR@G%T4p8t`f{Wv
zqhKKK8>UmwxtOlSTs!)NVcW$B``I_mW}05T!E{1aHv8()|I6;nyxTL!|K8`At4G7<
zUVD)Ha|XltKi>{IC!O0nxw1rZf6mq4-`~&mzy2!TsNE*&5%ag!i09rDdgLC<W?%X6
z$z|g8qvh&Me^<q@{++%mp(UjJBKz-69OZX@?p>T#y0OurdJW@(lH`j$$t&+Ot)0GD
zX+h1Jt8LeQUQd5J?Z~^%&E`M(m^WR0=(9j~@{E`hBL6pD&$xG*&1IS1IhDm)b%&S!
zo^`6?w3_YX9`=3zQn>D2-TIzycGBxkhmSjNzM6e7JM;-x_N23dE2^4)9@ufQN7>Ir
zzpne`>=P3ic3#}f|Lo_2)eSlFkz8{Y%6z==dSm{@0;%SSCNV7szIGVLZa$=&^~Q78
za=GM$|7kIQVlRAUWr|6vIOVnU$L}9ZyL9c79xnKC|D;m=o33BS&g~G&GKvhIHTB*!
zme1E;#$LN^w;@S;he6U!!_C{gt->0XKD3`z^>JnEVcA)Wn>1r~#>kejzDPf@l!Nuh
zJH1B&jbbZiT<ti`A)K?Jqhk`s9@dLJ-{0)gNJwUQsCNG5+vB!PbM(HwTu|Y4aqH#_
zJoa@BngTKB1on&We_(U7;n~NX!pa;!x*Pkh9#WND#d><_<Lg0JzJ0!}aB`*k-pc7s
z2kxh=u)QzxX~x<gv+nt?i2T>M@y`VL_9XiisW(pFR{gWUeIw(F6_0MIZhm{XEvDnb
z0-K9lJ|r`|-{4pMgDL5*s+FX`iF^FnFHYTSjq8`X{9N?piu=M(*0pPg?UbD?5WOUB
z@2x9Oy|>@^68qub$7@d>U&s{AZ-@`O@?_ekmc{z=yXXB&x%%X}nB>)%?wbc!$Q-@!
zq+9YyO~X#FBipmB%&vY^UvO&&L)n`T;mR>gmiJc9{Izk3*V`4Z&i`5?7qk09WQPXZ
zAqCSL?DKygU$8j+;hBzuyIkaUd8s_uenQj!!n03`@r%+<Uf6UpVBblF{HxE;l@=XT
zxc2h&A(Q(ymDiqYo;(mIt#GZm&%o!;)n_3|T1#Kf_$fb8(deE*$02R`*K;b4-M;qx
z^dqgyzpp-bKX~ijgvf`?H@=E)Y|OZJ<wfy!q0MhvZ&s{7FsY~PKG)f~fArOLzT00t
zq0Ytsf9~IslNP?qW%R<XRK2wS=}<lQ)6z|wyid&3x>j*o{nyIqD<@vc%<Wy<;Gvkp
zcX|EfIUP>Vu1tQRyEV1k({EGVmD}r<2ybPZ9L@Ig?kc{EsY>%#^Y?5p=grM4WxILW
z==QbS{!96`|6Xd)D%<($iNNpk^7rk2O4#3xy?G@;>w1x@-mZgTv&;9)NxiKrm9Ky8
z{Ankhj&moROxg<8c{s8$C*GTzz4q3XU9<NezW;fPzuifPhED!@2Pey}Z>a8Ie%h_4
zyk~Cqy}j&TWhO0%S$$sN+b7nOvrDSK&B=Q|Dd^{=JED=S-!JA*J~V$zU})x%Bu}o{
z?=~=2mtD)bxyfzS&xgnUv}8Wo91^>yVZ)Cl;<=l;nDS34RLSk!`}okkSMBoL53j!X
z$)LIO^qY&teT}k@85i!d6=c2I!BAoI`ovx*OWyfqm%hDOS$#O>;+$6>E;KN2keKB0
zY)_DKKf{XG8zy-QFJfV>yO#6v-2G@>&RNzICi%_cZFc^|wPt6R`pp%VEX<Q8on+&8
z;C*yU|Ja(%b3WDmoTYvKdajnbgKz!M>^bZccCXqy(fav>N2cqq=9KKdU$k29|GdX4
zKG$Z?-1%m!_WpfZa}NA^vf=C1<7@3b=UqF=&G`M@y|T#>fu43UlciXd_uOV>uVb9)
zvt|)%MPp&_nOECBUpaX#_EW;lJrdrMmt=RhY@CyDa?R|do3kDt?|-x}=W>AU^E>v}
zPOMGI5WE(!y`PceUC+fC%T~s|xf<}@JL_P?`MQ@~$zD6I9ldreZu|9szrQ}lsI~>=
zZ@cpR^D6BPqB~sYUps30lPB%E|Jx@8ciOIQpL=iO3>necmx{0WeUGYeee>nApL&JA
z;>Df+%{XuTzvA0jk?eNyxM}_-u|JPLR6L*c_xMi!yiXV6FWU3JjlcTn?TN=Jig}m6
zPG)AE9WA>0VbP7HaWmN}@0vXM5>vfo_3tYyaxO9T-L2qUu`l%F4&_-2civn-HFZ&p
zc>j&<oAgW*UtZ#fxpHc)o~jz#Lq+Sw!7+^Yvn+2)&A;^IZr0|ui`_RrvLA@~RMGJ3
z;^B>*Csp$+VzMsID!!3YbNR}%=mjs#=U;hplhJ(B<K}zw{`*(ITz>RsUl(Keo*f!}
zJ3Ia#`QgBHOW~N<ijD&Q2Q_9LHg-uXS*+)NpTu=l&{BXcWp?Eq*0)c(Zr%+#w;~|@
z%4NYDla;e?v6)>toqvPp-i6eOyS6U4XuADw;I9ccrc9W6{=xzFq|%sslV`><`Xw`5
zUVq_p#ms)uBAt%Q_kA{BUNG_T0=?r+#UB|bbfn$bD!TfDyb`x`^gZ?48)WSdUkVjE
z;LiQ!af9~99kOv^2mF5UKM4Er{*&wRJL=z3?{cjzx@&X$Lx;cQyST>lA1`*#S`;K(
z)HoyZp3R9QN2_ahtgcSj7t63P>5lx;$eAZC<@s;Pp8Jy|HF52u6(1u6Z<-t4dA;tA
z(fONPvu?P`i{CX&nsj2u&(3E*ct2mzsTZ0XE?`@|dWvY$U5@_71xhlV>G}T~?o}KR
zHl2Cq=RNJshYsZ3yE=2Nzq;V2MQ_(~XZ?FK|F{2&EWgGqh2pqVT4%2<pR?xplU4QG
zZhZB*r%}E=DeTR~|DKO*8~<Fp(!AU3j>fh-66ZQszm{0Rru?Frsr6vT6pp08dn!7N
zqWjN!9t%Fu*;Ej-g3<J*^MQ_atxOAC#kDU!R=cB8*>QWhi{}fCefPwYLvF_Bd@zY?
zIJWW9|Ma^mTImY{Z(94@Rk?YsS-p;FbCvs@n?h4+UQ7v|Ibp(Gg}t+5B<{-_MKCJf
zlnZMRG`u5wA}J)|?|qpG`vbyOB*zN!NXb;sP;Fu1_D*`msJ`b~^Pvwn&Fbz=@a}zg
zL-y=huV1D6?nu45cUQ9Jzx1PP?+?b^xFhuEK*FqBe75s%3GDrHUAuC6<Wk$uO$+8N
z@DV>2b6@7#vENgDZWJ)a+>_WmYr=uZl})`&*N$J!e!lnM9ii82I_7cRPM^{K^SYwM
z0WsxCn;7=}Z{NH3j^ym%MM1Lln>O{tT==_y)$|_YjYG$es&DAI!Jd6=#?G7Kk{4Fa
z|M8VMMdprp!RrGJ$}AhR9~8!2Tz>YhSYv(i|MZ)ppEquMepB>E>;!B7mzi=qS@jn(
z{khj6G_SkrYsA?dX?O04?!BQayZ^%XV-H_k<<oi-^Wv7yhVUC9NfTElvrLGotx(v}
zA$spi!^K|C3ERp)-_m+^Pk2qk-e(KsH@<jq<HjaYIi?HK!a_bsJ&wGwH#EYjp;Phv
z4xRdod&*9%ZA||6XNRio{|=|eJGJcJAHEbZ_utaHcLcUy-2Pbeo`B8`=X3W2c9v(K
zyDM<l`IF^>o#A%{zTH*jS@8Jp>Q!qV&wLer<NHEB*W)#xBm$P?PHVjye(t{V{o^q=
zT<Y6y2ub`fxclagQtBE5n>+k<t(UX&?<rZYGWz%CmQv43iv@kQ*>{z#S2+dWWs1Bt
zbI%PG$yMc#4oN&=U#=v7_zu(Io2s)`wNJbi(){Ur&pn14i`Lvx3zc5Eee>006VKWo
zRQMzrbM3?(?Qd6B{_a_E`*m&N%M1SmD;d<%8>Lq~`?FH1>rvo!o)vF+^KYvkTlsOv
zl58246?X*tFF(6=q4oXG+V6j^F8h93W8U7f*;h+XURhRmH+R#9GgtoA#_zl9>=kLW
z@4k~?!@7G{W*T2z_^JKCm6^AvM_2v1D<ygBNZHM6Ghf%<vAH_+>bd_b=RW+q*y3^L
zE9sss+g~Yep72Hg6NB6u;p*5;3>n*w=PZ$s*S;?tc~5F0!<@OsrGKtmIqg077W2W9
zcGiRX3y<F9&}-$@eg0zW#J{C)mP<@t;q-swm1*a$e&T$)p1Z#Mw7|>lZ=cOQ`F76Y
z-BXm~SDG&m+9Z)Wcj+|N)U2P|_FeB-x<xiY;`*C)>d~>AWXkRd7pc$OyH}J`N8=j*
zP2Cex>))-J%JTcq+=s<&JJh9?@zv)=YW%!*L;dE$%~v{}{+@e9>mIZCM~lcO7v!ra
zFvf_iKHge>|Ms;GyRSt{R^Cfj7jxe9n@M-6dD;c;vzH1UUtGL#q3-jEPWH1KOBTAB
zXD@WGYpZxanQ`*jgp)@~&*-fd-=`_sS;;WH!Qsz_&iN1Bo^XUN3_E|@=-C|;Ye}Zh
z8#dIhT;IcZ@@7Lt{pKqRy~D0`z7%GuJ{PI6zoAg}_05CH7Z=9vS+Og5mWh2?($1ni
z%WhA;)>-;4l}Gw&=kz$CfOB_zuK)4wmSFfg>+kuQ89x^Y9lzeG_xF)N(ZLgYPPl$(
zKk%+f=|iukK+7A6m<cV9F8*%y7FDTWy!!j&Dt`MvI@f>yxW%71XPJ<^^o`V*e+wq{
zoZtDoZ@~)r-JKhsH;9+NxnKG7uGOhU!m}o~#eROcAphFcwr=J{LbZD)xh9>sc=TFZ
z*526UX--UcN>AVCx_RxA{Xc>0E!DH{+nx{zRe5<p;lloFEwTTW32Cn1<?*94X7bO1
zg-67XUvy)<xFY?+)5$+uZdIE9WVqOQr=M|yviR!-A`u;Tj;|CrdF$5V#Ci9-vsX`8
zar4UWopbKCUj03F_tdbeJ2Ot7dDnNxia*J_QloE`i;Ctn#W}k>PCcKIdUeg!FS&PZ
zq?4l48=lYLlzqO+c*e`Wb8e_>T(;?4@vrH{;r%f)R9F4DmH4CMuB}^4nZU#~lbWt1
zuQ-~bA$G@Z^9niV>rAzAE0}veOuhNc{+iSR#~TWF&8za4)s-EbZgcUN+0EXfdqtOT
z@2zWm^ZDYL9ryP8i`pK))A8cj?d@xBIsdrZux;YG`3$dXZZxJO70utkW2)S#^?8C&
zwo)=TugvZZGKPGAM9mh?nSZDGK=aW~rw_slqty%FU5k0n7ISaQ>5mQ*In!>sxpE8s
zVZM;5%+0^LQ$mTG_jlv1L!a*M4!u3=-O;^Wc3bb&o<BT!SL6ga!wFJ<PQUv3cxhvL
z{Y@vi+jX0496WEIo^kI`bMpU+9|shb1oF$|A6z(lVQ<#A-+Y^wH*UUht^DJi3)^m-
zYK~<%BQ(Q8>eiWcqW4bk-P3dF=iS;*2d*V=`r0$GPl6}r?$P3ydq)|Qnv$xL{!P66
z>+IdbTkon*|8{MO*Z)Tft}&}5&R#!cef^|})im|pOL(5Xp0?=youa3ku0HbWW^_(o
z=l0dI(4#eGas4Nc{@rKip9=kW{gC(80Kq*c{ycx>vAHvA{yo#Vr>_0(x??)^)M3^C
zC%95)KAi7!x98-QfSG^pvfi4@`aJ8V5Nm74oAqv|rZR3$5t{hn*qZyMHG8@K&bd{2
zr)c@<j-AhMCG2UP6_@+c`TWiL$B&#{6}C^{ND5Ned$Z-})kD_DpFU9f_2cTH)Huc)
zrf+tZuzie~zxvYQ4{R5>xPMG!aC0q~6!1pH@gqC)&jgNTcF*_RP&pA1f8|hJ^v#q#
zH%w0?#x`7je&x{Kd-sm{T19N$#3_H@)L3(3#MxE+-9Gaw7(ZMrzI*iBfy$#N4t3uz
zN;flY_<s6+k&VrSdY#)VBEvqc-F&ZbPQ%ymjWe41ZWSDT(K4%p_xhU)*JfWnboUA4
z?t29v@0eW*?@q`{T4o>nX@!VhlF;L%nd*W9(~obIm}fsr>%)sDIoYw+CxyjgF3<RV
z_0Zj)tFNUfEV_L5<2rloPcxkFm=#CfXWtvGA@_>)`6T6oYuv9!YIMn6KE8Urdr*AR
zijRy}E^dtu`F`!{MeRtV|91=R=6C(Me(3%>6^5-D+0{D*{#|>pJD%%t(27Qur@G!L
zkqm}=D%QWb>!Rb^x050E-o~3V@3>{o->-CM)oY!`;x{o@woE_J5OZ(M&8u7Zlid6F
z*c?3bH)W>$&FL;PjWcFghn-wIF;i=Sed(Ttr?YRhm{v0ExzYRQl2M-|=bl?N^JYe!
z-MPpqPv@c8tyIYsoVVXt-_*Fbuz$~8^&cI}`~`m2oihIrlYc8Y;=*zHpBlP5)iyUa
zrr)$WKBM&cgS1u+4$TPmhF7Bh+wMl(>D#zeYx`ZdlPf>0i@ZAR_#HLB<9Ao^9n!v|
z#<%z50=>$H+T-mjgb&p}+}U>{<m^o~z8%~v#6wwPHZib9#T@y_!0o)NKst60!|S$H
z^EY$q+zE>PcIENLJ3S|k+BTe=&6&J`Kj}tjM3d>q`@Jnm;R=B#mT-99?VHrJvVQWx
z+MDP3S!2WsZXKwMsNR`(_CfFNh#RuWNq72QzWH(Q(Sy$a72h5lkez+sK;Wd$-3^oZ
z4o33!+HJbe5ql@1Xp>;}u6@rMD_Y;4I1ro2bhrEEQ=9qsq9*?+yW69BQ=|K!>BoB!
zlNn3*TwDF<p3mvdBh_(>!-`+5H|q>kyrrD9Ti|4NkKDHv%p31b`tfnnM2YVY;(bqi
zt-BjF$%yrK{D!93hZF2S2_E|7m-IrQ{K$y|%sZy*$1RDlw!as>mFa@c<N8gnmfRL8
zh<R~rlJxb922$5f{FXd*@nO~{2EoVa&lW~+{IulR3#Q|DW6w=xto`%lc|}M3#@mzC
ztTcXKyMJ}6(~dd!&)$ofKS{{-+P1l$maY%J{a{lBQ^Uv27hlic^HDti)`vBWdv<R&
zQTViTU-#Af|JQjbT>01U{CQbe+$NsCcaMMGG-3S;1+6#S6AETMe}DE)^`jYo?yh-0
z_k87{mzx{;Z{CUZ)JO@tw;^VU-X_O+Pg7JZj`iOw(3qifzvk)U4BMYixc=o%jyiDP
zf396+qTTal;wz;lv;FilI2LyG{qD(2c<yz$vfcc9OZEC1U)30Q?X^n!qLNodJTEbx
z=iB}@$iY^=bGk>=nk$#Wp6+#={^ov8*XEexGmg#{=zQ~WRpbou<5!N>m2TQSZz)sS
z)$7}*3H@7>T)Xr963KWg9@(EU<#+r)E|q_F$4j`-A!+xT<Y0A9mGsjaCbaq*G1av%
zU7mZV_N%H;>hB4wm4qkXWMRD%@YB2Y_0Ps6(duQ>rZyd}Ik;+4msZREg-6bxa-P>C
zm2<D@q|mk#zq_{7oxFOfF7)@3Ilt?}@BO^{^j?W~z}0tme}21DboFEl<Hj$K?y$W*
z`7_DuCiCnY!shEQ^-CP|dVbgTj`@1+%!E(Aar1BGZ#z|bx_#O&)vm?6uOGZ}GHcP7
z>d>-Xn+u;Zcyo60w4UaiJCh~iqS>6CF)w)HpX`{tsQB!RH~pvoAH8oDKewQ*;_Dr=
zXzs;-VlN$ZV!R>zZIMtS<Eo(0H8X$x_`rB{<qN&A7fr`IHRm<5mabXAIU#Ar`yCl~
ze<Zhb%0?vrJKxKYdXgcSlmBAbqldeFAF}+resCg#%na4yN5&E{ychib@h|UObN!G~
z;<5TZvwu6^o&VUm?!-dHjv2ci#xgA6I)CMm*74E<-#;>ZJejuh@WxFm=I5|YVw~8p
z{W?pgLhS#DjS_dbW*o78l*Gh1D_#6`(~RRWGlOR@=uC+HpL)l1y*P`*j-Ofi_e`T%
zVpDovh}<&c_l<qSaB<Q~wFR$#B>&I3Z6?g3(k{s`W#;@7u?*|8c3(TJRr`sn=h`9v
zxi@cZp0LF}tgm>@jI;e8&dcw=a;WAsN6FTJ$PYV(Ihr`%PqbI(=n8xDNz(A7^0#Gk
zRDMPYtg(J2Q1_zt?5(<ENjn;>9(_1^=)Q68M9y<DH-9d2z5C|m6p000);H$Z-E4S$
zahVdU=kG0Q54vMlW`y2&JK@^V<!)K?cb_udzgXkvqjk22Uru7|?CoQ|{pP{C^oFga
zPXzLQ&VI<)AtAf}(!(2_4YIE%xT_m_Y<zS%cIM-(Zwp`i*|GBR8T;)ucaA*0`}f?v
zj`Rx;#J?SF{9AbM?}h_O6$@rQziakZX2CP|`n#r+7`Q*&y!rI};^5zv6E7d#B;LIw
z^#84eUn(2_v<lylR{rwgVHi`xpN%&bB;7YzpDIzcboct0J1^hgZLj{lN%O|WHrIn2
z%x-shDqYgB5#+Y(jFY~(dGh`f<u_k8e*7tWc+y1&t8WWutZ8`Nb*J<E1983^^KZ7^
zv@nl~vD?JM{`O?={hYg}#8&=#e)GnGRpQmRN^?YSx0da!-FWxZ-*-<x|M}?t`0kZ?
z`!1|W=KN4m8^OKc#^anWTk(6BIwq{S@IQI+uHzldlDEy7e>Pu=F?`4>nsoogwD>2+
z|L&cb#XN!W>&H%Me!<@p7#}ZO-^;@B^@r$zd7l?9?YmpF-)g7Es(T0eVjA*F=iJ_Z
zvu?7&!@CDn;~E-{wns7i>R4m(+mVaO=H7whu{ZW@WV}&vjIkrg>fV7P39$@~9n1gR
zDKJ?qy@Boj{todEXKx(b$hCa!^>_ETZ98=B(T`(CI~T3Ld3WEls*Z0tJIv2@{OlFi
z`qQ{WN^rs%m!O*l|L)~^FPyr`gYEQb?XoSOp8wlodUMswHT$+Ef3cjt?kUgHd5`Lg
z?rtfM-&Cc3`RA;XV>Nen+&y<^%bo4lJ)7JN{Qq@LpJ)(%XM^@nr`j(Ts?+aoXy|N-
zY}uT2XM=U6!HR~-Q{HMdNS<BLKC5@<--u}+mH*s)`MmMa=8Mi!GylKp*ueDQ`>`({
zxYt+Cm@eDhq{hU5=gx+=r(Rv%b2sDdo%MU}WE{1=v$}NKy&X3rKb+aKVDXPT+m%8i
z%Aem}et+BTkLzzX?whlrZbq2+{soPVyvdAQ5zBAfyOX*5S1juMzXHSm-%OJj7#MgM
z7#JAtEiK)8zkI8zZ^H?_)z{wo%(!6^cZb9B_?-a8dn;l$zi62B=+3f3NzD%(s(!?H
z>{{mka;-vlEW_jzpQ?B0g}pNG{kUo4nqH2irE|`%zrFfn>x6}Ndl;9^{+Qg6UH@(2
z>^%+hB7SaX{}ywta?zY0>n8rTU%m3ujRhO!jdVFQ?ydSBwor`c?y7x~7tY>XWz_ND
z_?=b4J0DyRUEOh9;^nfR2ZSC3p1t_Y>P9R>!`q2VIPR_bx$VJ2?R|HzOD5e|@qfjG
z2`mB`_ipeeRa8D$`tjG}&O1FvZ?1?~Sa9~|d957l`!}9{ILRCHX8!FP9e2Ms#xhDT
z{<7ytN8gj2o!@R<sq9fWf4AG;=wa-gr8n>OtW3##d_P)!{!<oC-9Mepm3MnABOl#e
zI#KiTomDsQ^-W}IpD{Cj@(cYArR#4NcRstJARl>c$I>;MUZz=>b+YZb-(OS2wEzCX
z%8#b!JEZyw*?;_9T(<E;$LyV!rpGV(zgzjoD(wEmU8x+h?|jlY7Tyn+xv-R{e_?&q
z#x-}Oit3A`nC~{KzpAMIS^w{6{hE7HlZEWpbaZhDxpO4_-%xx~DDaCxef0fFKj*wt
zJUsXKrut`hCN8bMDK_<{<<VXRz2_YqG1qUE-P*S2PJhjE9r-mk-5=lYdbiv5?%}CP
z!awfZ;dy#$)yfaC|JUpH&7A4As{iv{p7Zl}E@e;t_vc>BY_0xJ7wbRXZM+m(cVqdU
zj+wJB*`MF(_NwxN*6{^jW)(&~iQf2g@8+8mAMf2e-|SoHr=aZ97az}-v;V&#O|62z
z`_7b=LgKIY$v(TvTbt6+x#_+?OZ3#4NhuYvTMAB|*!%0}J<hk2+3qdkjQ{-PQT6w@
zD<b|}-uJHkjb=Egw&v~6sJVAJ-$t4@FocGNhB7kz|10anz_84hfq{V+ltLN8)CFXj
zB3Y6;7-MW0nBOxnH&`SwCh;;bb1*V8$1pN7DI~Qg*(ND`NV1IKW8&k8>4{;Gjj<MF
zVtvQNYOcu0oy5bc@72ww&mP~+s@cV+=@i4r%qYaz$<Cc5^pqi+Avs3rEQ`Dui)x2N
z(r1QNCg!CaoeZVFlN2P9l#*nawsFM%FJi7pde0EUoun7@Dn>~lhABph=~D7S25H+Q
zHeD5_3oO?h87#SC^cYwjUdAXTF>&*}h!JPCE@jQ^=CZM3eCNWD`IgH|fHOvqE9gZh
zV<88JBunnEr3`0TuM2S$NPJ~U?`An~$#<mV4a-9g#@jATcb~J}y}pQNyBN#E?3V(P
zeA}%&MY<)^<XRe%9wnK@s7rM;ERL~=kz(RhV2fEJGiw4zj6;k91CuBV?{)SVp-{2y
z-5jlqvi*4uG2df^V}5Y#7Z8f!W?3B6sctOsR9K>(gG)k?D~5wJMnOe0_J0rykEHxs
z#iZ{NdxeA~{2AC|6qu6!#|X+?71Y$>6fosrh*3y-n4}OR*_5Ommi(WYrPhf#iKVt9
ziBo}<LxHnHUV&FqC&(j~L0fA{l<H}wiz+{rOc`>8Vi<Qb*v1Gl*v2r}#$>YEvSw<r
zuxDm5>9H#+>L-2YtaV8e<ZAw*;5dOL<{#sGO?4K<gGo#=lUP`}8D-hHRoFx|`~^6Z
z|J!RtFexN4#WI{`(Qo(?^E62#MwFRXAco(lgNY^PKSLl#(o>ft8HSr248j~Ak{DQH
zI67kgJ<|U9j_2qiR$h0v7-5a$PRyQ+F^u*s>_W?9|6N*X{EsJc#c#eyRRM3KHItG!
zS2Hp*`+Foa_?tz(NMd8{b>Xz-7D#7ev}KI__s~;BMAn}p`jw8WidZSbYERKprmc+O
zDnFT@YdoIF%*m$lR#04pA&0wB$bmb7v+=xA5_h$@fgq!x_xl+B7+z0{3a(-<c82ds
zKVtuVO7>tBPZB)Kuz3+r?Q0ol1@<IishJ#1N$f((j4_@J><p)wSv?qIIKHwJ3!Y<m
z`b8jyH%5ZvIlrJmC$mBCTa_4T!B~UoPHar(Ofdq90!eJiJg;Ls9hW$);aThYmwT-e
zlPwctc_%kJlP|l)PX^8y(U>nu4v=g7ls2g_THFw*ZhFb^@>7fqceje-X@>fSH_89L
z#WD&jpPcaThJvcXZ--chJ4qa?7#=5uCb1^TC?pBT{x4-H;!un!WC)Q<;+PYYCQ$V)
zM(8zjw7@E1h9s^e&e;D}u>uVHVmLiyuQ@u@X~x{OC{mKVCC#u-Nk-z$4JQ7k4=n#g
zlGqvKRV>XUk90C{i!8b5K1WH5LrvjrjBHE{!?%W2F}g`lVtg4QT?GC1C{`&kq}noM
z3LR$1WpGVWdcaVv&J`i##4O5i^1p{y5;NB?4vPr~W6Bw(3-&m4#ni@d-f>7~SQ6vO
zuzJFtm|%vDoU>RI!<b`OIT`jd1jhV!lx(;k!|Ywd@<zu)Hpyh7#2jt~CXP2Q0xV1%
zMM4@30%D?!0tv5^n3Df9`3mPTB}pc6@W*`ch>?kD`5eRXD@jPgtK*%54LgHs!@rlY
zjIxaEEz*x--pMRc(lRLNWcV(iwn{(?bo{n}fsl`YiR6_%jsji+G4>3s6Hdq6_ui&a
z)WOIQ=`0Y&usBI6=3C4Jrr7_10@_T!6=o%U<LDPE7bsGU=oI9bEu!(ulO>5!pdguH
zm7_wz_arV29~PN_W~~@LftZIe8XOO0U6L*;{Ns_}aN!V9y2So}l4OYn6JxIjqt+P?
zLnV$3PNf+fUy~j(RB0!1N~JN({}AIOt;mw}k<ojS*b}P|2{seA3@t{XOK)OilVTJl
zRgzY6epgBIW#X1DaM_(?SZ2p4+Yxg}Y1Taju?|H|gCtHy1;(Vq47WKsehU3bX6U$(
zw4X6JiIcH|QD#d!lc0-fTNYzxlSWoD!$l602I(aJBtC}kDuP=T4zVQ3a;V2L@~l)m
z!NWPp!i#C9igA+QbPmQO0jA50F-l*U+V8RODl)xH5@F#L;%9m*=*~DPCR)eBMfr{j
z2fKtdCkHQ+Z}LBn=dp~I781)<9x&QCb*sd@;m}nzQ53O_S<MvPp&j#tDcM`2%VRHN
zuE695#u&Sp6-kOc9TJ*%VkEv!NK!E>V$yaoxEJlo)Fcqglq4$Y?%~OCkHepd!#8Gs
zQsqRB&z%Csf?rjb9!fAWfL0Uz_fKYEy2O+y9rGw@lCFTa!V-?z9#@%!IkyTqm@q_3
zF)FB@iTy7Y8^q|?CK9hJX|2S_@svrRpV7I5Dd|hneMTW|rX;N-(N1Pg3qPieV;(XR
zqJpm%Y0OAAEn6ZGn4;pU5}%|N?~&xo;I0%uNjO<C*62^i9foRU;Y1gP%p+WaLJUQj
zrc9iIiCp0^m7M|`C6n8@m{kO01@Z-%W@gLeUJzuGl;LRP6V%YZ#>6Y^5+f^<cu_7<
z)p^q-W)5bnTMUjao+Zp0?>rcjH1Z|1J(yz{&O1r5Fe+s8$9(cskk)KuX;w+HkYa3g
z6)}D*k?19E%pg2TC0|gV!C6IMuYj)75hs@0LQ5TmHJy_d7MQ6h3CkAQcPgBb$UQD#
ztMDbMT0nVHyXQKFWNFrp97%TuZblDTPDW3rNmANgUQ(tlOtLYCtdcHn|6cJ)x-xrp
zxo|3ovIq&gun6(92ym->GB~6muF1hJ&gp5eu%eyezlsGjCs*f$r%9$U3XDwB6F6cN
zI+;{EUJIQ3pxE0|t(3G}b)pLkKdV#X1jeLYs?(hcTO?k`xG|)1v~rrqR8C~bKO?Z%
zphrcb)X9o5;C(DZLz1dXQWS^l8U}9`N2ae#F{%?eLZ3?JX)t>*Ra{C~CB$HCIGKqh
zX%2(Be9~T(ScZlL7FjK?VifXX|5-+=Fscf43Cu|1P6{XwR!C+zn<OQ%$caN@A7_&8
zA(qokTTNrqJDI<5{9De*=_0@u%_ca>@DGDeRHh3f`xk~;lNe7h3Nv=8XoT__2}+e5
z7jWV56yQ+ch&bfL?;FeDtR}z|!=0r5XQIusN5UmePdQ8&zNIB~tWJtENaMBCnUEwd
zkmm4TA^G2@=8u!!vu+evWy$3cE3nD1Ooc~amq+kKgLUG92^@9~?_&Rb4oUQ6knh<i
zY%G<Ps>`0OXrYn(?;~68L?yqKN+F6eDjtuSxF>Nl2^!pBsGYzY!^|!fHX-RPLoK78
zi`2;&nTTJkkEb!suURR?wBBeT_r;_Xme*p;Z$GHAzh!!<v_gzIN<`F?;Wig@p`nsu
zo*0YxBp$}!T(UyCE&_YrF#VszX~4~B(ig(}^sA6id%pIwPJ!PNmN6PSDoT5}K6Y|^
zO-%OY`5Gs9QXu)?d-10qH5Fc|vU)NE3%umHKS`Nc;H?Cs$CrZ1LhMRXyc`Bmo}M?9
zI2CJ^L~>vA{GTY!_?ltq%A$@B%xjb6pL<AnB*;A1WtyDHa9i&ALdl1GG4jvV-b=03
zm3z*Xt;x+O^W2juSL&g}^GPD_1->i#sz`m8e*IG5qs;3|tk0(_b+gQ!`ZC5;CFvx`
zL64k?5^w!IA1JJ5kcbJ2S)HU1khD4`dByh*&KOpUO?;g5T@;Qp8wIE^XIu?Ra!Fz_
zC}1`yQL0?b%*fm5VDvhPCE38+gV}SM#?L0DQ_24xSX6m@64vFI?Xr?%eyo<blgE!3
z9){XU1}``^t4O*u@Uk!`D={du1{pU9Cb7iai1}}@wnLRsHbzjK#W4Is@_zxASVozS
z`%En{YzZ6wJ!Did(Y61WDZp#0tR*gL?a8!I(3)wsP@<Kos@O|`Kyh2o7fhFhY?Yjt
zOeFmk8J{Ql$OU?`RWtrKHJ<oc)|VqtVMR=wo~;6J(w8KuiK{0HT;(VTGdJW*dMPL|
z{R+oj36CWIn23E$jV{qvvSKo({V}h&m04y~GA8+ZGOiAYSYSSh<F?Jzgik6QFB23R
z9U@|0B*{hGVKJP~8N;EF{O@thf8&jw61I{jnVFje#Kn@VB|06B#WJ+AiYaxvCo}SS
zGIDU~7C20J9HYu^#<WJ^Zqkp0=%(H5qR9+X3xBb&MKZ?BafrDRV-|CUfq7EIC8md+
zqACKdRTUj?V)Pll3Kg^|Fva|0s9`XV{a+mOKbFC35{qL|i4en#8OLKh9S$lO3kG^{
zBo%yS5uLC!#+_Ba;)uZicqX3UiBcK`4ilWts0jS?T&lnrvpFe(NvC5%QYyooR0azV
zSB17v&PgsmxVl8{uqZ5<7R%t#o%Dz)dB)x*kM5aCA<Vok5gPmw%r1XerZN@G5bD^@
z(91MYCh$tY8R=G*?01Yww;4T7|46b++QsQ{Iq{iwlG2q8Q_pZ^s#wNIE)RU77?2V3
zlC#Yv$$%kRsA8E1bCP6#BF7{qX2y?Pa~pzVm}CDdoKc8nSS@j#<8+J)*XF4)Ohrt#
zJ7QE~luQ`J9~9Iu-LLp!n8X{?@h9oximlcPstUK0JOUOe?O`w%RuK10de|kP`O$@m
zp<5(mnF|X8_ZtRHhC4C;V)$bJI~qtNGfFY!3NR(T3OT{o5_8I9{<oOP$pW$enjgIK
z6u2xA+q9|0pJVZ=BuRY^jthbuF_YLG!X>x+oK{L&@RO54RpDcdB1_CB3CT0D3_^1y
zCNcKC7KkZ~`O3(hBow-U&2Zgcm!uyBvw3FaH5JZ$5M!(GL}jLw;3tm<F@^>F9Wo9K
ze`EhMP59%HbXziHmIuST<bPk18F@XYbaUL`*r#IMu{BahX|=>9&KXCP#AdjO%baWV
z{gCvQ^OB3inirg3IFgK+ST7|_GQF{i)2v~yixAHWjRKeL45EcHPAqIQLRTl13T7Ps
zD)mUhQQ{p(k}QXa3j2)<Guj0{B{RI2{+2Z1ee%E0GOGk49Bg7f#W2jA#LYfKo^h^+
zIP+YAGA@3*q>mGMO44`>vd+3_>`O9ypu*R=T0yN-fhD#gMoGX$;E)w-rV_`h*cE3c
z27cq`TqgKtiNGVjA0A0FRfT($I5(YSSSoaJmyDsFyGqPsCT;;HmKa^e#H53<E({9|
zHosgDG3h}g!;-{)h2)qM0^*!SEDYPe#3-#*%1vT&>tK#KE?{gglqm2bDS<Vq<4??W
z0oMSbn*xkU&N~@}Vpb$F3GPuz@{DX{<W@Ae9m`<(y2**jlP^ZOLdk`(bgn?F(m`)`
zPAf*C-4A2`izc%${BpdXB$TZ1?^P_LLgd3qUz0B%IJ#sLi(rUN%tue|E`ihB3Lz4F
z9g{jw2>#{on3m|v+u<eDHHjsa`MHF-M-*2NOZFwLevwJt8+{o?CUK++&WKs*nUODf
zcJqE0ZbsoGOI4wtVxHNIo)$-$OmoG9l8&=IpQ>r^A`oQB8@PyRZ4B%4r7X(f`5s9-
z7wh`FJQI0$QHXhxL>~jYWQ@uRCq_X<!StjZvK^gF|ErI@7U<?EWm5c-r1aUUREkAk
zg(FEQkfBzEHHjmT(fDFTd9v)hzz<@=A(C=)E(Pus5%y->EGDeWlIqEIm0O)9T}zDr
z<;FB|epg|3-im%D&F^fCpC`pwypOgQ;a6d)<(T+V_&dvEf1#@$RxxZ(xw}<jgnzQV
zd@1x>wM|81mX)+ik`d3z6c$&N6_Tt%zm?cnB)M4FJ0-Z8#CaJ1sxT??ea?)K*J=67
z%h;)rV9ox2iNJ5Zr>|XPE?e-t?3Q>QZIm~K=ef*pk5^^cir<t@&w1q^JW)aMX@sNn
zZx2T9?*=ObBw}_Q5MvOUXsn^&wtP~|!m}H+9duQ!77FsH#4Kb?VP<woif7T@b?Ci{
zyGhc_o0Cp31Wf3<c+w!LsUuB*G0BfbTTYN6Yo<ckGnJ%j7D2%<751c=Zl3RyT8d7{
zGpI6nCjWaD^Cy{sV|h%~q3X3UNp=eB9(FudS-F4JQ^7s|&&?2%={#qtdBNtw><)<=
zk|qf;9^5ll{W>%0#*+=9jH^}N=lIxhyielZAp0(<^J4HpQJov!3XCy68=5%}3SRU*
z6T^Aqrxc%dOovO%LKz{&3y~@_9!RRG#N=(*xI?<p(~&WmVVRVbf?Lu-DJK@6q>o9A
zNmhy%^^?v@hN&d~e;jS~d<A2SHQUBk!+o*;j-P$v=Ra|;09VpQ;kQCb7X&%Bt`c0)
z`(*F(7^OWI7TY`A<~SX5H=sm2Ny)|G`H>sEllBHQttehq-O+a7Y&}<^!uyyV0kb$l
zV>SgWh>CGK60poUDTBr3k;S#7=s6y-{~ZPRW9KRbDQG7>QH*kmIZ>RnSIJ3%KgK?$
z@rK|-R@n!u83mFwW1cf8vrdQ!Pg-gi7WJ$_EJ-2hw7~3+oF8VB7-c6U6)?J2wz5Rj
zCo`<*6xgn~&h|t+2ji`DuOz{fu@*O%$2grccqf|lBC;X*zhEpQ1B0bYrUJ*coo)>*
zGFe}&_<ARC_n(M!3|Em(@Vnlr84%#hxg*sjQRoflbS0+OE4gQO3i1Dvin+1UBk95N
zfNGXg4^}cIX}To22<aYvuwG^Vh2$6goD6p_U5#lMyii=rvvikZf<ti3mJ6){5i!Ru
zbUSvwZ0A_|mO=c4U_w#Tv<#N3D;Zp61t%o&iJa7Z;=wBGZgK3=M_U<5&WbObNlbec
zlm(LPV>r&lJdoy%Q5O`5U+NIV?D)e=A?a90f&*jB_oUK<LWP!OhL(qFLJSjjX9zp8
zBpp#m_!$#u$vJ0&mJp|c!@*k$6F-)H;G1>xk4i!kgRJKtrdu}hT0*xb81H3wiIJ12
zd(4%gq9O3+<R4CjECzn*7^S~XPvu@DbY?Af_$(~+Lq&k2v6?0M-;edNjMA1|$D8l2
z%sed{<q?yx=24l)o|p;J4Ed8X9bN=v%=UQ5(e)!iiJ{qvp<U@vP_bN4CF9R1@ClHd
zj><3o#xig+R5PsJljQj`;>He*JRzaB&n1i<yh)sYzT9NEXkjy9m&L;vi3PFG8;*45
zy4-ld@hGlHP-=>xU)Pkx4MjKSb!Yo^IWt5mDc;d5D1D?PU?$aZr$9KV^Qr(-j1WU9
z(?pG3Uljfn$~;MInrP8+jU!y)!xRg~hOQ#UiH9dddI-n7=wNt!Y0-6+u1PO@4EZ=J
zJ1n(IlsNuauy^!WYL-nXw`ADex=_9IVrV1hi#{n1jwJO38<;qYp8uG!a1)E9fDvPi
zP+`E#KNB>S9$pb*WbaIxd~wZB4s8yWfbC346FH+_F|z)8rov#IaYRWY<HVgG@0#w<
zIR8IJj*(Snf+V9#(jN}<%3eW1;SUv`MJERQysjbB`O`vrM#2Hk*nh8M89R@4GH^a>
zu$d@YC=jS(?8A6{5*y2PV?UPbmv~YO|IA?EVQR?aR&J<%F+=dhJWho+g=0w_Obs7T
zFz#<`5D-?Fz~mAm*kJkp!bZ17$IhBbKE;ww<~LM?0*y5S8GBh-dsRe5_Sa@v3h!U3
zF7%Q!lF>S8lEebvmtvolJicw1FD2~}Bf!k5!QsLprTa}tP>4bL!fb_!QocVL%w+vN
zgl7h8dUfSK{VlREgN0?PrF8I&7%N+m_E*1n6jM0FyBj_JYp#2FO-Oql=R{^vmRuIk
z$@UB%8?Q{7!7Z4~@ZaMFCwsz2CGo@`JPRc`Dm$)pGO~W0!qFkF_;7*#@fmxPR!b|!
z{wqE?k@YbXXC=$+ISb@jZl9YNDJaC@{_P}(^Uq@o)GU{TWit5ko}9>|TEw`WWBC;R
zom{(RHrvFiZ_bd-%w)IZi=W72SR^@{nb}1{+MM0oJMt?tGZTa6qZqTK31^Zll0Ix<
z5?7gF%f#-%5#z9fd4=aBCQ-SStjSwslvyTbd1@>bJIelBmt+0%&3>S1ZT?GQ+ANtt
zO4XvWE7`6_X&VNK#`0ZWuT!{{^|n@Ih+g6^p6%H$73}3Zg&Y=J?3HboSnu&va;3s@
zp#-(6$sC;4$_}@m#|RmA{5E_k$;x~9LyRR~(%~~nJwizuSu16e+#B!kYJPK)N{Z=H
zDUM>*_B0UM;N<wh+|$cuff2L5OSgx&O+$1QYh<Tt7_)x6@MVvf#(tLGZl*(wk)kc0
zbCS%M`Fjo=Kdm#_L-d60MYeRy)~Ha{gQr=eXa44Jx*cPw%GRwb<C64@Bk&vx?<A(9
z23|8w=1frLF;x4=;F!e6vMK3A-V=_pnSL%Dy-B-mJz~0fI+-+uxJ|i=k|uSSFf5+L
z)qf$0hc#NA>v@rtfclARNqqm3PWHN-W_d2e!D1%Fr;xP%L6T+Cv7`lMM;Q3u&3H5M
zzlbi^Uyo0Wr+J?#CbbF0Ywpx%x#-C|lS!ZTw-8eyL+Xo(0-YBGzi{fiFt9U5T=JW6
zRyftAgDL&9_<kV<Q-e(}JEfU^9I`yHdd`CLqRc1y8T5Cq^Srdc<hFxYj7`k3JDr^j
zVpAF>THKq=G?7(vZj}9k`GPE_j2yB5vlFV0$Eq;QVijbN6qp&y(8SIc>+nA`#y#dz
zLZQs332HChHw6E`pf963K_~Jli}A*2mB_=M`#omH<TKbsGz&#)H*RATlFthW{Qt;#
z!ZAIIcmbKDSr+NX9VM2Wj|t&mc6gGck@V@roJlt>3xv(QIWbC8QYVGWXvahqW^PtN
zPY&~o-`P}!s^r*t8O674J|)R+yI`{hZ;S|wukwPm0;e7?w%xGTMM#42Lvi26J4_GO
z@!V#NRrz<FbG5*iqL&PcCj=Fe6l2yal(%oVmGsXj@Q6_I=lenuE0#)f+`TQN^djTw
zMFHQ5k_L<s{CBhk1wVfB6lna>ChgqP$($VXQ9zvMhD(g6w2`DchukEEC%gj8x;+b2
znAXaiJ@&FC#zNo>W0Is5$2rbpNx^~w@sF7}C6r<kEq_($bqYUX3jWAgts>CG+*cIC
zTHAQ?6ie;Rv=dC4M~-^vd9SqeYhKOCFO;Ee6`AD8rq8*L)p(N7OM$m6(OMIk)-!VG
zzG)MPc~+r(-cqRW^jY<ZZ!W)`$&`KPj4F@4qEqs{CwCw9-0Z*i=;d^Yo7472E0jzM
zI{l!FU-Jt4-cvj-Pn`e!Jvnj0b7zYa6H-mzeU&=(%z4g@BPk2MJaf)SnqrpxrENy*
z31Nox2hA3T5@#+9c<PcmGwaHMIE$nrj|&GJjy-aD=yt-B>xoN13`@53b5~8qe3oqG
z8`oSbW->qj7C&jhm6e-RR!p!^nf%N>AVGq?DN2cPhbAjCXY!1{UtgTL>&|ngsrJj^
z3F|l;>J9!rxZ?KlkEa3;^Aks=l$ggG?rwO&)391Nk%5yj=0>N(t7Jxbt6v7m|1SJ@
zber*o*Ijj^m1Tg-p%71tYlnGfe2ThyI3YgeeTMqKXr5PbzgG$|@+PV>iXXas((!UY
z)5Q$V2_4D*j9xm=+$nCv`}Da_6kjFd`>3s6XC#f7s-Jnyk9alb@XwP~%8U#*g}!YH
z*m&Tf;?2de|DEI|86Ldim~>%5z_z9n7nM~wH76GQ6Ns)#uyFXA{O_%Iq1rPCNe<<g
zEhhz+pUk}Y`s9|1396nOqgEtIB>#WtoP6WogK8D$qy<b551KK?Oh{IV=warbBzaMm
z%QrGhr8i{Fyg9M|Hkz(j|D-zUsv*ydo3SbkH@Q|s%uk5@_c+OG!6ys$8?$?4Vjf7&
zo1Bo$u<6?pmDCH8tPG$Vwqv-H88{Zl?DP1-AH(n_27JSIhD(goisknN>R8kQxH)59
z2qv`O-oPx<$>Fy1?7g6m7SR*=JTpQw76ll(U7jDw-M7+}vEvBy+GK`qmze$lA6+3&
zCI*+-|6Z{@3|AI96<j=EoBTh2M!h3rQc1v5w-XlwN@Z*w^j#JVs=abU%g&Nn<AaX!
zt(ym2+jc*&e#au(ahpk9o!O^_LoSwa<5>mim~&B*$tyCS3ke<k&SZI`>ERi+Co}vM
zVtf8;tvJRpW5=r}rzU)e*)jbAhoE#??6H5RTaF}jGQ8zF`EKHY?wj3-tIs&7Tv+t*
zpWuRvOb-KMB#e{3-e?dyw&AkG7t8hsI*c4M+87TexyK~+Jz%oA!F<B<A=iaYjtgxJ
z?<~LSeKVAlwRuqB`RT(+>qg@uPSsEEia1JVp7WcqOTof}G3KWgBmcP<^>5y(Zu0DG
zu#&u`^<%E_!}}?#Io4m*;)}U|{N<~pTMrzd^Z&dIAi(Yb+y4*d$2iB_OlbNcm;B%R
z0V~hNbumh(Io{?xJi-#wTd*OS;k^UsFy5GJ1@U|*a$+u8K7O;x@Z_ar?#^$4D_PF=
zTxz|kYIt+soG%L>U67pE@aogC!yl6_&hogFD&WBL;YI#Gqn8T*p2n1>+!t8%EM}6x
z8iDO83JMQn6b{5x2pu{3N~y!{#9PIfEWv9B8G4f$E=}+(<lp#&>BWQpF<eP)H*ZAA
zZCGCXLu$$6>=k?$i)KtwVbWY=XeTMDaic={MG6zAAxD4Aqr+`CRx{mPIdOtvW%~)K
zqQV(`Ct27J33=YU$Z%|uWcKX#XD%yKPRxDmeB$neqFb9S_GBDmT#(z8q&A_eeMUg+
z|L4wvTz{uHFZlLV;+a5c%;X1^{|i8yXMQZ;TX8B$$N8DvwHuNyvJ5ZJNIX;!js4G1
zd`NlW4M)DMBC;!Uj31h~2sIRck%;7BzM&yjs9?vxW8s<icQw5gCd;f>S7=NA6!%o`
z+|Bzlp6Rvii>Xq0pVP=H(|++?3|r2dyBCv^Z!K;(Eph$E%t=p_8&914eKuI+yY!8z
z&d(GCI7-_(z3y?;c4*CbCBo6_^HfSUrhP+R(*GMJf{ND8hr4u@Uu&LlTKu6w@&T7~
zr%}NJTbD@>9CL;4%3RzL!tWBp=W>B@Lbwo<p2n4nDltZ^qH4RBcmC%+ug2uX*T(tc
zFl)!*hxZP2TWD>lS;qQAz<$$dgAI*SB_Dq3)C_(QR&Q`P=9vKRo>&H>UW1Dd<@25i
zFikg>4~b<sb0kLU1v|$RfvZ>5EAy2fNL)Q+*%<QBV3KN8RL%bn!FQesbXRSe^F*MU
zc|*yY7=xWJ;-2tKu6j42ZF1Ggi7GMAc{nRRa>PFQA7Az38PB8!%f|fkPk81wXykNs
zMzLIOwEC3M*3#%0GcC5EVcj#H9Wl>%xMFq}HqB>xa7ynvPj^Ss2frgf))+SU?tH>=
z?{tFYbM~T$gu4&e&aH@g!ul{N<QYrE{t1REi=vo6rJrh^VLzF<pF_jq)dNNc&?V`~
zj19_dEAHIeF)fk(hK9_=Q^JY~3*W~~IA;0U;k5LVq*okqC(GxoXnRqV%($UD<_W|1
z?<Zm!FZ9iDeEy7a+ls0J#wSeuG0hha^nBd<gn9R@yQlgrbRIC7Et+xX_(O&hGw!i{
ziK$}0@xLRP;Zehm%zvjoMl750<bhkv!5L2(za@nw$!%URp^fPQ!_f<$9&+q3{J7v?
zgK#p#n^_W@XR)2wC4bOhqin<cLXHD_dbn=*Y*6sO+HRC&_ngW0o@4~;b0(vG5yDTI
zQraIFK4t3I8KE88@RyY_Nh#`rC*uv{$B&tIXr!>LZDN>n$dPrm*M|LK%fudU&_DW5
zLTiJm@}rH3zn>*NVd7l9;iPO#(!(8sS{=!s7j!qogvTs<VVAP;;f?<VF=rOY@l-t6
z+LioZ`@+eJ1=;TEHym$nbz1q7JIVUT5&@>9YY|Jg9!QEzI-cb8pk%`N6K79s+T3~Z
zK=Qvo3)VCxJ-WboSR`ig`{et7*QGM-y8Bn@z>B?`@BCdTV|@27%kG5Z!7*DCU)+y~
znK{k)?q9JL?)|f_H=dn%|LGPpU*46foY9tvlQ&jR`g246(}#wrgWr=n_o>}eocZGC
z6Q)@YH_7#DyfB<7`2E4(nhob!j(%uoOUhAxS#@CZ?9S*;Sw%;Ii;j%d8)G6qtmZL)
zah@ab=G3H5E9W+TNM?MPsc<buP&oVCzs2j{_3ya*^H|dT6SoSbFYGvX<MRf|j)(K#
z&iHlq=G(+%#&3K*53_`-@7#aa$>qz~>E0f*!u$A&Cy!@5bxu;Rs_nb^?#K@IvnvHo
zUMziZf#bVz(l&>fh@=xSj-1XZk6v`I?eyg7e}8+Dh2V`X>JOT$bo|%q9ebg1ah{;$
zi;LXy2b5nO-yp}dK=1hX4Ux}pJ)U#h;03cF_kK3d8_X}azg*b!s-tJ)r+zgiS&4fu
z<~2z&sq-a$XiyTq8291hD|x|1XFDFQfB!T6#H+_Er`SAiP`z{ijoarHlKygwZ+B1R
zxf7}F$(`T$vB7BFIgdMcenzh_vEaJ-mwSa7rzH#f#j~9XM_<g8Z7AHlQDB4Mq<4}#
zB__V^W)wWRhqL!bhnhdj>2nPocOTDv^<0Hh|I^i-N{^q;Ex+?>`X}oXFBOYlT#%UB
zlKkrF)?c?9uI#+?;@|rXN&N@EYhKmU6TA0(W02kCSx*}8CNp#{Kdn2vF>RH_<TH&c
zcOL$qb?>ceaI-<o^q8X6e>R=ibaL**Q&(3^-0|3}!8XyoBPsdwjUN%-3(hexCB2NP
zj_H^b6L70!#eu#2%t@2){#&v6<L=LrD_oLSOjx{2@%XJp5f@@YX5N&DnHXinSaIRT
zinbM}*gLX$C&aX6tXOu7QFTI+&O??J1``YWqCIR@%#b^?rGMkMXW}bUZp_{rGdcId
z6K=yv^FOh3FJ(B9`LFTt<CbS1&)zvv(XhHeVy5@$bElR)V_a?9`^hNz!_y_lp0GS!
z@}cMU)5Ijcr>Q5ph1PCaa)OEbfuY0>`Sj1$Kh<k){*{}^nf$D7hhEJSu9a+&sZ7yN
z&&@gTh$*}03DcDat}KbOpT2w9&XPVo%SG^QOXW4j7?y+YRH`Q^_^R)@@WI3A>4*Oh
zkEEPcu{j!jKqBUI(wW0aPn1^AS$grF!TP6M278LOb)5bf^FY~hgHQA`!;{<#uDh#O
zem09~=CMoe;E#S{o5{E0Cr7{&rAw^2ZyR%d#5@!6V!Qfx6PNw<i&I(e)=3&oI3fJ9
zS(7JFwd%Uiq@Nt#&nA}eZ2voHHoN30`-M+dY<#M;T4u*Rj_9XKYvucIKNBl|EwlFQ
zy+=Qv2(4Pe6R$I8iNJEX&nZk7@4hU%$YIuK9{*fyDf@d>W&H)KXKw7g^Juar`;1*j
zpNoWl^8MeW$La7V`G5Muphj22TN*W|XA8((oVige{Lv1MlN*1}lumn?d4ut(v|5bD
zvyV*Wl8<F94}QAI#DBQHrZLKGQs&03`|cb}Vx5__`BG!VMXNJUbL1{pF5mgxH|C1o
zTO+RSyZ^NLx}%>c@8@+_i2ZkuH|XbT*CT7Tm_@#`n8+~yslwW6tTFuSd+wg(S$t~d
z{Pc&_IgeC7@y>p*eIv(CnGQD3FUh_t7wfm|Q;B6{w2a^~Tyy>ElM6qeX`P#Q`RAM@
zo@Wy0Dj6hKX+Pmuzvjs22dh6aUYuibt7-m(sUjCsA{!n$=<JMO63R^a8v9Rq!utnG
z=NNAa-Hld``_jK#)MRVkL?Pk7LZ+{44IiwTB<XEXcvAIq^Lx)^mc6q(gpycyzFKg}
zJxSq1?0>aGyDe_)nE$}>S<TIjW@?i2rB{4QHe+1#?<D8?n-5p0JZdi7xNyJ4g}TYl
zs-AhAe^#*}>4{hB<MV<RGM|scyv}Lic#vlCQL-{|`<ZJEvHwkC8E!E8Gd)qctZ|7^
zTvD;-hLa?d@ReO3MV(@91f6_gu)5=z^dj}7p5%#F{5I@4{&AO@mrU}l{TJ35Kaq%>
z@rZ?Ug>B4{n*wtd-cyiEI&`4U&UK}*BSW)hk|d+#qe30uXTF<nocqYx@aJGalJ2Q9
zAC^A!X-txjnfuh2H%Vmn4JO9WBPS=tJb31r@si;@&$f#vO?i?T7P2@yD!C*xd}er_
zBE#_d&ib4i=|=nsXYPwF*qg-db%ArC;6zC$4riMVZzT>-VP;;JBq2Gw7X^<w{(CX0
zFvkipykrt?6O+CX@xk-pqR5F1mybPq`Z!*VRW$jA)k=3^j_%}~f6pEy6|w4m3k>4e
z>h|Q=g~^W(R_s_~z!>u=!)zs&S<H$Gv!oSVHA!clCO0QJ$1Iug<oM&{X9t5mcRc#>
zFzE@G{{)eb3+5y!ByC_6zTvj|rbg3~#8)<*C(Sl#b1&TgHB#cyimMZ1v?X3$%sc*5
zzVhT_38_cR`a2gC{oeBB%++=7Q8CA!+&?kp(c^o@uPhEJT(OI}-TdrXEOU%=($V=3
zW1ikjOnMaa`N8dnoJkL6PgcmddFcE^-a}cNtkPa=>v<IWJo0Vv3jq~Q0bXS$voEWz
zJ`}vGm2~>;M57Nvj5-tf<U0OIs#siDSD5jI^M{m8@^pQ<&y|@Bif%^H&z9K491z&V
zp!y_KG0EHV&g{oiS6-T^5i*gd)z0eEjb+awq>`d1I*F|kTKF{5SLod*i!+ZRZ{F;E
z!qC6=_~%A`PmO@6PXb?J%9z+Eo=E;5$f!Nh?U3{amgf&+oH>&u3X3%E{8)JI#e$Wm
z*rj65b$_T{JM;Ma_sLHL;|kN$-tzWObePz1^u`u-0~6`T(oD*41SKZV<S>3CY&BOj
z{aL)PaJt%C=GiM=Hh$i?LT*C4)axg)x;;tRk5!n&f5<$FQ893Mk@M*aljeiipD#Rh
zzD6v2$6@=q`w6p9?vHaW0?Nz=X_FkRnK&P+30BT#zWq$*G=uh+=W{qd)og5?q~gnP
zc;fZeJr;9Z7&Gkz1ZoZ+dbRCI9E(CYW72}>ANnR(s&H^Ke3Sh6^5fLx4%U~Ca(2kc
zt@<F>_VIKl!(w5_oLABlHB}{fd)~+z`nxb3HFUAr_H2RKTe;XQ-mmgIlvTSoIVeS^
zaDIL-UbEqf-Gk}+4Q4vrE9N|VE3V&t(Ln07f>x5D-=yb9RCh8dCn<PadaXL>vB^z<
z|M?WpmDPzxZ0{wK8TKuGI{E%5hxCWDHb0%r6U(ruduHStk)0cpmS5iIp)@_kN$yv6
za^u{c`zJH*X5>~0*08FUjP2<9>ivA8y5&Thq}V4DO_MxU#l%T$H~gs-xJW|c@ag;0
zyJwdhD<ytNjd^wT<_ne$oJmjCswmc;elqFz2LqcMERz(prQ0uP`YV`=J?{5@I&nGc
z<El&}_hpBjjJ(T}QW#$+v2aH{eHqEDD0cM7;jWescO8Q#6}N=`d_HmMC7!48-cI_<
zwWd9rIGOvaLTN@c|L02K=T9d^sjxo1`1JDvmx?D7cTE*xem-gG6uFlxw|{;*F=i4s
zyOX`}Uz;bBr^>uN_iSSDO4jFbvTrM&P4rWKdLt&WgX1A*gHIwy!xcfzlZ@RDCOs5B
z{(REsOdV10XOs5p?oJYatEAceWU`q3rstDtyj1qGRh}|8eKJWnX7<6~fsq~2HLPq6
zq4M6(r=;FEl^l0z>%<D#FHuWvpG~?y$?a{%=C>k0pLz;EpVZ0H!P(C8bmH<&FAXFf
zID9lX&G95Z;z^&F^I{g`w?bJr4S#g3QBk_4BqjF!#FRtPt8HWEKA7M<tz%6}E|Y4b
zeyeMOiqCtAPaYTEc%DpfdMQ!ybduSMjVT<>k7r~&X1%c^k@du;$)5jbi1Nn#>9nkz
z^XA%&WZ%fMlUSHFW<Q_g?pbd4^UUcdlWS}yycBzt(H8Ss(jejB384o0j+;t_#}C@P
zWQ+-W((k<P$Ng!~`xVV&9$0mnWt=FLd)hIN|7pLS{DWZcc`?uX)Y)(B>VMGtW69YU
z2R?8=?5)@+9>Mgq?^u%jjGxCJJnausRblFW)b9|`5vCv%d!V_W@j%<zgN?^{p7a;J
zf3$oeXV>M;XJ^DEKlYzkVD)Um^6&T7c5=L7JMsAF^9h$a8GgrIU_buTDE(3C#DWXY
z`k!_r6|Q1zQWJf?asSEW%}*x8M6qS6T$=Z!zxqhb@=sieGdmtnaG7}M%LW0R7mklA
zRwkW_Xavn+KmB39$W!OZgu5sC6rS`Kb2{8*Oqvuc@sYRV=i=kfClp1or8@ENdt<cy
zd0)!Q*%P%&Pt2J({p*A!?lX%wS;{_{P_m2l`7|5#Clg%RUw5;}zy7D4dirU<`oh#{
z+n!9=_F{45lL_lm#Dwo0oH!w0exBKZWS*p-%hM)m{9R?hC?foA=ljU#6a3gxy@QlR
zg!4N^&Ohy+^hM$5$2&@A-*mqgxtX{5y~vhF6I@vzU%wRcmL+|qdPDB9k`yD6&rkXi
zlU{xl-dq@UQik_R&C=`XFM|a)iSqP6Jikd^=h=kiJWu~mm-+r=f~)v>Z?8?9oBzCO
z6FHH|u{-1W%)W_!_CG`-OgrKyGxKx(JhI}k#Iru(B&X%tY%w=BFqkbkXqWWj>O>a7
zMa)?q9Ubu@_YN^go^TI-b&$0^=EMe*Jq<G|yA~)eVKCj~7sGa8{>GSxeG?K{_+KiY
zeb(C?GkZf8&wH_09!AB5s~9{#tbaRo)rU`K&aJ)q=2>#x#*RGQ6}%gLJKZ1M5Wiu+
zLaO3!!$U=v6K~`cZX6e@e$Zmjp3KnrhGRoA!@Cz(4}>ReYIrJlgeS@Mj=*cNq$_1+
z|27xLKWUt9^f%|xMvo+)fUlO!Pxb`-z4=<1afRvpXPa(ZZ)A*FAhSH@$!5i*JZ96H
z81_f^gg7oR*t$hO*{r+cbMoaa?|7|p`GX#>Q;y*Z5q#jubmA+g^h4*QL-mT5$^RbA
zvwX3mhT-j#bz4pT+uY`V_I7iNrfBTH6>|QJ9Fx93xb1qi*Y)A+9L5iy#a7O#ycvAq
zR0>D@n@p1{Uy}~)R(i;v^r*@$Mnm>klhD&0;z>&3Ov;Nb=I>*Dva|QW+?(rp8WkB?
zVkVsMWsNE5<mxv#9`o~3&C{J*S1xw9xY_dU!yZPV_th79*2~`)JW;91vwr_Z2JZ3|
ziW52+grC~GO0dhlm?g*bz;4r)fZit?VuOrU%1TSFO#66=nf+YUk4~kD4`1F6I=(Xd
z(HnPRdGVO;54&Hj^_LL;6ElhRV9e>5mq$-9m`}LJ<#O`EZpFH%o5W){9d=)`Y>u77
z(DJ45hmXI)#5v3lel$vce7>bM_WxU{<y&Pmo@~mU|Hw3O%bXV$5}R@!n8>}EH~-fC
zmG{q1^iaDIRmsTTcwCt=YDfOWJRbJeirCo?jb|s=Zhw;UW&dR9lnHF&H#lSVKHjLa
zL$~F~jWz5s&o=NUIfcbca93eW<*J%<FzKVm$*t!nICt-im?%+TXpr+{!&L2$M;>rl
zKHnJbt@Bl~DCzS#j+<X6OPSqR>@N_v?fEu;p7ZA>mrU~Qe!ltr(};kNd>bEKw|u!U
zxnb$V$7i3c5BvFG*^k#Rbmn~gJKMHdBkAq~+5Y>_*Ph?dfBf&`wP`D=9)CRXy;(Hr
z#^W_-|3$gKzR;lZ&+v)B>zr7|mjc_Kt)C)ec5u??r|ai(xz{|EJ;@jNraX4rvyG|=
zS<2g%Pq4Ac|MYDAT;9-WjEA4DTN~{oVfTzfdP9`3{DVDQ{U2E7+M8F;HaXV3@Hx*k
zvGO0kp8T?!eW9bJ`uw2)WwH3^ryEy_#oqYwWL@1;G3ICMy!n1UondwUr|!=QOFw?U
z;NiB$?%5=P@ZT~Un=kY26cVg=eehp-9<$KY_$Q0zb2jI+T>RItea;2hCog?jr|JgS
z&%SqFR(=}$aURioEw7fdr1~-aG<&vgtynrs=2EUkk;q`y{IzUX^KLSqe7<gq&RzcU
zNii$+HhZx=4EIim=i7e$WQO^(b!yyOe;Ua?Uu5ODz)vdXxzvBT58JC3bcj9lw~66<
zYA<%f@1#LvXTuYF;hspDk_U^P+%$gT<*D4giHY^m;)tjA9z9AqO!`^uk(E*x9xYJ0
z+s`OCG5SdRVIBshIcqLFUEG?qn9+7s3~Raz!zyd$*PGbm7tT}*Dv4!3_=)N8qlF&K
zhk1SFZswn?s$h8Xba7DJ#9Lc<dY>*{x?e@@&*kcqiI&XF?-F$wG~%W-q}V)Ja<y_+
z@?=T%vd5oJUZ{Mwd{>H@#2)XSeQTerR8QU)vUlU073aEtrA%PBs4+S5TZ63W$EEEt
z6L}*hUHo|Ju*IZBZZUheD=(D$;PQOa-}iB^-rGD|;u$&X#6+=YTF-x*zKip-xG?Ye
zg7_<!($2ZnuX}#(<EuCEQ&(=<`0=^roA`S%Ez7<=-Cyx!iIpz<_r$&F9lh(4*QO-g
z?_ii%C3-o=|7MZglO>sT+I~X)kCyoIe2BT%(UWwcU6?8R*ZJqm-LAdddZI`2!kkH$
z1v8?r<Trdec0=#cnnhtOs>h%4vZlX}fA;L=%L|ed|IWU6=aGa<1RIO*tWOa_Gc?ui
zCwk_*c^I=YSnqF3_?ygIeDhCSlDHN8lr_8SZ2OPa*q$5y%zJC@KEJoYe$Se`r|(2>
zKG|NRC4Myh#qPD)z6FcJ7Ek9nIh9el@m<kYyU!02;uu%3++gfbogA`(p_%8>p4d76
zjUTVS;4<-c#)<%Q^$(^AHuasRE>Bp56%%~kuy=->tYlq~vVn2JS7j!9?Pa$z`B!GI
z$OEmly16j)$;#5l{}{f<2*v()(4INFY_-jwl{u9YS(86Kn6P>Atc~v<g*qlPD!daE
zYkGN;Yjc|~&rPw|6oy+>b5_Rl$9Q>u@k@GFvH93SjYr#@ikri1VjpbnVeGh`&!@tu
zDOq{U`$6$cz4<~S8#rPg?3loKpplc?=D`lJ&XW<b|I?rB%<79-_+wYokv9vzTdwNk
zf3z)@|G_rK*$;|0FxgwLw0Yni%W(O@8D_@h|9Simx5OxwFzlGskiy6*_cZo@L~IJf
zMkeRyJ0=Q*+(>TcT=3ug(W{0Y<JCcDpY3@3P*j02M*URGN5((W3!X%D%-OtPQre<(
z{<E+2{QGLKKEd9)qgt%>cciFDM~vZD#XS$ZoH|6bv?i(^eX#G*JNeTKSh~Apdfq&K
zaP8=gGn~Q}$^Z0M#Z0RHn)*<)Pv`0MzSHU})-~H({(Sys-M6HLn>g&b&n2C_d1LWJ
zo`V`OFWfjKw<R6!eiSWn@Xd^SHyWh^SuDS;YH(rn?B1!Bko;dSwqw@u8;g}0c=gwR
zn)fVxTW8|qll&D@2M(-Ru;Is=xabLN>+Z9BdUE>BO%|CKhK%Y9rV6mgdA$^klxTT)
zW<pYq!i<?b#V0HsVtQi#hdrEXbM*Py{i}jp71#K^Jj(g-+ltyhtN1Ku*v+08)+jA%
zJ>#AV<Ky)b9-KE1DBOwt7xY47($%K2F25xTGCZd(l0Jp>zleTk68&L~*jo<qcP0n+
zBvo|u33Mr};FL+q`s9B_{gtl9hW>{~A02qi_VifcqvI+pjI52)_3L+4zq8%;@TA49
zUvk1LGX8y;p!;T}Z=v`H?IZjG-XESeeJ(N*d7~UY?dgf{D}~e*)}8#RFYtY)MgJp?
z$p%v!THFP>KP{ZG<oe;H2jPce9-MBDDK*++G4bR14*|&x7oVJQ;k?mw?qT=KD~sH$
zY@eP=UDYJWnkdl!;N)u|<$DaA)vI>K%{U|Tu!p&Ra>FD+X{l=2g*tBp=Zi|8d+8qe
zns?4Kkp;5${Ewz4-Po5@)p1F&Lr69$M@aV4le2<`_n7P_80#3WxNr054eQ*QA7sOr
zI_^{mK3Mc-W&h7LKCesUUt4Z?*8lXJ)aw%qEPNiHSK@kja)OfN`;`WK1)U0^jxG~l
zxU}cK;b^_^_`>lC+vKmM#2D%9UDxxzl68a9pS9f^SvPndW?a!N$6CLpOYFg!>UCXv
zWv`z55d7#ZYvE6My=NbP>`AJb!1=K4qp@RBp2W>Ju`9Ya%CB6WHf@?B3md1{g%9>8
z9~2one=~mkhUMj{g(2NR8Zl2k*8lx9Q+!>!TJ1^uwXNG{#r&AFuJz=Dk3Sox>bz%q
zKcm$(M^f+k+npT`p1h5kaeLkKw<!}e-!kvK`}A$!gJ-Ny-|pVqxa{YO%^TOZJbv&>
z=MCdS+oY$@?mhcw6#e|^lPCW=!yi5R{N(SRM&CCR=YD*!aupMAETf#%EdMg54Hu47
z-aWq{MPB|*(u)-r72YRxs7`nr%kYXr;T0om?7y-v4NEs9|9ivq$8%=;g2Z=Ep8c}2
zURBqldna{)%<&V<Oq?-o`UjT3d-Bq1{u|XtFCH(vac1K?HN~W=A1%_2j_nq)3=Nm=
znk~O^<@Ou3=Zzm~p8eYY<b~$!SBg&r6!-0zrd0XBsUu+V=4UV7D6XIMk!i<`GtXa`
zuFUSpmyx|O`@j8)4K*)bY94$1Y{fQbmW01YSS}PPywp*@(PsIw<5Fi$Qpan(V~?Ia
zJo;=y`{y@0rAHJF9C`g(H+|71_k@IVm(@Fd&fd9i<GcQ;PomP2cC(#dbI*I(o839D
zR5$S~eW4P$^67+_t_05~k8i%nm3Z(tX)D9jh&Rfw=k~C%ERW;Vk2`p%;@_gDKiD6v
zTeGvkvfHQl*^A8&Pc3rO5Xhat7_*dtdxDYq{I{x|N9>>f;%b;t^C)Fc><QZ+I~!}>
zsz04j(H}cO+jjmtRT=TJXZO!1J-nB8@NE0PM{gg0SYhD*py(4v>q<YiWJX#0sr$?x
zp4JpF=AWMa_{wXQ&kOXOk7S%*!@layk5|ev53U@|-!Z#v#hpKE4*WQ=ZS#A@txa<c
z8(GXAf1CYX=ADxMTQSq#of~4rUR>Y#{BZG2xnmn1Pv}$@*q*=fnGN@bk~N$gE^hq(
zN_(Oj$LtrmXE)40%yh!5?}du*2a}$Uol{q=Hm%-yPlfS?5QpOIx5_h3Hm~oQ_D)fM
zr$pg%t0_F8&o5iw6wrMm^J|L8>x(PSKHK~B75nGTWfDzJ$vv_sJB~MA-|_s&xwmrH
zHt~Mm^L6ht*UxLa$|i}-<Z=GA<j>m9Qcc~fvoC&M+qHMnY_o$5g)@xyaIUYhe8?gF
zVxRE4r01vh-+C(&WVu(NBI#E0d(o`KIFTty4GI%87HzQDc*SVKspyQIO^wO_y>36-
zvm@_=dxNN2v~9$P80(4LEsQ2HOA=N`ylh@17;wDb(_wE^qR07p?`3l)ZT+^c?@vR_
zNoLI**-8v`={p&&$uP(CCS}~eCiDB(i$Cip&1LBQGqc7s!I;lfZ12O9%qQ7+cl?;h
z!mP~6Rnx8YiRI^e$(l7W-A^Od_I`f8v7qCK@y)fp+%aF059fZIw`N6e<kN_W1t%ZH
ziPo>_5!<mUlIiB!)XyvKJX_i8&!%e>Hu1IgpO@=<wsP)HVVrn)^`4b;B3JZIX7$~q
zZTeF{t@GWRRV6>y790Omh+<J^xg5FBQ0K|EJxK?5S?<_-mpytD%jM_pm1}ym^AFT~
zV#{3DzAdvqMc~c4-m`0aX0Kdop1-DNtKjDp=EKh#(*LqVZsPiSW{HXrYyP^PN#d>C
z+KmiH*Y!rPW<MCm({9$_QCU0n+1$*ZGM;OmpS}`(LWpk<bHBu*o@*xx_-x*BEtN6z
zIeUKId!A|Bi|%fin<_Nnoy5yID<c#>{dqDW=A?krlIGKKJ9=zh`u<|MI$f#ky~I+~
z`Tqoz`Tseqj|;xL5F^H`{F*m#nuH45!&fO1J=bb(-`n(pcYAiF%D?AxU-Dde&Z_-%
z-u6euM=zRw6nZ+Lcs1Ww+qXRTvt&L8KiT;2Mpe#@>>kFOZO{7W$LrlVEztT!Ws+#Q
zO2-q+3I6YSR2|OPKbo+~apMDriZ>i@I(jOeOjxN^Dw3`Cr1z#*)5hCx9`{;YT%-TA
z@A)Z*nhUpzcrq{c9eeooS)ZTe!_e7(jxD_`{`ldSC%wysS#r-+Dx7}WtEv3tOu&x(
z3$kGk`cgtRJbC76@=;gl@9Ad`I#={?KJA;{^Yr-BzPV2rqAzOm$1>_4wWxd2tB}la
z%X?|{%8oaoPx~aEY(D+u^1TDm8@|OnNIAi%`@rk4@Z&yyi#N#(ohKu=&Qsuuxpsp0
zlcrI}%98Yh?Pt$yo88fAe~<IRg`Qhe5~^($oV~ZIX3q|mlM&%F%DbMfJ^He!(>dec
zoY#{Xc73_N{_ifGPKIl*A5NCv81r|<<0;C?-2JQcBYi7&J-)N%QlQ044Wokzzi*tX
zdopzziy?#Lo@SHI$W_d@vyL8EJ&Vo1sUxPASzl+>x;2ZQ%$TyH#g*~+v2!g?f>REh
z>g9I&rSstWCl996L5GAN*zkR+zwqe>Q}T*DXT~JP%1suRo=-16>GO4EbMo4H-{k0H
zPtKk!oW0<a>EmbTo}Rn;^kT&d*3ZvpDhGK)GR%E4Yhp<FU6qeF{zp8#vgXmQ6DNK?
zV*Rk<$<-3+4@P#JpRD7)KAD~O)a=B2zK4t#R5w1j`FO44+dWTas$_gFJMws@1oQ25
z?I$xg6>#tTJE5acctwKg;m6yuCUVd2_++a6u;7jKlNnb|OKkh;9r<vQir`K`UFC;I
z1dsN=aC(v_KKs*Drp}a``3om(zM!W5v|Rte{kB``$xr^jv^n6g>E`Aq(=I*zaAfmp
z@ARkBmI@__u~kpv6?@$2{p2E3lF{kd|Kbv{jGP~te+Iu=cgEWK;lFDK|MWbTe%Sx#
z*|d^NRlmcQggtwjW&dQxNln#<PrKfV-`wz3?WL(vWmE14*-Z*FZ#XC^%-4T9^JHW9
ztF1S7lucIH{rFlt=YfCrKNWX8ow;)gOJ+lv?M(xX2eSl)eD8d0`pEo7^2Q0v$xPBK
zrkGX=Wq)zq^KjM)q3X34<5UfLs<#TJpV%-_ap~iSvf5AQNWRnjbX?2)!Tb*~&u0gJ
zda(TC(TSItj=wOTsMo*l{9dy+M)6f@+14liy-_{c6ZuLcMIrN@Fz4PF>kATPm*ged
zUM^S`^F~{rQ&Cq)Su5t4;funLkr7|jdVbhD6zRO-d)ufaG4Vym=eHWuZ`^Fxearp4
zn*YQrM!A?1T9Gd5?|A;{+;iUK@cfSRmJc0AZ`Ah%?CANiHelbHCtPpe@vPj!o~wBG
z&(m{TBlL7m7T$YyZk<Y0xaQCP6DE@+H~)NJ`OeKxYpz$1h1OcRcf4C!-ZOuE^Yr{y
z=FcixpF<UAcZL}D>b&Qf%JcM9d`8!k=#0M3d9FN<r&=}t>F17oa{l+{H{4fZy-gQ)
z-k<k|Tkq#<;o1EatZ#X>zHv@2skzv=iFxXcmP3J4%H}^kzqRREO#X#l@y<%COqDMT
zIv+MAtz37w|M|JN=pFJK*PPz={M_COmK!mN{4ZW8{+W>y#&Tn-!rvH{l9~0-&b-~=
z>ho6dlh)&&;0>%VEz;g{oIIKFe8m?3>Zd|U=UGoM>Ng#TV2s`I>mlRDFD;GIXJQ_o
zwXd7@gY8J6;EqRU=Qo<JSr`=g@LaOd4_5ZSN!KnsI(LLo@y>&D^9su&_U>qWEY$GT
zb;DY*$qzO%6f&J)D->BZ>)giqFYP)C7v6n(&ivqnkYq;b9UW4P^$*S^F`D0adTzaU
zVxG~A74J=A-`*?U{EqL*#>-DO?0UnqbJd>LQ$8lW;hA%z<@g((V%3bw<CDT;o~B2C
z5;*$aY111%)#}X!Vzb^>-&`~A4ez-buaznfYQIeW_N*jDLiY{d&OL7g=Dy*5I+^k5
z48_f_nbV)N__93y`^o6xvvbd9ghs?JXuQGO@p1E;_)9mh9eijshwDVjnfp)9-I{vQ
z(B;zeD3+P8d7kc5_1vv-zyA%d$oZ%~@o8`Psv;HGer9}r%ahXa++RrHlR?HCE}vOk
zk6a==igpOOJ>fIxc*E<M)EJcYZRPPdorO1N{7Vtacqib=tom=a;Q{vK|5c}?_{$Co
zTg3jaTyS8bqQUKz7exP^SP}Gqp&|L<#j~%MaFui4I<P)@!KIEm-Wa!&7EBMftoYEB
zq`lzI#s;4GO{?ynu(FVvwS3;Ei=x+re}#S!OL}spTvf*I<Jx=nFXRolo~*91SYUGO
zg5Ij2{+F`-{4<)xZ?B0wwpjh?xqH)ZY-!bf>2^5j$OK=$y(vl65powR4z$HGG(P&p
z_5S=j=hF_6tIcMuJG0lDA+PS)54*^0=M|ejz7)IhVAe#2U2p7{PELI5AsF-4eX0R}
z&5K!aEpj)X9{2CLJHu$jZ`01q-xszjy!2{K`uSF#`^(&zPpvoRuKj&{#|)1Rp$$gI
znO6LkKk|5n-_t)!wff&(_$X{&J#l8(^FLaZ0UO`y{`~gJ;e_a~21P9arkHXSo{pa{
zRG<EMIY}b!v)<>nqFL|Nqa7#5E%1BOsB_5Y!Nhq_e$1_Z-%!f%?_(?@LuG<kWAkH?
zhLW>Szx!{JQCNK{X+!MM=Rf>(UCi8G?U<(YTI|^&>p6EPeX43cbZ0^O$)B?-L|-`n
zc=fKOQ!i%4%QSQQ6E~6=#TloaJm2Aa!fDaU_x+6S9S0e-**|<uWO^a%8|dQVB=hb-
z)u$A>svpn(9`CNYvE{jnp(Dfcn+(}c|Lyc+exCMT<|os~_18Z>`xpF)L;BgQ$rhP6
zB%l2Kd6e5X>8Ejj^u9MI7yf7tSJq&Wo_Fi5f9|IFNu1|@J^k;_eDp-py9pf)0riJC
z*Ct(k!*K4dlE`fq=YC0{#WQBsUvJ(dQu$u5;vJ*rOu>imC-Hs~X0(3C6zVN;P`o+p
zEt8+b+$^z1@3$eUZZncU`o3XY?j<;VlZxk)FGZ@%f!*)pS+<t#ciO~I{ZT&sSI-j%
zuEQdGUhsG*o#qSG+Y_}?GLXl;XEE11;ptHt^<_`LSZ&lyO?t=lIa5SCmi6jsBkpa>
z`dKokshoWJdHy6}?u6Dkheh7m&i7(_c=wWpIp6luO;$&re<?aCbM`Iksko<K?mhXD
z^z87_=U@CzJ7!$^7ulJzXT{PLERmC)=05p6JzBU;i9NmDLskDB<65?ZdN*Z4-$_Ke
z$b>!p;>X?hiQ8~h(({LpkG@swdcETOlP`TI@A~sZe$rul@MRH;<`XNgC!f{(PtRlh
zt24o{Y{m60tcC$1S6HGy1!+I}vWPV{C8+1wm!}ai&%WGzYv1*nS62JUXO9?O?t{G3
z!<w#tesm-Go$JxJtDimptiJr_Paf^a&u^q|K1e;m;rsNnzugb^&J}^&ru_^DJid$C
zu(0oY<Fr2a#6_{b`}R*h&wX*q@NM%+t_>@fc)rqFT4uy?>|ntrHsMd#)!BLD7$1M0
zbENU<=egd|oJBV;91Ib=+WApvOY8-?$nK`&?=7aioAdMcw&%|$HL1)wc=u1SX7Rf@
z+umqf{q(p#A@q39=`7cui%iTO?wtS7osl`^gwor&Iq%f>FPU-YT&LMP_uw}Rx&yk|
zFHdmYu-WX5ruMVvr(F&`dFFqArAX!Gw-R%oKAFGi>DS6<Pc&~vcKx{h`8D^<cQaKs
ztP;%6-zaCd(7XGl+s&xQ-&VRF{rULOO)ldP?PU)hr);=#u<PT5caIK!KHqc7dQ#wx
zi7YqH={(Tel$z2w;e_DR3EEi^$qYZQPn@XT_38efXZK^O54>0Wob_Ro$gA?hJ0F_H
zJiT|kqf5W$)l0TFUJve7ym0$r|NO3{`~w&1`)?V|9(Y!-uxTm?QBPuilzaZ>j|S<O
zb4eF|nyzj%Jvll24deH|Z|qN=-j$W)^nP$_{)0aaN3KiA+?-S2&=vRm?xZ7`Ewk48
zzS&yVF=O+Zo711%pT4$b-J#DN53+QQy<EHL!0JV(9FqT=CTzLEoc!?N#}+R+SMC=9
zoOMYP7bG(_n3zwpEc$dMqHx}m0~Z@Un@2zWo!@Zii4ga?FK3S>zWMmS=jNI_(I40S
zOnYMgd8*;IE~C;EUBBlqmTzA1<M|tA=a?L>%CILdUInQcJ$uvl{T-v^{YdGzwn_RA
zo_=bGd+1=;c`H08>xrYy<p~)){Hqi=S9iGmeD>t!5teYF6>`ZRXYQG(miDsYhS+N+
zo|i>Q{VVs+P%y2$soZnz?X+9|Z_6z8pFZ09w)ErkN1u+pN_t(e;p)T3Nw2d~Bx)Z#
zKK|v}yeWErKfQNOefIeIq$#}8%6s1as+=abcH7GH={-N}D<`dL@I3l1;mL!iu1vYd
zUdKI-nUKR+|EjJ3!n0>{<5&Ve^1l#$wDv(i%en`)VJwrvCK{T(^?AAJLvG}R=|`Wu
z@b>OXm3*3VT;au&7teWKyCnU%5@WRH?(Y;&zNgQg2D!04`&03~A*<pwv*BB3);%#b
zA7$!W8?5*_pTy)zU2nX$`4zL|jD{0eV~kBEzc&v{edGM^_2k4OyOvLEUfryn^Twp~
z(<{q^TRS>fxpzFbw|+14eg=zeWk&F#FyUVu9BN7L?JGM1SFBc!|D_%l++b$>&fbW@
z;*Gs}M^pS8qnYpQBVwlUr$2sU|4QoU8~d2J7m|Bs3Zzfvn?85q?q46yuGrZ3UQ#~!
zrBp-o`IZKWmx_{I=?%(8!4nPNTHkCwVf^08@4(y%p~qfWzA#IFW3lGOvJ;%yZ_Pg(
zyy0`0@tui*Y=d9f1YW^Oov#iu6~2u9|H>kL$J4WKET+AX7GK@)?&lk`q?ordOK-g~
zFDX6F(qN_afidP@=ZkKyt~D!+8DszF#_0U?n7-y};R~}EmN%vrEJ^Q7EUID@7yQzG
zDf?h=3{UJkV~M#79<skS-VpQk_$$L54_pr`y)*cDuH#Pn#8nTEJThx!kGa^fy|m)>
zji(JVF=vzicQ5$<W{OAhv(}$IKb2N`Y<#dSVzIyu`!@#rbYEv2ySL@wq1kVYj2~3k
zbwroHH$Hp!;k>j4G5bF*F>hS<to-^gdG&SPScd+G%ig-qJ+;X<@~!PEpZ7*T-?&c{
z5}wHQRp&*%+FPSzZ5!g>dYq1pdsp^jRb$D~H_4Ya^1S!h)bw@ZyTmC~N^hpyT(5rP
zI<esHhgHcjuUy?v|E=rN{`l6VShd2x?C+!3IuAcpd{26tHmN}Poy%M+i<nQbFZHfT
zOgQ`Tjq~Iuhl<~8roVGZ|5#)C`jv}vwbSbfzariwm)+j?sll^<OZQv3)jF?pWMbaP
z#XNr@_tqnQBg^(fFFlo64tH<)Ki8===8fE*Hxt@7ZhP<j`80F-vnOv~q-=ca^Z8^;
z@z&pRFXkUeYB*;5CP{57-^Qc~68#Hg|L>W?mzc!!+RvT2UhIQ{5{tlA!S2f^1@?EY
zpC#D+-d{F(((K;vqN}H>{&?@_+5EGv!M||Nx}t`;PbU9-?LTn>=NsGKI&T`TZ<3ha
zY*6vGUdvL(^WO19j!@yGH-68(O>BRz`(Rl)C3OA0ldonxdL#4d*QfUm!SA0QP`P+H
zMl$aITL-SBfHg6z-Z*@Cvct?hlHKUu4B3fMk37WIOi*A;Iv(@w(u%a?tXb1c|DB#N
z#du@(x1ZvBW}J~&p~f*GCgaYU8!_MXX6hy~e!ZOkf2yKvOqk|^Ll1u(xE=9pK~*Bp
zLMhj@Id9(2%uq{Pb^lG`pG$LX)w+(oo;$y?u|Q(a2F7WAJ>qNDyh>?GI{(JbJN=#3
zs#9+)y(T5*HE_L2KL6g%t4NUP6l>j7ZSPEpu(xWrrfO%~y<NSRHBz)Dg*pC)uWL%u
zvE9Gk+k3rcNuSO-acaZ$o`aGs2X{SXeLJPW^e5B7Hz`*73y+j--IDY2*S`13n^vbh
zddpZ{$z|2kn>zoEUPfunyFyj&Kh|&Tx;fv+yfc`7nQzIa3GUAhOnRgJHk|G1{+f?D
z?}AjdXZ@TZ`aac*H*mYgr#<g7<}__o5t=k(qV+qw`7_SGVC36g_0z&=-R0#fw#`ab
zuh*{#`P4A?h27&<s+-?Mght7`bAH|SHY|Uu+~>CuYvWY)bKi&jPSyIgU@dR*f9;!_
z?OJxse-pZJnd$G2Yd2=(7{rLYaoprmv3g!tljGG2>EGK6FG-y{9kjw^-hFme{gr#l
z-sxC*p0PHYwZpdVP28;qCr<1LUwOX&<(ZE+%747svh9s-ac<}4qbu|JFYA4N+AXfP
zdLwtlHm#FyL{ykg&R!YvE_(eFX62P}_U9a4J$?8}gQvCsA|K=9J#Vx;4tR3aZrZGR
zz+Oqh@#l`h75m>j{BQ9jJM8)tz0>bCyiRgtFHC#3;)3f&HoF(s&z+kQ@-Sug%zoSM
z4V#-J<TtMPo%GT9`C=8>{)HQEv>u<4@$q|u7;`KmU;cv6_twn!l-GRzUU9h!^F)E#
z>W8Io-YhI*iv6#l{-KR!zV<7HB!%VD4-E=uton9=ea(XSwq(YO72?0w)@?jL!EV-r
zVB>d%H{Yf2ITlm-RyI;0;>OpQ2hS!N&3Pp&8mW-Avi{(IvllX54BRKKt_XYhFMVV1
z*##!mb<>zPcQVa?uuOLLq4RH~rfSt6I43u=K<U7WBd!dMI*c)^c5X_Domuul{H^q(
z2iwlR$o<IhP(Sj(|IIVHrMtso7NiJESZQ0Y>L`09!}94fW6W&(w=$n3E*;$9I6o$x
zDO_&J(}dW6?_wDz8j7x8d+X-g=u;hE<QeThq#t|9wBm4m+=A(w7cg?g)V+Eo-t!~u
zRkiOyuYU_(ectg-`C!Q7H>ci;xpMfHEx2TSu{LbQ$`i7TzU!t~f7>E;>XkwFON)mb
z5B(=A3B6c#c150c%4!Dpx8hGH9ensU_Uv2nb9;*yy?GmZ<3+m!V*~HuS8I}9ZtdDQ
z|LDgvk*}6cW;njezxD_NyTq&98xz`|DE)dn-T2Mg`D@Qj|NLHh^6TXv-%1y4=P>-U
zm2Zxb$(wglCzP+<u<ZKTEG#iQ>5-kot>y0|pSn8yS#4PLN#IPwf@hB}wKKno?~mD-
zb@rzYf5+}wcO~CU*1i$L)*%!#X;1S1l^0GhS-+7uH(fya%|_81kH3AKpgVhm@<ai5
z0n0rv#3eRpzMq^NIYsa62E!R|AD-VTdOq@vm}c_3iwjug4{u1XoN+1JsK9+gPT9-k
zp06_R#ishaxo-DL@bTN5>*gGNcWukVb$b@LByW;%<z}vXC#E&m#c$oMSfhs3b?+BG
z(X8E+`FX>8K}N~LN&2r7@2$S|ap(RAj7h7G$?jisYr=eme{Us4e>&{mEVl8jq*9U2
zLobz>*0++WoP};0t794FKlr<Y|KmH!;*;$0D|T9~VOewQ(A^0h_1{*#F#IrK*_$_~
zwnlm1ejC5%P1Kf#$F)B=-$-YEe*aW=fpT}V)eBMakIJe^KU|cQ<jW3ks>^v5x9`p5
z?HydtKQ$eWnQ&lD`kP0;CUGu)KXGk+Tg*uXoi~2-_fJ=B?@&Gd*3s{i=hF43@0VS;
z))8ksG3I^v+b0fpr|h?#sKsc^l={M7^zez6*tM6cPiwQUIbHwujo<S4sRd;(y1%eI
zS7o{z{l{z*)7y6wBcCig`R=7!u(JI-zx%8BpWeQ(<wNwF6`ypuH}0P^PgmgX|Fx&*
z@_vr8ejm2>Om(D$;f?zfS+b*k?^zJ5Zn*mY`qQB&tC%}~Cw~dPeQ{g*+B4g&J-Hbb
zEWP#XUgV`HxPD%E^vxOn+>39|u6UaFZSAeqPo>tb*|xWo?eQcgUD?;`&s_cW=Z#;;
z)k(?|JCDAZxc>Cd*Ry9nt9d)Ylq-1+-@?DL@-N*udXj>^Fus|PTfczi+H|kxXEB*S
z8tm&|y?o>(@O44RgH1`13&J0Kh?)OD;OJ}rt_!#1jbbMLdjISs!-qG1-Vrg6ZdMz=
zd2ZZ%V0}*Li|DeK{x_sw>{I-6;Y%3vkKl?g8@L;pWB$ykJ-+c#w%oR=jhxO&Z~QiM
zvYvVK=99(kF7Ns8r{qu4Y&872P{}oglW}$71GX5y8_J(wPp+IH&!4orzC(TAyUCS|
zD`xkbp2(|tJ9!V|i+~S2FU;L9oM^I<m|1pg#kqy{R{P#fo<2cwL96LM#tp9$|Gf@$
z-@)2;AT;TRw(RR!2^(L%n)`A7F7}F-fr*DZZ25&_#GWjYsfqo+@a!I&DL&!p@29=i
z-raQV0b|U&sk2wFIrl&3&9v`7pI+beCaCD=JAqGc0x$o3BQS|2)hT$*o1hrC6VEdP
z|Ml))^O`^6P2kU-%l~(sz7h54;un_Z>R~^_KCXFGU3p4-Ve6XrK{1aij_-IA_%!RM
z&fO2;9}gW&-tg(@O6FM)vW~x;%EzAE@N9#NX=Szg;pUACI_AGMojb{5wTSS&H$h$}
z1Ey{~ly^&1^ljkzsaDJ<Vj{R7)-PgzJSocX&9t-cs!x9s(OrAy*72kjje2E2;%!!n
zyIqWBX8(4!L`8y^X}<N_z*@iA8xuIMMDZ|odz4z=@D}~O#WZK#KGUmwPxX0DYrhNn
ztK{(GR=|bmH`9D)dz9F9xYZ@CI6onVFM{R$)V0?2uk_!&|91LgMt5S(n;ly2hB78^
z&aPPY{6*OL1yWg0TqN9HPTqX;)T5nV582;L+W3BlYQjGM_x-7Fs-I8FR({jj|Mq?L
z{Ap1qw~Fqys$__KQ#&zp>z<m}6T2Dm8_VsIe!f5ThNGWxLipVd7pu$jQ=<QDbeV8G
zC4B{-JHz6n%X8UQeHZ7S6p$4EX5Vt2f_&|__4)5t9bNNUYb#f2&YPOpe_wY0c~^77
z<m$%ec@6vjs|YT+^*S>1>AM<Fj^9s~WYoT^R#&tZjhR@HZT-G_>W+_hKfFoab!zte
zs`*)w#f#rrt(nl9KH+*l)7$F#(P7-rzRZ8~wq{F>{F!&>Pg?!oDyubbui}KHT@0l=
z4wWBasXp1++9@TiK4EUW&TgHQMHP+j8q|NXtepCVxiOZZ<tFo<eVQ9$8D_}cJn{0e
z;{mo_#ue<fZ`Qq={H|$kR13$oubDkvw^k^Zx;UMQF}S&@V@<={6AY?zthi!6{bDkF
zvBXpGU)8ZWjI(yM9QwMa;itvKo%26Dz7%P0{iZd37VFxW8Ah_RfB7t4_5aPAwvtB^
zYOLS4E}t$D_4e242}(cLzkj;<ZOi4Y5&=KnzG^O<dG8NbgF?lI-j8eEp4uc+@P0z&
zyJpQwqmZ}pmDcZC>Q4%FuYYT=%B8qhB>zqG-1jP)Wja;b?~2ZSc>iKS_mP0l2Mha`
zolDVJvgXlTIhmwyrzfmZR9lc1CpF9U&rIQn(>vz;e(=6yzBkXr+wTgaBtL&z()Xt9
z($9}emayu}$=ALuIBl`x&B4<vS#zgp&3xlK_X^upUB>eFD=L0$;W_$dS@~;T?`f77
zm>$kKeC4ai`e2^z<)^uJzArn~p7dPve2UQd)%~X0ZzCr$#tA)JIp=yxz^tFk&%Q19
zy8WicQ~CENCf2uQmp8FTuD&>nJ#w1RlsDy~s;tqb)f1Ug&3xDo?~Y&drc6gTuaf=X
zi`t892j|N~tXc6*t<j>A+jHe&e=Yk@471*r6-^5Mqm;-qQRmIXxk?5$Z)cz8>VC80
z()CSTiyPDDKMa1t%KUD{&+@N|Clh|}{1CbRN&l<WZ|<u!-S^lR_HRSy#~B5)w!FUk
zX=lm&ucAMnNH0IJr{>MFlU#gnS54p17rv&e*dwu!nR$~$NGIp><B$HVc_Nf_ZO@7~
z4;c@vHC13tIvV50Zv6T{(@DXv2R`T(X`DXvZj%xJN~Y(B-dV&Pd{-&_;Vy5@yEU=7
zU-e)8U$gGcqzEmY2VrS{E`MC`UGnYxzo)I9wTcGadz-Q=+`Y%{)x6akQ*U0_+Q^xt
z%;Epz&zq!d%M}h2pFVz>KQYes+qJie-%cs3CI8yJvFhfQR~y&d@zPKHm%sMrzkO2C
zUUA#rKHNOx(gvxw3FX}a`fR(V)%X0&Yv5OYk-fqC>DzDb;@=&~$o;zV<*c`H_8)^a
zVqb_vG%IcHyxu2pf6qp1kHGsse_!i3|K<Se^8<(M&m}!{@0^=9<M^KyFCV{<Jl_0-
z`(N7mulhF`f<L<DyjgWp!tizUEQUOuFWTo{Z|c4ICOUilK~BqtMcSL){T}|xGX9h~
zp<zE?tR2J6Ywu5#J3Nl@Dmbz!LTrKyKkNS$S8tw(?JsHEzve~c$xWvo9q%tqd?K;&
zW0vvDiT`Ho>WD1(%k?7CG;-6YhO*-er1Jl*xb-k*qsS2k*|ay2(fYgeC+%cP;8b|G
zra$&T$XA(-lY2KRsEWUgYV(Obp%U8Rv@<Sw!}NX?1sjf%UD+M6a{mu}J`u~XfoaLQ
z+ghLH-ba-GTX)m4a@N6*OF2X&(~=%PKCofIN!f3)D{g(<r1<DnsIS7YbDw8!JXifG
zLHC8EI>)8itFFdA{q{!EjL~}a-)nnI1rxjlf1i#%+428P;4F!46-QqL2u~1TUfrN~
zq9JpZMt)L5Vef?BtP05$|8-*5-dbvYg74Z({~Np~%p_z_h^$|z>ygsRWx0tnr-ygz
z+Z*{ePnT{p|F~nq>|VW-Tg>?wm2bXj+&X(j-N&y-*W9s_y!rMdljOy?Rd@7-1nLzQ
zGUvYwp49UE?E5Lp!Y9OZaXi17u;xwZ)y%6WX0Kd5b$acOq!*sXUwi5{O00Puev(;#
zQeefYu!#n{z8Zeq687lDr1LK#W;3hTynmDbmjB%Q$Y{IQFAb6oBvqVv_w8-uxfNa;
z*w@|bKG}6Q=R>;Oi$y=X+ox%--}~^FA=3rvdv7E3A_aQ4a6DD9xTIh(Q(*OXiBIps
zt{FLqeGvb&+Wu7Pe2wS|=G6y|Z#=0j*1-2CY4Ym3Nll%$_a;qjN%mj)Ue-+JqQd`G
zcW=B4eVrnmxIypp1|DUB3HwjXt#~D!EV|-H1^<iY&o>vRzy0*Pg!j4GyVc3hKYnA5
z*!MO#Tlme-D~~s1Z<P6bAfx}yv-O|e1z$heCI9c@=5sT?8ohbH|INzW$FJY-@ZP|f
z^fpY*!v67x<~OUHV+xq6&AJ`pCan0gv14-In=tzy=ab|nMV;N~)%PZBzdwubM!p3*
zAHSZ^duPEe@kpk#6BeI;6+U06u;$&1`MfXBz1xuf=2_RCw-NiNdB|;c5#49}{%O_a
zx6l60jeLhX|KGsy|Bw6=*y-vjpIjT$XEyu3eHNc&{AbR&j>$8-YF=L7_U6&8H;+P$
zb}+nsq`x3A_}!yTJFMS7nfmI{$G1;X-#z-&QPQ_TL-g~TN6{1h=A7KQ?9IasN!(MC
z<qW^Y?BM)3sb}71MZ+c&qhFHm?^bu}A7qSq^MpNSXUzPUPhLLh-8duv`wznli}?S>
z*j;?}B<#+vU!UJUW=;NIej}El@65$Foe9SuzUq@t{=+bRhpAg8$Ik=vV+xYaANZMn
z?`>b&xn17&^>6!}V;P?Q>Ra~a&U>qUEbpK1oszQs&GV(tPQHJ3a8=CPXGVuspXmFs
z@*Yd1$hjD+$kR?ccAkItB>A4Kc=b)yXYakt-kgg~|ID=UP1o}ej0a<?H|&#dlV2do
zkkpv?KY3b``R6wmW$)_04868OQQ75_blHLz;cwpTP-PTOe)DES#@RPJ?w5y6?@x^R
zv8W<xyUO|}mu9>>|Lf<f_gkZ1<g8ixa(m>CDd)GSWmzOubf>;a>fEfBHmO`b`^{GS
z<TZ>6H{6pZ#wUv$;F5iRw)N+l|8Mu6JsJFS`m+4zuOg<FiRZuF(pmfIe$DSS6TVfv
z+q$}^(^KL6pKYc$7DOCBbH#o#%ZFtPy~`v1z1^1m_{jA)=XdRWx3iOF`r@6H=MM<f
zzfsue^}OTmnh7&cJbHFDW8OZC{1dSZE0_%5@42PUa=rM?@wOXP3Rey8+q}p-_UiP6
zo{#S?=5<TmkYIgrJp29r@MBHUUsrCr@$xUvi*16dw`$z{#v0AE^^e3Q@sC_5zw;+u
zd%0bsdjEpzi1&M6d;N-e_I9bwN6WCL`y1cyoi51#=iT(F@BclW^z+jd=Gtwy&F4=`
zzq{tm-cWV1-uicYo-fcj^M2je{cqQAJ$FF#;hp67>(+`V)J!W&n(%bvl50Yz)ORhs
zRbKyM?IDI_u9z2V=P_h|_!RSEje5e`f6IP2zIm~RGhzG0q)opP_D+a;v|wBN(+48v
zx8HopdwcA}>!i$t=l9fwWB&9fy<8P}N8>{b^P)w`n@#_$40!hTWA!zy3(srbeyrhr
z`|%M6?}v*|Y&YiU-?{Otuj#HUhpOG1&yftAGuQX*HtCcVm0oDz$NcWXj+k&sChpfe
z-mH!3aE@r`Zg-i`ZNQ$i``v`t|11+`UbHiQyXCuL%&#tmPfXltZ&szfKd|}juJoCK
zw)@Y_-uj!B=d)GE&ri1wKR*9vb=;enE&R#n=Wk8rwtlGk@l@u`Gb_)ZnV0?ZUH>Eo
z{rB?6&(E%(`{wZWzh`Eb>5JO_<o2I<(VaE^G?((0Iu$PC*XQPh?qWSSjaT;k+V9gE
zX8&%;dGk>=Nq?oA-vuM_=znil?MkWk+0&$3|9<;)z9rKHR=ic+n$of8-CFIR!q?vH
z_?_BhbJ6q9RGCQ~+5Rf)uDzMFPL=ZjLw@x8k3SzDzry3cp7r7M32)vQg}+PT@Lu<2
z>5>^yeB1SIGGxB>eZJ}4^}CZeFETR=xW9R$796=_hJtX+R2I_;ju?kGYRheREPC_x
z($2%&hc-(I?mrRzk@G?M2a`9_-RIp)dmi?_7b|^d^knkAH?v>)>6mZb#v7h@(_`A1
zrRU!U{CG3x_u7|Y70>mJUcQcdBf8Ut=k+;_m2vMyUrsj?c=6Ic|Lq)3qm^%y*Zljs
zK~h<J{kMPbL=7(r9D8}>y{PuFR|nqDxz}tN%do%WPv{Mvm$MJYXuc7(zWbSJgY)y(
z7e2oc^?N_rT>hrUkBb^QAFASBDs1fhntVI#Xy=#i6>9M}Bvx#BXmUf}^ceT8mbX*l
zC;Bi|a<r~_FZM~5i*fZEu^Lq`o8mV=e(sp~=hY<1)ywuzof|o+<oLU<DK8#BW||x!
z-~9GV(xHzJj=%r(<MoL(FU6HF3jSpCK4h}-{WLY^%>B3eZ=A?Wxe&a0r{WC(Me7f7
zaqq-`rUn^J^*ugep4z3U))yl`c^+B)nx8TG-TxIm6EAK3k>whgwTbEPr;w8YTn`t_
z`g+3f8|%*tsfJ%!r=G58kJ)NF{qtK1zw<0_uBKm5=;fTSNPhiA)*Ejo?0GLywEeT}
z$G6kfB9173R1nYo$Sa#YHL#28rG(5oqnm=_?<AJ%&Ho_zW7Egi=Wo88xaa+pt>4W*
zc33@cO#1vnynDr!vu~Hz#cgZ6_0{4_<%&jkmCj8P6E}ZOejh(Q=k57ppN-$#ymfPC
z>c=O)rIN0-zMhdH`SA7ivtJDF=qhUd^xAdx-p7|weXq^q_q?0+w5!^c^Yynkv(A0j
ztEq0<XLHP4iQ%H1#rOMPIm(Y6tlUtq_wC);eST7bPh#q3y=MP>z+@J);GRkAoZMKr
zkDbbDN=#aEVe$l_1O4Y8C|Dg~3R?evRsK9ioi!cmhZ#03(rY{QfqUcD@E=LHPwcso
zesY4_*EIWmPp|BKe|GMKhBfc}zN<2yvWq$S{`Y&otLihJofP7o@owMNbNAkzeYIpk
z8sAg<n+tATd{n~oc)rnBm-Rf)7}jr+uzurrdn*UypB05lBJY1HGjGv+dV1YAt84H5
ztbeL?f9H5*buy~)%%?YJ<K}Pt8XLhhBlUQvu+*E~Z~SWGCU<?jwDP2q@y6P|jhD`T
z<Vk;fcKwMb5r4MT_Wy7?()f#MgO=X4jY%C$KW?PH^SgWR&AD3^F^@NBR=+*>v1Lbs
zT*ya-z07aUO@FvL^aDrIjwq>^_n(6jlmET*+iU*KaI<B{n%NCcMCX5yX_WZBXN#t>
z#{Z7Bk+Y=dth~s`{6u?i1NRU12dj^N@Uy$OA+UI7^aiG+JuCdWzjEpy{$S2{V`J43
zlMK#B4@&s_wO4o@d8Scn@Y%XUqh9>Q1JgdH4QU=1I!f0(SoFc=&G|=*%09n4KY4LA
ze^cxqhNg~f=?^;O=YQmR?SJ=8L#WfzjP+|uFNQ^I(mA?wS~kmkjk|d<r(R!Jar45b
zvlrgfn|<K5pR&Fp_W#bc=fCiV|Nr^nQ8Qyq@;05?rnNCC2g_?dEK51=V7o<U?&_Rx
zyyfpdOh5aDx9s1Wi~F7iynMS{|7Jq_+l#9|bu(;;d8ZurDdF=M-q^Aghikr1`u+Ce
z>MN{I-%knp^CnEqiuqp5n|;$#&c6@1x@t1d)6cKZf8z~*$@4V3vSj+Zi<fy`o@P<q
zqNDxw-`k5;|CoM=-e7z&E0O67Z*@<S-;!%vnx}8>xN_so#me>Dbs1y-mn8phD2ip6
z8T&u^^{()q&(1F|*zf<yapR#L`<0|k;T0C0&m~tezTfdm(52xTd-j_Pe;9ulKi<;(
z)@w)G9-W>;8|=3?mz_=e&Ur0;`;xa$75l!}eR}gD{FBPQZEj_o4u06Gc`J8Y<@>j<
zKcCdpd3m&d*P{P96Sb2r`?S9OvR2Feo8dC1Blq5X*1I|5@fW6DYd#$M`Re233*8qp
zkH0U|zIsyl%r}k7j~CCsZ1`yVzRX*9$<?Xi|D+lA%#`^t=}OP)OE>Sw&e=O@OUcwz
zAJyjxeVFs+R>QZeHv~6Ex=OLEIr+XqiHX1L(2VAa{TpVzIq^gNQSR}t8f_cqC%>(j
zZ)eHWx&Qn7vkEFJ_;;WAakXya;U_1jzpt1Z@9D82_TT$=KhqERm~Ui^__T1|tl3<>
z{~EuP?|HL&+PjMSRq+bX?B0LPfA>jM=vVxE!(%^JZSm3GzbUK!#;f-g&;LC=x8OVP
z=C|+5Ck6k^n)N2Fw5mcj=~RQn2lbdAj%tFP>wnyy@MA&54p#d$NpD{KI?i<CkABUo
z1<`LrKI}boZN>D1)=5tfC{|y4>}PnT_}IUqw^i1)nKC=`-yW0hsG2Ifa!Ky_EuMB$
zzc}YV?ppLs&7UP(x?_F)K2^!i9o6sN?SB&R=9<f+HxJ)V&oErAXZrhHf5;^bW|q6^
zk3ao?UvXs@-}c*+w*GjR^*oBPz)7elrc-2L*qiK^-`_5D>-zHO-kXPc`R{ITvIu`$
zcurNQwUqVXNv_$Ooa*Y{)O%`Yed5^mHp^d^ucZ4+-GX-0{#T{1&F`;yo4sjk?Ds!g
z{nEZjpWGT%fA4kk$5#bi+?hT1RtflRVUHF{e)H<#&raRR(YH5+e19_Q^t-J2k7M3E
ze7nl$Yu>Sj)!*`-G*3VNUFz|QRMG$QGH$+mWXXMj?ZfL8T^pHRJ$n2t<;lwW4Fc`L
z6Ps;6ign*Uz~s#0$`Yh;g@xOQVZxcIo0A(1>Lz^(*ZB3NsCa?q8{VJalw4f2*R=gR
z^>X8Z*L_M0A{ZaJJ!C&&wP(Waip_=-{#}<`W8e_;t?d5PH&OS!0yB%EoWGXcT_fJG
z?$GNWVOrnzGVf_AI#vBHRDYwj(*Kj^yT2-{Cm-l~y+rY6)c5?Y@ntVBr#LEXH=gbv
zb5ZW4Nqjs1BL@zNX>;~Zvp8q@py#6NcH{UUH<x=4b{lrFe0vk~+U_QY_cvzEN{>n3
zmz?<Rv7o_1I%faLqKS+G+1&Mi8ZAC@H$>J=Vq_N(oe&?prlaTQo9Odz|NeXz{ho!P
z@muo0{kQv1c3f_+{P$yv&Qp~@j{uE-UmFtqH#CP`o3ruZo#z`}j&HKCOA7E1Kb2-0
zxpC>KIcFPLJsKRlO`9&=jQ#h#k$-Y=e5dad!M_`WkG{X^zyA>5{}Z1w)iylhW4so#
zA@9$2oyYH@Oe;Co-*7qpHmWYEL)7j~)OIh9Cu^j(=}h`)(R+s}d(Dfew1tkQ|DL~#
z+&$;j>-X2@PAd8}Q_sA=n``Ii&^HaG-79{EzIl6P%G=2Q$1IXwU+R8wzSaHZB~k4k
ztM@$V2)l7&*3q|-^<S$$xXU~+jd^sMqcdltq3HiNkyrIwtkh3E5Ky1=MzCH$f7`<C
zPo&GfR%>;?d-tXK_BI#2a}RgFiq6_JDdg<k<k!}=pR$-6H=RCq@l%OsfwIA^Z||ai
zi?AjOJiqbnYyO`Ji=TfpdnodN=>-3ci(d>MFYqv}<PqGU>&nV$x6$I`qmtxn2GPI2
z2~1=ve{*fRRr=?ocQO0_&;8>2F6QpOqR*E9Zr=L*Ba5F?Cu!0Txw3ORJq7-jP2T$W
z^2=!3@-O-~-$lQlWFT($XWKWECtvil<dWWfDgSB^_TqAiRBPkrX2ztJA1dE(aQ>Kd
z^oQ!t&67UQ*cZ9E`RLor{!3Z>b-o(bzWZwMPyc43(VIzUCt07UsQ#jN=_uohyOtjf
zt-o;Wo%qpw=R&XVI+Gf<f8m%P^Hrya;pA5x^@exrw**f4u5<dU-sEpOr{7GfyS8CM
z%om;WF)JA7-+U+fd)nI6L-|K%EUWm=^^j?TTJhG^le+l1+i$Sd-|*R4diIOP)6Trl
z-}MjdiV6Fs`tVrHXXOW%l7bdAJ-#zxwf+7Xmk(CPcwBH)I#}A>Gvk8i@rA1oH+_^o
z$&>$4Ffr!kM{ZVz#nn4>zuk;%UJ#T2$?)h`Zm#oBVx&3j-cPnY5zFxG$b~PmU%wt_
z`N-Y%O{UuZ3)l0nQnOZl(>vby^#6k=F<-@Rec+tk&~dl;qi97e<LT%%Egt8>rq5h?
z=bLzW^jBTs3pR|aCoQ}2Np~_6?<dK_F)a(LpMBANpZ)vo&O7@Bwb#74adgGcF1u^r
zwDXc%0=_C`y74uw|Fh@bo7=bcxz6}5a{r6Ltetri8&-c5`S{5|jb&l~($f=#ufBP^
zyGUKC^V;u^Mn_mWS+*5xo#rt9B6&sZOX&Xzry_2;zxmPq`0ehg@rt77K8U{9ZM{iR
z{(;22FUH&FoN8z>KifKY^_I80<99`Ctj+t*{Qm9kn4%f|Uj^pQXgK<Qw_doq=EUc>
z9Nzz5^?T3zwU=}6G$^04UKnY)c;Sm=?uKdCZ`^-j`M^5H`_0<9M+1~rE~w4UVgBG)
zHly+LSI6F%OAQIFHcW1N-mFz-(2ct|>&(N<*K5TK8Ej2g#a>%!6~T04`sY_``x<iF
zbx)l*-;uc5VnyltUZ%+lD*sooT-bf~=9Z+k8CN$w*unq)`8V|iU+w=teHr=a#o5id
z*?$;sFg%)DEq~-v(&Jjj8_wyct<OI^X7}%;)sN2|XODM<|Lb&^w}aunMc60j*<aik
z-skL?Vz<HiALETve^v%;JlDedbGnrM^=}ea>t3$i`1Z@Qt<|>KUuARO{_O1znzyFK
zabC!(CxV(^Dn7s4(Dz5jaK|UH=6U;P@p(vyv&2u0V#xXG@pOx<?CbYiVv4HlPA$@6
zKUn`we3Qh*DRI_6-|c*!+7tH0<Mo`2s;%`$zsSU^H;QI|6VPYBb5o)1?WCo4mEHN<
zV%BdJsbIbN?rB!z7n%3o213`q3wZHvFF(D&^_#%0m#o+Se&zhCYybQ8n=K`EGY>0I
zVKI*X7AbbaD~{uZh4IAJJ%2WZe&D|0_knZA&jXKR)L&edm*3yuz46SB-CQnZF*{a#
z{1P+w{FnMSokwqUCKP^9K6Y{ISEsGJ3XgtBn72Llex2kN(^C#_lK*!u@0-CmSz_Pz
zxa)f+E6@9(RR5>bkc)Bkq`;(!zxI3+Qf+*`yX)wqjr?ajk0-Uq$F4bHH1o{$Nsjm4
zE(ra%Y3JjvjSTWX4XP(6?>UiE@vgX6kK<>A(k;e2Uqnt$67QVL{qz0Hu$vPWemt3w
zw$c3T#mSF;DtSCqN;bUqh-bD#SJ&sy!fKO3>mI+{DX5Sx8oA@=7ZLACg@2?z{#Bk<
zf9>nb`5$+_?Z5SC^M;Ul6Q|b9HvaSPVf^#0JCDAfr>{QY?CU8LJK1{A3FzGUVi0%X
z`J3-zolJ)%ch%OcJX^Q&&Bw;FL*I6Z*-r}iHAA*@pXmC&sAsR|iqB-Yd~N?py;<%P
z`gcb>P+hb6(2e(VpQ_BLyj}iH_~IsE2Hw}4k$Mp&|J7HY`fstI^iM3qn>orStku7?
zM|xFnEL!vNgOn%JUIyoXKR7;4j)~~pYrB6!<jogzTzTh|ZB0LY&pLnKmz1?16HhQ)
z*btciNX<t0O+bG2hJ$}%U(Qx$_+obDL5wBuzZv&EIoOz4g&5*CWNyC5F#Fx?+Eq7v
zKDj;Nu=^s_pY(2at>1;R51dJ{+w!Hi-An#xT5<j4;mwN{@O`dtIQH#*^22k}P3mjD
zq~C7rbLIGw^6AvFSC>D(nDT7Z`{NJV^vq6V2zhaw{&D1dCA0d2<*oc5WlSqoir&ps
ztrW1?5GttHm;8F7yR$5_@xOW8b6z|ah*JsqD3I~KWyZ88>1Qvh<;N|E-~48#-+AVb
z6Q50rs9*DL=I5=SFMa+hR<`|}M9PytU!&KiK2~{nS@x$w!<(6^Hx-Xu(`?;k^djeD
zOWCVX!51Y<qrb&{PU_@&wsGQ~j*GJy;@`ZPwMk&no=rD5?0-3{JBshfTZy)BGWVF?
z+?tU5KgcZkm-imVq&KrZFK20dd&$#s<Gb{~91Sj$HKx3p9s0!T#pV#(`Y&=tpDX_J
z?BBXz`sX*Zy?=gQzh`sW^N$;xliHmcu1$QqX6lv4?`O~bwP*9aig&Z0=BNJ_csG0g
zv{|Vu`DMfZ2+VzXFE*v<$*JF>HwET?UU=e+!16DVx7RRs&Yksj!P>PSw<>$^e6;@G
zKf!Q9p5W6zyua^#QHX#0W$y2L2XxavOgw-5j56oOn*z~a=k5FaJ-BT9q_i8_?=C)H
zchto<>MO^+P0HV=R9C%ydvWf5*MQO(w%6U-Pd^lw{F&A|pGWe~lz`i2U3cFfd~kA=
z-`k6Ju_wLOeshVxWPF?V@zqb8&h&q9de(4Y-`BaHn|D0_wl}|Wam2@c%J2EV)_r{Y
zVPeqj?}G8$-dsFCpS5+*mo1UgtG9ppc5&Lb;O(b2Iq=2a6#Dz_;&Z<w?Mu?7ERUxO
zvA&tw_;Xs-e&MFfr0kB+H=Hk?+<bHKxqo2grWsB@mV3_d2(UJrb^2zv@0JVaCsyr>
z?A>y-!{$}R#n?o_Y1LofrOtTNB9fo|GVj`Vlgdpmq|$#$KARvT{`&Xg8z)4BH5`4`
zzdN}>{O!#yb>B_zFZ#!Cs^XuK`twWh>rayk^Z#w_U9F-tTjA>OiIHhPxAg}rKa7a^
z8hm@gW$S+}JM-@`eF*+D@roLY%})!dix(0%HAKf&F#b|)pZDfsxzcwHqfM?GG{1+G
zA6oH|Va3+|D#2!rT+wq$AC6oxwp%0hDYUYK)Asd;D<>9~Zv4deXMfAP1IhocKMt%i
z+nx1uqtJw}Z)R}MZ{YlTVwcv|ZFf(<vF>o|+TQ=aO)F^)lXcIo1KhLUbk0hQ@tg8O
z^v&9&75qQH&lh4$RD3<5{n(=i|GG9*Y)JC^ut4y+fwsQ(ru*M^%=$dRhu=1T!OI_B
z$*X>}J8$WaulY7#m6Nxux#7dd4gIrUUyfgMqIlx64(o}Ww)G$T|Gb(YRQc1ccY(pg
zAGsgr|Cy10@O#XxQ?h*0A2*!d(D9@1V8NT_J^f!J_U1WG;9^V)Jt3JS==S$UoxB|9
zf<MZg1(jTJ52n<tz4cV}c+BhS!(ub8=l>CJT&;ef`uv;f$uGF}O|AK^y(ekKieF5o
zNrlIc7_&9Z%D*?g=Ita0f!BMEugG~ewXO8l$s=8FXaD`PEqwButEGCgAErB0Rm6M}
zpU9Hw*!6_r^^}^s@AiI-NQ_B(cXfL1jjnxP7VqEF@or<>SL?9fZ{Dtb^X_Vp>Vn_(
z-$kpxMEnk6d)zJZB5FnHnw4fZKZ<>R_t^WSNYLA>di9?+Fi)GIze#G{W3Gv>9c15M
zE&VlFY2C#2^?SZWeDxG;-O)Npl;3mav<2TQv%i+A?mW3K=IbJVjV8O53x2<Nazbmx
zTZjH{5!>zlvi$vI;PE9q{Q0@Q&yD|=^E`c>BJ=&-)#=%l6&@1<MDxEyn9h;@w0PJ3
z?~9_hJv{lLFa7(X%=KF)%>A;UH)-ob(fW%eWs?rqe4kgh?ZK|;HzqYSmOr^MW96@-
z--F}#?q!@i$@BF0IqUCDy#3E3$n%<5<;$B#I5bavET12g`Deo8XA{`#Z8ly#*PZm)
zm;3$xIYG~^Z#sKYRsY`Cihpb0{<`#clW^CT3)8nO|E_g&sqUMQk6ZUgpIjO|<&5>)
zd$OOuJB4pb`ts(t-=-{<uR6&SR@_gKxby0_d}XFg(}DAiI#qG+e|u(GJz2Ei@%!I%
zx3KuHaJ7Fw^U9C+zn4#Pvix8b`lP|MA?h%r;F~{I_op`~Mp(W7^K_a-!21pQ)i1x4
zO?tPv>6-qxj!VZE#vS5#VV|$Vy`v{=(z-vlPFGBuGQD`=zhf&jPnV{z@{nJDJt<|k
zOuO(J?#<UHbiMywzUqjA)|)rKwLeYRaA;Ha_u{=zI{M!|`+Vb4(wmpl*L?Zkmi%uc
z*Z&kt$1RVazJ2%m^L#V4IsfgiCDnSF3Vl1#7-2T0eQuYG(^>_kBsGDJWw#d?2(PsJ
z`+J7MVWuzhkAFImE&u%|6aNL3Hy!sr$aUOH{;+6s<7=(3jt3h=lP-79n{)R1#(jE^
zz8=^9DZt<O^34~OOJ!SEu2w0E5I0^uZR+G%_uuT%*z@4b@do+z8@b>7JHK~<|C_CI
z!%iwPFZq!CW#j5SJNJD^`qrAs`n^*6ep8O@Tp4NB)U2DFf#3H|ahrCbvvvzh_8RFF
z_kt74O@FQm{^IG%ayQ&t(s9M*xXB@(KNYJBImhyCSC^7~|NdQ#w_w0;hI_B>OcaP;
zxw-TP<D^viI>z_!e(HQpJ1JEC=AGU5NxB8U4{dZcKPi<c^z>_8eD#+WZwcRfU+SW`
zX4JiV7sz(_la%AzcYbSm6H^$XzHVBX_fc6Z=HbQeNjF`sYsyx<{b4h$d#nERe}N3s
zZN6^)y(6JD_SZ-I?(bVx3CO2k<ewg9KKqf()-Q9PYj>#DzS;Uk;-5>T*4dTs+J$HD
zyr06z-*rk>yXD)V8?qOZHXTtauG`6^e^p&Enc)nJ^yB}b4=c|;(SJVS!_i;S9XHZ<
zb_9kOUR3?}IsL@CZu^GveIFP<<Q%iPw7l}9@$sA2A6+riU~nz0ys`4z-d(r;B;EO1
zIjwpA6N6u0J5Rp*lQJ=E%@5m(FC5!?VyeC^bMH-hbM5b~<?jC~zb^N3Nj<ljc}d9b
ztIPf+Ki6n@b@hhA0*ltu6AF6%B)66yox%V6=5jmJ$Ny8lT|U_n+&}f?)y-c;f4upi
zf78+8{loRsBb2}S%sjJcjp^f0z7uBs`4HF1l=}P4!>e%)e8)cT_&CSnqT)5ihLy*s
zx9-pIQHYGbbHyz6_nQZ`n>f}^6Hh;3^zpmiv)!-Hzwvr|Wrlq6xAG4&Cwj#Fm0sTa
z@&CgW^=~$c-RzP%JLAepM(!88^fw)j|9qmWtN5<**KaaxFE%nRe`Lb9y7%YXPK~>7
z&KLe%;=kYMZ?1j-Tl($8FN;JCeRq8MRVdeJdhOxFCRg6|2C@H5z8<stz*rgRup_we
zbMd!MgW?xQl>{Ct2|WG%>VBudTRx7JDhyXI_WyskAcKG6|M&N%o(wsAVpnqG`ua6*
z?teQOaOaO#K~hJ_o8&9*lV9GuuM+S}*!1;Dh03@0)=uZtdw=ims=pIn@pJBpdG;YG
zF_z)oy}f%TJmb0}|Kp6^`;L_#Oy1v(zkTA~!ygl3!r#pH;ZC02CcNA|^z(!&Ewkp;
zubaQ0P~d3hKK5wCZvllw!`R;w+a9efu#MxNILTMx!nxl^eplNyJ^CH{@A<1c-=92e
z*&sGis-b0bX?A3##_O~<2es4_dXsO>S+GVyFvgxqI_}gCy**#jI+?VOyt;iqB5B4W
z@tcCL)=oEkarjZf$({zbvmer>b-%^_*u448?c4QYKcxA;Tuhpj)1W7{gTwR9yW3@R
z|F~`Z9vgP8>#^Ym{crDYPcEE$!XaGeXT`q{H}^~^ou_@`&BP}sG=--0?=oQ(T;a|n
zuv~Z}1N)aBA1dG6em}WqQxiu@h3G$i%g=u;Zf~9Ye(%dW>P*j-H|<`yGy6;Or<JpQ
zbVp36l(#-q`>A5(Ug<*<zoyJ%QmSB_u=V%{1^u|j183jgt=iAUx@W(}jZ2p9Qj7oG
z?fCfUO22$}L)E*x-=8o^&oB^;WbXVYkyrdz`P-MwE|y7+_cy+~cklbRFN->kcg!v5
zSg7Kt#Q8Za_wBvkxBjsG_?l#Gy;o?WvE!;aeD!*DtUErv*}A&y<PpKnE0s&L?XPiO
zPTx2qq_2hjzVSb{uh&mDwtSjBzbgF6Cb2KG*Pouru&d$^M^^EFrcE>6eiV^r4gSYu
z)Zz7?Np*pd_kXV24QtOooZU5-tNa;bM@gyAU#5EviAVo3)vSq``CpE4{ndh$9}GHw
zxD9Q-{F(cgGyTWznD7NW=3=W32vqnbZM<M={Q3Kv%QJfU?o=ds#h$p)>i%G<srP?Q
z)cOAfDD(deG0jO|Vj236&pGsFg5RtkvF*Gc=bA?F#*|GkmY@GaaN~)u9~d^ySW^Fo
z<A&CaFJfmq?(W~H{a|+Tif_y@8<KK2B)yOQ_eD%Tb`8VD3$8Q2eA)L~=Re=iFLPI~
zZM${*-wN}iU+2!+$s@Y#$(MIJzqpoteY5J@<_CRWRPXBiP>+bIUZA}3^MPkAum7)0
zy8CtS*$$463%~B!{v(!WqHp{A9Sk=vEj#|js`|U+&W_zv|EGT0yMFTLe_!6d?q1Q6
zFB&I%A$H?eo4B^7m5XPrjsH01aCTx+#mcDj4Gk5&k*)3D4(~tL(V5rQwCL40o9}ZP
zSFSMn+jG0=i_QICS;;5-Z{OMT)#ke7439YRk2b~~FLO8_q>42@`S)V_q4#gU?=IEn
zh%Ekk)b3PH`bw4WyLFQ%O!|JtYWAt-1$E-DZ<hVs<gjMV7s<);`}?LoY1sL>{d%3<
zf{mO1h;P|*dV&3nFD5CgEWX-o)s|#jIZO2N_X~gXzv}Njz2QN^?d0$4*O%`y|1<TY
z-tz;|duA}EeF{^EWjJO1<!9oZ59=ovD!z!6&;GC>iHY;$#|{2W7XNmh`}kpl8k5Vt
z37>^FFE~2ur$Ya;1!DD^zi#|C;Z0HolkxLd)f+K=NuTx3K8Xzun<aAo>o*&nJxT9&
zO5FU={dwl%4g5c4J2~V(&QSXM`Sg0eJFh42O*+7H{L`jcAGewQ<gmZFq?K{Sj=L$$
z!W*rBFfMs3o^-HC(Px+Z`I*w)i{_PnUNEQr-s-u{>`CXCB=@|od$i=x-#Hh~f4Tm1
z`^PgUi`SgiJki1ZML+BJ@~W+WwyvMfF|%g>uRRvas_y;%^kVXlhRY!zzw5<mvrJmQ
zfcKE?itD>07fh9($h@$-O8B<$<}aJ3&s2@?x!m;4@c%Rc`-dFn^<VaWn;?92fykrw
z>z}`x-1^j3-lTt0pz@1ee)`w7>z}e@e%IT3YH#8^=96!}tzF9!AKzp??Tx^bCii7k
ze^n#{`L*AF(Yt$^J^GtN_Wm^CH(xfz1}Q0uM%Qh>s{Qz(=HwrrCoyQU>CWsbdE#Pp
z`+F6u`A^}DFM4mICcFLns<1n1(vEN6H~DGZy0^vYxfg@~DxU4$okp)jHEaLzZC|8R
z!uqxDo80R-HHm+FRI1DvXXxZG#{AWJ`qAID;Z+XXAKf*K4f%(De$YC^`Cyawn|BR;
zhnX8Bxw!Q=tl@d};kyWb#}-cg8w=L_Td{_Z^G}R*$F|uQFMQs^I`8YhlhfAy3)y6B
zvPIP{b;B!v3Hf7R%YV2&+j?|w)$OIOyF`5dZ27CoWVmtSjh|mX?lrjf=a9L?cdOOk
zWUqF!<)2f?T=P}7{L_RFCtfDs6taK6$-jv)>B}Sixx90~-FvU|A@dLCSDEc>Ki7Pb
zy|yv-yX-Cfh`k>d=5l|QnLXq3nvK6Kf7-tPAk!IfQ~JXR(V`m>4}Z9Qo3!cd%IS62
z78abH@Wk5g+eYONvPUxiH~FY(tU3Qr<=V7$4`X)B5l+xw`Tnc)$py}D&dqA9_FoWa
z_T%bA2G&E2;tAn<F4{Y*YyDAiVq#?w|3C3`#K-v0pLQfNCVi4N{mACp`B7^2f`h&P
zCd@B=xcH0I-uy_$!VecWZ#UMPE@knjb6@{AslO=<_do4hv!y2O%l<XrrM5AsM=YAQ
zT=Zm61H;5E#{W)8^?iOgp@Tu{#7x=sA0;K9M1Na3W%=^k-zDqrANzdw>)hD|<tl<Z
z8Qz~%G-Ki2@PF2-y#E`&NXExX{$|>})hOt+$)|@OB6fzJxUBi+*Q0u|SpqCEQY`YP
zV*g*0=-$}R5&Y)QH_5Q|p+=u4eCaZpwrA6K^*525Rw!m(+q(VBo9&;!N<}*<<|Z9d
z%vSM~%xrix|EK(SssAZP|Ne5HXtCt^<e2^M>!B0b#qzs&^Pik!lKnn^?c|c&zs`!h
zSz90f7yK1__K)zB-LH@S5RJHS;P}p?hZy$+Mr~DC`sSNVxa1$HN5?aSzI<7{ewu~A
zSDCx(jDO2q>-_7harW3x>8~<X|0VxO=YN@-{zvlHwp~7MhEkjVeZHRakMDowm&LDZ
z|M1<f{xtLKy|>*Os&Bqs3ss%vzehXf+qK%c5$%7zg`Q>n+^rswW8Y!+Ch5zytE!Q|
zZVIJ;iM;*IIbS^?v9sp~lesaY?V1Tz(yMcl;{Nb$-{t;Qg+n%N_52q)3ETHfJLUg$
z+Kk#gUlP78-EvadX!r6hNh$25z6*2y@Z~?aBm4hL(aDUtJ^z)3xg#^yehXUb&Gp#q
z=F;wq`@bh$_2Ykh^|a9U_B~eH{_$Vt`P?mOnY=aTzV-VluY%29J?B0lAoKfn$_c5;
z?J>VY?=@;p7Mc3{?fL(F*S?>WIeK!^yakD0|7?kgo4$#qcH<AR`CqSXzt#L}{{%*b
z-Jg1&D8zoe=F1|w?XTdPE31T`Rw}f7y%x=AQ1&rMn9-vD_t&7S2V$PYGA#egE7rRD
zK%_v(hC598U#|W9`0?|{YtKG>@}Hu6@7uLom$zHR%`v>$A+0U8Nb4Wlmmup&tN#ji
z?&O>KfFs8Hg3IIoKh7VW7?%HmF=^k8=C04z%s)-lX#TuFV7ErE!tc(BY8t;c-joww
zap2~U^EYB<oZI|^@8=J*6EPG1&&XN5@y;eutvz=?1s`u+(XUnaa#4lQjde#?>Din8
zs_uTMFvGrn&5YPCX5kYo9!qb&$@_EfTX5P$XVVJCq~eLWvNt^rE|_O4_-e;O1Aepo
z57*0nPEnS+5LN%-*`wY5{~sEE{Br$U{*1qy|MOhk`(@(wntwdeUvB(9->|E0+drPy
zpLf2NPP+YvC-TdU-(p$^V_xrKy7{GVGK1i^kpI6XGd*qA68+2a^kkFlm(Z+w&kSb%
z$Dy4<r=vtqzfvu9oy5NSOX%J76?@-&uuPg!=q_<7u0e0jv@@rBk``R_n73wQV)yhj
zcW*x|$!_upNM>mExp`u?g80V>Jq6F?|8<PN4kZ6ii}@2f<;;75B^*3%f(jM~XGr+o
z<dFDyZS%}u^(j^gK3%G^M`F%#=!ty^s{cNTA$#qs@7K2ecHzj^+49bdpIP(7w*P!p
z>n|>koESNgVQx2X=#Ml1d3OD6$UIS`aOHg7_uF4f-&@}lPWpCY^Mv<VpEy2!DZQtz
zWvjo(A$0TIj&-v>mHmIhc)r0pLg8z<FBAW_HP#<@ENWtkxq315&6o0T_fJcd{o}9L
zUO7KXa^IVnPT8ahPyTGE>|*3w)2q@w>2a)K&m*(1KVMJ#Iqf#<|C%%U)h8x>>|E2g
zV0Y}qOOHApd|Yt!*ux#iB^M}7WXN{%(sNY4!8qZj_|2I+wpGa<HCY|osb=?yVdmG;
zd$DFe^|P10J<as>ztxSerSJVX692sHs7pH8{b%8W{*#-{;~00U3Nwh`Uj4mPmqGO3
z@9!nT9B<zzPe|@~=Fai`&$Sn9&riy4-cn`%_oUF~tILWfMNf&Ewm;Hz|F@F4A@_bT
z{t?ovlbfK`tF&X;b;Vn+j`T@vP+<AM{{IH!uU$8|8aB4GH*73_^Ly3Lh3c~Z#2@_p
zbMtH3#+p(Elb9<K`SJ(1{MbD~QSzoG_l5YRYnLVjAHBK#MsuO<{%s|jw^slEIqB1k
ztrcHC{xzOzC8X18fAhpFo|&27e=69nylo!+*Hl#@fA{V=m0!x%`zsv$_cd+z6Rz#k
zPL^+BnRuRga>lK;u;YJ>w`IM1B6sqP^rVedJj$mwpN`m8KRu3NX4$g4t}L0Sbtbnj
z?7k82?zGMBhnoIs;eTIBe#di^{^H%5!nOUM`DLE%e?PSd?Ujh{RNDJgptR=0jh>`e
zY66WB9G%%NR@u)pPQUrM#^=V?&Z%#|BwjlyaP}aZecaaE)JP7CKWdx4`rW&?sOkJp
zX05ob#Y@+0X{r2}<KOh^+m|<amW(=&KE3{$d-WH~UX{!7%<=Y{cJE?2n96kc>znt}
z8Go^y{kHYb`P1y0e`V)y{~H>`n;6#g?fAEx?4>N9dk-3V+OGMU{;hgKsQCKr1)H}X
zkY7LHi5ka~*I&|qZY`6~|DpYfYx<T#eSHnPHH=B$)2E-je_e(9uW<b9ujya&|He$3
z@#3#)`h+*J49zqC9;o}gQ88)bxB5L>3hw9Lcp^Uizdx6A!iS{$FVfC#Rnofl@y(x2
zN=gw8>nAm-MRctGF+t*u@#ZTRcTSHl{&`LA!kLdzYqVyZ)N{EMvCSoN*SVbwHr(59
z@#~o&1OGXLH*)u4F1&rh;@%l)s{itX-J=ytk}fkCy0$!F;QyDr>eZg@snJCl#{ZJ{
z9@tg;XF>j~|ANmaWo|TBW%KRHy=`yye7*Bkvo%<G>PO3xZ;y^xE#3R_`}6<lcK3J}
zTxjP$Aol;^*B^}iN)zHA-uS_E{%86`y;*Dj#4p$V@@?*eO=~7COWOEO^!L&?Yj^^S
z|K{A@c*))Ilh}u2)s54SeUg|NT6xj$_{0$FPfa&J+Wy><vOTL*BzY6V{FV1A|E&7f
z@K5r^vpq4~UvAEQxO%d~(++|7&D&D)RT~83zJCkbdDOF$@u>Fx8yyalKPY_-E3dox
zHGEt0*8KP1!@eAjQH}ZbwCLEs%U{CmEI;hs{XJ|>$DKQWTPi=uTdaQL`+w5z$1|i_
z&s<=&`FN-A;Q22z<i79u5UO18W%q)4jLHAal2~?s3GJw0bNd`xdgRCd#|NrjePo=v
zUw_k!tPkw7zCKv~{YS0xPPXU2?SDJX*-<`W%9~ZJp3$ollQ&IR_Cfu`#;7Y#^?$D7
zOp)2~ZJO_d3w2wQ-+$hb@$Ac!kKck%T|f6HZbR~}lN`z)#U6hTp1NA+k4oPQt)y*9
zwbtVQ9ImXtJfl+}nsLD!d+rO1WB>iX-LdCkTJqc+^WBTq9AY}e!pHdh)3Fz+@|7>b
z7cP}ue{sgg2Mul6f3zlip8e^<@fA($elFDa=WGp|!M}M$cm1SZwiPbjch?;7eLVHs
z=RcCy-+m35|Ag`MAA?gLuFYqupY!$GY?1FaKUQs;$?!|+*!gWK>%Mleh4W1?`TAym
z*w0U)uA64<5t?B7yy^en6eixz4TZlI<DS0x!MMvHg-Q62#QN)Mf|XNF&t21@BE9gY
zh3uA8^D>t0scYx_7Wo=h`>X7aaM`!8m#6*-$JVM=I#mDSH>&bH#Qg0K|F1o}zjE{Z
z|I%IZ?hpT@1^$2evkM!zzD(pQo8>FKv$$XVKmUTZ>i_(2fAYWCD?Irh|LIRX_Ur!g
zZ~DRi{8#0hfBa7mINNXB5zDh=xBef)4L$Q`G=48`jGWY!ea3=`|IUBzxE-QT8kYa(
zs@St)w`ls$6&rmLg%>OjmHE$hg?q=$cuR)Rzbv0@IAkuHDfcR_UlkfT!|Uwd(?37-
zvd6rt{w45d=>gHlv#R5Deu;0`lw@-u>e0sD`zQ7ty6{KfUfkh|hp~Sce*N1%LDH^f
z;>O57xnFv>e+sbw#@?uPbVc^BiOoKT{xT%)-ErpnoS%#h3Z)ilKN#LH%w6y$qDx9P
zZt2(Fj|W|~?7D9J{?>cu{Fh#<|3(o~f0@FkeqCR_Z+hnaYlp8!#GY`Lw_{q7)pl^g
z*>77`#;)$YdGo{KJ%1QO-fmfSwdcylna+1R_9^_SWZ{?j%iLP|Bk;?{r|XLwo;>^h
z`_1=_n<v=Zc=KiB(FH-$jK`#x{&^IX)_mOX>xZd_7M}m|!64?thqA4!@(*9yaQO2E
zt8*RJr(K?%NcpoQ@kZzDinm|ZFTXvr?dFqNH#ai$D|r9ex+>~<NAI4ylaIapFjN29
z&7w7*)+;j{|95xuDt{)$KfGVpJ)XJkPg7L)4DEOqrq?VAd*8gD7}ouDU3uM12FowW
zlewpJc>28C<@_h+AH&RV2U7f5*sslDUw?k%`XBppH(q<<ee9L~PW`;Nefr*<%yknu
z-t3Z-csc#|*L7E<Kfj&HF#r3T-&Xs#F9>`8toY*}qbGmWpTGIKP>9L@pWe3<8_su4
zOaG<Lo^<^8r-dJwHq5WCJ1O0M`s2dz6z_A7mLHxF^UJI$>E_pkv3mb>7aTvkBXrgu
z{Z`%`^EP&F$>VvyW6}M#q?0Lkf2;_NVEnM^=+XFpH#Uk0GBTg&oW1ac1b4%RyT+HD
zTwEf$4$j$GcTrdI;+&)Z&peAfVPO90-0bT+n6>}y3aaVW`nOW~{E}0<_x3Aa|84Wv
zAT;;uqN!19wq0x9ettsj{}Y+7zR0U*E&G2USt;U;{Xg~Uual3?nxD5Rf#=H#FZHZ%
zldo(oV*7I>q5r@7)GsWF-U;0-d(B!epDbLLDfWGx-u|zPLjTM<oHns{a>9>2s^wPN
zf7Ry~PPUmYcJ9=)4_#eT+1#rPm%Ulu^D>*&G>&1-w{1Tr@xJ{hIrTc<icJh(zAo|(
zb$hs&&rz3WpY|X1_)QuYwyn-n7ceO0*?!W%>iZOH)vllOA5B`BaDB4CD!#3o{z_fi
z&TD<|%IW&lAG}AuRM}TgdAVm!;O75A)2%HzdLO8*{yeGTTmO&KZ!-RTJp15DOvkp^
z4KX5bawES_T7GKwQ6&LW|3Ar3XI!_f5RREV=i-}vR+ARw9$m?y&-38NBOyzn!WW@8
z8KQTo3p27O)jeLc=IDtAtt2MVhZ7Fj?qBf7;)ck%YcGm-R@dn;{m9#M=|JZ5o1f0U
zo*j8CBtLt<-S2-sCr|SJs+@HEeCK^NhgW5>XS7uRf1MU1{r&C#jlnm+tUo@n_ubDw
zlAaRNb)*|CHnGe+QIOnmZF2*=mD~x3)!(PzY5S*h?nTd@k7pxy_-#J9v8wLB_!Exy
z)3Nv0Y+RCdt5W=0(zoe5C$la5Iz6^({^IPFry?F-moeYH<H1Q5@vW0rsVaQi{bl+U
z)yQb)2Mw+Qf68`m?9jA`kk~ru?qlyACTG7+)9<z@)0t%uzvs&|Wd`$abGA-8-y|i!
z;Pt7eNo$feH+I+mJ2C0#g*VxCdl>btcP=cuCZj)pVdB?mv9nk=P53|g$Icg`;@Uq2
zHvKsKb=tY5CPkmFOo|HoCy=#?iBtTaK#;1_laq(5B<CFTeEorO!u1D?F*hR5Hl&_Z
ztXcRhePN({9OH(~$2T;tySeZ~ibcrp13rJYq;LKHcFp#Q(Z_zT`zv(n?j?t;kU3@>
z8Gg^IKOyk*%Ei37|M;egOW3b|{Hf|cuWP{@xsSifVt(+ZI6V74W&Z!3KQ~VJ)E9Rg
z`ct{%LggR!l{SCc<^J}sp2>Nb@&AL0WgAUbuQWfQ68isc%E!yczf92&-ISEK=YCZ5
zh3o!FUGx5Q**yAlZR=*=$I~|bEjUqTeedhkiG@P9C9eLN^7VAwzDUJ+48Q+>pL!zU
z?XTX$$A8)<&p6>8?ZEcuO-zgRpWD?Pj;24EWM}yt-662fazf?qZ_{c%Wu>(8&-nLQ
zZ>wtm_vy7}zu&}do%H_f|2NyO-TIsL{;o*w*XeVA`~H1)UG9_0|4&m+G=%K-whQ~`
zw$5)7Q{4|9l?hxwPfb*OZD-N`&u#Ad$sUSd&W8T;FU&l*q2P0K(thu$o4%eseZ6nP
zqnN+5)9pKh<G$a1`7|qYPK0MxrP#k(>o3nL_a(S;=Z1Yd`*V7saD!jynlE=hspiW@
z78U>b_J!s9CeGZyZbkY`k3)GMpRDpfKD%z(zuI8l&)t=ildt()x2zPF+*#?$!YMX+
zPvxSdKlQ)Xwh31JuRYZys(o)y=gqHYwdyxXS?{RoZ)?|C%yjSPsb<C)-is+$Csyz;
zVr)(Nsu=(2Z1=N6Z^U2Ev<XOKeY{udw$0Muxa&U8y?E8D4;iod?{;Up*6E&2F>@s1
zUw*py%zh2qU$+pmm52I&SA98CzwK{*#MiS=ZGP5V`*t>x(d5mh4`-DaZGL|{TOzko
z=Jelso8}qM)`<KNihS^X^P<<)bzjeJeDqQ6z#jRPADLEvITw@m|8t$y3Gws)8;mux
zceYRZxsH+9`th6_QVR=WKlsG{uK%#+fy4YC$Kxwv{?%{WkXHBY!kJ#vU+0gm$WB@?
z>*4(!GfHnTu4p>G@_hP_=;I51{F}&l!)C%F`PCO#kKH}d_Fk~n!P%n!SHZvf=W8#B
z@yGQ4sQYp*e$A$B?nwtDH!)xSTQ9K4VdCfC4`M&^{c=CF_)(7i5y=b7E?ny09kJ(K
z`~QvW&i-k-6k7RVWjoV9_qj(s1ex~uSpV;im>&D}VC}1;4qL6S2JSwgB>Q=8^7ji<
zwZ5`GKAn7Z#hS-o&dW+F{64kjX298tS*D$AuUXVzUt9S9=BkUzuO9#GnA+gK@z>I4
zvv25hxy5}tqUggGTDE+`>*IfR%+e~(xqZ0ozxzKGrtZLll@r5n8h!ky75qs^^siRX
zr-0{wZhimv__Yd$AcyMf4NH_bw5u<)*L^%Nk;C-x<nR;ROwC`<+m|abB}Q{B4`q4!
zDQNW^DeJg4-8EP2{XRup{O2BYQb76RXSIW8S3h0)^W<UogLlJztW4oz{CZ)k_DX?;
z{ExLJ3b{u~^zuBlij=wj<-$`v{-2FIf3Mn_{IBul=}miA|NL@xxt6BJmOTOczn{Ib
zl9%;~;IRX$!c1%oVQ>E%O*5IW?d;ySSKmyVy=l$XUFY--PNiOx`|tkLPeeWRq|uxf
zqjyWiHLc>Fth{`5$GTk+H_mR{QP;oadT91f$GtbNZ~kxl==+Dc(~Vxvt@*!i&-eYw
zx3^C8&^G=5r=k5&(x;F5kF5Tm{Q7Zzt0YUzJm#x=R@{<T5R18WI+DTm!zWiK{kFev
zSbwqKU$dww`OBy2zi$7_*pR&8X|>F*jvc`=F<Ux}B2r?GF|Zz8SG(sxaPr5Cn_E`o
z*Ui(^T`4eCx9rc?kDosYZ2p@xb;btSGR6(kZzkS%@{#I3H@m*`b@!)_rAH)~Pc=^1
ze<D(TLR_|s3zPC<iI;KPZs;bmTgNoLdVl=foaZ;p%r`RWIez(Yeyc>wn$!Miix%tr
z*7~+fY2%NM6Im{c9!ST0`Yp9*mX1}~WPQU)g>{p}KW|txuW|9;i3vqt-<Q8iWnH-8
zjp*u`2W~vf`Pk@lbIZ-GejFv=zP{h7b@E}5(6;&A)^*?BTmGz@^X2^0Pd6k!ocwsc
z|Atiitrh>mKc1YF_vZJbvJC<UCd~d>-TvW*^zjW}e*VZgeo{3nZf8y_%ZYvE$t*KW
z>T52veUdPqS!%sT{J`XAR|@V<dck(O?)r&q62ULb=RJ}U?SFly-6;0WO3rzAZSSu0
z((9P^XXzxR@|6p&POOYMqP6$i-`rqTCDZ?3?o4smu;>5bls_|m>i&K!_IJroIpf)}
zvO5Jb@0dQB+VN+G<^0C)tfg5$4Fmq<MY7$!yUF0g-}quxUi<ae^VO{^tXr7p@;c`I
zv?%?v*pqK(-T!#Ix}*-v&7WWX{4Muphj03}b5|#E?EZeYe45ZaCha$09~XHGaxoQz
zeSK;9)2a5?j6IF()R?vZU$jo1ra3w4-nYlKQLK~WzP`-7%D#6}H3##-A8DeQ0yp_(
zZ?1XxCn1nmag&Pox5xe`c>?Ab{JQyf<}W{?%&^Yt$#1v5+`H%d<Dj>#lHMBAw*8&;
zcjB?`f3x&|U$FT3uyIXm(4z*PMB5ql=jYDId)p$SIZ?{_U4-M$IlfM<Zo7mW`X5Ey
zzj#nlv@)u4#%|G*CVzLF+qz5d597(J^5-Tp$e%d;Xh+idjUV21?tF8!V?(*1p{2`D
z2HBacHy95pifeyTOJdY#yznOe2IDRBji<KHUep}_gYm)vTc)d#Ph<ZJC$C}TyTr>a
z78mpLRj?1|CWh>P3rqL5C@ueY{@>K;6BgREZ8@2+<jWQP`>FrLZ9Z-ZyEOOcmn-(y
zwR?7*^lNvB`E#{=TD#imlV^TMwyH%uXb_Ou8N{@HM%D(-Ll>%Rn0`!%yO41H#nwX?
zZZ6c?l$f^TMfb<fqyH?rKCHa{r{&r7OTWwie7PAspXdFJ<eQJ}f8RQ)6zHnncwi&{
zCgqAZKZ2A4qfdJ5XxQ-Wme%|h(>>k6vp0Bd{(8&rWNS<t&#Xr8`+II$%nOv;)3J>?
z=0ME*3!iV^>=#|X<6p$5TSuEtEQ;y;$+#j?{w*tiBmdbCxAcUXcd)X?#{Ivz;p_xO
zyE$9Bcd)P~z1|`!`i*hIq(3t|lD^!LKiK#q_J8V^JKBqr0$FS}#%#J8lbw1g#B^eZ
z`SvdBof$nHg$&$pW~p%ZY-E{u|HHv&2fv8MiEljG>c2DhDu=W1<K+L>vM<G)->_s~
z2b2A428{>PV*j5}W{qV?TFVq_Gvi7557rr{+Z7nxI@U3zU-=m=z9vTQ;oJm<|BI{k
zOjz^5_eOEwk5#@5oqyD~9QFV9?f%X^J7(ORp(_7-j=}VUPXAeU`M*eJ?4KcVBBOS4
zbpKp~@Xg=upFYa^?Y>p$q^_?576;z_X*TKqGJF1{6I|bnC+@rZ@XGx)hf4aSe!Y1l
z-L*o%esyE@2gREvb^pH1op{pVQf%$!T@TbG^OR0Y1m!eM{ki)5CaZ>+?%4m~7bVtg
zIpR0BXq8Q~@x28P&Oed*eS-7vr`6iuHr_k`^{&<?iF-H2^X$4pA_bN<TO47sjC~^Y
zt7clO+2-$e{KZ<#et*C7=jorB#~bHse{1B5n0%xDXyewpYZGKPpHQ|g+wk=Kw>x%M
zZ_n9!_S+phRVnlA{nze25_4Vgd(DFu*3x_TM0Y=tl57=!`RUu;(?(498<p&SAFp)T
z*|e&sLH*<1`X@}it!%yhH|B?*$aTE;C!Ft`{tTnCU!UJJa6H;_B;GEP*?y^*=$a(n
z32W0^*?MnJSo>e6CD3d~%Kk6+PH^(iU0WUg?aO`5IF{d&-h8`%Y3}Zmw!a@aMt0_z
zf1A*-rKdxrsjc<=0ilh}VqNofN_S4yT)d=C{Qq5}xf8ekU2|w<_Z_4E=l=A0|KDUe
zb!ppXiMxO1Ex%U3Nvp9{xFlY;(dze(&XZM!bIK$Ch%UW-!?5~a3sctV7D3e$N~`~h
zrnCuqb}&7fn8$d-&tCiX+iC5BPfjGaGp~<))Fim3l_j-BQ1kTx*XI-e9-m+{t3~kX
z_s&;&o0^XP74y5dW80o~mXFpgd@<MBSf1@Yv8VLLibkH#7bLUaY~1mXUng+_FHcM(
z&%>)ba%v;uFHB_jjLB(>*?H|=3v*ZUZ?W<xS4t*C^gjA0R$jq>;A-(qbDPC0uIYFz
zIJQQ9>wCVKy4fq_d=Kv1ARKdHLtRzepZ>I$J%#_*%vp9lwzH!#>FD10n{8Z0fAgDJ
z&+j>UulhuesCFA`^sb|yTUgSL>??iK%(CH73(KVaM^NYg4>0`y%~=iG|8J__#C)z#
za!ynA)n#9PYE?d1)XMft@AvGOv_Bi3&$->zlX&%ZE5{}IyVifV9b!HmGk?L!uD_P`
zMVCzEdaswY&d59aXZ!i<*RE_mdf>_H$iG(4*VneP{QPIRy!gkZdA&*7e$I;67=HGj
zrG9Ehdrmw1);|{Yn;N)(Zd@wAf6wBl2akVl5V(2%#J8KL=N*`T_eRVgi>0SJo=bf0
z@@`@N)N$;Wc|U`+#NUpEhiATh|M>E+$cD4x-`?Gv+WvY!_w|>X8bTx=f4Ba-W$KLX
zJ%7wE#p~_isNXv&u0=pkef{4BA3y(lo8GZvPe;w{15AH6pS)Elv(YGSo$04bn)BPV
zMKAw<9h?7m`O?V&xqq}v*Y#dG_;1<t)PT!>w5LZ$=EnV9dhepn|A`q6;qNL{*Z<Xi
zGn><1ex}+Tofx*}Rcl^85Sul3<M(-bGd6!;)6OTz7jRi=_lAJvho_mXW9}vW_!pzs
zImx`T>fH{-vpWjXHq7$(Ntc`WWcG^NO<X@*R;-s@{Zpr+@nwY9u9JeCymzcO<or2u
zGGbQ5^)*Lg6O;cxc+!~6@SnkX&+HBC&tnv=Hzd@(zP@L^^rOA!o@?*^rMrQ#RW*GN
zL+P4k`O3L2vYR?MQvb}I^faS<<A1HwZjFAQ4!mJJ95nl4<^PEs3;*fHmL2ptcKO29
zg}WmE8ZX~F*Mqz3W?br-(oGk3XFWO3B>pTV*6QSBA>pF`>ei<jw*J|n%yjwvgLGv9
z*V!97gcq&Z$9!%RkNiFs>rZSC9u;cGJxx!)$>e`c;oGwm?YVy~!}mPPI=`2*Glk>w
z$KxNj#3t`!tiRRCcBA+1n?19GZthI}cwQ>$ZDh^Vo=sd`E&O5kK6<Cjez@g(=(??Z
zD+EsL`L7$K&f)a?kNlmJLKpt%>U}+_$bD_gs;$ydd;UaB`B?l=XzSPdysJEy!~V_Q
zHBF;NR^Ll7G;Dw0&!-kqKk|>i&a>X+=)dKg)mPogGAB))*m-yCdbQDPh3Su-tjUWn
zd<=iHBVSoVLyYajs(VZmrdBYuNowam-WxZuW6cNi*%v0?{k$`8_UyvVFXmQl(#-k6
z_JMnb=R_4I?ww4g6-+S?S2T$8pS*OrdPn)64>!)p-#WSA7bE{o&;6ATH`<16Sj5nJ
z|HY2)5gapv#eZ6C`1$b7j5QS}ripvE2t<F8-s&$Rm~xIG@VoTabx(~p?%<05^G{)t
zlU2>k%!XIV|9-?WF6IkQO#Z7VyMKY$;m{4y`C%WV`IDx<-VoXTHs+huf6Waxu?&0u
zDBQa%_v`9C#-H<^SacoS`SGiie!W<e;Qp6F$M|Ptz52j3Bd_a9U;W90vfVQh@BaBF
z<u|o~Q-1xf=AUc7o9=GNO1qG{ae=t?9M3oT-=yMy_Obl<viZN<ykpBwv|Ik({$=&a
z$o5?Vk5&{O|0A3K_mjli%|#Wn?d;!wmHIsY_xGb4#P)sUd~l}z-XEFOTK{KXxUuz%
zX{5&OgTl&fVv*VZX5ITI^ZWju-PvNlURVE_y7kWkbNLpTn4glR6K|N_eAv^zeB(>k
z*{w3ux3$TJ{=75o?e@Q~BL1Z1|6Zf7^g=xU&;2LWC3=73%)hn#m76T6|NE|ae5>T&
zYo80BIGo+;Ub^S6e7RYRWci<ze}@I$yg0Ja_<_^UuZ8yiuPyt$NzibOzWw)m9Wi^F
z8fN_uep=Ha_P_cF>)F|JZ?uWO`t<*D`PQo0Yt|~il#TqeQzW^yv$|9^`u)Y@wl^71
zx4heTO(s^i>hI0K($@E0bLI<aNU(5TSbyZh=e-}QZCCG=`!>Jq;fW|V&MWV=@BO*5
zZkk2-ztHRZo>-jxuYdFJm0NRJA7|+<TfJy^{r}5TeL8g>G)-E?>ND}*mA%zhc^>cQ
z>VDHA8WYzp5H0&KtVML!D^){r&*hOn=kJl*nz#Rl*9Z2!Yok(Urk`DV_3@|a-&2$x
z{tmVM$tvC=dh^fac(aXs*BJN7>E2}a+9_vR$sBS-z}w=_z2gFt7=-8KgwB5a<DhIA
zL#wD-i$-CY$d{np{kztlWZrnubJowWuPG<8AAdgjKP>O*W!JNRuSGq&z{vjpYVQ1I
zY0jxCjLg=@Z{DapcqqX;_J2c%Z1s<;f08!X-TZS^`}ND0hva@-owx9H>6?bOt`+x6
z-~0?a{;EaP`_I)0KYv{GVUA6@m-N9S`G57JwTI2yL}xFaX#aY>&$HiG-C0B{lA3Nz
zKD)qw_lNs`uBtP(e=GWT^$Dw0gQ~E>^78+`!UT63Y*c=KBQvc*MVNt8e0HP#m6l%4
zS1<pp_?*6Lx_Fzyj<x;_+8b6Seay}O!|^pZ`gqLbEwjD^*B9@&xF>W%Yh~l7&->TK
zg+@1NpZ^=49o{MMJ@$sW?d~7U&vsuBuT-ep&%gEU%lGak0vlA)BvgfdzVDJv`?+l6
zoZS5BO7eeNME}g+5%~S{zwoH?O&Z02mru+3zotbjCT@jHdz@kEo;h~&T1Drd-ta7a
zpUT%uBHwS`RQ)3I{o9^(yZ8K6`w;W@@${b$Q!Jjfiap&t!&-^qb0pK(sS%>etHdN`
z+P8{MXZ^qKsKWv48Be=*t|<N7kTZ|(@w;gb`Zq6~|95Rx==?P;Q*X2cd$MT8{SRL)
zG$StQ#Euw&?mPdk-8<GHGofbPhuq_$n|7#`_G~)%H|*-E>xW)N%m^0W6z*ZRaAwlq
z(CsM#40k7OT{qdH;i$>f$(mg4!t<-L|6GYZ?Xc+Yk9(Hi-{`lP>nd$||1WLsQ>WXH
zxF5Y-_-42FkBRA~VQ(9@9BmBPf8#^4>87M-$J&HHz5V~??bE{_T4hfDDbG(|#P{Y1
zXGrSbO4FZKZ+^HRzt#7u^0na+Tb7nTm35`lTe#<*SW$8Rpr5qu>;IKkH#yvF6*b{g
zKDp2OWqs@J?Z5Ynuiooc7PrUJKj`(pl|`-l56JYEue$TOSztr^0foq~6;ppjh5RdP
z=X&$6y!=y~+n<2@str@D8@W={XY8BVfB%MLgO_|9%LT?>;T?_}f4(~|xWYqe<+gu6
zIvLOIII#KvOVY!Sy1#z@2tMCosCOdfltjp@m$R5v|NNN6&v+!}76bRg2lwrG40cpq
z`6*HIQd-e=fz0d=oEO-yHgm?9?`Yfj<J_|Y5$(*6*y<nd-OwN!_U>Q~|LfRm3_m;H
zG+gLk75ni0pMPgJ6l8}T;nduJMm%WKnpyj9M2US6kCQs;koEpc<)_fo23@VPr=K_T
znd-Ob>=*p*b8mfEi=~$J3(Zf3yS`Lt-&J)oS-oGc?4(26zGqpV9475kuhzY}wg0j8
zi%F9m_DvL7^EKntrVW9UCN`OyH!y^ThK7p1`u|rfl7V6MAqEBpJ_Zno&q`v9VT|En
zNMa0A7m#I&Vd`e!vx)f-!(o}k$0U%{<B((<!<h7*f!W1^L7kU@*@cl+yOWtmBgTqN
zUnq$|kwO2hAPc)0GkeS>ZdP;l<Zjl)E(W&+j4{^i@h%Lp?-;jxB$+d^vhLl>a!{?E
z@n9fRvL(x5PNu|XNgft4=NM0NNC+{VWVkAEk)i$*>sg17F_tlWJQ5vAU0lW=7*tz0
zjFWhIB2`#UGih=%ax;k~b-E<kGNr#~Vs&CVpTxt{?aidm9;wR|tzs3!63ref)WI0b
z7$2kboT<)?sf9ryX?>Dq(y=5aPp0B`G5kqN|CtNB7z8qGVm`5)?qrzH@b)9a$0;nQ
zd8ex|Y4SP?GM{f_IsZbC!~6n+pG7C9K2zgo-m4v*OsSuF45u+H=jify$xz36k>vu1
zk3`HjMLw07eg+Al@7$}|Sj8G*Y+{bZGPJUYF-?i#ND8<Y%h1E3$uKuYDMltni;-P0
z_J4Ma#e$gQu?(|V{2gY-GBmL$F^9&u$6R6*DE}106!VfHhBxMa40DVsOUzRSC7GB=
zR~B=ACKDDW26?8KnGG?oV;Ex@ni<?XI2gRy8F(1}JxXSjPj>nDi2LO`4oQYbF)T@X
zNlFY!GD)+NERv2pawwmV31Rrk@FYer=}poPfh4AwUySWZN_-O5N|NP`63+KyjFT7x
z8SW<iOHxZx;*bb^CK)Lx5i8FX&dHJd-+@JdG0A}`nc;lW9*IgJiHl4kzZn^nbz*pv
zE;BOmx+LvP;&No-^h&zIB*<_##y&<S>8MJK3x~DDbC#t0OzIl3{{&tpGcqu6GchFn
zPhv`Xkz~TjoWwBqMN9){Ea=t|aiOIOvHvbHv?|#!XujlF-(=3QSutk4f}IPe)Ia7Q
zN`j9*3lzBU#i*oo$Z#nss=wgq6}rgvo{{&<M0UeZjE1ZwF46*R%$XiB9~r(2gsa3z
zM@r^RN@CJwjaQ$cqHvo-x#MMwg}_@zCEbp5T=BsimK=|{G9_Y~esUE4iecjLR$*B$
zu}>xGBWo?&=8G)VA6TnZx;d^eX?wD&t8QXE>!fMQ7{e(%Nh6W@CY!zr=Pv1Io>F`q
zCs`t=3Q2n~a_DMqR$@$i#$h|@ywC)OQ~}El2?4>+?3oijBq>Z)_VMTu(B@dG5~G+d
zIa!ET)QDwA(xrBWlPvmOJd58kaknrXPvT+KU&45jMSm-MJZt0<PJh-&SEgtyp_daF
zW8Si`E6i?@{Fubel33@-=c47tw)gKOmYtS@Je?g$3NlHY%^qh}<e1JjDIAnY`pocL
zMIe^@zoX`a-$@dROifx(V*eL$&tS1g;!e_IiFu{4o+(C3(1;<KLE6?UiA|ShTDQbM
zE(MDYMuo*n5=&GBij)MZ#8_?bvStS{<?^g(QYhez(PL_!@FIqxnB&k2NtWDrg>x#_
zO!qDCsIuM^(Ap)za#f7W)v{Cjq=w5IkuDDo#@k$pCC}OJ>S`T(sqM$}`3le0hM4a$
z!ZAM>Bo%~WxOpTe1u1lk=xS{05Ejtnl1OUcj^T_^5D8R>{m(2@BFcX`N$I;lx{$;I
zP6l=jssAxbf<on-LbZ$r4lx`t3P}nNlN4ek4V0Wjxo7@+%Tlk%oWxQul*G_%xGzab
zUSOxB%q^GL{}KW*h9)ZS1H{C>dNN(s=wo=V#E>7uxYv{Mprs#!txF7pZ467cFAHmS
zZ;Y_d#0jzg6jC@`L&Rb^lD<n?CkZMtvc~*Sm^FbV=3f%ScY{AJny1*A*_A97u(Aj)
zWMyZJv29R{WjMpAEfDi1<{?L=vP#Tf4rPY7ElK<qKFl!|J}eGPm|`9aI!xtY@Vzd`
zaa2Zw<A+D=zgG@AJf0#KcsyC>vfgD}?ZKqs;vl4?;l<9h(}OLE(X~M9RTWQi&~GJI
z-ehk^ah7mSj}XQrM-IW{e=n5}i@fJ~&Ni2IF5`O@10Am4lh}hUIB5A>s|Z{+-o&Wu
z@F>YFMqSY8)M5uADMzC#Y)LE@Gh75cS|_tuI&j1|#JpqSc9K{eBgeq)Byc(DK7+6T
zdyEjrPGL>~bH=?)F-mL<=8O#1N*@!do_p*UWaMUJP-pUpc@UFug&~yXGRMOAF@^#$
zw_+Tb#Q8fJGQH1klrUDXh`G<es>CGlnnPeFBZGhJzsIo*lio{k3-+>XSBU+0m-R|u
z?7x>h@+$1Sdz}(IITw2#&{`?vx7kT6DN2ZipHaFa`G10Btj9SIR(?}%R)wV3Nq<;u
z9lg&m#)w56QTfF1k;``?i|SXOO^zZS9GpklR|qjUGB>lT#N0RNX6pBd{r6Pp57z{T
zSVq1WPM)ok0<5G~OVlgGGTdZ|abo1)N%G>DFTftdDsWnu)8GVaTrz{krkFKsn;8{Z
zy_5e($2f2&Gc1nT$FY`yKjux0e3A)6?Ej1yrx=zPrF#+rY8*#8IAdOLyt}P%M?oZp
zo#Tg)5QC(EQj$k>%n8<b7Ir7CEioc&q7zRt$O@FPFesbGtW`*6=#J@U;L7Ci;EnZU
zND(Y&$ZClF?-k2%CE`8{V~TC^e~$bZ6^o>j7@;nSJ%Y1r1Y-DOE;D^r?B!b!vs&VT
zhaIz(!W@^+OfGyHYaEzkcE|V%EK}0TiQ!n}5_5scQJp!>A@<+1SVqBb0@5+(w5|Rt
zv4|MSMx=LeBylUGaVXeuFex~Q#t3{#dXl7ZD7N9hRuXG0!z+gVj!z0UoEl9WA0j(n
z#;P#N%fvCHW*TVOI5BA%SiOyrO;U(SS{<Xy;I81C#F?~L;Yo*m(iw#d4;ZF07$<#A
za!z777Gt26{NI`*nURAb`(P4>drY6g9-A1UCjr?EnaL{u9wy!{&}@nk5R2i6O}(t5
z%EhqVQ%OxiN6>i^gR_R1!8R4eS&H*K6M7A`5;9d7g*qfSJYxSnY!ztGR5Ihy;xJc`
zjfsg_ZSbW-C+3O4FCPuJj=dT-0vyf?b}>g312`pSBu-aMa#Z}~9J5p-ibY=G$A9l6
z2bN@p3o)lH3|MkBYB*wg1!Dh)CQEEODv;zAb1kEglaaypV)B2%SQSPFu5Qj$B@UB)
zm-w4|*-j_1d6aoDaaarFDkObLdgRffqLtLZDp8`un4l%?F-0)rb5h3VB$W?I8L}DH
zo_XJu*I69$3VCmFL4~t$ip33~H(YZCYgT&lEM(+X)KFkd+MT4as3pmcGce|mm5YnQ
zvm~akLL8eJjSs6NF$x?A5N>4ro^&9lB1n#fv7Irg!%@JUGbSt0fXP58nc-5BlC(h!
z3sbeye}Snt8DfRxEQ&bJK3=PPgXgSM604TfBrC3{rJ7SZzA$m#*O;eLk>JQNQDX(a
zg;L|s35-cvhYY@`a9A@LdMX_=oTs^3NQ1HY$s13GyRIC3zm<ZXXbaR%)Rgk({LfU(
zQkiELvqDfoS3-#OuAoJbvJmr=PRV!<^%&&}7mF-0PDuyPB<3V$0YN3MpHl>;I(?0>
zD~&PmVMzY(m&|ZE$welH^R$k@)fOhj+@xz3IUTYFd1oZnJBY^qXNcYs<a&cg+?geY
z*+EKxGf9Yn{R>Od0|r*DBr!pOXnuyO_ktDA85!p%d2*bWa29<($>0FTWtruE5%*M9
zNv{+M7TP1stYCk*)62~uH;L)9K$0_q_K{Aerwk@c%+D3}#Z(FC3N|XYbN$U>bmB{9
zxR@l%lEg2$JL!6ihGEhZzYV4=*O@&zQazV2db<d46n=5or*e;hT_KPqNrZu)Kju@X
z!v(>gj6&Z%7^RdQYAz{+$X)lpUC}P^KS@>Q`of7GM#@YNy=q%HPsSYgaO)_L=$s_c
z%aJQ|{bG`k9OG@T5=NmIuX!A<msDbmm<82VYrU4c-^9dZ&Y2{@V6X5c=^g{Ck`}{L
zR?CpRyoW`KJuJ0u3$C1$?l!4FV!Ov{>APCODl25~YbkbmtkBZjCHvS#>ghqQ$11l4
zSh<)xC7&{>9^ztEVaX7>=&>iJM&z?>d`q+D;g~#WP8JTyScbDKN%GuZW3I+5GLBht
zk&kt{myu+t3u`E^#XTX3=Th~zJuP0b+*Gih#38#tOR;qVV^YKo=5iGUb*Co5fHy3>
z;>jxi?(r%~e-3)Ba8c%Sr_@(1kG=_<Nu5h|UaLfyX+3pGIx5V`GVw9X1<lhj6Jt6!
zlk%8eUSN5tB6ZYay@zoO6W@2ay=(ct`^oQiHI&)u)WDf!XYqZK^mb)-+0By_EDYDG
zOgtHRNNIw>#=NL~D?PvP?VQ9gU2?4lKj+4T9F@DAYZ=&sggLg#uk|<NNY~<<sKg|Z
z!K9O_%Rf28sDm+vjfwTrf|Du<5polMy^)zXony95dX|u(RCQz@V^EUDYujWYo|RH_
z4|~d$vpi0iC1l4O5XIRkna{KNoLp{Ir+}~ZdOqn)7ml5Gq|=o+4hwPberfdA-anlG
z_(Wy_6W-%-3X)Ed{g-28qpx~a779+DwBl!Qp=|8FNrk#{@lk~yN(u2SRw@${H(!uU
zXBKra`}@lD@6}0K8y|}6er|Z0WEyi(`;AJ%{Ig{Wuak6RJ|r}E7JW0gy*!~?MWT^;
zp-U2L4aaGNuag2^Gw~W0cDQ92w?@77sLZfslvQGU$n2mfm}vXofp@7(Qk2ExH4OGF
zj!p_pF{*+VpPzbsVVr1D&a}cOGTejNU~9!Mj-)w>sxh9P8J&!h26H`P|J_(*v`v92
zmSIunF^7;?hBGlz8yY8YB#Ao2r%HC5-tpuE!%dEK(_=BqC$e!yu?g2MQ<+)9+3M+O
z$at7@qEh62MlEJfHeSb*8OAD1p0;zQD_T3nGF<SO$iT&vF*)*o$Df?Lj}$ajW*Bu{
zV?5cLmb5x4&e4OFH%T($x{7#!?2g+W$^SmZpJv*uq!7PKA$d})Li$9F%ubers(dlx
zoQjnk*6(72{@t4uI#Y@3u5nalR4RKmqijI(zmIIWN=(KpnJg#8Fxe+{Gn{nMG!<tO
z^x%zQX3q?sz?k%wp_b80C7HK`vzGO-H`B~x^}<Fj2UE7Pyk>r@@qzuVAd}|`?j13c
zqFLB|CN4VQVyG*|BCf*2_>-wxF^4<p4b$I=?El{w@bW%h<<FHV6hHTcX$<Fsqdnb>
zF`iuSC5j|?UnF_+e0CLn{GR`7$ww7d&kKhctW~}g#0zmx<oe9fP$=+IMMODHRwe1R
z2(vtEXN<gtF(c!~jwJb)60$G7n5JvVynHG7SpKDK<2y!Ai|d;6<zG%>%jRa4c{z!P
zRbcfM*_V?9J_?+ad70(;@axBD*2l3zQn{gmF9l*ulTK<Jzcewa&%ZIA<Dr5?Owfc}
zrGOcV$v1M8I13m#8u&f6mN(i-Omty3Tg+mRE##@Tvx-?LiGg>5>ub&lB?g|%u|kYm
zKXcL_O#C46$=H}*T`gweLeDPS6+4WjRoEDOtvDwN6tfy0oUt^4CFVwxu7GM1gKP|^
zpeW<i56KGuSz;MwPUv`UdNjkGQDv5{{YM3N6W&nqsiw+Ii$g>#Cw16!u$n8+dchU1
zVx(;KUC2bzU(z&bl8>G%TcxzGh>786PupOHulmlyyfZSTIvrdi^EnDCOUz9>l3sG$
zzQXZXK_bat?{JlvjkHS4D~(J=-bo4^7nyVu9(yoa3U{oZWHq^CGsjDTm^Tf*Of4}l
z8j_h}4Ck_};(Z+R-_v-#gr$%-ldvvhl30?FwZ_3-Z|;Tz46U3_S6%)m|C{vqP_K&w
zmtM!+6Nz>k%vjijlNqLRZi!@xj+x^Sb0x+s<_rU~(7_Xltt>1tygOVBVm=E-%>LzI
zW6lu!zc}W9EW@Nu*2xCGjH|j;Si@YlJ33@=Bn7hXl!#f{QPIe%%n|!Po=J)$SXv<_
zzteIbvrdxd3>LwbO-T`sEOz}#sSLARQW<<)83HEx{$cfDWanU!wTqe7c;P!^EW`4d
zr6~-x-E%lv7}`aWltP$#nI=5;EZl$K9y7nvhS0yvoD*fjuSkRkxvOvoYAp1eVElrE
z$EK5``N{>K6K5C|XQVW+B=N>f&=F?PH=Gb-m9&PtQ){9N?^ec{^BZEkWB<p-Fw9k0
zowU!QRmqsib}B=wQX!N3J_S|2RgHU+jyNRw$DE4MHjozJiTT5@^cs7Idu-A@g(T1*
zDaQm)g`6MvgI`Sw5n55fys4lmc8W1`(yN%)u`U03Irx*3Sf(m0iTS*vT8TrTTAbs3
zlZunRaLh#sUxxQFUz7j+<J|c_g#SP1L?`W64DAx7E-$(m8Kyfh#;i(;<FIt$_^^*-
z!9^GGol|3c9u_7|a1vsW*WirdP>k86!G9)JfMIUTYN6)W0x^X#Up3emRgyw?oH0Dq
z_IH9Or@|T)OC`?}o;S=n+zQ#6JXbu3F<fw^r6ZH!?~4l!v5dk=w*xykVk*PlB^&(v
zlFT@}R%k|}6@#^-zzWltbdR{EN+-sc=pBnZcrWhs5Hs3o*TFg~geU2vzf0tS7edE`
zI3_SGy`++4${EYBp2?T%9%Isqq)9s%gt?b2Xc0(yt8u1u;t7+lQBpInHgY7%atK+l
zH*9FUu;o)S!~1Bx14-|b|8-A2`C4;EwI|bD4m+1vrkUa=vb1;|RFXb&7;=_PO5@1k
zDq1y1<>7n7Un+b_iffn(C&o^QQPOIfH{nwZQ##{Smjf?2C5}vD$>i9v$Zbog$PAu|
z4>*`~T{yR$WUy7axabzgME4oNj~6|25@3tbWlVNRIvC5akb_C!WlS2A1fx=t<mcpD
zwoHaBf?tx>#x$icnK8#47trOfUlsQvsWCx1=}*jc0eg=CY1O%BIbx()`1d3Uc}C8b
zj7%2z_aT<S;(tt&6H^0UjPeFSm!H?>3e+pTRB#VC&Ge2b@?q?MQI2GWUmHvrlNyAQ
z|GkQ3REYe3;%oBDh7gaGhf#ms79{bu1xxU?SuWhTm!~Z;IfS=ODI(dLHC2_Tg(Y26
zNiub+rC7(rxh{-J!X2qhmMSYV8U+_k6#T?^BA=0)#nO^7iFZ;`X1w48CR3GMO_8ig
zABCADRtjf*VR@{sz&vr{a!dB-(s~nOqNGaLUN2!$4{uiapdeJD$SI%nOytd^i$bDW
zk{AD~C`_%p=qcaAWLSM!<+WrNQ+daiq|Z`)QZidSIJAWnR$8zo&G7JKOs(jZo#p?5
zPd`vnOt@1bBS~h~y_L6DiU}`eNfi-Z!jdi~T(sFaOI-M+Ft?cSSJubsOh=VBUQ?;_
zWO}aM!uC9fNx5w5B=rUfPOV)6Nk%#~DT>VOF)Jk5PYP)tz24;GVGtJJt|a8lBgyY6
zBF*zr!Eut0YvI=&Ru^^ae(^lkn<(?sg4f^m{Suj<JTF~TlwXzAPjX}}e!Wmv#V6Il
zSLmsOy7X^GPVS!#OC@5Qb{$yFFp(`zV0p~#hCMQ#4E!2WHnTkzCJ8AtTv57kAjv>L
zk*Q}^(hQRcNjqW$8s1Ep*7DFUW(k92habx-xf3h`|8pFU>P%$NOJvzE=<mUvAh_6B
zWj2G=kB=NNp2-0p8Iv9U7bP>yi21>#kQ~dXc;f@-3@3)@9Z5#v9$bxw1lGnxGh`o8
ziE&{l)LhkYHu=A3EF+U?8Po3=iI@)A7?TCtxI1>tnKiRvt6@{Q(SxS8FeV>|_etC_
zMp_Kq4WW;`40R?b9l5y8zG0037eo5di5?RyPM9@t3wkOub|f>ro3VuN!4?mW8?&<4
z6e+Gan8(1tzzQE^=173;|7QY?IZx94YyLrGL)1N|(?VC)ESn-49OqM;JuO>ON~!I$
zrk?^^$@x9bdt3hb-TA*>geT0dO-ujIQl22wCsv+8p8ShhHfY<goxAiri)P(g_IOqA
zYb?Q9uI9l@TC-S9*RuQa&)Uj*)Z}3t>;Bh1ucoqRU)|uxTDX>FwwI{ca*?9%Ym4Xd
zr%f}sB;S2aO#J73(>R$snQ_yeid!!`@oCQW@JqaAY875$r)>_c<_(-Ot+Z9t@+tej
z3DPQZI~X`rpIO&eJmq=#jbVw(I{qhDSp~iL7oTmJziY+O)|QY-vwRbNUp{oFJ=gm1
zy|v=rBC*%h{zvKl;0V=HdH$D0d6KM^u|~Y57i*X|<1?m=d2<XdvE5qD>ON<iZbyfT
zph57gr6Nn!?4wvZvqi6ZGNv-ivR;3>t#r#Y3D)2!_G~Q``?c&QqRUnJ8Yi@6ZgF5W
z(x0+bmAPOk%e60TlUS$lJbbg)S+mx5E9>l*+Vt)d*F?f5ZFqEWu}v4dkr>B5K2Le}
zAd?{X=_2#gt_rUe-Nq6&UGx@nw#^jxxlDIUYWA&X*%qWaKbvQ<D*t*Nk*(^tC7*6#
zw`MmDV~Jm-W4d(TTb`ArBGpzbBGa#$vmJ^P{d2p6`wHKkD4AulroY#?UuIW0#bR{U
zcM_|eS&x)DbLZ3)Ii58kYaU1OuVY>II(`3=_$Mr{@3ef;mMP9mdGFp7DHOKOA&S>k
zTdrgI$q6i}YmUV45v`44jr5ZJ#p3H}_ciVM%Paf0ia%C)c#W0Yi#3wRZKcSSEiC_d
zxIXnrTve5B4Ry`+;#uQT@IQ)QTSPij=fU*WYrM;%xTagNYU;8_`g&{?H52jkVscqE
zXU`>BWp8&4Q4dwST}#EDupeDI-BZPRtLQhjyeJma?D;#_s=htfx|DIx+?`&muQyp-
zxl&}&{I2_IzH?SjV+iY%X%*||x_OG)RWJS-qSKKjlKRtN{*|I>Gj|?|o;%H<jJ5FA
z7pJ*=OT*arPvSh!wC$>VX7%#c*H_p2H&qxh&uGy9BvQEe;fcc+r+t`yX-^89THEt5
z7T<3hj8wv_PRX2H{Ep4KQ%IAg_T<87_MWMNHPd>SFRR-{u?Pw|R@umIWl<CPerwN_
zE{pOrSE5zzl*5-aFJ(Wyq=rkRe5%Z@CC$}ad6igVqHLv>t0<JRalc#A{OhWUjg`;6
z`ZKosSvCE1l-I783uj$8^GlE2R+g#t(O*Q1E-9Z`e{bb--X*aPb!WHA$FOJy$uwm0
zNAG0cxup4$$<-*<M|!ii^{{?!%}}+Hif+yI)_KikKX2`|5Z0=qK(6+8mT#u$s%Bc~
zYx?YAKRR{KYSx!gEWtBd-mbbV{vylelKzx6OLY!zEnRQc^5x3oD4wfay;0&Z!Y`_}
zIIm@~V{cC7y4UC*$Z~Yuvg~X8ELyCOUrJ9d5f9^u_P(s?Ej4M`oXP4-vsY_xbty3U
z5XY{$pZ958ex~|j`I9WHOr0$szN{3fE;aeE+NW{T+rLX?XH42San+oXDV|<t_qOlL
z;+?qGjD6~7FWHVs3@L?bEgvjX#W`AjYTs1tT`U<KvouqEUH=EcF7?Utd|TdLWPhqv
zYWng+)Ymy%)Du{a2AO=QKi71s`O(g{r*?Ds6y@q-o~UK=p7ac7)4FW(YQ4DQQsH2c
z$frW7R~jp~vaS-Damnn}EtdFuAN{jLIyKEp*(Yhr^s=w=oV|O?WRcCf<tKDm3RzF@
zHF?guZAqH~n@h+UwkY-(mb|rg0Z&fXElmnyabL@#Y<+%d)JoRQDc?%@;^OoovPJlx
zvb?+#>BXwKU3^X$kLp_X{-;{0(|2p`<*R26_OkQZm2>r~PQxj&_STO}<&REbEtJ`F
zjZM>&#gx@|t(lnZ((2_V_SZt9HwpZ{H~ZvAsYQBf5(3IE<cuXw8s9TeX;oBFy|-89
z+Gg*Mhoes@t?S7TzQsPHRpo?4-?Syuq-Uu}EHhXge5W#qHBVOf_q6TTL@a{1<ym^X
zB}{CkGWmC{Wwk!nc)xYWNw-(iw|>@NwQ#QbWW5<SZ3cF2Mw9PK%decqyJ>5|{4cC(
z_bwW2VV7mmpCr@7e{<3|hVBI_W(#DdoaFt^EW2!fP?U(8sE*sS%KZ7CSf!@SFP+5X
zu58^SoK-3CZ*HpPPS$x-UAM4o7X5VU_O#{Z-ji5kY}!;*11&Z`{X6}LYZyz_zPnET
zvgu1@g}qchuV&>g74zLTZML2+?*b3z@H?HqSd>$F%{xDou-%<xHo@PIC3O)$i*>ws
z!fD>z>2u0Yv%0UJ?wR(LwdDPL*;8l3zeTa<vI>UXneWA_qaQYR@0oid*QW_ReiG>=
z^xN!xy(+tri}zZV4Kg>k#C?<fV%9q$lSOK+Y=Nlk7v6_Pab0ijZD;Yi#(Qtl?CUJ7
zEa!|`>q?jE2Tv7|j{Wv(irZ!WzhR<LE2rG>5{=DXV>8pjso!^Uc^BK}b8U5N^tpRa
z>9OQ_ncP1uyKwob7rMcBkIF7va_Woh<w@E99UOWiwojf{&*BoKI{&moM{CVep8TyW
z&AjbTB#yV#c(ItS)fFsjtywN|{VL19XUo>aw%5#WS-<{i%HyXmRD=Z?So60%wQipK
z=B7e_*xx9A8yU;oD~0Q~u&aqPU9w@yW>?$FQg~w0$Js6(4cngTEa&~XY4eGbZSUX2
zpUM{Bu#@@6`(;a6#d{p4OBGD3TDw(dBI`>pwLEQ^Bj4vN@}9h_|7n)&#I@>*JZ@82
z-W)ah`GqA?)Ar3;o;aQhK3B`;sxJ-Wz2nV&O~-dNn`XG^qL;jkC2R}l?WtQ8#5*xt
z>~QE@?n$CdlC5tOHtx9Taaw4~PrE~(mTs#&`+1AW|Ay-}pC%u>@nwrnaF&hc$rE)N
zujd@nIr($$nI}v!HNC!X_dN1qow53E?9*btC49RkaXHVvT|E2VzP3$vy6S>r3d{T4
z^bN1F3SJUgZ^v;-sbv42EZ^+4W!$R4VfK7l<=kske#?Zb+J;FBe0~$IWm~nijKgMa
z7;Dw<)=g0?lUApM#_p+!t?COc)%kLXOVaeuRMiz>ZhAYXu9#-BOQ+)!tL93xKjEwk
zf1bCs+RFOX)Ne^dW{HU1R?|hk6RxopM!9IPYihdcZDrL|T~@)cW_$Kec9|#aUV-&;
ztNv#)OfmauJx6*ui|<y}HLYddtZKTf;+lmbEXvp0%CuO$;+I%tx+^{vZ)-367bH7v
zHH-M!<2+un|9Kv2`^>s)@*zrGfhnMB$)CMqzCjWpCLflvP6~?MuzK0gts$#<qHi@f
zDdqo34Y@t{{VOk-zpGUzEaj@SFA3tAYh^ocFYi;kjBD~1Ug8zzALg=tjWYePozFH-
z>fgGSnl0>i*KmZf%wFo6@<r~45o7b5_o~vz_wr77cH&8AO*^Z)W<qi9f9)B6qWEuT
zJwNsA-*hd{&{G|E&MoFUdu0jhyt20XO@C#URBp3YSi-#Fti%%0a+WJwSvuLcudH!d
z$+K6tXRWuxuFwT<?<=pG!jsN@>domrC;7R$9X;68*!VJ8?V`7EYO+{Z7IeP$*X2ns
zoVS+6g+2alL-umEC3n}lXNriQ44rd3ZU2@z%zu|8H<cBJiBx&*y(_b2<`S#x;+wtX
zpW8KLt6rHatF0<{Z5>|~uW<i_pwAM9ORSc%R^6TR%~M2K{-)-$lDRDXOWtg)f88=^
zF8k4Ie7q}Nmxa3QJEd}d>a?Qu`tPRdPc3n*o6D+cQWVv)YdwF^RQ83X*?zozYuRhB
zvh>S!#!lW>#2PS7I8*x27rs+gwk4`17t52j@-{8@I^VNolAPK#nNNpmwHa=F-8F~x
z>@;SdeXU3Lzd5OD*11sGN#du(@o5vv_7<$4%Xj;|!YRFd*CtP!!Bh8y{oNJ2<Ihf{
zt>5jXtLD}ayY63Q|J^A%7Snc3arI=cog^Z{A|A${`)=>wDeRg(x97(Duto9TWEcE-
zR56G}f2r!^Fm`uOsWq(G%h_bFvmL$JZFf<8X%)Mmr;@#ZoWO@mDku5$D&I$K;W?|)
z62!x}mOW#VsCt{+UoYEp`V(d=w+K1k&^#p?%U|3gswJxYxZ~mC1AnG!&NbyX>-f2>
zMYL=JPZn=ZaJy&}e<{1*&z1w4YfZNJaOP|YT*@A=$}4&Dd8+w}mYgrFrjvYboD%YW
za^}bqZ@n;9&2?+;PGMJ8Ipf9R?s<8J$+SsK4Uw^JdG73j(OpvhZ1b{NW;|p&dP8A}
zkr%6`D)U#($U2s)lg9oQM!)xTu`RjRIdwVfwkf4XJm-US({J&v{yHftisuQ7nl`)F
z_m(Cve<qcSQ7qzI?NM=E><XSfx5N*voX@?5eLu^^DCY@TZlX_ll$J2X@kD2{b7#s*
zvSp;Qn7%75(Vw;I8tYQ2&?}FN+v>k-iZ7Zf@>$4lO6)Z8gi9h7R;-$T<EMFv+?Xr4
zoF(-tD|Zz8ep#a+&uKB!wm12mxufgJoO=FS7JL6z+3P9`wy=F#n(=gsdS)5-6JzgY
zL;1@s6`3r>swxMJRpOt{(PGt{sTb2y5pUe+b$5=A^~@z)mw3XX*f;Q(?rg1`<`B2!
zh9AeYPZporHgqd*Phn^65?R8wmR)u!$JOahse)41YNkw?(8)bfY5qHQUp9_yS9VSE
zT|IUB+|NgTZn@#0@}QF~K6!~lPww0>^NpuOJKB1e@T6^(pCEIoXsO^{m7UX=!j=eD
zFJ)Jg_f}Q*G7H?_XSjvkcbaGe=e;Q+Ju)-BL{?eupE#FKK=#`tUMst{FqTR4=G?r$
zMBvUOp+ajx)$CJ^wRW2n=FR<48zmyjW1cOpxK-3x$otGv-l=K^aXe+7Q`-ICYRZYc
zjNoL|yuzBjiidY9d%3-o)mGM{r?#Xh$hGx)sXesvpR@SQ1bKZ`RbEY2|F(uqR<)Hj
zvaCC|iUm&HsC81;t&;Kh_i3?9Co%2V>l9V~)l20q>sC#XuRB*X?CV=1vBu4HiNS=m
z%gy%oX0qgMRh`2=DX4bV>htI0gv$M9SDDNy66)O7ce>c?gX>}&BNdxMCVlUtUr(3l
zpN&)RQ(DV!$z#7&R^^K9_Dk$KR^{B8QWeYnqI-l-N-bK#vPV2VjQ<;`PLTPp$~}!Y
zIlrZZPw(A*j;$*HSR1DC9nPP&gz4hC<x8bjmWlX$JFwd1MydJ3>FN?;;>KEMGN+1W
zvTFL7Kin#E*pKgE6niI2b~Zb=u098=<5ZJUR<AIT-j%G`OBBOdKTT6Qz>ppCo+*e`
zErfL?+o#Z}XFOG<^)x2M{r-@^JXdG3aS+2w)-{)uLd^dgNjvOJm?Raqd&Zd?+FM!n
zv6-Hk^VqGq$UeiHEh+wtxAc+Yf0ycrOr5{PdPa#Y>nZ*Z&x*ozT{}F@zZq|IT`=v7
z^(5EbWlX2~b*jA!nYeb}V#)X(l(sx*=~jO4Hs-CD<So5KuC3GbW{MPPUg~<)Xy4>)
zmKkf=xmmWA*vV$H#%ET(5`R3^w_&&C+198Uy`IFic2ev;Q}qQ}Bdk~Ig|cvj+Qctw
z3(?<dYRP-nEBAb+_@AXRe5{(6Sqigs#OH2*p~DoG^K&Zu(J-@hSv*QFr`={>J0<t0
zO=pyC^Ku@UsdiF4&UxNv*1dG)Q9aD=AXNF}->UgbtXb_urt&;*Rrloie{zA-&m~Gq
z%yVU5+lo(HQ2M0&?Ns)6<$P1rlc!ic-^y>GYCLTwx5~Ppw~Ssa){6~Joiq4m7ObzG
zlo|byojd;Dzm+z8IlJe-`?^52L|IG9llur$?NifCoja=fxdGw!EsD2HnI|e+dHHjT
zI%=92ch466+H;9L;}h?(ry0{sE4HX;HrG!1DkAeUjGeovIjpBFiv0nrzPAb=Yq>U?
zwWhL>nttYQhmb5!w!*wQxl7+pVUA`oUCMTMa`sPl(`nKx*?i-ev!mDx`Jz?1JLdkK
z$h$u5-wsc1+vKg6ex&niYsTlFpLX8L{;RI*ZiNG$Y$Y7WW9P5TnYJ{ahuwWj-8HdA
zH7&DLMJ7id`Kif%|D?!E(WAZ2_RD2fnzcpj`m<&$ODzj`Q162EEG{Aa3!+%^WR_*m
zxvx2wMen$#j5GTN|K2z2*&CKJ<*{T3s~c$Y)R*?I$zl!jZ`0J5Ik;AwhgC3ajn}@b
z8hLB^Q)HW#9`|9_j1u{ut-E%qtfQRTWM<9qN~^7`Z+xGHT)Q4**{QNuE3AI2YRlw+
zz}{b3?EOn^J@}_j;kR<txMUL<#s7pi^OIA;B-L5}MN`Y?Z$G8d(kz@b#lfBb?P`(K
zRA!?qj5kl3S{zF83>TAPWRCHATEbGb@=u=^uXgHronLRJm~QQRnf~%{{gDnAq2+wX
z7kEay{wrHAv*Mb5!M7<pFN#mB{&(F{llL~?+pVcL|CNQQ>{K;w_f$42GyGHbHA>t_
z#HDinvJe@rY*(2~<qZDfm7e9M>QmXpyDz%esd)3Qx0#pa)AO`0yzlW&f05^V|9Hl!
z=xVE2F6~_`Bej)1n^iW-g?;Lu;$K@>j+*?h3l(Yf>aAq?z4mW$Fv}!u(<gh?3wkTH
zPO{EA(X-R$g~|UdKC(*drld8g?RpYWzsLLv>*6R@uYmRQ<}3?h&kG8;c2{Wk949~a
z9&MI3{i(WZ|JT3UB2ugy7k6XBv=-GMnJ1~+j!cu{KmRoP>Z9B_rg7p^J#+F|POmio
zyoLQMd+;<@`!!i{@{%u2K40aHi{iD4iBaW>o_w{6wcpF^)zW_Lsk|CCzL(6N$47~;
z5ZUD|bnH*%Qc;ylteTnO)>~y2<TJwLy<V9=zry<dm&x-`mYtdZD%Xm<vJ1Gv-XFEz
zfK^akGGOW!0p|5zc%M&_Nt|W!rs!M8rk6*uwz34w{wn{LRq$9`${(ev3P-ObmE>))
zo;_=SSXoYsbl6gskP?od<ZG-wl|9d__KGbp`Z}dFn??WA{TJK+P5Gs|=)(nZ&&iy6
zMr?xKyz+e0C-MI(6+Gs%|J&|#waef2Cq;R&*$IFB#8x|3eo~ulYVq5fQQ~Vw^QQf+
zy=*_*OJt!<>h{>Fr{?Xt9wn|L^L*uc#}c;9lgzrh*{^A)FJ-sBmk=h)o5{1wGyjIv
zO`FK=rj~JbUQz5EWiC6v2*htSUpxKfoAbGu-#AiDUiF4A_X}0lue8#&$zbYSJSRhx
za~k(kHqAKk#%%j@dqa2DT`<~mGc=2(-&25xfobl-Ox8&|#k%e<H~t!;%bc{8Emf9v
z35zDL@HHOW)oZM$@XWF8n<SdV&^_mS#EebHTQ8|kKA`#I;L#~RnM~(C^W^#CtyR|X
zXx$vPEj({|e}<IUUE3x*Nw~J9?r92B=hY-Xo_o2^L#K#Twd#56sJ&co>SZY+H!bw%
z-`qK$KmV)qcw}KCtIwo7_wNoS3zcV+cGNC^u}LRMbw~gIgTH63@DS{@?Jw(0`22tV
zj$VQD^L~0)sWExVaxO3~YgnsN8?vV4lG1EDsVH$-^(t>6WqYZ)qP{*`*}Yh<9QpbC
z+KniAt87-6m)v`8q?U?aWK(|1B);W`-r|+=yelu+iNtI1u35Z#maWJYHqC4io}EE!
zzAU@!YOX2bJ+&lbDtnyS-fY&km%lHXtyt<mSybYZ*zHTg?W`G5Y)Ncw>MQ|k*;h?@
zSN`_pa+w!t^6RrsPtlrcWc)?NBa6pA{dafYg^3*VuQhls-L4y_s5;Hef5ueab$ev2
zf8N%eCc8wLkH;m>xXo|!Gl4k0xlfnBx@zaYM5l&5Dd(bv+*FmtdxY5<roY;_Z1to!
zA<Vy~upDKMSrSvoemCZ8VHArsi>5ZaX>QE^C3aq1b+6us1h@ab)x$pL$&^|T4gU8Y
zkvZYZC4O(=x3`T8dz%);-@>Xhd%C(GOZm!Lo^X-=WmD9HSvPyyUC7;>De`71%R;-4
zVeIkQE|#7yu0m5|SH0Ac_<P5C=9O>LdrOt~l-PXV+Qfb_zt&TkKU%hmU1u%7hN@PO
z?Z+sU9C7}ateRU?fBH=I<GHg`JU?VhxE0^Kt)d2Pt4eI%t!7CL620-7C21<3z}v%9
zcv)l}y?8WMmVTck+f?%X%3A|Z>3t%ymMfxG8eb~;xVdS^Neizwe+|>CsUlJ<+G3^%
zdn(yXX<KUVYqsp-rO7ki8fdB;T)uWyb=5LWlVj}MdrdAe8GSLiRK(=_HGaGPg=;*o
zCP{B$6>PtC-;3SrwAAM{{d?F`gM3_08W~TK-Xq?B$%H3paoc;ROqN@^?7pnBQ&V2C
z>rC1m)W7F4OJtPn6Y>5_wtS}NHN_`w)s=Z;A7_64e>P9+Qt_F**DkYYuGiaFVlyvO
z<l9OwA@lRWBAKsE&WG{JYx8LD6}h3bCB@qG$bo4llX=CKu(h#@PhtP(e?F*m-_n@t
zf0us$w5;Xq5?LA9plxrKc}g8$tGYI%Blq>DO`HAZwMwpN(_|CpllH7oWHAz%q0Pb_
zJ$*%{Xx04r3q?Oob5P+}I>pwPCGS%Hv8{YNboN`GR@lDJZrZ*i-CmwAHxz6*;#B|m
zT{v#<a{4{P*Pg9gB)>=T6ltp~S4!}#$y*x2dwY^|7c=Wrz1LIKXHU_q-pVphOgYG2
zO4EL}r}SI%y+#i&2_Di*$yBpj%N{2FPu131cuy`%;g$f+okmaAR9sH~^@%-TvEO1-
zxuEB-=d+xSa+6uAy6YONxH|8t*pf)CoO`<b*UqYimNl%(xpzr4?UL~dmTjJbLd*VZ
z>2`W@`{&=#tqL-`SN2}hR(Y-bRGr`EZ^f4DeA(*iYSDe>yREi~@z$m5B39(IKFXB2
zqia$myH)ey5t9RpyZ_qfsYd+Iu2XeWv|x?C@@OTm`d0QHE|!pz+9EEQe&^e5_t&Wk
zM|voG+CB5skDctrDq?oe^`_}P)wdGKAIu+a*kUXA*|GSW%X(M)Ya(Hvct6akS)}_S
z%rs}_n%Uv(@j*GC#bciJya*L#iV_KXvUM)&ziZ-Cjl5^~vwMmEe!givzn&`V9`klh
z*`QdLr7UVDH!iV<eOs&XW%<VKqL;$-rewMtnaipsx?-C2*|ok^np}5sZ?83BVBwCE
zUE-bR$9C(aO6Ij$lUcL9^3Puqt!4|;Vl9~~vS@2u+>*&lMRsmwz4`iwiub(L4xRyX
z7c(o%1ufuTBJ^`#&lLNJA4ZeIW=)y0ehcqy=GrIHwzt=(eCt@3Iz`l%Y0sQ))^D4p
zF<sxn948X6T9jieuhPG%-eDKK*p?{oeYP&iJ2%9#@A}KSzMQKmwQHVdPZK-T5WMI0
zV$b_GY9CD!-pQyi^~RF5rmgy?E(x4$-qF!MTeobI)EtvuFYZf0Osp*&x*ek4ZC8R+
zI(cqi61SFp_^Cmp_1sc+*(E+MET&86J{8fK%ol!mwy(fryN39a!Y|pRUN|YUh<GkA
za1Cd$n8d89pf4$@!X#DUaZ*CH(r=T2r=>C*hZl>&1o254H5JpCGgPE^Pu9_1RyK**
zYl5ToS{2T3%(ar$6FS*X_ddv!QPGVJ^85HQdJ`+_6rR#;GoxfSYPv3cs&j1G+9;V1
z+WgO_x-Zqyj$*yyDP%D%Ca8XzNm$m^uB}sbY7DNiXMf^Y)DyLqFERXWg14}jaKpQv
zZ+EsDs|#&j$$XLj%NCmgp14W=FYPBrG4EORE^w>PFWHYFF7scps%>G_DLx+Z?yct5
z>aF~YUwq?K4^MLQ5ZB@@UZR%6vfNvIm)Dj|=6JE5KTFyEv8aXezR*$?p1f5`rYuT)
z`DuoZw`Ozs4^Ptym9g^TGMhFvD_CXGB$YF-?L~HeYK}U=`Lm_+n%t60sq7bz*lDj7
zDQDS#Esrh8NZs`6DV>1nys_42XJ>lO-l7uy{L>@>uk43nGbTy9xz6_!N}cq$$Lyro
zttqvorpLTYDm;0v@>IY5dd_;S{Cty>-liY2&2(onO+B;Vdgz2GGfO6mT_z`0r1!FX
zRb|=6)4r5NkVW~c`Qa#j2i+Gze2FR+D|2O2xTj3xj}i^ry(Xgg{M6bap@029^to#8
znxBbMRX07eoJGxBl>ZXvN|vKb`4296<68PXNH(uZ*4<NNsniR*g_GnSDag$+TDjD_
zg2ij8Kw~QF7L#>-FVy$4??0}xev7>4UHxh7XYW>dN$5=~zQbpHB6MPMB_qd@B(5`4
zRsCu;ZI_zNmpQH~oTm{tbN0Kn|F`(hP?b|*GiBerlc}~xST(s%W5-WtiRU-Gcx2Yj
zd3s$p(kRZjFEEH(Kr}3hC5D~*HII1-i&_wyCXb}tGwz=Zh1bQmJr!Q^R&Fc*6uxUy
zctdTipQOun?T}x}SIHt9%5LZ7vXZ567mxfGQT|h6rAvNHVJW%B)91w}%qr!rZ^SCT
zRwcyC&0kesd@rA{>aMy>u@;_XEAzjz<V})H;^obH$x_H3dG_wHl|0@@6^g{J^lk0!
zyETVnk7)d<%|G%!S%}Y2JIRo-{L*Ij)N@Z>Ef?EAUC5d}=G(MYPv$P!+H>1L==~G6
ziziK7r5GnI?2_V|{9}p5b(P!q*D!`_vF@46sww<wii|+>;y^u}%4Iv%=jw-*tA)Al
zeyy@`37?qgq$@1Xf{bfe`gN7x25*_Qa_XE(vMmCSbGEd5srP7Ho-{j`J63M;66rZQ
zwv((_X9i8-U&^eb5*>0oYWmbT*;lukLgq6DY;m2*IAe;+<|kQG{@i}o@2T@*t`18W
zd%qX!W~Pj&unVk**0P6f)!E7-drklBq*gn*7YY+plywzmYOb;7lT>Ay&9O|=Q-V#w
z)6`hu_G-;Z{Bg3;tm08(@2g_YEM<S$E4;;gHH&_oE^DOm_O)!=Y`L$oO#NkYa!H6d
zZ}jx^b8PWenwC{Ec~e~s*;h?c54Y*p`nyKxlG<Umi&=i6)Bk3OKL}$H%-+MS%Jx@p
z_vUTKg;s4bkKLA~zq2fIj^%a!|EJhD%(2`n%c~-GR8B+H?|q9_rmStq%9RCQ`L=K6
zNoKK&;?W4|x^I)ZvSd>1ww>8J4|Ms(Z0~ATujE_2RQC<b%WG`<leBvHBw2i?rCpav
z*<xDOtUiUccGA6^wmX;Dy;#IOb&c5fdxt2QKD|^ooh9JXzKuMULHY_$?7T~=jeZ5O
z+0|xcvv_$jb6bam?t3Xy87fnpC3@>5?`_7La~WnaKkYfdz`(%9z`y{W?`Pm(K$-uq
zxculzM_+e=im^(5tS4&?hp4KHrp(jmJs)4nrlc~&FI7H$I(wGYs+#r<+FNCos)TQq
zxhzwhCI6z5<9Tmx)Ha!=Q?^HQ6>FJ)SZl+?vUZ8I>O6&M+<Ma+{amImSDjY7XJ?gd
z&s<gom3xz{W$!&)y+x+@8RO5r-M;F)KJizdMY*SN6;JxNIOD<t<}G%6nuI4ai%2e5
zGV|rsGhVWWVM~-XHD!Iw3_NX$nPkmAuhmKSnd`ou_w(6j+b%Ip-6QACTIjpeQ%%Um
z%bV%e9+jmwc`Dj0{X5q3^Rh2jWwq0u;>oy^*I8ZHn_XEZ;^q5CVZ58Nc~0$R-Nv%v
z8sC>FQ6BA&LD89Pn%XScFO9WWbS9a+W!-jbTHs5*DWPm?MP?eUXRFxW&t;LlVxWDM
zr#?zH%r4k7t;CISHB00q@r}8aOYAm;wQaFK!TxWHh@1*zT&#U&zC>@1)=h=RL$1mm
zL97weB)pk4ZQPf#cdVTvHu=D=!ZqcaSa-J``Vz(Rly#4{u*eK|tD1L<=VtTp2eAfu
zm%7cECAT^3B=<9heJ7TC78fv|x|Cq9VpKUN&x<Q|l5|(ibCx4fTN=HUXH>@Sxv_Vy
z64%$09^aWo=5|bCiJuhKQsxl!^<Nb4Te<MnqH(!XUg|2d*1Fx(m6co>{QAy^5*CG*
zK1o5XM{iD3a&^7Bmu>c&w{?+o?V@Uydfz!VD?7;L42%0DxnGG{?k9s}=46Xse}DAi
z9QCtdB0W)VC%G#2%~^R#{O%^EseK=}vTloF^3rlYxmBl8wsjIye|+;6`5M`qTi8u|
zY`okrd4IDo<<@pRzg9eqXXO=_OQlgER=g2fN8(CZ)uLE+s%^9UW>1ynn>O9wr+KLh
z|Mn#k*H5`Lwk4~y-I~0#hBeY_dfg<^y0&KX#n)QqX^G6A%9>=964E-)Q}hkXalJ47
zFAoUY9-Sg%d-klijFo4>o#OjiF8_695@kCiBLZHSpVZrAz32R&oc$}es7MP%#@rFg
zWKmwqt}iaA+xBm&d2*am=A_jYaeOSMdY;Oc^!a$qvw598Iri;VW9whrHYtdI;=2B8
zQ%tgYUt4=>B^6I?i=TSz;@>ZAvpqfj7i?bDI%$i|QSn8S*xYBGxYN7-`S+5iTTCX{
zpYYQ!@RZSD5kJkfXxpC4R&oMU+b89CMG3Es(n+ri)MlTjng5u5=UR4O+fP?)Pek!-
zXXAc8<@@Sz9`@B~%3Il=u{dUV8r3CKwJj{a#X6%!EcRKe))vu?yLqnkWohw>{k1z6
z#hY$l9wlmEe{L1e^ert5>!MSvFSe}nvwIVD-%Z|HTgE+j&a*7mxhF;cIfS>UMC|$%
zVspaVrR$uv7eB*^uag|sSx>UnjIs+p`Q_7&;%YCIoSNxD-oY$+-pm>H^G-7h?)6)u
zZ@N{Tf#+nDXUxB4c~kgb)h%8(o%LBrM9-|d?)yquWF_bRxNCFq5^uEaId!(Rt4{<*
z99q4lPD=mfq?+zkOjByRSBa$5ch5cJ?*7?Rq|nsqv_RX^=hN-0f<%{V+3j4(6!ym<
z#I`N!pM%}n<tlc$le>!e=Fia$at#V;%9NL%D<Z`sHjQcX=@U!DIan8l@%j5r&0({c
z*{ZvRU75+{%0K@iUbjxMDE=U(X1SJ$TUGdNH}AWo{=qB6&a{0co91<1y;rt7r}F%&
z@5o!qa-~-C3d@ze7W0*xW!<vAh#Z?_JlCt@>YE_eHcyi^EV9|Gns2vSyovT=*UM)0
z@#L;hdt5X3>Gl`i8`gMzb>umD@`28qkBe06f><wHdsT3!U1r<;%}XCNmp+~%Vm<Zc
z_F!K1Y}S7(4f1nOe%%*1|F3G0NJAE@_{vUY+1I6N%*SqLwX%IF;(BzW&B|Tiq;$H+
z)eDKr?zSg|6xmKpQ}vIMWn?os876<Hb&BqzC?1=ae_l@&@2QOlVv$|;?bTKtL!S0&
zQoMg(Yw5E8lU*})i7tCaP+fF3zq}`_ot_n6?#^$omuM&QeZEo?6domCrX{6SqIQ>6
zbCvx(Rqc69g?H;CqGVRtSg%&eUur*3ynQOWpvbW}`+45RS*&eKV-{ax-Eiye^|dSK
zu$n|wnOyqAR8#PCUjHO3@wuC$D%1TguVzwP_T|zR_T8I2Y=3fu_buD@DLwto++dcE
zLCi_6TVAVMZsobkUonkImUUYc+rpEZA8ot1+`M`^m(^zH;5~ldgLv6(r&_Uze6?L3
z#G7urM4!!cB`b&B@+UP84cp{(Z7XVhg5PLeVvb{Fwf^&EE4y0#?LD{pB@RzZ?vriX
z6?C=m6idUJ))g$-(^$8i+g7@zPL((3ifi#C<_$-FvEB6x`<~UR?kUa4!pd46Wfw2<
z)iW*2ruq4M#w{#U=UsX8`DG^iT~DbCF^kyFsn465e1LV@;Y;hzdCxw_yL)X~UdZ;`
zDE+oD&E+*LLA>`t!v(yLSbu0OWtmaW7|q)3y+V$e$!&5?Ox^4~+n0JC6PrJ||0rvG
zoXou4QyP}CHS_JeB4=vEcyzAe)L*aN)1$4AX?S+Lli1zyWs-`nFh`2TrTdcEHdmIi
z+ih*FxN&m3`?l#p%Eq=wvsk?{=LPT_R%O?5f2Dc$gPN!=UpVWVrK(OWzo*1)iM+IA
zm2BSXoasrE*konpWw&19i4NjQpBwN=HTmz3r92%|*iLi1_52G~k=w$uhqZZHiK*NU
zKbH4PSpt^K=1x5^MLtOQ<rbCIDL=LyIGe$uki1=Ds<xN>VlRFxnZ@2_j6M^Jn5Mq_
z7{wyO7BGo{W8n*)xM{4X*_CrzPpYz3O_gb7_rJ#b`4jurxvra8#KW#+YqEze4V}od
zXzhj-N?ent{@Kd2dYY)(iZ^G@Wr(dhB`N%J*)`=Iy(Rmf%HLmOv($^H<?RaLFVm)N
zW%*Rpx_&wD@oS=ipZHhymE1L(mNYf&rf+hP&g0Y45$`W}ihh$@X2l+3IpyBx+gs}y
z*}pDf(_|}D(OS+_dw;1#Cj0S~qMb3NA)-s7bf!e)PwIZ^ecd)7NJk^8+*e!g)m*`;
zI+Z=9FTb$9ndCg@{5RiQUfP$V+I(epXR{=&W#x{^oNTP367I$7{&b0TwXW$C!MvsH
zf?`!6*N?7G5sBjQS(zernWrX-Jzo6Y$~ihqcx{)hDPh%&Vo7DIUC;9V)R`GqB#&!&
zvi7TViT8SoX{jcs9dlD<-#p)1m-U>R+uYoPtUY0FO}E%LAMIY_8nHz5L5lEn*<yyo
zJ)OlKdbZ4uie`oN#Lg|f9wig!$^6B+((lex5vFW?Ur$k{%mnQV_ijZ_-Y0Q;$3%vJ
z?8Yr>jqFvEcwE^tjBel2TN=Dpyq0~{RTkx-4}WT>+&*r#ZE>Yi$o;*lfB1Gxs{X=i
znm050&w94$M&H73UeTT8mA0IBuF;R*Th33C-6#06sri2hi})p0Q=Pln=Deva!RHJ^
z*sMLZA4@k)5|3i~ks`9hRM@R}(l>VI2TSBHs9v4QCTsUrC8d_VWU9<2UB)O~^KEa}
zUKZQ4(k&&-X2!hhM&a^tMUyM~yOy%N*~;U@%6*OJtu9lur<xp3scW3RVxTVXXYnvy
z7I7!-mnHpdzE8PWdZxuOyHvTBsfN8^c3Il$Au18ZzA7pthL!szo8#PdW$bFV=5u7S
zT-nPO!++<RxWOqF<(~PO{JBf{r?YAXi%#$~;bmF7RpgS<`%80KkKXDn_2hXf5~bU=
zZl(BY-t;^3xT1Ip*fOGcY-Kj+s-0iT_sG_13*U>qC#|=#<o49(v_6@%;cUXcb(2p{
zH@%&8chgS^`Ke8wEQQ+awVDbNZCe(%JQ3kuVtA6(rD#q4Qr_gV%cew$Bx%WRYt1xU
zR~^5z-*PLv;D$*PRhH_+X#F$}J-X|qs!;oleRo^D-7X!AGq>#fx%+w99C5pC%ltD%
z^w)}8i<fU{ldwwKa{kYk^IJr=S@qaFlh+pSU)$2c!X3LNWjV{UYbgtYJQuQ03Q}=r
zUsYwZ`K?;Uu}}L|lLgmYTq?iyuIiH1>o%#ErzKC~^;G-H&T);!U32fZt1Nr8R5O?I
zs<OIIXP#F(*N^|5w~%6+;&hv}Sxdzm&CTwv>0Zyn;$0_vI;gGXO7PN<bJL>V+OLh{
z`=!q$+rNdA*D%U%!%B9wP?@kOmPoe#)k|+qVqGAR{iIR-kmckB>(6E1zOvG9cJJnC
zmDi5tZDC&)A{NDL6lJ59(SFHd6?@Vo=9oVUI!V)#=Gh$b;*(yjl021XY2T*lUfU0<
zOCMkP`-)i171gCd4C1x-xA%K^+nl?^D`2xzm)9Zx%*O4!1xxOIub*h%GjDInk}%tb
z=q+=tW9`>^vDn$HRTXOfye20~WFG6D)HOL)Yvt2**DUS#XVaX{<X)ZlXR7d0-Cx&O
z_iNd&@+=kXykqWt^UNk~Pd0tl(`NZvIs%?t2WvuEAFpLuyOp0^oH<NXG@I33lX>bq
zh0X86_{)M^e3r8`gtBmJ)`reyd%&vcSGRRJZ`l@JmitP<Eamg2?7PHrG>YAkCt@}0
z`=w=XSXOOi<rZn*!k*18Saf}B6u(|g=yF!Ia9^G();%j@otH{Z;tgcER?{-clcCst
ztv;9ZQq^f9`&B9gGUt18ZP}wGdN*6_MC7WkJH4ie#M`X(;+hatpUWN`^~``>K{IvF
zS{6H=S0$>8idyTQ=ia_x|FPw;4om7*Q7@)#S8R6f6?r+0EA(tw_EuK$#$Udy@7J=5
zPh-mX{oB?3-Fo(gdmj8)%x*gQ|FcJmzB!xJ&z-6~DErqbT7FtKYwKK<PC5T40%|9E
z<WoaK&rI4rSt)f*yJn_xElcxFR>5<Vd>J+cWk|;wPr4m_t6L|+OX=dV%kS2*hfcb6
zQ{bzNq!+K!C$;5!!aP}x;zA~=osg4JyH#`8^^#s(%JfU!Pg$fqnInbEgIE-79J5%(
z*RsUuME^Zow}j{W6;^RC))^{4HCf{$jqLY+@MhC|etNz5QZ`2xzNw<;*uAD1t()f{
z>d9$x*=Ws)Z9R@b;tyu;<(MMh$mc!Dc-0l7IC)RasdZ0z^5^_}yOqUGMl6iSZ(fhX
z^i79b^F7(^yltOy8$|toWWM@zp3QXg<*ZYKMDx6zy%oQD@k^GrWx6&_onp@`86{i4
znl<?9HT~^}HkI(KpQKjDSA6xE^;7ZHSAN$`<#{K+EKGLC&g(Cu<&!)m`k9%6mL!UJ
zXX{&RWj!>tI*2WMCF@-kwlKD-dwG`0^n7cr+Y>Y6nyR!B!@dsRPZM>UK6dp4E&sN*
zW^&A@Nz5)9ZYj(PEkC_d<h^;XvYFPkButxF(ef)iicLprPsq=tnma$V*NWJsEZE9l
z!KC?TuF=-E%)OVUR)<eA`Vg|4S7V6<2fJWt$a-xSm%AY=y;#a^E=HBQhrRYp-Yh9r
z_GXi(@?j&3LsLxZTD)FwRo&U+wDgMU(o-gTTYrA>67QKhVXw&PPjd}IqHMBRxxK3w
zrTcj4pE6;SZvVN}HLm9WHCE*y_6+tUExp{SSKL{v;@DP2v2$GMUw+N~^;Z5S{(_>G
zUrY6smui`^Y6i2WX6s~cW#txE`PAcg*IR`%_(YYe$K0uN*bf=C{mf=n@U)&6W5_GE
z-uCDe72AZ8EpoNy>(9)MPG38BiN2D}m3ZEDsSQ_EjOBDA)~}D4((rcU8mlXQ{S55o
zKYJ22Gp!Htm~CYhv^(VIkag+8#eSAe89(n#-qf$^7cISO%7iE`v3GrJ_G|h7Y^(6;
zW37^%rhdEJFm0w#Ko4uQ{DIR#{Y&oe5!s^^+{?P0S9O|{)zbSfx3a$9bA5_@Q=8eO
z-T!)7SE@)~mc6;w{v3-}@R<Y4`M4%CEO$)b!cxo19Vh)utK`92b~_%EDZK%#8Bshb
zt5xq!Wl?5rzQkq?YU!O>$MUag?LB{%%{Dy|-(T4t4Q1IgvxoH(>!%>=h0}lioa1YB
zE_XGbPv}xlPu`yf$;VDguGM^bC4Q>7(VQU7lT1%5&HLDvvi#jDQY9+#gxRQv&5OOn
zlUbA3GI!|<Kk-^^_H&iev##E~wd}<gUD+?ompo(TE9zy7UCH}!s`xg6H*cm-U86fa
zn8ohhlDkvc<EL!&vfB`(#2R`hihUmYiJg6H-Yhe$?=r46t7cVxYBm#Oyyj|_ou#&G
zvsimhGKVq6y!{dt#U5jC<h|F`CioPK*Rd^s*YogB<KJg{G0Og>X6@XyE>n1XzU(#A
z6qmSLUwn)G&9S;nmgTvu+`Sxr{8paz#cUZ%eO0FMn$#3~vCqzCtGd5dZ>8wJxzC=y
zjShbHvZy2X*~j1Way^;WFWajh+shNh@==uUZ6A*pyHRFc>r<BCKAtFEF8z3ql}z(=
z!c>^VKORVBKRwTLb(HvTZ=s$uhFP+bd)btQ&fQsWyP7rT%`)em?SC)pZ=SR|u-oX3
zO)%>rZ?1R$^ZdR(Wcjg`EppC0@pYc-uc+`D&Hi}y{YzH0t2G}pO<rjVosgaTl%=`X
z=@Mu|@!9uZ`{(S7ovISbSUxY)l=)xPT(<Wyjb5g!*RuZTo&A8Vc2dl9md-HtAHQ79
zx3KiH`+l05xRmea_AeaU*)^lKX>1Y}nkchE#H{Sk(bcT_U;VVASY)--ylPMJb7_mP
z&1KaT(VE8V@Gqw7YRz$N{v$IB&i{+s((YaA6vVr)vd1FMS9*1i)D@O~tNPb#Sr_i<
z4dOrfN$%;C+)VZ(m8>1JnJ->>pf}G;a@yqmk!!;lSsI>-s{Q$vdjF2_B>A&U&0_DP
z!m}*Df74`2Rb^hQzEosGPqWUM$trH&d2ef;6qSGHnW)K}%6|8?+~rahFE92I-mAOM
zyG(i(vP4PmdaImQ>_(RBD@8tCQ=92+u}xhxbyijhtMV=0`o0q}pM*rG`ui=FzoS}Y
zd0W-<!F)M)<E9|P4&GnYUEMxC+dM_5F$Z4~$W)EhWT~3U{!eC4$lP<DvhNro%(@#^
zzNkqN+;c}{3n$N<Yd={2PLmbm)_uaTX?1#tNL7@I94q%E>4_}Xo7BIn82|r~t$gu&
z_OYq5CTxWvJnEm6Zv5d23R3;BH~Hz3$=05{|CyUxW-iU^=(#nGS?ABxiFb+?pK9^m
zud4E?`&A~(S6y~)sfjNoud%CbVf`vBq*A6O#*i7Yi%lf@iqTrun5!w8nr!{EFX^|a
zYp1ebKWs9Eb%W@HEq^vBo=FkAH#dEY%uoLCY0BcPK701`PGMEpHhqb%0e^YV!_}-_
zXYOgOVkul=9KPJ`5}SMW5{Iq)VrHMG*qzAze2K?%60g6Sw9H4HNza4ax7zeC>v<e!
z_h3Cw#8jEYWzp-x)t$0=E-!s2#dB>E*UH)>exe)K`sRB#JFV+^9;f5zEt;laZzZ0#
zwrmUQp-=0cz2LFh%2Fs=>gBdLZ;HiQIeqorsqBKi?}JotWV6;-dUIU9HP_XXrFa_C
z@t#&M)-bbfzO8o??Ve3C*x2)S_0kC*tc79rohwD#diS1-jlH$*Y^?h1@=Wd~7Ved&
zJ>@=5)!FlzDZYe7C$cx+D$?fkwq$pf63ct7rE^bQvO6Tonw{6Ol%?3_P_*xir+>KP
ze2=b`Ner<$v{dBl*D&)Srag9tR<mrFq%Y4~u%u4F_Rz1(d^fd4T&Ko6v1n#(l{ICt
z4$9fOQnb2fwg;=eA6xKTGoKQhqpMj`i+Wxym3g4bx}33aEz4$gmZ>b7reA{Ol_#~$
zoa(kzOl$VqZ7ZAni<$nd`fjtX;pd#xx|^APt%;)LtqEQ#9|TfAop`e4(58xyKF7Yg
zZJA$S7p32(Wo>19Xf6Lbm4qkBvb|6I^ryaj%9H2GYZ4a6#!+Rz?Imx4{pM8OSv`5H
zw>35$V!SJ}R;FUBiHfPgx0YpjbH!bXdTy+je>LT)1&hn3bsk&UvoFc4UbbfAW%;+4
zcr(*Ny~H=DihBy3vtJ%1a+iI{YgWOnY$aUZ=FHhVRX#BH)g>vn5czERxm#HU<-3jg
z?q1Ipzp+-Og-377)ouJTmrQi6ST(oue&L%E$GR}}{PeuFB8{RSN^NGWmQ|a|V^SM;
ziLEf3MRqQm=Cr0I?Qcb{Zk5}!XQ>x=U=#~0tAls6^n#^gi%VxZoAo>hXWzQ4_rX(%
z1>Jd~OZU!a?JVoMx9^Km5Rb}g*21g*-h10DWXaIx(L24y|L^a~2LAQS)|(XP^k$St
ziT^fzo7<)_eT$5Vxoa59zAU?2x~yt1n^f6r_q#4-my-Dz#8Mu$&z0q+_PvO$I$xg0
zTwyKuk{7nVwQs6zFuVI}mO_<%_SRqDs=t|=W?5=?%Wutb{hHUTzH@hcaH|RV!*@FS
zL;J6(s`=B7xUgM}<3Ezk&$v`Xt~MI9x<tnMDzBlH$n&W+(P9R1ESp!0MlF@)tBan-
zn-vn~7rOr>mumO<M=jNHEP2^H2lw*E-Tt+NUqHQYE8ncQb>4D8`m9YeAH>fUQ{mnI
zp#1W>!>3F>uRXlPhCB7Ic;6S_y~Zm=H{`C$`SkE>^YuC}mVgyUCw*Y}$o!ROPQL-K
zbexK4l*myo$$j$*w~B9IH=2}eAy=>@!TqXih%5V~%aI$K*cGnHZPjMqoO@--_O_+|
zY|ob8cblsE-!?E#caNuPkuaOy)K6Dgq`dk%?T)RNRa^S=qVM^jCyJS}Nk17BR@evr
zxwTxBb2>xn)U!eCNm^n>`Yo!<Ssb?VaJ~!l581bx^;fD9bB9zg-<frL58Pe*aV5+5
z+<CJkC&#FoUcGvHsi?B-$7x&!(dSESrJ{I4jEg3*#VGz{R|t!J@^ej1Aglgr+o?;r
zud=XykvaSNX~h)wU~cD_{py}foh-^*MgRG6&CQxx^OE~4t8C4)XI}gQvbmW&eLuOZ
zPE7M<QCQ0EvXytMg5R78*Z*Gh<C$`E>Y_`$Yc45FW_zQ}8oyS=jFo%uw4&)g`@`7Z
zZQ|jX^YE4?+oO|G+QO%MF79EU7{v3(lWA*OOt#2oUG{6b(`QQf^jtK*RHhZrvr<+}
zEPG3tEK_IC;ji-<P1nlr6L(bM3zGS(%YK*XajD7POH9@_59Tj-ex-WssBOm1vs>2w
zDqeGZ`n1L{-h!91wp&z~h3ZOV(qFRdS9Nt`zdKd*UeB$!QLKkfJKUMiFh_%Ris%aV
zZPTPWd(LQYm08GGxJ72CyvQ_Ov9zjd?7ov^_0O@h%(^DybBjIY_2Y=EQ7Oz*?)*G;
zD7;UsHYk)UiZykq;nYpCAHQ@?;g9{ctZW*~^-D_)GsWF?c_p=H7x$h%#XtY<$69@%
zyv;pFdrt4X)fc#4Q)WT`oX?hiclO4vlohqT7MdyZO;?qv{`O9(X=_);iH4oF5MQ%$
zFT2_$^?+%|vo5hei&Aw_TvEl$rE6xdB)!#c1HV!jOU!B)r6)?a)~<Zz&wF#N_{oqZ
zCQ<gmOukmEnrqp!O+QR((G|ZP#<F%<&k28)sk(++*P1^y{k~LQob70EO7=?D!mTXs
zJoVRjyMs7nc;&TRZ!Tp~UTW@Td#i{cvFD`UrKt=B>;Bv-u{ji_&%`UYiNj!Z;L0T$
zlbK>Tl70HliFI6E+B%IT#^xK-Nwt}C&lg9D{MOmI*8X&mKijk3i~Hu>d^G*_)F8Qy
zR(FnXRh=p#c&RSy5>r^dMAqD!{?{T_i<I}SdvzsZ`DJ#;NxGL67qZ4jv6O4GaBsES
zX{r^abL4mL2d{0amzXE@-*MacP_A#inbvgXb1bZ%z8S`@=Q$f?bx}))do7O=k537!
z<}16kp{xs+^<0c&nWS5ou$2F=Si%<>j#KV6Jr}327Dl<ATFd%xsr@SUg<n}5=RNnh
z#9pR1r^?b|$>Ekr#&?tCzubQm#B^MBySK!$+On0Z#wv1W8{P-^T*(p<+{#)w$D-7W
z-A<doI<h-`{?S+0MBYpiYAZWEuQ`ZS{PxOKf%1m0*R;Qx_crLXl*We5&(EJbcYc}L
zrpKSJ9hh|NqV&x@IexN5x~jjer%YYSAGPKAHW#iq@g*zI2rW@E+EW^?)91xnDDwNI
z8`mV(i=66T*iyaZ)1A50dS9-U>6V>7O?IwJjo&)KAfD~)0n2(`PLY4dqjZJW#7jkP
zPjVDb9Q(GnZI;*AQnUGYbkC~o5Q*vEn5lkvsrprxXF;rcR*P<*b7mpSp=+#~YgwmW
z<8xchYhtr+>sqz|KR%@(gI{}cmh+rFb!KH)7SF7ejD<XZr_TFay(UC_V-%my(uq?=
zO`ll&KDkh3Z?yy0)SC6*yw_e~IW?&{BVx58=MyG{w`Nf>=QpwTtk~zlW}WtXQuJqy
zrzb?uwEpyL%{g;5z3*j|NWZrJQBN0nUTu@E{LKq}*_BVT3Z83tv^Ldxt%<FSd`<hg
zt@oR>Ps+At`1c<EYS(Kr|K1wT^=l?@&r*H6gqiPLpV5Ac)67Oak*hQLxwhIwF{jqd
zsmm^xI4Nc(5;%)@=GC_`bDS?}?{N{%luQ2oX8V>e32#GWp1f?AQ{`H5<*u$tAlHG#
zJ<CN8g^7mU>^->s^Sm<}>=~YXv7V+byjx{LgJNdBWOH09YtELfDzy2Xq^8e)_Q&_v
z-dxW5#=JpOZE53H-L0(RDhhkw?AXe#sl0TFaSP9#AeKq1Z6<ADS2GX4W~O+ph5NGY
z15Ng-QWvfu?pZ8f_4OCd<hpR?V7;gAMTM@v?kjm@N<*?IxyhLMoIj|k%Tg%1cWoG-
z$coyv-!B=iVHJPY>J_@DtIBj&|CwHK_i0)z^G~mzB2s%yIa}ApLUvRCHTeZQmXzzr
zTv);_>QflRvdY`;^s;D8*%V#&Hr6~(jz&j+UR7<m1)9d|$~Jp;a!WPIo}J5gW}4Ev
zzN^2l`)01>P0X2dc6!Y<Kfk>*doOMgpChVL!m4&j^_xjbwtB3tySA3a{+`*_SF&1f
zNfGbRoa}r@SgxdGnwGlOTG=llvsD%6@Cqyyt5d5}i55`T+A5>jx6M;VIGdgOq^*(l
z=^p3JER|PSjf{+@{pd5&4(;A~DPp7fXRVl}D_KjHiWT)A`}x6Bg}Ws|ZRt{W8~tm1
zW~X?B`!7y0J$7r+0?&l_Fz20fl&5nwwNCc4eh|g8%+6Y$>%vNwZ7gp}MI7bk+`B4c
z?j>%Jx5G<R2zCBn0cHL_W{$2!k|&EYb4JX8t*cx=KRvpXRdCY60+#o7k-@VYw_Rp6
zTDl}9n&p8u3-{D7Q&w#YoO|+gywA>`%l{@_;dQ#jB0f!N35(IR=%w7dwk#{-k`9sC
z6eYss#^}j)>3`KycDtU-XYC@ll;|J*<IS^LH$8Q&h%Yb8)Vddf8`fO<uAH`NrG6-@
z#a7X;tQJ8cj#ks<Zn8g~_a%roNK;mCE6YE&fS}airSiw8$nN9Gy2i@wo$)$7dnv#F
z6&L4B@!L~*r$0Znb_&ldR!u+Nk861tqf`!@7Gk^dIBdO4{4_@vmb@?)?$<SiQ~6IF
z^|91t)pRdgD<i@>J4okxCcEiM!Otp(x3DY_pE}Kmq3@mh>`y@j87|S9>@TL)=!t$+
zX;^xBr)u9*buC@liOI6TQ-w3-9d<GW+}RPtAM35S*UdkQJ&gC>yE{*xyoh|m8maPO
zsfhbhA<gBUekxa&vnHudkauL?DzaavZJJtdoxchj$LIRBZpYbAJeknZ|7GVTo)uA|
zUgo`@M3Z{HOkqD8W<GP5&DyIn?L`fR)5KS^sx6swPNY2Kxr!J2a?^0jR+Y7FpG2-t
z6_>K#5W;%ei**Tq?(Mbb-}#F(Yx7T;K9z%K(=`^&^`e>Abd|ley+o>)FNw}x%i3VG
zGg?)3Ez7x*rO{FBg*;7D@7QgT4`vmN;*40eH2Tg|DZhpOR;ROU4^3X0!*w{OCI4jW
zgwN5BTJC$XpFKIj>D#esrM{C`^;xr{Y&R_DHJc_>8{K|ps+gww!_Q|g?A0mU^z~rL
z&7QBnuJQb-V&m3-cUsf@wx*3Nd%*RrY}qECBaQw~Sy#D~T`m9f*=dZbvb<~WePJ)0
z$}VVkH%jN!YF+tM)wFGz-ffnb7PHsCc3YS%cVs#5tS#mt&goNFSoa<H*W29Ca4)2`
zb*=x;uYPG>cmIlJ>RQd7%c?0KcFpeeYThYJofzx8qgi{_*LLUaWh-QR<0rN{NK8m2
zB2#2zCA;tQdtUNo-gOi0&Z$r6T04Kw-nUy=`vgy~nX`N@i`q3+zezVYJzBbFso8>$
zMl)D@|2?0#Q*|jz^WIlm)VK50g{fS<Wb=||*D00_=l;e0og}KS@nFgPiJ#<IxTkeY
zS`+9cQle|1y4U_9OW~)U;OqGlCG4Zy&Svr)d#hTt=I%vSk*G9(cDtGDo<xb*Rkodv
zvWt8^=h^Em_5rL_ORSCh62t6!Hti0XBNQGbFUjMq%U<}Dr)=4_E4GKGeLdyRb6Hzv
z*-PPVw);On+k5Ad${c?7TfEvmk4wDRkIFV_^7u^s<Hcef#-h2FWnL=RvUUzH*4azz
zv!dBQd5aoP$Xd7NLa_K=FIyd<Mz7i|w!-z|53lk>Tq^#iVyMj@v2&L3nhTfMcATy*
zm@%>H7W>3o)1OZ`zh$4<ve~vvS&oR#+dP-E|AxO$uWT0U)c7w)tWStXI!ey-@n*T6
z&C0FIKXbAF_H~zeobJtyv-V=Ss~n=mvVW@R+7z{aI`i(<W{XeOp6=o~yZrA_Ptp8P
z!R7pYQ&kojEb!UFw47yj*q=koS+md1x$y3<_M2ySriu7{o0_zlJvxk4yKL$oUse%s
zbqjX!pEIv);nkHrcx&#J>FjN{=3m*qIC!$VjH>Y!*~LLD;`}Ygx>qeP4T|DbW%D}e
zuwc6C8rHliJOcBtzt__h6*8>LzGtwKRpIk5kC*l_B_T$B3%_jRzLaDd9;q$<#8V)7
zhLE+375iz+u${-By_GLn#;g5OcA>Aais(-n!QE4(*O(qSyXIYW&v!q*jVJf&cEo2a
z<6Y}htMYitUMH2`MQhHkx6PP3&raIs%oC32SF7*zp2_5qe#vwwUv<lq<a@89SR;3D
zo3)g8a%uAvoow}dFP@r}%Ov%>uL+wJTw~`xCBnAmL&+`C-_zG-iuldsD(gMB#XOg1
z7H9)AYxY;Ri(0Iv*1b=!y3N*AnDg!#PZ0ZwZEJXgSl)Ou7V-tD$C+np8}TjERn4k?
zS-yoQid}<e^66z<pVy~<+xYpHuDbO?&)J;<k>WAcYkT}AMO|BRQFAM6&m<22hAnk{
z&%RCi@Oa+8Nrq0g9QW3IpQ@u4ChK`x_4)o4Q(3$=_0~+6S)irq@Xq!AOd*RkpD)R$
zd&%Fg-8xmK-e}$D)iM`UCz!J@*Htg&_4H&r@$LM#8<+H}SnW<5`%j$nZ>8!DQ=UyX
zR!<aIURO3vs%dZT$*sEQD_{Nyc4?Y7b<Y>pcehw-p1+=|tLkO*Z@u^on=J{dOj9j?
zS6wiQZe5=(9ywDud(D~YvI4dNm&EzB?oMASdQ|3uDwCuAzo+a{Q(Z2x%T8i$U@GzZ
z_e76n1H+l`cTcjJ{(j-X?xMo5mE~U)TfolawOd){v2tHhDavBy-YWW=w<ASackTIA
zQ};e$Zwuqsn>W|TY`*SmR?Q{6cS4o~^SCV+neX#Nc`r|WRKk*1F6<#9ft6D^{aDMr
z84G!2_GUOtk$ompbxl4yh%s2@-bz_6RaT#W*^w+9)7w%{UNX%%v-W=IE&2Mb-}`$0
z2lF$Wwy>V_*jx9&*ZVCzk_Y6cPi5lCzs6%({eACb?xK5JG(EYeX)ILB|2-vU8WVTK
zoD}ZEN8j#V8husef4rEQr(>n4j;`vQmlq6J)Go0<yHr+THup8F>=YdZ_Em8rFSS{?
z|8IN#f@NMPtLZ7ziLKsMtKV}xVG3xR70CBAs5+AW*CgrPGQm?Hr{3RkZl&nLr%~&s
zsA#TL2{z3yn&Q5l)$7z2v%RuAqF9=DPI1>?t7}`bXR><}+q^jSY2K<5@9n%;^4jWx
z_>ReaT-8<@#V<Vbi)56~jn}OD(^OXTTnl4wp4aLO+HBxfA19i#w7orA{P5cFmy>LD
zd91wHBQ;xl=dzcx&WPffyOyOkN<`x3R&hVobx#`;{`^W*m&^5J7G!31tNGoNXKmk?
zm?;`|(z;Wi_VixmYMG_FU({_>O<nCyc(S<M(=$q&RGrQcW_+e3MyQCzqU%6VypYb$
zpz1YOR%PnnRh8Ehv)kG`Z^qg!?&~?&-)y+-S-meOYp$rs5)E-yeLs%l+s+<e!u*wm
zRaG?4dCFdw0^YM;o(W-#%(n0(Hh8+T^Z8Axl1ZG~y-QZRYj@dk=Qy^_lh{mWMJ+hy
zE4<OKU7G#<X(qwRYnQVvS7A6e*<6=hT$<ygB%fPu<DW$`>6dsDtY5Fb+H%R8>6*&U
zQ!4t$xpb5*qWh$?)$^vh`HNbF=~_jpZsXIcDrug|J8hn6h<taIZnP%%BDNAwWoed4
z>Y19dbNA|PRlR!6hyUcfNv;2jqvUHumrP>VlYCwGogb_9S`*_Cmhvjr!ctb9EWT4q
zO}4a73+A_d)qda#>lH6?>6QcASpw`lZF*SGxj1ZR`FD+d&nBy{Tdn812uIyr_)=DI
zsWdn1<}EC>;?mO`>$lpxU*bH6|Kt+6X!e7X#9ki%^zDpW`AIL?XU9Ap{P}uc-bwts
zgm<e$w+hEDW~P-4`|pIRh;A^uS3bx6lKK`NnJT`wx}w~2-;1~Yh~inwG}Y^@0Ds?V
zQNFG03z;4-QQyLzu|#chUi}r7MJw5TmvZcWdgcpTZBUfNm+9(GZYQ<p@$6pfHJ|02
zS5*GKHCKY<C3mq@mAKT@O?l$W@?a|K!YF~~a@{XinkezC{PZkjD*xFfzTT!>S9m+T
zd@5uz){9guWpP<6;l&!KwpV^7bGQ0~r4~IsCE@(Xf@D(U*SJmj&j0PD9AoYBn)U1f
zs;cUmrc-$gRt1y?%651vD~haDWewKlyTR<fmZebi`gEP6>{XXka`x_C?{8yTrM5zs
z^$K6dOP+}=*5NFgmjb!jEWCLuSv0q^w1vsuKdqE}<;fIw{cCKRt68#3bvvV2bj0Oe
zp1(GY`K5U2G*)pRQ7@MMwLGVyT!UY-mv3R8#JVKT<VX~IF#D?0oDTC>`DMyR=)Xx?
z&9f*)RP#fpdzgc!>inlXA6gbvu4Q>ADyw>LM?;;ik9s!y%V4q4-CuYZ!d4czGM^S(
z>|EN?b!qSXo~lcy8FQ8ec_z!-{!-Oi!mTIYy2b52>s>Ed&y}JgTSb1bxu09seSN8H
z$I`$PYAutiS#v5&*foFgXiQcAxl}jy=N5fy(LYNj2C>S9s(fDU^4EH;>@1rl(|H*@
zUY5Ldyrj&}^Kg=q;sc)IHkD+@6SiyZ<6XZ`6EHeG#ht-gONMc)j?Bu*dwS<>y7=MA
z=QWr9`v<I9clG!s_A8T^N?cyEznLWPIK3xskw8%QLfwFDcK4}YB6K;tL>iXLGfr`R
z!7h7=^{6M?&PO+*^2%7<*YY?o<?_$b@Z*hs#j0~9G|6hO!%C*qJ#p7q+m?%1{#ifS
zd!?xBR$lYW#3iD=JPU&OA8q@)c8mBi)|hL)LYe;FE03*a*%rLDP1Sc>{nVu8tVfns
z+vY@15>5(Xna1?jZFiIi>uTB1sq6vEcR$_A?#26RiQy~$$y3>rWKK={eAZ#*7qQb`
zvb$MMd&_NNfAG>rYm$eGO3S<2)3<{>s&fjK%c#a}|B=O8^HONPDP!1{o=o28myAbw
zE)?&blqvsrDbE5{&C6n1FHIRkSQpOQ`?&5Ucb`bYB$G0;Ykq5vELH!xM9$RI>I(nd
zOETGfeJ5=tT5g4TiSx1fdnVtSp*bm2+sa*lwWmYXN6t%p=gK?QDoR?#wQF-6uEkE_
z3p~L0=Z~W9hmSiqX6#v8HsygA`xOR#ttEYHd7|XYRCz176VC5l<tfX~sZ_-pV9D)v
z%-oXOzvskS7E^H%RoV0t!lkVGMGQ&%XJoO4?O)yYlC5Fw+GfuNV~>O)X4WsB>qVQN
zdh|9jhL^C%{J5boHU4#qNc(Trp4lP&2SR@?Vcwxrxs^qbMYd>f^IrZNlfIjXS6x%(
zJFU<&{b*2?=pN6-yCskCx3AroyyUw%yTw-aJRZGia^~zJ)0m`mLiJW!ZeytmWB=`4
zCh^@(i*-h+NnI5G4%UXXteQcxU#i&lyyVtni{HxLFXrK=d}fvXiAyX;Pq8oD*YU@S
zE$k)V1)CGs_)eYX>g&z&j^e4YX;{ni`V#Nl)$V_{@Vz+u*IJi7QAhRtqJHHnF3Spy
z6>M+qkKSpY!V_}#W|YB!O%=J#As&rS<b$-?Uz`lEWj5WDs;d#Oc;X$IiPI|1?!6bK
z(Y9{O)h#02vdd02>+IP2xomcKRJx93)v>9kK5#7#5%Xj13F1uWndq%Ht!2w3);)}0
z+4SRBG<UX4_Oso;l&#^a$o@?XJ<E%NPO<b%I}z?F=$drhZ^s_tz#yUUBO;mdv1>(*
zp5ME~qT|J4VRz13>ahG4?<e=zT{7*?tu>csEic-mIalOdlz^-eGt>0rReX}3N>l5b
zO!lr;+0fhmRmNwUsy&-*QOBi8Z2hT;+pX(^pFWI|pJnI1Uf*X)eKCtyl(_C%)@NaS
zq25Zdx)+x7N0hSaXNzmC70Fk*?KAbG72lD$teUKmUbXcv+4`rNytUm^Rm&3g%XZHe
z_DFMqpeNtdP2HB(wz6$A@9V2#Yu?HJdu@@wY?I%*O*O6gUs&Hx;aM%SY+8LQtAZDc
zj*;xj8K<;rTIWx@$nvY`>f*I^tyfihqeQBt%Z!)~P1jImZMfXB_UCqS&w{X5HrG>l
zeHH{>Vb%BaFqmt%oM*w_HG9jV_zy1Po#f%+;mz{ii|3=S2w$$gsEYR2pK}fRRJ3OV
z^Lbhx4U8ALyVPg)Qr;CNId9ke^jmIj{KaO!7khl@HBA}b%=$hSqqQ=6{Klz4DNFp<
z2D3bqo}Kd2`lv}xC~Id`P2bw^k1QLu@)om|Op}gaeK5r}f=4NgmvQBqRaau`PO<oI
zf3lKSCN%c&B<U=f0(Rvv)+%il-<OVS*esT^er3^&=Rc^=bndTBo0k2pwIVm(^jz`X
zV&!u7{F@E?Wt6vlOI@kbxYZ}tG&+cP`pl_8S47)`WZ&#J&SYJZ*&?B%ww9$?Zl~_n
z70X2fwskMf)Nl1v^{ck6a87G8%KrBEluE_YbxTwy%stjq*>r_h@s^BCvr6SEesNtM
z=Ec`MjV~#1)|4$}by-?&En7KBah;U^6x+@0E@84eq(3=mGN-cs+iG&7?8FtDADQfh
zy5f;aoG;T0*-EDPsiZlEvBqTjw5zf@-hR55t&nBIG^RZ*Y;~LWJyrcY<>+13+H0&*
zGw-@Yv6QF(z4-dfai>j&7kbRJJ*}gJjjdQ%r>gj=hTNKF*QZl>ja6s0ir*CdRoipt
zUgjzN*0#uBwrnk{&Qk-1<qtGdS^5_LwP~@cVvFDZDaex{rsC8jfh7u}Vyl*LSMyw*
z>MCHeB23ltWOs0L%(9wLZ}yT&tmhUVZ<`jx+v`31x~ub4^P*`^N?W&is!DHVo3TXZ
zqguFM$<(E+?%}LD>he}=`8TOgD`iz)&7xl_zsZYNgQYNX$(L!}oB4yh^uK2F*UX<?
zP<84|i_1&dr&CzGRFd^x3M>+B-@-D3xhF)%_oPVRnxB`WSpD@>Z%=F0*7Yr9*z{8Q
z*&C*<EIM2{AvW8BxLVd4ujkqRWsPxASLK<sl`1zvRTf3@N3jULbU!kc{VQ+#o<~nT
z+>VIXzLYs~-SzTb_8CF^N|`)*TScdu2bE2YxgRCEV*Oz+p0cYRYej{Ya4loi3}$)1
zmgV;~mtUFBK1^P-*5quQcxJZjytOP}r%d-2U9Bm;Xr;7{zp=k+&B`nMTiDmzvQBf<
zKDzDAAF(N}-=mnq-fjI8%+eFIK7=<=GgP~Jd4=WV?3>JcnqR(Ye>p|9@rvs0OFn7p
zE3#Mxb6K`+`H_{&s^50&8b8Y~8MmiW1$T0;u)0U_evxCjbo)?f*h*H@EjoKH-k!pK
z%V)bEi{}2csneeRWEIz1spZ91xb3lgAIrrm-4G5*_x-B{JhYC6>|81m^;Ao_WrCL|
z+j{$5mqfQm>91uGRMlA?y0v+e?#-!7i=$W{Ik;VN_VnS@HdoK|;Z&I~$;CfAMUx{Z
zw|gnOnrqM#CX05_w_Ekk>dG$RK5sHPxa6oOll%6nEY{g;ZKT-$sVJY>{$?r5Y?BqI
zXR@r6-4trN-OBe>B-5&XkuB_NSp%X#OZ6P%eb}~&zMmGcMn*nsa%+Uj?J$0sRsL+3
z_!pegsh#rsYBrC_THXsjoYA~r*0Ss=QN5bQQ!rQcDvM53c(Zq##&xBYTRSJQPEYvy
z=OmwH>%;Vpyp95&J9(P?D;94Hli&SRlj&`j`<ANhHF4iA9ak>v@+{DD{;s1LC2GW+
z?G<`iB-oR|dTY{hd7mj|48{C8dwt$67ux=J%hPk0?3H=`pAxG*rL&k#^BSvu(FC!U
zx1hG1=FF*oy_700%{<J?v2Cq&FkAK~rR^)4^;fZcO66WNZSMN%jMi1>QfA0~UiZY<
zYR=^C-m+1jDxN;^n!;lr#>$=9c4H=2(HyoQnZw>4VQn{7v#M>8zdeU-<#qNeTCB^j
zwq3oYb7U^togk(eZO5)g$*!@Vy`I0mWXiHkx%9be$5ai1*fiI(U!O8p-EP0%n)#<<
zmbM%SUoX3G62lDjZ(h8`UaT{GFD<>wzeWBEub9~yt|*?%s&1;v$1<<?`Y`NeG1~G(
zd#!phYi_Eh<ko(F>x)`8SG?DVu1@W-Jv`s8am!lvYb=7(3cmiSov-SkZFwmA-hv{p
z-&c3%&Scx7<HaL=@2UTGS*|DC_qM*yJb!$L+lLepi|NI`m;B~5`*4kQ=OhV3y|5M)
zKkpN(Sd>#aZcceU<qxxM)hrPfWv$CoUz|=_vcpkbIN_xAIbqRdJbEi-L#C(-Zh8AR
zN;PIB@8>Tzolzn)l0vOQ^rqT$vaN~}c^`R(>*W;oHp%XotrIk3Pdt^6nDR1CmVGUM
z!BXZD_I{O#6Ii8GdHbYpPG2g<bL1NP^(g*F>e7`<p2e|w=`*>^4BoeQYY2;Jrpe5u
zx)b>yg|X@^W%CMW$*@{G^C|nkxeK3P=5tuh`o`zlqSb5x!DVf)QVOSRF>1eYyN_w*
z5~Zru4Z1tmhMd1Gt<F7vtBtsa^%t8?FaO!Kap_+yJu9Q5*t7kg&7S(&Pdp}@RdDOy
zk`#+LmU*w)B2~4NH%Cu(X=Qhx#<cwD|97FRB|$cac+$1|C;r}bc`>W|w9rbAbysR`
zYVyqbTXWxSdJRk7&N;g$2bi*m$1R$*t0iy?dtQI%@-L<-MZrfW>uK3&J>?Ib^1w^<
zjCZt{&YPtyYS--Mct<6w$Su|P{B|dNs!5>MR=1Bb>w{cBddVDLJjd&(yGp(`zsJw)
zhf8k$yUP1&l2Xx=E}pAl`XX9#m70m4*sVSJUNOtc>^Q}ucEvP2%l5%q`yb*BOP=)V
zJAQRD@lyGz8ugN`bE^LG>D|*p;`z(AO>>^bnxr>X<tIy9QOlyGCf7n)G*^o%eq~#(
zB^rKu`JJ`m>$dWIT(j3tL}jh1UI^<6EtOrm{8qdUQ&kSI>cr_<ENAKb-lH2-#Tvc3
zW$)UfQ@$NMH=ExhC})-@SJYaS?QLvF8~&y(yAvt9{`FboD?A&6K0I8<X2n~yRYciM
zev-mWxmlB{&G#8xQngvmJKboX&uY=a5SxG~mH>9{)vWGIrG(F_hOwq<^9gL8mL-)Q
zBJwQC=3G_qC6yvp@u)ju95q&~%1haNc{HMUm7Xr$vOI`C#d`+7*|L{v_CdVDv-iYZ
zX4QEuq8_|-nX0baNro4UOuH`4Ji-_(x@7f}`CC}tN&Q;#WWK!Wop;$$@@}HPPj~1~
zn?6mWUWIulBgg+eoOAiB!+3j78wE}|G}Sco8lTK9mD^JeU5zr?ZghB8j(NE2cQ5vA
z|59(|YU5+8)>ceWpFH_g$eQ-oESlGNBThZLbhdPklUNC>te1`#`-b(b4V$iH{K-34
zoU40c>1}WEpW6Jpr@Bn{Wxkg4F6c`!uXDEQ&#6A5tdV|a7?<*_*vfu<+O6rASal{@
zb~4%JB~D`s_Rk3Goq8&ScYkz7h|*eiZuV_Hd!py-zYAmSocTpGoVQwAKP*#o1G~st
zem&OONy<Du+x{(OZeX1;$>p`K=`|PCDi-dw;>oOnOBqhD-*rj!(<BM)m|bj<elho!
zvR)5z|EtNezto30i~YT({UY}3Ke?|>dAV*Yds5Hzol|W1**1jnTv#{d`WBuP-hwcm
ztuI-1N=0*SvB&?7ytSG);fc3ytJd2P)`RP&JPT$mlwRb$dvdMkgjUu&FZm3fBtLEm
zO_!W_WKoeP!%>09cjxI=>-pa?ImtDDPs9qH$V)u!p3M7G*De=j%ANY_r5evxotHeG
zQBx#WvAAqiDfPQuB7Vgy{2$-SRVqbGTNX_dJ2mHOO4|&d<0~>*AH3fCa5}&IttoHj
zv#I&X+*Fsan9B3z)wB}P_9&G`&JL|BJX?87S;cMU$S###9OT}SnSS@JsM@}hclJnS
zv!2?#=RscCoAr10@|R8%{pund$1kHTqndeL*Ii>R`&yQ&u(@HoTb|tivo**{EOM&K
zAC`+j{pEA7bp^@4)>L0w%A)VK%g0|g%Vx$+G1n)Hyu{hOl^(KcE@#yb(mD51O#P`)
z-5m2*TjjHLmz<d*y>u&+zpS+`Tlro&W!b8*-a}ce7Axh~Ze=R!&i-Vy^v(C&JxYE#
zR$eCeSOqm@JTv<jhO_939Aes3Dd0X|cH4xxnqnKOTg*@Ko6V8=Ud0!CGISRA)w#xV
z*t3o17+=rd_P%(o`On^)eloh*vX;}Y-W0LEmLBINQ`E-eEhVk5oh^RxrS$V7N4NT3
zFR4BE_FB}^Fx4fp9XiXxRefK!aBdB$XXCy%H*4zbsY{yG^|wx~{l%J{rEAt0rT%%2
z!77%pucF3UWu{YgJfqfy*t_3~z4T!IN*4Xg;_ISxzVI)5*~YVfDd&ZyFTBiJ?!A(n
zZ2Wfd*(Wm>URstjhkvO$XGmS^q-eRyFY5MT;uj||l$_+fq2aER>bW|^L-~@2nvTxO
zR_3J*(zan+<S)p|#Mw>N|I_nQS1^sKsO5&IbGpLSS0P*~yyo1CGuL~Y%mSU5X1XNC
zj8#93MN=z$mMTjybK6ga+jC|g-5RFJ#y5Sb2kVl!!-7W452pT|%WS0c^y5liv6!}L
zo*E0MM0kn6VxHusr*@bj?AabK-Qx8w(Lt;_+AQ6k(!yrU(qd8PEoCJ&MFivbiDb*%
zNj10G6SwZF=}a${f9}z%OpZ-epC&CirSz_K#uC>TGFF%6&MxKII+e%#AM;P&sW0la
zcrOG`d9jOC^Q){~m4Dh({^@5Je_v;v_e#eor^j~XvG{B9OU#xDuQhqfKT(y{BBXgO
zyADs*_9Na?_$J4tdTo+v+IM0$%iJ(Nv$7U%KlXzwZQrP>U2;&G%6^A;-II>83y-$M
z^xoE==$vH{HpNzrC;S?JvKFiC-5i-Ho)x@{KG`vKduh1-TFb7_^Qz>n_<FXg%lg?~
zyxlC;TSYf7$xu!0`NC!$mE*v!smrottBg!fo0sezD^{ugraNnxLwIDg<)>7)_{?RG
zoTge@;J2iL&*ao)_Lo{muIq~4@V1{@9>uH{B9n1Ty>5A%e>N|_|DX7kydh7OUanDj
z{e)}U-uOw}D@?+#v9{H%V~S!m_1gNK_2}y_Pi&@~T+L#Ag{8-@d9vHVAdd5F7FImp
zzVB67$;&>4)m`Sh-OR&$Z(F_W@2-^f31-zvpE5T(IceXtg&{hhqD1FQ1X-~vPZjyS
zB;)*>Pj^r8bllWlJZHjJo$}S<x1UxfP2il&#MFK)dg|PjykBDeWUUl+N}2F_>Wd)x
za_!hPQ{|VeJ*lNB`)=(?UH)~dU7ieEjko8edovk{&hun)X=#j731NzPx5s5GdpS$z
zG^VLjUc3xu&DLgLwRPPwnP1mf1G4keUt0f`Icq-UMfh6Y3t>Dj)_q}_!vD^DS_!MB
z7OVbJ-!tM{LRe%!S*5K}oSO2lYAb61TU$*_^mLYOSyTNbWc9;r_eSxv%f_tcH<_ZF
zw04))Nmg@?$y1-sG4Z?9!#ZusvmkYTtu>jRs@?*uj7(xzQ&%edk^QAAqR$$mYf=#~
zOIPOI#0!(Byk@ZYB64(f?0MTYs!=9~c?3X*9-_|wJ23qJEwc@F9)PZnnCaH7@$s?O
zrdaLutE=*i_{>)p|Nk|s;1qsIoo#h16H-}qf>g~;3pLJLx%UeH>Z>f<R;uoLGu7+T
z%bsnivhJP#+<Ak%WLZUewOP1}@7|4KS;ZRT<r~Q7uP(iO?Yr~r|CX{us?=RlIi1DA
z?LWscQ^d=Y^_{Zuj;mEZflJNvTi#9*TG;t4g(<jo#wGbvr`Q9oufM&TS9I$iQJ#%K
zYPTmH-oTgHZs^Zuw4QzEQrQk)zsoEER&3mVx18{1suHc8Dq;}Dr?Jw-WQ%H7!(p2q
zv$-tUK{oT&x>yCN&eSXwT5Eetjk$zX(~8Y>uIp3&JJYx%=eVuDE<Wv&s;E!MT9zxP
z=GvEKu~<*l-)U+dCR3ZKuep-t@zy<)ctx#U_Pkc{bm(Bn{bUfn#<(;~XT9ZvGn>_4
ze%Kd&Sw%QF;O6G}9tO9!xOd-?&^{^U@I$Nh`E=e7ansj$N1wFo(O(w5G<U1*-EW&z
zJtNjFo9MY?tL&QPA`732u5Dy0adYrm_K{<U-!y;O^i0uzS6P%lt?-`jlgZxPE8~Ad
zVWy8iyYkzuYqoC@H<McM=VgiHuKS+W_AAZ{I^ALwoOnQD=g06pI#D*_tP4&HTs&Kq
zy^^(lNlv58t1Wi>mE5=RAC57e!eYVfwZ(3~>HjEp@ya{Pt6#FtFr7T*O8dN9xw`yC
ztUZh8XYyWM>hI2eeM;%7%YUYXzYzYX5;_0ftyGq0y-Jt(cX+Y;vK4Bw&E7mOQ}<n*
z`Qa#*EBre&k9uuo?O`_!s$H;(#WaLfKU20~YS<&&{ja|8_-nIko}V9(&0n*Yr-1#(
zwLX#c?By|Mo2=N&ud*(&65YF3<mcI0)@ymRSh-Ur<Xgn$v!_OD*&MQ7qx5#Jk2h<)
z^yDuq+duFMsfx-rewaKzZARkc3EStSR8M6MU?}w2E3jIAdQYzsyWOc)=2f~+%q(^J
z_BF2*;G0u8S(Kxq8#EO4V4cjGr98r_qDc>KOr8ImMRSq>=jT}a{LgW2dtUQrX^Y$2
zw*<{ybn4C7?IDwx^eg!u7mKSuPCecCepcoQ+n2o;%A<7LST<F+P0?SgpUZlGX`6`6
z#b{oG)V|x<Yx#GvH1FxX8kWiWX{oKFE^ionRh9jfwKgR@w$m(kvWo}VT+w9tT0TcL
zwo0yhDNnbmTrmIoT`C?v)Ap@2Su{o6`0+2>rKV@ZEx)n}X0iV+J^J`Hd-)dibbX^~
zda0^Y;`)_?<bNM|rl}&ayeEn^C{^Z5&rj=<%&lqMYeeoXWi6akzmiqKi*;V)qKQZQ
zzHMU?QMoX?X3bib43<?(b!FKCw&=`g;0dWY;-%gq(h$XZk$JgQD|4#(|DMmQqhvgn
zvM*o4qsJmXt@0gD;;lWApDqdQIikOmXYr?V`=^`SG<qtdY4UNYC_mfv>!O#8CJ4_J
zn#yjy=|%su{WC3t=kP>{C`!Fpw`A`WcIErqyaYe5n%n<GHNNGx7f<-gRG+QKvbnmp
zluuf#nYnn5gnaH*(Mg-Mc-Pp(yk@JK$}@S*owZX$%2gAhd^}W{f>+*iW>HuobWT-E
zdo6!1Z~Y|h<Gnxpc&*m5#4mZG#a1|7mW5SEYb$GjjCR&b<{lQ!>nwY`R5Eqkm-0k-
zxttA+V((XVU0q_og}**4sKkHfv$d&P<mc__v()9!;&qtIYs-^(Z#rjGrmoxTGv=8r
zHL2_!Ypi#ta#^mkj%JU{7J2Y{FU$6gK1*2j)9+4o4Q2UtulJm_=Xx`y8Co`xy7E~{
ze(K%ak0mr6w#sE`ezfAhG<mJB+`%~3f7*5&(a$sIwkK;Z<v++LP$DC#<#Jq?^+y0-
z_@3pzSd_PlFvu*Z+A3;)B)|6c7Lj8j)lnC2dxdeReBQdJUURMNJeAT=p0X-dovAv7
zyoS?6E0&(pI?0+V(ecF0|0PS@+B-Xvr+(CWDj(f=b<YiH53a@~ueW~^oycr4?e*Fe
zg}~*OUMxMj$`Z<bN#5(OxBSoKQ93Cau&wf{%GUIFvz8^_*X>(1-E%eDp)LHISOufZ
zc~_>q4|e;qiY0HW{CXDCOJ6%YnKHgFQ#DmuDbB{)AIjRiZ0hSE@w(a5U;DAgtPMSV
zg}u|NWWwenX)>lkTfJ17%l=O(4l%NJpZ<EO`_n76jIXx3s2WQfDMqico67oWidve<
zUN5f6lRnCD%@Mm2$7-j^vf*WR@so95WM<9YGo^l|P+pGDWsw<P{P#ttYVs_bd*>a4
zj?bD;nJlJD*|YsQFR>qu;<>}#|8uS+Xq<yBKA81umg|M3EDQ7N{rI(C@^oLhvwvor
ze+cjKWmDd5@!7)rH%#RAOIDq!vQ_NC*H~VDTly!Gk6E8pcBQo!%f4U{k!{~LdoxW6
z+8!*zs;UuubHd_%-CpzCR39fyRlVwC^Mx-&i}%AxZgUN_Er+ibDRZ8E@sjt$)w<VS
zJePeeqgeLve9UI&_GEe%eQeG1xx6N)y6@b0@+#{ti>4NDeSe>yx%?8LORVB%v)QuP
zW=!RM@n+KgXnuj0TfHu;Pb*uO6~v;j`{>^-?2fF#Z{E$B!gKPP=$o+nuOe0RGFdHl
zGE41^j1zb9>|DsjHf_$&OX8<b$<)lL-23S6#a5w{eA06ax3a$UW19DDPIaiLqm{|+
zt+xMqq+T!KQu<@C>nqFGY<-=jDz5JLgV<A7^}JjfS**I^691HWYlF6k-qtI*#H#s{
z%``-W&5F-Tf4#wW_8(CqnbTRf`SF~cIj8QD=|SzKo44}Mol~c&%YT9YQRvqAW2?(A
zGxbf?oXoL^W9bru|I>tP<_E3LotqkQ_4U~$6M046FBNWMXYILhm%(U@PR0Z`n;Cgt
zZ^e1Nvsg=BPN=+k^^4e~ck?T!@Ei+e4dS_1^gwmbJn023r7jMWcx4!LSoN)TawN{J
zvGNS!Hm;XgxrvQq?d+{>A(Om6v=nXk6RimA?O2_{YV?!I@af-43`-7A7T)q_p5#*T
zysI)3w*5~F?a@BPZgJ0BS13t$e}%|eRTZ_67fZ!TSQJ8i-xOK*?90xU39@e6oyFqo
z$vG$LRh&(>yo{ynvYpvCrL49}2eF^lD%s}AdPrC>Zr#qk*;jZZqoz#@`J2tYb}joG
z7X6z0OTE}LTxyo8&R@!+z-O|Bw;;VUgjHNig>5Tq=eg3Wmw2bo-V+zjE4lMa_x-O6
z=JFOtv3^?WdvzLjLCY4aQntuTV(m6p*77D^v%iuhTDq21T=&x#xr>vma*nUy@w~>8
z9mLLkg>9v}v8raDuF2}7m$urzxz$l6x#jLt9-hA+Z#_QJui0^hce|I&M2RvZhL|aa
z(JL}lnDZD){M!UQtG_T`v65kY=`3lwdW(*T%I7HIZCd89mWs?c^YqmfQRXP|02V=A
zi^o&nJZ80yk`mkHa&3tUvyA-OyH+}7QIq$s*WG!=_lXy4n0QX~wwvNxSr4&`Pczk?
zSGiloHdA2QRGU{UvX{2*^ptsYrg$l@%~tVG?K8bZ-!FC9ah+#H5YuJe#!0*?49#aM
z<5(0{wi^F!nQ`?spYT+Xy!$7ES!OQ{J-&ohUnMixb$66VgObFwDJr{qPVYLi_hLAA
zR*39Vy?`Ettd(+)SapJUx^<b9@9&+eDZV0l{{l^R{i$bC_ypp#KD|1hb%pioEneR1
zQ>XA+g|O`3edq8c)@E(q*IQMKT|1_*);iC<qPEP-b*o*&dY1cZmh4!>uD{fmuYFFc
zz^A*WlbEtq4tuc%8#O+;x?<7+UxR!VW<R%d>8HG&%yxR*%J!4ABbhrLmf0+4Tb|!h
z{88ITsP@m9rJ|d!@gCS@*S7c25}xf-*}1=Jzbj>{^4gjw%I|gTmTN>N`-w`v`~R%0
zb=iW2bW3IG?V40Kx6Kya6;h{WRqNQ@YSp)E|1}=2D4zR5PqyvZ?I*v|n{{*NvrFB+
z?5U^t<657WN5w`@u(F!^cPYCj&zDQ=o%`0dY-N{cy<fIw=2Fq}X-r-#L+o`~4{|)*
z+Piblr^~YGRXlt5FRD{|uzLOiO@VDXGc>$(ce6UKwsG0QzL_U&67#&vjqbKDGg-n^
z*{?WXUd!HQ#=L}CjqS>u*;%fkT2pzKy_tH2cVZO(N|9Bb)rRWKOL<;z710thyRv4Q
zC#xf?&Rj9(FxSwTPdqQNYOWQv+^TklH$u<;V9cexjJy%*ytB57{@=l}q&e}=#_98-
z#CZJn7O!Pldua{hO3}=vdZ&3-ma^)+yXtg>msxFI*Ir&NKE*A0v&1({W6Cbgh{~Jw
zI_%n17TLU6(^xI^k8ff3V*MIc^KK=p(NZowx0}~=#G_bTboISi3fWU%%G}?+rvI;Z
zlBSNrT(w1hthd&h*iPoCT=US2-(1@ycWVCnr1x9meNxM#LY^(<{RLVM$NTZBc&U|7
zyZ!NRL6;5o?TccctzNyFrChgV)7+<?U-A}jn`?QQr94wMV{b?mtHlzRcz*v-o%mH-
z15|7SPM@8s(<hd9Zi$t@CjSS`lzHMDo4>77myYt_tZQ4Qx0XFpM0_gGOrMG^Jk!LM
z$H{hkhcPYHTQ1)Jr)}AlrKW~oV+?~tGkq(T@^(xU+VZAYdnwNjR@q>lJFEU4o1%W~
zsLIbR;vTHQK1Wohvc|-v+E4W}4$|G}%_6?_%2Za*oH;X>s_fa+!rr%n`SP}Nm$-JN
zFVSlGzmn_8)^p)9FIM>(Z`E<vnRw0hsrR+#Th49gb=&g)iLZg5iS*Mc$KTuTxm~-S
z_d=Mt%qo9_Ny6Jji%!kicxAO{#oyNDUsv-NOl`aDV`;UPM}viXs;sigRWEk)Y*yRF
z6P9hga@ke>)3=*z6T>puYu_I=@tANVM0hFB>S>iLpV`h0p35)Y{c)+S8q38kQ<i-d
zz9g#et-dRE*SoLvQ^YSWIl6QUe;jL76uYlC*Q_h|mWsUDDj(W%H7LhqCA;-fF$UK1
zQ!Ojby<}VRRJ8O=K(L5Y6uTf#{ZjUrsp7}hH2l>JWih>XVcnK#7u<JTN^SJyI_UR#
z{Z-+~ynltx$MkNCl8MXlP<8Asb=f*e_Qht_4e=`8<=oFRPcPvA9Dnqxcjp`CcUk)E
z>eG#sGB+<%V3~Sp?QP9E&$S|vtXEPMc^0cq=I$ylzozmwi<MiGIgee_Ghi8WJag)#
z<=?d&<$rnUr)JB`Kh^1MtenhrNanAX?grI8cjmH%sqkC9G!p2&vPI^avDFeLLG`7R
zOjB5vc=5I_?YpiwmGxZs+G)bur?y7vXDsE>*uoxpZmP~2?YYzZFR}06WOcr^Z;1@+
zRi4~et}CZGMovBd^a`(#m&|K(Y3UtYp3F=RdTtATuQ}$&{_Zr_hxun0@$gSm->7Q;
zgkxs=+Wg`r;#sDvBQ@fu?$y#|*~TR>(_-q@rjR)Sam({+?yAn`vznr#zvHaVn)7p2
z^QS#YWZmpD_iz>K=I1-UE@8Hsz5A(3=_S_q$h9lh^sqd8W3xTxsjn5E+fR*WJzIj=
z)lRP#-Q%6LU3Bud{x`EkS1%E`@qWdbwfocedG8OAWuL^i&g_hfSl(3LmW#|PT0tUf
zxALxLv75%^bwqphb@3!G_6&}zRcHRLRncN`zpCOClw8vGM9W2ft&Bgv;a2rItb$SO
z*FD|kW$IV6*iDkYJbl46(*v69@ue;kgIIsC>MRuvyu>oYlfRx{@+GT&FptAp6Su9Z
zAI=M;@V>qfQ^LY@Nkoq4%X*fQc+;ns7_0aNvRDMCy0wa3jk#;;?ISHJvAU+=3-7E;
zJeOG%v}{*Ya{ZmUz)!>|n^*Vw4Y|3Gf>^q}g<aa7-+r?6eF)pBZPVsmXJ7d3(dx<E
zE2hoMJ?V7NqGXBmRPiO(Y?3^=1hP-fTXMsXH7tM5PcIR7U5DwlSL8gIQe7(N@<iY2
zb^9_&)Yw?~`RyyBJH2>X{iT<(M9%5&7hQMfjrPnpX5qZWnyK@)ib&5rwR(%J#h0+J
zVX`m0c>aEISSnjPRrCPMyj$DXPvK2}vt(jfrmg$jUT*umFrM>SeSB+K)t1PoiWx2c
z@qVkkt<qkfBi_r^o?j~A%amEE>Yj4`)Ku9Ex^sh64tQ%@<f~3%N-|?$l)a)dXWtRA
z*Oz4b^4hkisx<b<zI?*<w^!YAu9&)yhnH-Iw>X25<gZ?Z5;osUEVZl)tx6}XRXxnI
z^VZU9)8zHT*vg|=<9Vj&o60QZHCOqwm4%!AcgWgTk>5VKx`bx4u3Udz#FIDntohsd
z*S4_#<(YZw-NgPGpC@k6;hV40qQZOTYDh#B&+WW!O*Y@9YRN1XstWmR?&WhBy+W5U
zJ=R<MXRUZGtKc;r`Ab~dc{R&feuT1cTd_4vJ;P$VGfZr%))lj*?D{;pmspjzeY-k|
zd)C*x&zFdXu(U;q8t2~EuJsbz{(jc(n#KDs8LpS<(Be&>>VA#ewrAG!)Hgw_k<zpN
z%;o(kTN0$gyps2}s_YuZ%dh9DW{O*^mATBapych9Q!GcWMr~bh{Dt*nl#b*A+jsL?
zmv-BUDqLny)nYAQnXQ+yp+wF<NUq!L`MwtINz&&0K}B=AYuAgaT;uV{65T#mkHclU
zm&k;vGMl{Ql~=QD4r4Xd7MII3Q#J3H+v9jlAhnhyLrceQ@ir?qmtfTot5lpz%=M~j
z9QX2_b*~M%q7}t|!*$K<KaN2>X5JEyq@PCRJr&Bx>z7^XYs^x3=SV>|d*M^@{YMIZ
zU6GYMrM_s+jXga6VXWNVs&8$lu9fk;-|{&Aezy4SsjA<4YHauN{bI5%Vb%P_l0C(i
zht>4dAK_EGj~8DSSyUx@EcQvUmI=#Je%qW!L2TujEK*zf^>|dhbk{E5y2nfP_9lmk
zM;63o^4mX^IXm~7)?0Pvsnwz@6~39QzQVKpQ)bQldD~hZO`W3as6J<sUlH%ITa(>h
ze*O4G%**fWj$Fg#`WtMX?EUVxnCXwrTwcj(ZW``}Ub;thxmHePFJ~<bW7S#S^6k3p
zDeY<UcS}Ebm5Q3~*tSI0&sAA_+454J=u<3?Z3-*h?v%0=E)Q81wWn#R-R<x&!A$uF
zYuUZ{gUYvh%|91<-HZQ#b;F;RO6@)erkby~@?3i*Q}Etp;XcQn9p4@nF6h<!kAK(B
zmVj{HhuQ2g%cn}_Yk!sbSUpwRn`QrMSFtIz?&3X0?GdY3ytaxnu$DxziLaLt&guEG
zl)ZDRjPqWN?4|RzyL3b~Ct9^eEESh-Z@MJzy^B{SmC12Q2DlC07wB_lE&Kkd>=#u(
zT;gYp;uYrKy_DBsD(?l6ifioLMVys)HJ7tkO<Qo2h3VAvrR!AK)gqOiZ?}tMU6#5m
z`x@KXlLd;)GuM7^wQc?p)qdDx(W<#cTU6~=f4IUL8MU2HwQIR3$8_$>_GSK3CT0y9
z;YXHb$@(vDJ}#bp&E@H(1zs{wm$ImNFPQy{ohg(pTY7inQ+C!ni?z4%$g^$>V)a_d
z({b(Yl9w{G-Y$NU{Kj(c7x}aQ{>fUeO!U}0Ym)BtDLixim~~E>%wMwSjuz{$?#BB{
z8%ktDqeM=ylt*qa$(zd8CF*i#$@bN}hp)=?1xcP|7k8VpLUff^-25pu|AUP9?;CkC
z?=iJJop*NM-zhy>mTQm9RNsEdboDD%ohf|g;YMLBf-7a~ud47=vE2F_a=J=)-d47q
z>WbIc1ZOTer?r<)n6+PTuF1amvt?6`EY*?PD&wVVG$nLD%jT?1>3*K|TUo+vGh>c?
z&t$jK<^6M`A$m#7O5Sg4r_8;U#j86t?z7n<mEEs*d|djJopp2BvU`)fR<O8Ct&g2n
zyhwe{pIM>Z(@(nc_+|61R!xgk6Uv;!!)~#aRZzAyj_vCul`c8qlg!FX-p6d^KYocP
zU5h8{t%%Ls4qx>%rx{(&oY~46a7oP1Q`wcB)!R)+lx;a%nBSY^t?C>7n7;-o{%5`A
zZED4$8O6hBUjP2CyC3i2)8<>Il>FMt)9A(C`qJn8RQ2$uSwTE!rp*h!rZVRg`?hr&
zdzg2IxEz_p`j5Xt#r2w+y65vOcD2>4E?fCm@Ycs|bAQd&qcdY+mYdjG_HwpopZ`Vw
zxiqhFZr5s`%qjL-{wKX;l~%LrT;i=?%dWpwelDwW6l?Zc7RRqF)~i{3uZbyU%Iqoi
z|F(;zbF$yGnEI@_wQVBy*JRbKSi`om8u5Otn)>7^-@UlzgtB!Lz1fQMx9Tko))zNh
zwtVr*IQ5*V@|7AKDFU)v^_HeG%kKC;<>~}^;V{+=ZJ8I}+pk2)WU+IfW)=LWbHdVb
zo!E3eqd4|$uVwne^?R<hg<R8HJM&*#knUG?XFcz|Z>!kKS8}<zte?j1W7ZVqd*&63
z?-ub2QRAo}@m(wnzp%}VayiY;y}Ued68Afi=UIPsE_&H$)m_vUiM_j&dtUF^5D^|t
z+uoJJS6L6GGRjU#)ZFSiegADsU6%`7X6xItHB<tphG=pnKUuAE=epJDy)5TW+}O*o
z#5cUuwNi`q+4I>myI&RV)n3jMzLYgDwRwwbm)fN*|Gym;^4XuT(p7sZ%S%@GOL}UN
zE$_@Om%W=3YIIX5isibL<SUt*OIVk)>iEexulGsV%G0X<`<e*bD>l=u{7>0Z<ILtR
zpT0gwv{!Or{a2N6ts17UY-_VZ5B*iS=gGT(b>~ag&DYo;&pzsP{+glwOJ06eX@TT_
zm)l;P5^+BpG+AWfr~NW3MO{K!+m^Mxc#=K+Os^Mf((7L9Yd+7{$`yx;%w8+9|J0XT
zcdGj*t)4tt<H;SXr79CluLY~TTBUn^iI5Phess-`RVv@M>NK!%$Fca9`|Xp9j0pP7
z%F?-(<zoKS9!2)HOJ9}OhKW}#6)AKvFXj2Ui9uv*(li$9u-sWc_nK(wvN*E(sy8p!
z2wuuMo3(sf_tNyG&nr(dTnTpH6EcODJxlq=vJjW6BB!I+*NQHjq&Gus&oaR<*7(Q+
z8%mZ~FAa-~D?WK?@h8*7O!ob{BI;5rOGD#VhYEh>?Tad_6G>amW*W}&=2eVaNcd50
zajnIRQ}}0HVkw-fW>TVJdrIu~oXJyVuSdzUda1JTM6aw7+#+L<BApj{w(ygv)Zfra
zDa~Oi{jbB_r?QyVx&(=I?mM^cn%IVw;qKRDUT3LQsLB{7U+?dbotv@Mi_bw{y04Ut
z^;d|?bf&hND^|KJ8-zvY@-6GVdx=%Qh@*A>gr)4+CB4#KERnSj=5|c<XVu@%dNGK1
z-)i1pTiM0=ZLf(7{bKP|<w~3o^HTgFt96j-<X`M}m-0?mc@@My!%Ek2Dz5<Vjvx_+
zOH=g4S46QZ^UCN-P7OU9IZ5`2V)&(|K-UXVEVFvAKiyxmdhced<!54UH@Wt0XgWSE
z;L(L2DiVxrJ6A545#n2X_3JJEoZeY;c_&1PFVyDqzdF@_+8ozaOIP11IpZfPy_DVh
zlIWXPtU5Xq&t-{DSi7WTX~Q(*^{kpvET(%c>OxpIuVh_YYHK<3uDTaXVQiLLYO2k*
zC8}QRpVpR~y~Jje%@VMd=O7FBQ}&X#bNqOUbSomgTbR|iUfjE+mWzMO@t<d_ZauV7
z<8oTOWtUigILpUpTXyx%=4Ng7QhOK_Zpxk)CEv80U2ew8>fT6Amd>d@68pZy9T&>x
z?|Uk4v31VWE4->f+%<X^Co$<{7tgru>Qk}RjB66VInUfIU6ClJ<>A+lPU5|+=*@QB
zkEPA;X)=G=QXZv{@N6%BpZnXF^08c(`RFCGj&<#F)j!@3^0dVEma?So;yrSeeW91A
zuITNj*V4CN74?Wxx8-wQ&!6~}ZLJaK+_#&T^X{4^<-g|8dzCP?1yghVer`LvbPK=A
zs>F@WE2@G{EMNLKid~2Gk&#B~;s@K-9Gonnr_mO&JitpwKc9EC&;KhdJD0WfsVa3b
zE?LWxA^vG9dswabC2^fqG7(dG&MuxB@~1D0wR5e^4v~f^_0K1{r%%0gdL?W86k9Xa
z*-=7TM*e@cwM6!v@brn=YMvD&cVQRn(Wz#(EUUsqv^JYJFsxd&Y8BIk|9_d~7#PB?
zF)%PNGcYhPIw&ySRA9Kl%6gH5MX=$90>e!OMi$m-%(BelTUfZ+m8UUlvao7pF|oR<
zvIMhC-OAd@E*``Zypm;Y7|V5UhJTDq3^&6W1gG0DMzOH}VtJ9xBKzW|0vC%;I7^rU
z%S{C)CO%K*Bg};?7ra=qSvpmjT!h#;&NKP4?&Z_vKdHpcYH^YK0~@Q|C1w%!wn<{L
zoGSb>*Vvi^nb}rlircN_spKsV7dz)Ax<|xLo1Ob5hq=g`P>~bb?2(=vwmgQc+t#ul
zTFUCe6SP#ao~_}!yl@cH2A-8KSqrbS>UgqGVy#-L9-=Cu!tME&-84!hjd$*L*5)Yw
zgPN+}RM}+(ch#yq^x_p@|M!|r@G8p;ZQjJGq8oKwtaN!7^PKw2vN?+95j%G_n_#9+
zF#GzgqRL8(mI%a~@?REz>~T{;gF`!Dl18M090T_fm18RFmM}|+?o{EbFs^v1@m2K7
z7S+!T85-OyPdyFv81u9u7crDD^T}Q3PxE3w$Gm(CEALXdiPzXuqgdS~7DTZyX&Obb
zRIzfqva^<~70nA$W%FW>XZ8)^p6;5M#oD=*HI-$j)<XS&n+m*5U)ZdJm<mO;s#s^w
zWqspezl4=ZchVN#*L-~;Y|5`#c3#naJc*%A+M1m;$R{e3{n=mEuvI)am-<{)DcUN2
zLnc0mN9HA)ehA-`EElz@D!p<O<2)W#@k;D<>EQCX;`h)?#ZPszuIEEft_dn^JofWF
zA5P)P@p~Ahdeu9bHHs}NO<#6*CeKMvEpa<FmQ`7zk!#uW#7}G$S7Xl$V(sx_&sI6`
zeUj%xE+3JXUvyYKr6pNSw~L$(^S_<RD;CPC6QzHGZ~A)OA7SjKYk9VYnnb*2X}&IA
zt;H(%*Y9?e4X2EwuC)7Jc2-vRFx6vPwmD06y<{GT_#6o0O=Jms$+mf`{d1n6Yi_JT
zI=(!my*CwnjIV{B;$-sTyD>?@Mp<={j+&R!lS}$)EZ4GJ*Ld7e;3@deYB5P+yV(J@
zyr9_3D3-gvY)kc+rpSJ`&4}VP31Z0Bi@)T(-DhKzeTHw;N}oAlCc;rHd1?>mi<Vr1
z&i{jQ3IhW-eE&Zm0|Ntt7F(sF=k(Zr?}Iqax@NB6N}Bky?CpzNGqPAbe&{9{N_re`
zbm=&oWbbkD^oFmBE*>`}jwC7UFzLL|5Fo+MA-hC7jjel$R1-h5m)5r{+PthyjIzo9
z9x$;5FudjA^j4X}$E_Z?v?uo8#IGAXRl28Kbn;Z5<Y<%dh9{}BlF@m`GTDs<P7z!y
zlQ_hMnC4n9IpdOK&$Rj!r>qha=V4BHP9|ZiV=-){yvp7YsUqs3s$8|2Z=cEQI~oTh
z|GUq^@q*!vh;FNpzGaNKzL&6J)Ym%KTNB?f=Qmt3Y|K&eJoQ@AztQZPZp@RU)J&B>
zn-qQs2?{z3xt?T+xx?V7o8%(M;(jQjW6wke?rIi(R%40ghGZ3nMv(}G88S(mCY(IN
zk>r}B$d}B}^Ezqu{}myE3}H4~*{-SdWc4L=w)?!;%CVP2O(5o>!jzc1O=~Q44t(xg
z)UZ*pK;Pm-!&Z(jF;y>acO+XdG{mfsK0N8uvW9~WZZQWLSQ)-0g(Yo{kr!m(xB5}z
z!rWnhHipR|`M+>7!y9c&mH!&i9IRE=e624TH>ez(#+l1;lV`%R80C%{<)bYz_c)@p
zlT=Q2#8k{lx**_kNur&jxusruZOl%NMupIr%^dALQ85jo4RZxKqhcim>~H5T-(txh
z6{Y;xZN~9Ni(?bKXDsE2{KFrkWMARPQjpsA@WeE&2|6q*?}W!JJHDWg@x%`Sv5Glo
zc#^(MILGOhv_P9t)FY`ti%VNjDAVmlR8o?4hb+?s$A*xktx1s=_!ONu3X{&iC=;9!
z%JHA0^535av5Y)Pk2bIyh{Vi}@>{clVfqy-dCp6mt-6-_!hcUnWNS;#@aWLBjnOzW
zAxTs4yF_P;hGWbD4q1<Ksi~(wxpZ*o*7D3|*)1U(9J5uB!#?8DH%I41im?{LJ&axT
zlT0Qy@UmT9!jUP<GL193;nxwa?mr9Hb#P5(-Ee<0$NTvy6FXS!7f+HDOW4x0ps_=#
zLqH(*%!Z4`7YaOLGz4M=zsoRgIHe#dXxk9clw-3xmSOd(yUC6V_ONhX-xw1yvBJmP
zL3txrGe^=Vhw=meJ|r_PWOuk0Bj^%*%I@||rVgczj1#6M$~7$acr576P|k8+Kw3KK
ze@cv8L*T3|7K?|R$^RT5FeWp~xN1(yP|E00_*i1|)osRPg@s04FJ_B%Fvc+I9#)vB
zz#j8RVY-sKmbR0?UcVz7vjmR1O?=gHev;Enj@Avb%-dojk~&VrII8r$Um>W-!}wp)
zNAc3fr(%f*7#w1xVgxswa$6x#oEPP`azY1><bvJY$4>;l+F<0!lqAKm)IvCE=juMD
z4dvV`9?aQcEEOujwjeBd;kt#3Jzg=WGK#CHy)>8+A*Ar&0V6-(oTLvsW;G=7EZD>%
zDbc;av6F%IW!d|io{F#hLOTop)G(M!iCZY`*yhH*LDT=Hk!0{H*GYo59B-4Z^-TD;
zZ{B3?V$G{6+BX+$YBO6g%VO&^=cJV^(tbNU4scA`;K!srA+zN`l2Q^!u|Ui%17XRD
z4PRI~rXRR!yg=XxBZofAjgJPr514x%Zjd~9-*_Xx3kQQLE2FSdK&Sx&xAKj%T;~-7
z9wpsaaiGn7)(++yj}$FdoKw1PkS#p%^2D%6!B3yory1TkwTSIE8|!Zi;ho*dvrJY+
z9SQ15zVTS%#h1(%6?|(a{SlbUv(`ZJ1Scc&jJ*yDN!JY2l@zzi8R+{-9th-IsPa;A
z?T2TcCpk0^zxt!L=8N*un!xlx&dIE-)AP<KcXoyZKi0E&sqivMiD`2YV?)^q4<oMM
zorhh%ahU(Ls&rcz$iV5O;LH-kDCqKy!;_nl^U#MXg_xBh6Mk@5CPW?)Fqht;cY=HU
z>6lELl8cN}Sy-kX;+Fl-{PN`r9@!PYcw{GQ?3MQP_|Kv0v{OiXJts5sRF>SG>=#3?
zy67prf5FLq$RSfQ=46=VL5WE}c;+%B2AqGw$a?Z(2Zur7!xI;bXPlmsbeoOsu^JO&
z=|b+G|ASa>EB)|DRuU4J_>IH4h)ZMc1tpajw`E@Sp4LA(PO^Ba6z!bjF;kU)r{~&2
z*GK<vRZJ3{&2A~NwIwrDFG)q)g~zZ+`s^f*?cQ(Mawqwe`yW@~(B#sd^qg0l)t%$#
z660W2$1kj<L2ftM3bR=5TYCJx?Z5oabJn|Y0+T0+@$>VC1TIOvF2)$bc2#$=%Sus4
zzU|^s%Pn;I`6KlWqm5%uTDzD>*q>z<b~~WLby!Ja<@1WrMIWtdC3%n5w5jJF)#~VC
zs<dw5dw=d|gv56PUDi(iO*f_1wb=ctpOe)8$o1{4Cl5EY*+wg=u}ro)cQ=_qsPnst
zlKq_dP4-u8Cd!;YA^9+?&B0rybHntQqE=y+ScX$4wQD7ho-pY!;Bmhp!T34GI)=}C
zMk7;F!^@cJm`O1KtSU!X*ca~*c4SUc{bDz-#mB{><`S#6^o?JhFIzuzI^OVlb6Y{E
z<8{pIzZYgdb&kon*f}R=0jq(?oJP}%Nh}ZYm|`Y;WRPTR(d%S!j5+XiGSi0#f`S<)
zYL*^Lme?!buwf|ni2e8F!6q)DDa^4Mtr_n-^-qZYnDI#Y7n7+HuhKf@1p@91v+rLJ
zm*Kn-`j35qBWKb@^#&H}1D-cIe;wpg)?^h{N&c_+pY@mfhNV3kd_p&SFGl}%)R6id
z<Ebsv#lrgfQ>_c9?Sl*}7Devnq{B%~d=^^FG3re<zF8XQ4)ibF)7h{hW`}!mhw7|9
z_DnCP%xN}gJmGQe#~HS@NkuE}zw9}}(5T<MOikmQ`U9Z{MUP*k9O`jlj6A_0FQb0o
zIJ5hYrxF(m`)=^R>~`PW_{H+%yZE-Y>)wJ|!eM5u>n%G2mfA<R-0109)i8m@pH)4j
z+v?h%YbjQb8vlKsAb8Sg-$dS|8!a^x1cWTvggLuj3QT2*oaAJ*?A+~^_Ow4`TUZj=
zlXbeLeG>TFvg2nj`xmyoy4q>#2SsEiZ)0q2H*e_ln_$Fky04|})aH*JoS`vom20=~
z%u(RUX$xxG@lYxxepA~tRqh4P8z!|ZG~N`h<XxT|u{pYBktb6+&x(@_*)8qUSab7k
zGF@$}^kTdE*NDsh%4^+%?u}g0&)Z*a<@cUulh{_d_6pzDV>7<BRA2MXSzeO+g@xzx
zwzl%gGoo)W=d_hiO6f27->c-?kZsp8?PNpurO>vr>7S}63UG@_`Y~O6@{{Rvqx9rP
z!-AbXGrw;r{K42*6~a<!)?VdV^pwHYBF^omU2SX@Px(ZPM-qld7_xI(%GDX0lXsjw
zG+%|Of8}Q7&Xmf=wJf5x$E0H!+)j5NoEYw9x6gi$z@r!qA0eTeEV_+;5{w7LEG?6E
zoatn@T+sNGMf-%}%=Z&BPTG8H<9I%IYYVsSzq2h$`m@_7@!5WAI9WNVGG&o-<K)jR
z*{TwkJ$0T*+*S4L6q@{-%P@rZ;G~9|&QTN7r%cNh^UZ0WaEonf<M#AU{mBP;{hVA5
z`rZk5cKfU7nql*P#!R!Wi=N7R#a=Qj|8(Gbm!T)uW5(W;pR0@y1lUYyFk<|=@oJIh
zx*y(Ap-WhJ-?ye;=DC~Ns3j=)^8H7Sqr7eLouAJMNEEuTUeRR9?bZnV)WPP<-ry0-
z*m0k!-))Z$&-0qAt#iCB%V*A?#K^8O^~8*ctgPW~K_NUsqM0l=&;1mrY?+s}NmO9r
zOsCF^yl-0<tncY)U~ZI%xv6wAMnK@^j?xoC_gfc=KXc?QNM@9bI99ZS|8s=j?1dpO
zelW)L@M|4@5y9BO+Qt~u%fJ3<<h(`!j(ZB9MPiix30>w{lx;Y3<<DDHZWk;S#Fb|5
zyuaaN5>tf3!x?2N3*R#ePx{S%(ROb9s!K5iHRl$13oT-0IDW^u;gW%-tCVPd(sM)U
z&oM7{O!|0Uk#R?63ajayxwBue*q-`$l_PT6;h1xw4V@?6ab&0_Gpa9q&gf{zKEv!L
z_vg-M3Y%g=72;2-rmDC$esliAoz@Y{=wkTqqs+#R)SG<_N}&q*IWkEt|C^H(V+t1>
zVw6jo6BCrC%D{5Z;$6(;JkK393w~&Ri^`Q<c*ToHPhcC%bM6nZ|4lk#8Lp}PyZped
z_~4pLKThR}^X-_y7-J-@qtc-=>4una&dxLyMV7?ZldduZifmxeu9+!6Y0H|`OBi1L
zU~FCdt@XU_fiLVcf7-?zv1FKeF~37D>Cg)k*ONIe0vehI6N(rlKZecmfANGt@WH{P
z@*iOh-AMsSy1ybGFTLr+Vqr2jCYY1K@uiDM(y4`FCJ&Ew@Uw>(ZFbqY?kJD<$?WY5
zeA$x!n;uDKsIZM`WMSVB``^PTnW5w_yRJvlHv^AK?oB)8CZ={U?)+*HGv~&#9UhZU
zOkK_3{!8vtpj5w7A>)hxUNYO*8aMs6nJ_DZb+TX54@tJO9~l?U=VUT}kz~fyv}Pl-
zOKihyPmyaCh93MejEi~yRxeC&DV{GGsjb9d#>Wt?#N6=yo#e8UOm3XFJ70S&&|(hD
zN$!lWYb;bOx|#e>#cuXOjm{ktEpN=0INs;}d_l+u#+WYY&W8#yUDD?}L?)bRc+04J
zg7L+nj}Hu;CxqlEEm+pXa5ylDZNbFWq=hSeIq!a2kk_%uQ6b5b>*0~r9!}pU>^m&q
zVRP(h!>?m}6Pleg1bzm{CSAN6HR)8D6<5oh_a_-InKUM(PkcO)b8Tl%zcxqgoST{x
z8;&mIb(4B&Wwyw*e_|8I`I^44{-o8NP3JpSJnL$j%whO<i}Jl6zvlOZ{M`09xhL2;
zX@AfcmvRBtPDV~A-)}KlukIbW%pfa0C2ztFj(Ucv6Ov>Fo_|=g<lQB<=hJ`a8E)v=
zkkWY3pF7{K@zPIb&c@3rOv0VflbPxT6+PZg;$(Sm)){M-yl~C!-q_<Y8xl@hNcBiP
zzrj3b-Lr$oCJG$Y$dUQOx9nKbOx12VwNC9Kl~-39wj5$mWlEZ9V6kJLBGY`PBnzPv
zvHv{<doJ*C2t3{&qy8e%vT351Ub}##;8U5$y<b>j%Dh}ACja*f{IQ71@rd+`9l9;A
z)g}4ocQ$%2Qjm%{_u|O>jwd!ha{AWhHlO!kx@~1qF-bC#@%%}7;{#7NG2HDGKFP@2
zo&S7hk07Ho|4$E_{sKK&(d0XiJM_DAlAT*i9y53A6?cYyU`(oP_*%K*sR~Es#S?an
zJz{3r&A&Es#maOU2{Ji)Bqd#85)__s=LS=osLkAk+j_F6eGHQfKEcG0Izd?7L*x_l
zhn9xrCzv=J|ELSr?qhEIJKe*p<>wKP5UvHxKNfE2nPIL_?Xk)uO~uuSVRd(M4r7OC
z4r5D`;BAjCMx`Vn+a%5Pj}{1gS89=*EWy;Zy8n2<K7%hY6O<kWEoz&2XrkwVsGJ`;
zT_s11BN=;3(;I#WGww9J8N%20^Hy)!wWX?|W)mjZbs0^H;d}q{Ak$NYy-C%aZ{;Uy
zCdKy_mD^2FaNqfhk>mFhL$5hIKf5TeJuR@<?^lvR=aYlGrnXHM=K6kUcH0zzGb)^~
zC#zdV%zGtb7_qFcqvc7SlGV&l8+!{&)eR$?*R(Lk^ya_UQok_Ifz>T(-D1wun>adq
z3u>40Oq|7}P+HTQ|Fzq8W0FK=iUI$cS1Oz<HN)r6@suleVGutoSNMtLd2d1NTA9eG
zM58L+<M;dXx64N7oitPxoZ3_HZL3Ud)yl*Ra`CCgjuZZ?SW4XNzTcA{zpcmKLsPQV
zhEavJ<e(kn&j{O|!hb~%dR5|z&(4rLxz?$5^2|NYdh>S+7ZxXNiVb+*Um%<0u_`8R
z#(~7Q`}*=vZwTn943A;WofhcdQ}A9fX=MzD<jI95LB%^#)ET%BzszF%VR@37ec_Sk
z{rT2cSs!~dO}<ibl4)^YzB=RUPR6YnlQ|z8-rRTmq;GIf;Wv+@6^tL5-cIuEoHUn%
zxu!pVD(nAOOF5>$sjlsL@rv(pv{2=z`)z&sbGbjKM4RrIH--PZApeHsf4xOpRoJ~&
z>g6ytEYOkJ8k)pW%+Xiyd#Vh3f5G}Ga<5;?Z0;?HJtfNCQyBJA=5^1{WSQ4hv-=8I
zAHPafs_!Xyts=}UA^j=gLQHSr)l41H!#ipicT_4h>d&82-mylR<#ydqh1#BibyGyF
z`wQ1j5wq?uct2S-)Z*lcC?m_hiE7gR_j`)8bv>i|3zy57*7Ow4l}=6Bu(r2owOHkg
z<lFs4x=p&b`-)h2E-iZ#qd(DLtI!6`%Zz9Hil;TF8^jzu@kPbmZSz&3n=`id<*H@w
zTh={u(&Ud18CUouO3b+1GwDail-A!nPW0!p_^^1cVvKR+UKtsr5>wA+!>o1kH<!=D
zj>6;(zQPxx80403JS3@6GW)*J+Yi+XF2yKyGF6IPtmR(NE6%^-%Ab>x0ylonJXx{w
zRs?I8pUmt1$@Bj8mN+NvVsD$)TiW6B@o7wVX{&(+kA={!LmZ4T8yLDPV~SL|CLKC|
zY@zgncb$y;{JU0k>|<Cfd-1_!3#W=_0x_!`G%}JGWS(RYzL?=y>0r@SIw5Hj1Ls8#
z4yhC8KE=q1?&5Y6TA&oQWRgY0pTZC63d~6&cYi*K{lDUQLs_!&fqq4{4{=RfIb<}F
zc5^7%bh16E<I-yER(|zNtErpAPCO>NV@faIir2i~W6X~4n3N<uDgNJ~1A-41+!HL=
z_=2H{(Jbb2$dTCp2S3_$^dDzzvT{>k*rMXku!Hf;rdW=?-uk;qH`Wy{m~OH9#&?O;
zF|i9iont&O=iu~2o|vAx-NiR1$;4gw#2epJGj(>(jjg?P+h)AG(O;+alWlHKt)8Mr
z4r3BW-tFGHwQT9ClFL0VoNkT1dE)y9)0p1cyAm<Wd(^fi^ws@X-P6j!ERe|<bGxT5
z^pluMPi?Fhe_P_xZT)qZ*-}3xJzcse_21T<{#wb4e<v!a#YD6onD4^G%FV>Rt*6eP
z_3`yhB7Qw}ne5MRvdH(>)ctI{*14}@%hY%kE`DR>Nv|clv<iCa*81`3@9SalmY;R=
zVNT98=C@sOm)TOa#Dr%*o<DiX@rK>X0=$bKu!{(9?y0NY#rk+!)#08xU-qY`nPhuw
zzDG{D_vG-$hHDeKe*P)__*ncTLt$@S*-M_s|5Igt_S6OQJU^`>-BT0RpR~L?a-zro
zPFqEp2>IFlb)i~MF1+ijoxG6efapYr#8=m9`f8M8W=16X_g5eO_blc|)6c$&ln-1D
z6IC2PRnC(XXzNPretc)jt>bMSVdoaAo?ZB;zcjjHQ;u$b>3t`q6FN!PCYUw5#P^l%
z=VOl2d{>j)UsAfrra}8EQ*D3g-B%*&^*4nzdrDS`nQhVXJiNm~!gQO;X+e9hGm}nA
z#{aq2QGEWzE2ZDJ<a>))<EOIkRmzumGV!$Y412+dSsTtI-Q96+gUboK0}4rdZ){=U
zOlnVN5Nqto5=)*i<$mA9lUAR5(k`p2w7E|#^>Of)Yvld3$04}!f&*jH>6)4d#-y&y
zf|vy@9d?~FijK2zH>8_g%ITjx-@t;!VWL~o!9=b+pQMih&5TJ_9e)mUBz<7wV0idn
zc=@JmokLbnd4n358kv%`r@Uz0yF=(<Wru8Hd&lk*^}<W0u4s<g(Q&jfG-gxBiN>gy
zBORv`ox5Ibo+(x_hu7!d(|L3GCRrCwUHf#J+Jl?+{fu+GZr@;ezHqiOzw(>;GwvGw
zn=^rFCI`P}Ow)4<Cf20zq{$tNJ7%yhlrMhZ+M>X*lrd?7%;W&h?xOYyjG6^81+yM}
z>R@R)@WHl=`^E%Dog3fIt(bV}EE`A6ImHP-M3Xuc=HK?UNa@+=V(~6##d@B9E3{&5
z7=`*5Z?b5bt{-|!p6P|zL8c_lIU$GkPw2N;vBV{&lYzZfp51K5q6DU-;Fv8tekMf3
z9NY27rg!?2w+#9X$2LB`ThTLpS`@#mbXU@cmF`uS=CH50dOLmN!#y_^{<LW}wYzE6
zJttOPd_s(mL(Rog{u1IjF%x6vFun+yVePN@OYXtePc97XGk0uY?woPs#_g0Do;yrE
zN*QEtURG$1WoU8f?q3nYk#~c+BZ+6D-cN~`z@&{~vu8{?5c>JX_YbkR)}7#KYWe+r
zlR!t$?6XNLfBtCvnbXl!#PIE;soBIeW{e$kBtK5yICcJ`Nlg4lcAjE9<#h9fpUjRc
zZ*NZWS#kEj>sUsa<rXIxM0>7H=iZVt;ba15%-)!V|86igG;$na_`x32_wc|Wbwxgh
zB&V3eTqSH99T;PjH*_ew^*@N5$iW}W(8-X=p|ywO*pr2`cb4RI8Oz<)FJR<N;yhGb
z5&Q4S{39VJ%sUnwdpP6m^G>1194|dTPgrNjv1r|~g=??yM&5dvv+~)tK5G@G=|5*5
zT*><=#_WMha({Vtk_=P%$p>eY)tDN^Zr#{v$J&v1<5$f8j)EIP9R(JQXH?BtCU)8+
z*-W^*=1YTof^N))#exi*Ip=q(Gn7YY?C_T0UUXV;VYvY#XN=wLj%TwwdIV<OU~jk>
z@%X`%n$80~axa!IJlXg%NoPX(6Q2GF?9IsxZ(p?fGxWPHtqys6vuF3V?w6d}zRCZ(
zH`lk_JUh`eeMeYLuTajP7kiE^_<v)Q^FI!0zRL|9NlHICvRN4UKME+mei7{{c!f#Y
zY=XYo-3i<5?sv?SpQz6)J;6{WC+Y8t)j4lE7TZlUZew22d}D&p*`28qq=i^#O%$AP
zo|BW|AiF>;qaE9XI{pW~k50rg%JMw_A?zVxWxQ__<N3Y~PtR1@{JgSBenwB%Nd=Y3
zlIcC`b{|o=#WcZCopFC3(<KhZO&iW$T>I$VrPIAS&u=&;3O_iL$TeZj=T4WOJ!>b)
zNOl>VU%mTA@0wjlg*q8esQ&D|l+(L5wdGvSQg^e4Yd;nKbWBX)Q|;aGJ4NqN-+FCb
zUUmWB=)N_}b(TG0%<fy`J*z_QVUP(|65r1sH#HvguJbcnw6C{x3*SydrJVjXlO(?z
zne;ArKUuI>_;O8;|5oXVOIY<;|Hr<$V<qzWDr@Q{rn`M>GR3@gSuz*hn=4|=a&_G_
z=9B$ve7UzLS-rEEE)%UX`Dg!vQxDV}rDC3QC6={6S<}AnMuXyHhoG4?=Nq1ICuz6r
zd(y+RucPDRN#V#hyZ1DOdMa(+WMS8_SCGMyhdHvxQ)m{GKC5mPYvf6$OEWJvoa<-)
z@`TB$`J_AV=1)xQE$<H}{qA&&VNIT@)o_J%uPWChG0V>9^Up>JPD)`u+!*L<GyP9e
z>-#A$<z0Dtt6QGDe8P0T<^A*5Dza`;9^DIU*!fc=3fewCy}#1@*}|-itsg%hc_k?v
z+xF30?8B*_>imCmPxaPc+8EPUA92Ayg7b$~!oMWF3m<HD*)(}L$|wK-P%<HzLE_q>
zF!#W0v94n74{03kUoXcn3X1LW_Vh0HRcB>aXVIR$>GneQ^IzFLe_!_G-S)rzM^b~r
zWTx<+Px=`RChlnvxY_XQA?w?#Q5;uln0`%CyYuTN!`y=#bbe$dB&o&z`|G@><=2fF
zmwO(~=&fA7{(RdnZ=u9XS_`;uW^i?QY+QNnM}zL?m0lJfrTbU-RJ_{L`Xj_M&BCzE
z?_pErgL%^!bb6|u{`@uPVeiD;%|H1f*VJvBqIzRS_L?3Ei&s;-SFY+kx2NUT687(@
zfxjk1J!!nOVcJ9{-U1$*71!fhep=17udz5})AG0H%%q>~o9C*oXx#L<@7|A@$~I?i
zd}+9-D9NN>Y1z=lkeGB~LZGj!4r52TTx)BmqsD1n#Ys{sR<{^V_kCQzD?G!s@PoA2
z!JS2n4Dlbn{D@_2vbH(YS=PI*=I^d+6M5J4aFk4PFSGpP(lU+v@mg-1OEdERF8tUS
z^s;-JGS}<b4Urt>I=x?`_+B%L2hF<2mb|H}YJ!5=tO+MQSq?sL7VX-U<Sr^6*2||i
zRbG-|^%gnFj8}6$p6+-N?AK-Uu0v0UaiV%yN4$#f2DY^aeYeH_H=cRpP;$emKEDZ1
zT5MXM9{dtB^VRc@hilq1B0GL~FfOtP)Us>Ha$F?Ap7gIE=3b+2N`S)z%d->J4>Mi}
zR$sJhLGr)nF)ZSY&)9oSTpQ!$Zlw!uEM?r#D0CzCzr#eHoF8+-I>IV=8*Y4VuzKEd
zx|fmT^_%9I6BYRWE^JU?xh@fXx;G(5<j31N#y=)*+{exs`-EYgvP_52=LxzWOdHmw
zolLsOBfN*DfpeqG2Kx;UZd??cF3laA-N7#O`#f{Xl2loxohLoKB}~{o1Qx|?lyTw6
zh-KIkXC>b>XYWRdRQ^uh$0y1*F4*@VJ*I>A=nX?A#+WH58J?{?x{6y<^h4RE9s#+S
z$d4R-Ob=ovtdZK0*y2&IkWlgLk;IAE2d@sUja_j6@y~5CGuf^^Z`l7}^5z@I8UK67
zGF-Or2;=*4IQf5FZYQ@uOcBS84>y?<4q7ohjr|`H%kYu;WXgnlmMd&J6{Hlm-QIDe
z-+qOD1!G6}=biI5o}Dm{oipZIrIJPCT?Uz$Yq9@%e#9~g?l^bj*W_0p{@remN!~G6
znY&@<{2i=o{V%76o)nJi^Nrg4aMNGTUHY;A!*sd?Yj*5&J2h4HL|F&tlimIgxIcHM
zrS;AF-08!nne?-hJ2k;J`9^~)ljelvfA=ohZQ##&@q7}aT*l(b;Q{|n%k0{t)x(q_
z7bLd0Wz$hM@tEF;MHNZA8ty6DYgfqc$Yc_Wxx1^AX*<*Wj*gQN8l6EYTJv`DBroaF
zxj8L9edWQNgRUx{S^k=GHFa+kP5y7tZ7OTVchI!IO|4IL{-*|81I|n5dZd25>=2Rn
zlq*{JP`9AE&uSt=WsA(xPd%!e8fA`l?Y_BVT0`=GuO!ySIj$U;Cz5g|?BCU_#&C8*
zPwfA&4{-+^`@MF&Kf7bjvlRsu7yHy+rYaRQo;cpwnRIhS%7d66vwko>bhl~xEcRlR
zb|>qk+XWn+7aQL+oO6i%_o8BLUeEdNSMhCa(|aE7KTy)|d(!G?hh+DE%a0ugpLMGo
zZ<bB!Gip4LRM9ZKy{l7M)Fvsb>ygft8;flCSGJiQm@oFf=|==x(#>vVK_*_7pNy;*
zWlk_pp4}Gace_JH&_RUL@B|~%1sRuz1`%4IX?|7)1_tnaKLbYt!~Z|>PhkA#YnVDV
zZ)93<*m7dOe&b8IuG`jq@sl>}pL6Qq@f3fjF0G>-f0M2mPG(Z=(OmxdfY8rgWzE@F
zTy|7WX7v3ama~EBiKo}$t~B?ByM+$?W|E#Xf5x|+*OW_{$`4Jn==mSe$-yt9-KQqN
znRMgCYgzsdWyV`Eeg}G0y&`*+k_0*mj=tdUP!PV?Q7Eh3?XgFsqbQ<7eWG(ppU2dA
zQSs+}>aN1#avd4n2mG4uu<lC|tLl)m?n;YD%9)gT;*vyrry3s<cbC*v`3v8fZX`X5
z?QvT9wnM!`h+XhN3GbIAyZZ-9Z)hcn9;m3`pCNKtl+|<59L?5mljoPnK925D_mq0<
z)_5pTPONibq`;J>Sv(ELVm1|MgmzjvPvp42C%4CW!-Z~*qzRRc*J6xJT>td5%wciY
zdHK5S<&?YaXQ#*WZgsyc@OxgbK--?AnvR~<w$AJFWl6n^pOyp(va+yWv~EtayU|hi
zZ%*N#e#e^1eu01MC(k^xcG}!OGmAew?r{uCT@cUvCPus8@n}pB-{cjUHG3D#>*0%A
zF^gy7jxF9F4^=Vt@a_5e;AjtD@FxbVo}(Q*E>2r$Z_~v$iJ9XB$Ah)5EAISZZDN?v
z$rRtir{3MkHN(r+jQ!GQ|6V@Um;*_Btdja1DrfxP+|B*KFy_OZlmihRTpv5+)DQOC
z#k?xCp4hstm-EE_!>fOMP1{_0Fe7P2nbHOEPmU|vyVwuLY);xSvpLCl#sc}WeGS?<
zFVsG<81}MS?y0DV{a@9g^H}}BtdCtx2V?fcbl7n<%zsgJ#O~~b3EZ&^40Dpc#4_|J
ziJd>NBtkyv#e;Vr-X~qS(D*i)Vb-m%^E)HhRwR|wB)z|(Q<3z)@mccT9rh9mGc4*9
zI@D|WSpMI=y=Yg2ZqFIzJq4%!RX03~XzO^kxrZ(G_}!K3B!9#vxir`>yfi&oVZz0;
z6Z;LS=2#@O$1*f@ed}Gm;>gDyzweSOU#EXM*7t4g=KiSOn0pI+Klidu*w>)Y$=cqr
zAiQ|thq#rq=Iqq|vGa3}PuT9a%WV2tzrI=7aB`z;L;S}76IhPD=<<~hw`4pp<4<;{
zgbqt5i&=xpxi2%?e$KnuWqmlQ<3{D9PU-WVEXkd6=X-)~`6_hy?RniDRN2(C@hQ*E
zmJ885OYe#`Ug_>-?(ntezj-z0T-OS%9%iH6=_`zSeb*PyS~IQp)V5B$XD?@MUX^@f
z#-YUTo!gG~`^@GHwMbfcp?a!eWk2hwg*+Sozo->-nb5KH_@W6i8_videaOJe7SoyW
zv6Cg@##5bJg#xh*tC$oWA8%OH<G(iNLDscRmt;O4{n!0*Utj$39>06r`We^Gm?oew
z>EOSOOwu1F{(AJ|(!+Nf!`WXa>oCgp*q@&9r}cOExrMt{y!vR{apK3!l0ALCKO26Z
zI6C3j3fah>+RZO)6O*>}`MiI+Bx-T<pKi00^0s}x(c9+b&E1~8V1<Rq0?%z`?Vs;=
zZI}Kzdu6$VuTJM9vzE{GGjhsipFY7UW7zch*-Q!d6^&2sah&Y5JHK%8LED(e2Sh(G
zuJ~H9adCv=4yVmcA5XHbK3EXZDg3cV;Xo|Iix&^o7tVWpN@(WgCo4*J^6%NFeo{cc
z?Z&JZf#+KmZ0|f`YrT2(wwCom46|*2t~k*0*XpA{E>{oZN6sGR%1+M78uBYvb;t^A
zWLm*1-`#OlVE3Pcr53C5DmTb<M{Mpqu;IsynxxNX-}Eea+wwtse$(;J0Mq=w08N?T
z+ihP`o9=Dy2@E&daO8-?wr_&pS~+jF{rtD@O|Nf=luvHbjkx3<zpkq*)|!61&>>dw
zaz)qYKL1GzUz;c2WO;G#vBlW}{mu98GpXEQJW;>6h*fg#N!JCzw$;7CmKRf-FF)i+
zYP@cLkGaEX&Z`ACEEzw<SSL-2vH!))d0a#GNX(LmgqJUr(rz;<^e6pdxp#skN$tbj
zbG7|9;y(W5`T6qPk&hc^t`M7bw~jOBl3&uB?*TuK-CX|RTKWQ};wM#YH)>zM*mm}I
zzr_a+mDqm?ri@cQMn14UG09Nv);yj+2cr3&KiJlxWq42O-?=uvB#p->v?lIO{JpFH
z?7d`(3*xhnyynV|U|cuj^GxpvEWBA4v^LI3OZlj4eEXu%kGFv>jL8QU9iDb{&$rnr
zX*CO9-|M&9bRgi->ZGHS6rP``?T@+4VcLI^IpIak<<<Aj)*4-ybnKyZw?KPR+XQ#p
z{1X+ESWPpo{Y*IjY3s97q36$f&OX^`uFIm%dGlt5$ND{+&)0@e;=a%7d-92B{fY8T
ztg9~xD`!kweAAh=^v#(jPd4=u6Ss%6gP;BDl3%m%g(qty<MLN*k*+KkEk#yVFvgsz
z(N=%^pEY;>M4xko+o!QkJy*7UD$DW5CV}k7@0}~3R<LF31oNf5itLe3nWB4`)qgVa
z_gHLR_*A`d((K7KoZ44d_U`9tx0&$e6L06anrokAEhlgIdA4S^QOD7HeMVl~^X~Oq
z^mJ_Kv)daaXJfZudSt`ovo+qFOWwqs$&Wp$#&x?mvV~u#dCj*T-Wx^jGe6In@%Dq<
zp0?dD*{`Y!)yO+-dc$+(T+K9XnLSf(eN66&xpqnT9k0J!lV$Zx^EX20^QSK9@MSp~
zG{Kwg@9nm$pIcsU=`q@_CChs9XC;?*kHPLsBB5_*)SO@V{@IG2$pV+#UaD6zPVP6V
ze*Qz_M1HS*W6beB-PtqVnm%}%q!A<fgdxS`#F_lwryDlO{^Mx;_ow6X$(iZLZ}zPe
zI^R0;QTv&VJ*wYcimKbR9=<*C^_-tSFP0uZsMg(*E<XQ~z`VlkfBJGGdsJS>e4U#2
zU-GGJdY{tUY23N3J+3_IWgBnlH2(hAEfw*!p<jg~Uh5UZ_oN@O|2`!%)`_1K*>h#V
zjWb7XH!M|F$klHUtlOlY)2L9^Fi}OJo|#p@q3_$oi7XCBV;RnERG9juA@1i!fz7Sk
zlbRh|T|RWKQfF82Op%z`EF~=9%+%kuZk2RSgMyc;v((A52|X$bUxi!MUwmVn?5V-J
zvUTRsxU&WgZ(|f<|92RRYj@^sh-G-$QTY6<!SP1F=No1DV;TEI-^{x)Bf-A9;pvHF
zhQ(YP0-7EtwLLYRRBRY7aIfXbew`I(|9oqClzX6pku&zc#gb%(jZat}*|Zd<a4nkE
zYCH4P2DKBKO6Oiq{~*z+CHW&)bK^c%b^ewNsp?79E-Xy$R~9aKr19<Dj*jz<`N{$2
z)fbAcwfby`{kNrRvVqK=KD{%hO<N8zeqd=gO^RC4vtY%-Ci#tmPZ%5Sr8Tx}Y(KKC
z`CIE`%S0A~a~#PGa#I^lA9}^imsGf5reiWgKZC@c-jmxbe;w9d)o0hxue3rSo-raU
zDW1cDdHP*{2A7m$JfB~<Z9HYI%*wy%_%8c~l1Cl4dZ%?Mwnsk7JHGL!GNa?Sjv4Q3
zHd_5*y71;k^ZJODD}s~j7Py|j8LG^fEY{ei-+6HZW8td{3uMb$Ry_Kly6U?G(}L4=
z9}X<K(RW~RBXdmA26g$S=`7;bF%K9O55!zfs$Oz)cE@yC=?+)sO3phA+#fTqNbQgN
zvFY*74Ody)V#M4!xjZCd85DE{I5r%LNxdkh9OEC|(rec8MZ4+KCkx~5DFVU~JHFWO
zVE(pXbA@-yjRJ-XAL_+k?30Q4@S#~oyZQOG6K5niR@9#qd?+D$qK#iR`@qU`U)ol7
z-#A}zvhAwb;+qcAXNxwr+^MZw*|Me~;YZt@HLb4}#1!OSt?ghd+rdzCBj|~<FzZg`
z!!b=WcF29>?+gg!WD#MQ6w44dyYbzGm~s}On}?2fNc2s+Azyu?>PC`IN5Kt+U4JJs
z9B%k8ACb(kto_r*6Hkv_`_XWivm?jNV8_BY?=IMV<Z0-8wD<4PwpCugZ~R%aK=)>2
z#R<lYbvsWT(r((6w$t|UhYr8v4V(IJu$$g)dH?*u>yM{4J!-kP`JC<MwvY1mO)Yzl
ztXy=n`OTW<PZN?j7;nux->GwqvGo&o5?|J@!eoZo8!xncnr~Hkseb1+n+YYGwAOz-
zHsQY3!^Q+rJH`gHpIOIRKHqG5{cMBn(e5|1asq629Ir`k`8v1roO?if*x812P0!L=
zKQ7%66Md0Cy<^tr)(=SyOdFfFAKaf**|6n!XY2cO2}xx!lNY=c=GJ9AzTw5A1EG9;
zAA@??7WJn!pK)dUS=aKOC1~a8*#FnWJ3l_rRq2y{zIV0CMy3XpiJZ6fZ(OWtY<<LO
z%G9yDUzE$criZgs?8OTE(|;yO?6wJA@Xc0`W3?UE&O`GbX|;Wj)jxA$vZvP;PR*JV
zQc9fXE4d!>Pdvn^lGMuiRwz-s<<p6nY|j5(Gr7-yWRjL?`gDkMLDOvQ4>h|B_at{6
ztL*8V=vBHW!dGam-OlZ^Css4Ft1xG3PK{&~{?V5+fjex1?U96j=F?C6POOow6ijdU
z{PCopGJ|Y>$Hh-f)n8uUIPG6}aK$8nIfC<|$|l6TNx0b8tKa=owYyflCdQ|uHtrht
zryUY%oVNDe)@z(QHg8~BxbJxnSNCzP$~O(yAH=jjd@(V@kXyB;lXp*ShwYDv4u4Ls
z{n5qu=c0Q@MyTPLpt+}e_`fYxOa9*aSxGffzbnkHhkO3XIR(5r2e-ayyTtjUhx^y2
zNjFX?R-SJ=S9!qbO}9_S$Nq^OH^ueOw%zRE`uFWaaKt8w$rUquc;>&FB(wkgr1?rL
z4)<Pqu=9NGxnMm}N;K~Bfw(n2?RU&NCxvldWvPnn@a}EZ{Au;GkLRVA-Nv$WNB{ZH
z`2TI|%{RRjb552VZM}7BwQO|tPebV*o}afDO;UE8{DUz@vwwAFAme#PwVyW^H>qS;
zYsj6<Y|L;yd-Qzh$sM6T)0DUm&t7_SuDrN*FK@lrhvb_LnJo`*ezcA}b2Kx3QR~NT
zA8)GO=;PhFg*`V}dryn&kq@U|b|1*zvq58G42NW_bI*%x&x>pNctcjPK2BwNy0gib
z<>_1|U6X6aj6NRrPdaxr$n!*^5cj4NnLWHkOL-pu5<l}XvNHMb%Of8fC7+#*da8Ow
z@w&10nPc^JJv^`0scyOJWT<`i*nY2@Yfc{fV0z=F(uq0x7vzfeot?UD5^LfGmd2a5
z`U}+J9!Rhp*qrn$W{qX+$&&|?85VAIQwvf(d3N@ympdlU-+cP~!wz@$2flxpKd5>h
z(hUe?;bt|C{U3Ue@klbm>J^;xS!HwfOWa)Xy^$v=;=#lUrpt>3EoQTIvP@ifnE8Z;
zd>P9n?i6OnsXHTPJ@_%X!oG)}eP&EfMbazNHA$y<JOZvi_RL{<aI|is$e*1uf?w~<
zzE{2RiV!cefiz=S(wP%`I(Rp-b@6^Mol&fG@b~;*+b@W!TubM(oZoGH!In44AkiRJ
zDCt#|ph5c2lMDQrUp_wJw)D!yPu!-BElh4n>s4aHSVWnX=U+|Rr*L+uDqn2V{{vrR
z8J4a1C9A}EVDs}E8TJ>og*Zh63}ZfR7E$c5QRgU}|KNt0Va28w7Y#d~<@k5Bl+E1x
zfH7(2gUjwKL~bNStvIT}wOJ@uNu0_2@u^I~o8B*&_?ZtfE64t?*>HrJF~(cEBmSx2
z3Z01p6Zp(xUfk_}w6#;*zA`cM!=W2*PF`yM7yG|+fw=Swo!BD`Gd<$+FNn@=IBotU
z=Fr3T&Lw9*74+>8lHC-u;?gP`r43&S1s?sGGVMp~|CN4;Ha${n_kC;eo!lq2SLcK5
zCdG~(scZF>^QDrLdZgYy*--M~^<<4FXKwD*J8IJwc|iUU?~4v8{`woun<^{rY!XYV
zzf>Bwa_^h-x4tfN@QY<heOkrT6Z?Mc@9djB(x)e!aE`Om`1CXFs*IbsmDRN~x2z%=
zHLt{OJhSV&dd-~bv(Hr@UH-!ubN=StlU{aL=XRgHwb%MC-(!8A<JmpZ_hzg+!8pO>
z;_|L@H@9b(#jGqjku1G!0S`Z8eXmsc=>@!}U$!wOZS~!AzTjy~kE#WK5?^PZ`uxR8
zR@G<h!c&s&u^;$!wxP5C+_ml5ks54gzgurqe2{$p+V}Zd&;FeKHCIG^Rh+P<+3h#k
z7i_PczqW5pkMvi4<-$sbqO<?3W5wK~PyQ;Nz2i^JiPV!S#T~k{&Ym&NKe0=udd`Wq
zh**ZkD`^|ge+$oT-g>k{Ykq^|{Xd(WPjWc*XhnZ}(XVrZ>y+xU4-02;q^;@EF5P4k
zd|L2PkLJ$F0s2?e<U2V&1sTVjIX?B|-G+n{Kl_6M*PJ{qu(zS0GBG?prgg@~J!gMS
z*wN6_Z?Jt5gXMMA{eH?1>KeBm@v!&4C8RvDLjUBidn<SH%)BRC|Dj6BQs+5C_5{|L
z@TAUbz1mwp#N^!I7nsB-o3yDwPiO;o+NtiNy;{+^XDifeZ8BDi{P^3gU3pDAasPsR
ziSo(6dSW^jl%D+|x@p%0kzSo^FL|e`I{3}Ju`$`3tw(2j!2UlyTq>SVXHVe!QFVS}
z(X5UIqHT;DPTN0-x9^;l6YyGHV#At`|8MqcaVM2BFVJmCW{_)nutxd8+KiOyUd`t_
zp5OS_;M2|^;P7VS-;W{<&n5R)sjrwfdub(C%jpxF`?RMuJhVN*ne<rjSVvKM)2G6X
zk&guWq)+zwXWaYN@IXcA$xID1;m+ibA=Zo!rb|9p5ou|?h4F|acWg_jR@vs%Bg=Ui
zZ^Rrk?O4QbI{U_!#mXnvmRRkaeS#(E(el|E2`8SjBpqy&_CLscAo+j!h2U8e4E;+B
zno25O$L!LW*rCtnz;R%ksQw1KuuXkG-v0<M-2AWYZQ-s17wkVt7H*ocD{ZI3+2`KJ
z8GkIFy<6n5+RohyvH$B5+N(EcU)wOfgN5Nb`-?@&&J6e4T6gVYzF;7mB)(z|<4$IQ
znC(mfdD$Bem2F5+DNquWIH48OlyG8&HDe5O^8ZkkScV19IpoYDCYW@;uw!C9u|rDY
z1}j_ge?N~{hKmN_D>S~HdT0>Ka6o6008_wyubnbGcdXyvFr(n{4vxN?49Z-WZ+v{<
zSG+Sf<#L8WxANH+$2vKhZ2CR7M`hI9J3D>T1&Q=4vS)Ys&+p_m{r2V5rJP$g<X%mD
zbWK25VTY8;in|%Rsvo-VdDx-;b7#wB#=raaeeTuTsv`I4iuCq=yR9ig%@5!GX?t>W
zqR5{fyT5fuj!zMpDDc%oUsjftUG2+??#`{<?&e7vEl+M;SkQaF*Tudp=E&6)X2%DD
zn>(g<cJcgr@a+79H+_qaC$s)o<$BmSu4BiMf*V|iZk`mBf7mE3lYAnkk@x(A7_}qO
z`4^cUZRmM+BE#a>n*+}eXP!NC{zF1?k6C_pZ;~oQb#=e{T=`^`ik_Vcx-!RwrtWWE
z5V3paWQlLr8SJaCDXJ>D>c8Yax8qZT&n#}%A4<L6yQeEmnl5$zs?x3L3jfwj4m}}w
z{Ho%8&j5R|qq)}=-+Bq2{G@T{nsE3@X3Kt``8=g%w^jXKdNRacyQ=JEU@?i$l3ia&
zKSbu^wH~uNPf2!GUFOBt`g^yqq>IgrW6R&pc6C=O%gui0wUcl1vAD0flKZo#=5vQd
za<9nVO??9Mbq;P})#M8L)5|-JQLX2b;>=y=KQt_3iS%QN4(oE6!qRG$|7OLulPv6g
zlG&5EIhd21f8PJXzE_<~`kv)=^GPfRd!6Qgx@o9nJYynna=X&;4rlpDUe@lck2|BE
z{e1oA#12oMNS^K}R!y$%dyoIExe#(fK<}kZSIe8&H{CqTSoN0{JYtEQ#?#HJJ&pNf
zujJpZ4+r+j&ThWU^LbB)m>TQB{)R;i>3<s7D<4{Y{Mqcuvt7hDidB>8;GP$K65E?j
zeoe9TY56HSe+9ol_?iw$HD1--UGf`aW>$1Ce!tKu@&C_3_LIz+6OZ@(4BY(X?%O_x
zrRQI0cQsD=YSWu`QsQ`T+T<74OeLe@Up86qyi(R9u`@EMH`q&%&1^xUs@-`3ne2(t
zm+T+1^5%VsFFNJJ-pJhdF<FG2|4q|EcSeo;PmEW0+)`2$_GFcHbXHh=?n7p~a@Svj
zh<_cjNBi~eP3uj3m9@TMZRLvVYc9)2{8~FP%0#ovrf0#^wqqN661S|jJle~z{r9Ak
z#FWJ84F7F<ex&v#XL@oN8n!et)vf&g#EPS#$TX6fz2()nE~RHZ{Bz%hiIk@|Hf(%2
z>2b&7iCoLMi;v9Y<37PVZ>HFv&V-K-AM_`8aD2Y7=ux-w!wWakCQox~*!=f|ilf<w
z%vp!$*oJ+d(N;4_q3%_?PVdfpJxqRywGuJkK5pgijA!9*Tyx&~!F3(RhP^e6H|on8
zBwR8~q&K|%HuG);<EDLk9(ep{D4m#krSs<BKaC|39orR>8E!hClx|dcyuXj>&dmoi
zl|N_Qy(iN2{o{vC>KU(B_cKcT_;l@6<L3&mhX2POr1RN-OK$tG`RK}yTXr1^$<oai
zH+QJbYx*1igh8-jhwQ-uNA)D;rm3?ddDk@jeYA4>ldMBay3Y5s9J}1|DO!5L(rcU>
zyia^NQ1jxfeC+Cme<$Xo+^k6MH*EdT{;zf#<87w<6^!Q}obFBebX0A}G-sm?XWlG6
z@Xk>nCLyEWhN(C4U`vwI1|=@DJxQl;mvnM*MQ>o(*kRL_6nA6o*_Ejq7#ji)FvoP9
z`rN&`H_^Buw~;@o{=pZ~Ns26i8(B7PdBPBTL6wy|=0|hVix(P(XJ>3+Oe(&?<HROZ
z-o)q=%dj}3x}vP%mqIMVdM=3*X-V^<+%~Z!B*kxPW@k)p_^%twupx$J!e-I>1FMu6
zPJYd5weQf0>nKZMtn5rWKjT$5$F&rR`Yn1jHy&>~aknA4Ip#ahnKwN;DQ%{a7ue(a
z1h294*YygY>&my<lo_@x^<)~?&X=<?3)XZ9-8g&8`=t9Mp;rQ3TPDfcpZvat@$7w%
z>`e#K!+OM4r~97_IooO6ck$x~j+h?N_}Ei=kv%G2^ZFx<Hg^5%5fQG~eWd#2H@TjO
z_Z=c9C5|nulIrAcvd?P1IrC9+2lH2*&d?()TC@N6iRA5N3AYg1u*AA?`LP{4PjJlt
zaoqO!hBf>h;*S^1`}}CyjkEEecfCpPa8W6gtZuluk*iPf<hKJHH}x4~DjytQP&jet
zrirK9?Rtg3R()eSHEm75@OQZ`Co#58mp4gQI~gmw-B>h)L}UMVFZtTG@9M2S;q2*6
z&$hUo?Na~$r(d<A$I)tikBF+)hWaB%B0GCFB<*|gSiN#Xck}n=1IIg4`cE2}|CC_u
zVLr&2)GL}bw`++_H}@X?7j_lhmN)Kpiuzam_#@oOQqgPvZta5Jii>|Yo+(P7l~uE1
ztz5r(T}%hdpBI-8a3)PUv2j+f*j1y?Z@!&a_rs|D>sziTNt=8nI9`T7>NDK>w~r;F
z$M{@_Q2isB^43mP)|k9Xsq-!0)@n!Eoa-@u^*V0h=CBUAluMzjpTD^=E3!}Sr%sRY
z)E$l$G8@<<nEDKFO`5WSvDa`9gS<|kp(=x|{c@Gae#31IEL{tu?GI0$$lT#tH?7z3
zRL6-dx845_?S8aC_5Gw!Tkjsj_L$u-rmyLgkL))*cff=D)yETi4QEVBI%KwHhxm;h
zyC+X-?a_aF`oR*ph?9)r9Oa#QPFprS-?YQ`$F<}g%&yGhNgNZW?~q{iPx_?4!FJ>6
z12bcOKFpf6i`DQTtJuqcNsNq!8)6?u+J`ZBL{4Vn@0#uUq2D0(f9;8bHJ^8sJ;}Z}
z>EZXyGqU((8Djs}$MD4d5AXhLa<aKyctZ34AKE+SG-t#-=`#6$<64Kxo=y!%rkFpk
zlyYMGmA=;h?6&^gr#HL9#?&mSN140f7~}nZ<-I+Y=I<9IMfSM7pS!YpiVtrr!@s2s
z*B-dV9BjDG`z`5rk4xyLt|@)We||U2`h4<Q@*IXA3f->0OuS-EE6&B-?CEvOD*rhp
zP3NaXUBBz<Iq#01y)$)l<I3kBE}eao71_7yt!<CO^De8ieX;f5x?GGWUky94cLQTg
zugkru3pjrKoptm0NAvzZ>#ZphGJ2F(zU{I6+2{PeJ?2wvr(&<f1oPTGJ<ikTiOt#g
zzNhbNPN%@5CA-*r<Yp)JxqK~pE*Cao;>NSNX308?5<M}ypLpf;NnTI+xbRYsME3lK
zB@ZWj-r#<-txL7(77xE_OiPE!)gvp#*gHg>T{sr=w7h6|{(HjL8#h*W#7Lgr{%AgP
ze(e7W{%+wIfweIl$us|NlZ!dJ)o#}Yh3-QMo7DOlFW8#S-w@fu-@f$0=i^;*kDt8h
z+_2EEe9w=w2gH&tKQx}V;7PCGkIM5Kw)8F5-_v1yi1EXziry8e`}+e`_%C?G{=37<
zv$5mc;nNG0tsV&!NcILzkK*5R?#rv6F0=NUo^F?!w`1<(@2f6Hs5M@f+0}h#cSmPL
z>m#+#Y|`hrcC39+(m#XizE0;cw-=uoJ{PTAy)k0b){6~X&vvRD-_WkMqJRAY&JF)M
zUu|IR-B_{6?)aa_Z(c0^+!I=SO0=o%Oi)>8M2gmu9;2UYdLm;^GgS8Hcb&U9cg}`e
zAAHS!a-8Z9S?XnDQrX#H(<e|~dn0K=zwh!}O`LuGzM=O{)Eo$iS@q-RVWxfuuet`Y
zi9wyO=Gw1GI=&+5c*vxW)_YFhV81!%&65Q4nRdn>rk8bk2F7%MxE7<=r4%R=+ZeVs
z(K5bQ>9j+}lk9mu-&ELm9hy$Du;lk!d)=+RIqCD{hMT=18z=rv?=U#pucvo1QguqV
zT9Di)YbMsi9%(&R)4$I>{gOS>l|8yslKm3{U$1rWw|*;6mgN0&-=FQ>T_02^$g+1k
z`+kp(+-8PLViTL@FP7@F3O_eNtZEJ41<ju)JU>p<o;SzwY{%#7f2~`d6!TsF<16t!
zuI<I?Ilj}@#9r0Q?laft=*mBu_^;*NWX?H#rdzM^Z~Vk@?p*04)}?Xm`QC*a*sfmP
z#B{yS`2I<e?ta_olbrrdd*}C=Z}npNo8QN6`MbyDs;5MO%>GRhRlPCsb!S$c>f?_4
z#B;jG`qsaG<K2@LY+i`+CjYl?5d7Bs;>PJkzxI51(ZL}3WJ1CB4~#LNGSp{OR`;6y
zoXB|oL&pY=CeQSbeL25xo2}@0-CqA><yFz@KJ%$El3CVgW~cUAu0OwM*3BvZb*qow
z|JkFv){nPa$Khsn+$jbI2Bi7_1t|0X^-uVke@Y&0v`^~NvVT8Ga-;M~OLd+1*S@W6
zpS)mW!<Tl(AKdcwJ+gnU_o#n6-L26l?sU#W@z}?v8I!Z?W?lc-W1Ti*j`=ah#m%#p
z<Tq{H&zSUmMbXzct2~b<ZR<^*)o*Hl?&AAC>$g3>;%2U~y(iMq_GTt?TEjp7*#B}G
z3--&gblT;2*vKss>F%|eG3V==1z}Cej6du|>ynlxCZ6xLJ>P3RsbBDKlmyS7ue}1>
zxF<2h{x^$dxHgeN_NvCeiQV?IJF1(`UH|i9bw$%k#p{xC^Bb0*Txot!de!C0jQcit
zyBpWt>*e14xA9HJoaR4CyBF|I2(`S!%DidC-4hHOXGz!ZiRto*JYkV|WYxPh4Ug1U
z8E7}h$My0p4rX`EX}a|zNxO@`|Lm???US3nh`yfK$G{jfJ1Ku6gW+T5>zyt26)~rt
zGRMr1Nv{lg_E7!_!}E=)M>{(EA50Q`y+!n9ud=3fm)*Ut;*Bd8^sV6fKWC-&hj+HG
zC-Ov0(*M&~a$}<5p9LoAGlJ~rPc-f+Ki;j<&18RqjghtKT2}|l^*O)9yu;p{dtmyg
z`$1Iaz4QHv_rp3*?m69C(VuvG=j8OB#PypxzDAr3Ih<tt;oPiFL)%BvJGkQ}%s1`t
z@Zir|^Ftsp$@jx0c8esllMM}<co<JMWpwTgVR?|`y7KswNgR*6k`5;c^dz}4v#IYf
zZq&Xp@z+Df2ZhN`Chj)R@5p@9IN^UgLj$Aso{sECjf<OElDZr8&rWQ7-ngSRFR7~I
zgXFZAj4C!89^ObQo8ir##NrXluy&RFM(3Q=z7)ZAtdhz0Cr>tPh<Td)Kd*7!{S!aA
zKF)YzUDgqmvs$A?(1S}XX5u^Z2P<RjV>Ei!9PLXC`!?(J=WD#%`xEo$U)Us2s?+uA
z)*j!d2}vsy>`ySpXbYcQp<h)uVfRFdtwPhMu<G`v^-4@UQhUT>3&)HzS(|pZ_XSVx
z-hF<u^P~RsYW=ej>HSjMYdTY|^-ArIc6)I1>}}7aeP!q79od~2^Fn)mMaZ6u>4J6&
zhRZ7@1wNFfXfhu>y}3^^PDvqnbtX&y2IF4It8Y%<OV}TCaL(qRmLEF$B<>yJcswcj
z)ZA_+%RN03-&D1quI^&I*(KrIpm5|~)-tB9hUY6=?e}*|{_JbCvpzfD{f@@<6y--w
zEkE|xbXgwR%k;dmN4##YqW7EwxsqRPcAWpju(v~eo??88U)MU>z2cc?n7cPjZ(J$*
zlbL&Em@hMDU61(gCq-BK>$Rm%C@^Yw+gzLZYBIz9-)Bzj=E&`o&x`9x{MIWG-6V0J
zF=_sW{YQHx>L+nXaVdA%$;!o?Y}G#V<VH+ukNwR9|J51J_8*$F!szc4j>~Jx%;RD@
z+JqI14eh5mmGw(nS5|H4_T1Rp{&l6O;@e)w8|NRN?3Kz>FIe!=bH<egTV}Ptm~N&2
z^ZTc!M;|Pelx0<t&I+7X6kpx?d+zE<f7i4f4d2@3^mk2fRLt{FV!b-oSEirj+`ah9
ziz8hxec!bGQInc(c4*@BPo6e?ea2CLPfK-v_|(U7bjeRn`Oe48UB$;+|K|VyJ;7@8
zOy-rcohb=dXL)?y@Pywu)K<OjV%VPE-k2aQP8;#(ea2gVPgnWUVJ{z-)N{=4X4agA
z*-s9tH2!R#a6{w#9NTNt1J~|ZV*IGLY5(Ta7k~ElR`y@y_S~Mc;IrLU<^OB?{~nEL
z;O2_)H2H6I^X`RveTye2h!%#PaO3#CW<pkZWxw&;XwUC;HzMD({+r$tHGTV!aQC>`
z(PjM%apk>ALE*+ssn=3_bh0Z0%X^LAMoWHuR3IOH>(KE&oxES{PgA3emt62>;t%VK
z`oi+|6Ql9cS@UNd-P}{5%;>$o*SNHsDLRJb@4l-%kN5LDe-X*@GFsUF+Dh%oES!B&
zQ-gWGpXUC#c0!fDM6~+qWtHc5H(#8<%~ZL*|Ng2cLN|M^r_cVma&7<rcPh+(72>UD
zIjF=i{8Y&P-=T0)p>WN)x~(gH?2}9;FsJo>Ilg{gmO9T>`=0Bs*`7Y(5?*_H^9uX<
z58~t}nFjQJ{IG7q)pdV*TDJEaU*8uWe0-C!cW>j}O-+)$QJ2jQFG}APwqVxz-bnw=
zixwL7Hzoe*jr7^J>-?t!XE(4N`@W!^+x=!s%EHTvT&%|SeUX3GOqj3!Qo-`z<(*CU
z_m$gBc(5wR`^C<%1>pyhro<F)V3^mfr^v=K^XEzKo~WODnoZLmCc1Y?ZtH6~)n%f$
zhP5|(%FcbFpBQ5td!s&?ZHVF2h~$)Kj1gL9vi9UfuE-0Kol%P6MwvgE<@+ONzTo`2
zr!P|A(1l+9JxR|O91}D(QDwC5icHDAcw&){Jo{k-#+cUCJGeJKolq=qxPHQukCG2=
z9AfMZKYwq*)s`7&k{<6^E_?F^!vbYjMqU->1YXAFk6HyXy*Jp~Z_tUEn7l(_-sB0T
zZ#vDDE^JCaxqXL-{I`D#EK)Ac?hJGNSi8o)L+m(XS1{j|?hnN$UyJVUFp!+I<bRJ@
zN$I91&-#Kl_n6iH`~3HrVobEaS2^C36}vZhRZRT<xnE$@`f2fY7d8IHvZQ|UTGu1+
zN$hd#>K?HtJpxbPY~(t`xMrI5-U6ly#vXx>Yp0!_&;ERx&z9|W<)3DWbx*$E&bWSB
zZCr!Jy>;g%GoGJQ_r2pa$Kg^Vxi@R31*gyW*&ipw{inz5ljmQ)h`PE1hM)Ti=J%P$
zu5yX^5X;3W_j~g1?uZ*b!9PRTUUnxW#U!NlT>Q53-u)1^$D5LRddyGHXa1PN_4kUz
z=EJsK3BT6#ntxJ1J?BbNG|T_fRT*8yU3}@&vX=GqPd|O&Q*y^N^SeBc^<{4E?lb>#
zI>NcJ$u8_==bt{a<i6nLdGDK+{8+r}<N8FevbH0;B9msw_=~)FqPah}<w!eM+Yxd7
zmrsu^lvz7fD>jRd^P?nBa=*FP^o~T8O*=a>vzsgat)KETSVa47(1hYAUtfu+#|dkO
z^#rflHo;MjF~4D_ilI!T>8{;9<{|4<3ST{0u)8NYNIidUuhI2SJ?^J9)v8wadrX;>
zzOmOQNYzQWPG?Px@k(7K<*wZvPP<psb_VgE>hX*fV-$?pG+*aRucyBellE-BHEdnA
z95-h%CcW?R{PMJa@!1|vyS-kOd)8en+t-s5ZOQXV=|PW2m(S0f396d})^&Jv&$#gC
zf%?ylZ#VaOoZBRDuHobJjgNdSeAbAq_@?&oPW~UBj+luzCb0Io$JhPdA=>aJ`QNvN
zx9jKZK6D`U`jZ=v`rN1LJxqU_{O`<meWm0H!Mi^6tnc-R`LteWfzJFjZ|<M#_0-a3
zZ_n;?nY!X+=?12lewS+!Njnd)W%sxUX&zW1r}}!4MPw)QjgK4BC(mAWbb<W8-8<AI
z!VbuHE?UB;dTY%W3Dq6WVT}hCt=Ul5`J#{Kpl0}*9b1%`comW!$1+UZAgzD$%#ZCc
zJM0t7d-5ML9<-IsZulNI;rhpiX$L}L8F~thZ!53*ck#-m;!P2ox_-=ft<1qBp5!O^
z_~C<+W`-p(KP1d=ZmjC5IR0YsVTPHzw2!bXu#&j(@X?y9_W27$zU^XqGW&CH>CK*d
z+n0Q)SE<^n671g>cYBlm(FX2W)lb*#ZmRg%_4&nR4~5!5r%g*gY}j(bfaPb>teajp
z#Jeti>{EDtKvn+QtA*NsdX-LYTeR2y#)=c?I};xt^*a>HIP;ty59=EH%dgk<RBrJ;
z+r2!i?SMFEZ}j!7Nb&zmZH2Ys+oUID#jfv-xthfA-?~3$Yn+A2wBDAU4z@MNzJ5Be
zVE)W^<qtTLzN}hcp52o_UBvtem&uOQ^S$4b`f}c<2*mb>Znyd<aP{qu)m;Bp?^yhE
zr+Yi+uD7PUdqYw;Y3}Lwx!D)8K85q>#(AP29XdGsebo2@_xFYTRTb*)o><8Fe9hVe
zVVAfRMe=X=guJ!B`K#}wvpehkxc(4tmE+%bs2&kw<>>60EyVw3#TGTWVD&FG7ykWT
z|K;bp1O9gPb!#_y%Zi_7Zr|!>E%Co-S9yI;_*};3Cuh1(G@hMudof$)B!$JRxv!sy
zWlY_*I-+lF@s~o$#z^}v#VGO5thrkm<9{Uegy^o6@MOE{$8$Zd-}m=~r02%Rxe_PD
zba0*O@xH6Vy@{)8^?{`mY&kqH?lNEB$aM3}+V&o<m>bqBw;k^dsP~lCRthfb<k0ys
z;S+Ogk9+(i&S_j%&TJ0d!XC-9V@+S+Hk;Bl9gj{bZSM8UTF<igZI@KUBF#Xyz59hK
z_H;#P@Jau4G4J(#t73hZPvJ>SyHs3{@6yRaHGRHwRXMlwch?_`xBL5g|LGk+`XhGw
zDT$SxI5wF#hlzbnPh?GQTj1P3n;s<fPWbsm(&)v<o>$FrOP*+Q^hGXxB9%5{yYaW%
zVLeespXO}(>98hp(-}SS_ooh9zMMWuiYqyC!KbH*F(02kQO<33J>TnW{j)D(rPcYq
zIp5#*h3KD*4DgtCO|I|fqs@J`aeFo1>h#RrzcWJoXXBB^m1|Dk`XJgp_s7`-s;kfJ
zDv4r$Z?y7{L|@R|Q|6nyt+PIL>TM8`PkN-BFze6xopWoO9P4JTeJs5p?C8vljn_K3
zW;Gr%75mX8zk0*YiWQ>%Mmy~`Fz!G1^Tvro%EAdLKRLMjy>#tQo~oO9`cIeY$qc?8
zrv5F*%D1h~?YBtY(-!siq5ScgN4b@b&j^<4HLc#xn7yaza^*zpoEvwaykOpXw)1G`
zsmJT5%UUw-e7NIvZ&>9;wU0aBbU!@w`KkY8v58&3Gv2J}37^|h^XEir#3n~!#`uQ^
zd6i@To1VD0fu+Il(ZOd&4^6DT*Esdf&M%J|X7t8zF5JML)EP9NvG+|!%(3KEa#?bd
zP38V|#%A|LsuxuK`5x1$tDf;Uk|DWIZ|%?6PLAV!dR1XFj;6>m?mTDSlg#i-a7E$Q
z2{B$C><fQYuyt$m#{S<_k}21r-JNCkrs=9OqrgcPW=Y9Y8|7oa{ofgIgR4Via#mV{
z!PymiwChf+e;Bjz&zgoC&1o@bHiT-e-r3XX(YBK*A%#<}^UPz$8OLNR*2Xf-XmRma
z*jthFN4!(5-6!@$z4#}m%uBs$@+BLy?ss&k&0qMtV*``34MWSCnGZHH9&qL7+`r(~
z2f5zp?I*6AGcP=%9{cb8g!v~KInS@sPwl(Z{dk2;ZB5suZw(()7;Czs&h}iIK2vpa
zKtM;k=#ykdfsz^DeM9+9D}L*d&r4$1y=t|$=*fUKNw=MRc}MISE}p*dH)7|!KOItP
z47_4T`^)b!URtUWu;t^TbG-|nbxAxvyL$e)6&iN`dL^tYxtcpoa(1Rq+Re<w`$EC|
zpXtfNf(>7nzdvC5<JPp|8PWev*4y;dhrUr=pm5`mr*A~>lNAvYJL?tWIoRyCU3L=c
z`Cqx>{HD#bXYh5Nnt7}+mnGuRt{C1<!Sx)Lu^T>_8cpjEJ#f7uDdMu?WDb8u50#2@
zow}<Jm~w7jzcTp^L*EW#X9Z?Cxrf2soiztPZ)~{Gv7?@O#U4hU<o{W#kLEr|D(fif
zXO&pV!YG~0Fn{-niIz9>Itr8iNbHU6PK<Tw=(81x+rXIQ*Xh8=;I?5_Do26c1dC0q
z9BWoMN-;j-;%MSnQ0p()wn-zlBY<D?rp)iYx?49l&D+I1S?CGhoGom;T{rFfm!0EQ
za7lRjoY}Oj;rGT9x0PAAvo~J-q``k6uy5a|6rO;dqVuoR`))p*%qf-l_|L+O6O3E?
z>ZhN&|F>ph@g|0gJtfzxdwHIoxIf|2ne+K^tE)bDHT>+?UH<1n(g*fl-R=u1vhQaw
zR_;97&SWh9=c;wmnvVV7PhUSIJfW@qOHz++xLvB7d$0HWC$lE?muau>)7iqYJg1|~
zNNCjxrn=M9Dp)&=J}-SFom4jY#F;+})sh$V2*gZ!;qYI&<K(6PXZAhq)1L2F!9L0I
z_(g+>jQ#g7^osseyuVPfm0#e)pBMQbl6Gb!_m;2y+^gk%mZ`gVR<BmPUy$;-U*;<c
zv+EATO<wThK=}7JJ%ypy`m|rFtO-;4wfo|nlMSlp-{wiKxP7}P>1j_;{{GW%PyX7}
z9XwBR+Md-@+>SSNKPh0UytHFO(u50~&bf^IajULAKHsM^H;Tn~Bi{s}^sD^stk?MD
z*PmJEDe<-G_$P_KQv}kl@IBw&>v`^HkM7bR%&RB#@9EVozttU^etzBdy7->h`(IDa
zRO@oH_v^er%jwet_MZH*=RL8fd-9`x_D2iN+RnHysW)0^M>W&?1!pVPy!zM^y_LZ}
zXY!0m=Sp_0?~Pu+rmx_u+ZE{<&o}LSd~m+SgxWfms~lO<J2Y5s_eWRv<ZIW@xFy6P
z|FM`cx+ijLN1plnM_Lj)>OL^WY)N`hp0vPg^25En)<0SgST)!s=_k$W$hEx|)1O<B
z^m5I|&Y;LH-#0gO(^gKCpWhj_QiY!}CakT)|6$F-nB?RSH+q?07>Xaf5K<B|b(W;s
z$)zVQ#awyte&HHvh5Z-u4F690G_612-|MyAm6cZ<&NrSpR=q;>c-zLZ!p4isw@oRq
z=?RRF?u+rA<UD7=0*20jqnDVgug#deu|GbcEw(3S|NGjW0K=UN?*9p$5S_ka%Ezlr
zQKu)ZxN;|MdQZUmq*W{4{^^UFzQX0<(qEg8_eABNoME@~#D~rU7X7_Z*Xv%-_<r-l
z!_SwPrIQu@y^Up*?w)1eBmVqq{`OPN6P_Pb5#PP`^v0NzUy|0&mfd}U@n(P2*>#&G
z4!B46`N`c(TYZ(O)NS>Z#aFiV`kAdzxb|b|lLaNc(c34pPwWeL-}hlf?#CW~*_cn^
z3~PJ+dz)hBJQrX6=*-6EhNXNg-5X|XynA0_;tq}tk7IaaU!~8xaNhht%=Vaqn1&fY
z<}b)Nx+(eQgNf%G80uu$S1jhr?}?vyn}Poz)7c;UEjE?yZdnuA>34)d)30TJa_5hu
zhw^_sT-~$5d}GhXj+2jHu-stX5M!agVRGH`8*3iR?8s&pjxGCl<m#FxvE&2grt2?F
zsp#?9wf4d81cjKh$I|6rPh#x;5%)0ee}^Q`k+&OK&UU3H?YP{_awFmUo`(tQ3*XzH
zKJ+HJcmA6VpV#!|20!1__@=LUZjW@j`X$Yk^TQ;5Wv)5*Eu!t=o~y#aaR<_qIS(9_
z{r0`PX3mC77gTHabgcZqbVHBp!|DSWADLd{@Ut9$@lbFBe<$bL_dB~p|6didc=2|3
zZ|aw)tFGJhnxt<^D!dqd?t1LCtUd*<H#^l084tV*YYa?e+|kvq)NAo{+oq}?J*iLE
zOjXSJ{C1)gZ>Pxdt9;h#BAu_-Exuy;;c<7{G6m5$Yt~Hq)2$k5dAZke->$X2xgmB<
zKkDxE#AHSq?z_UW*0{;|$F!sgr8@mw$8N7UbwT037XzCGSB(43b=en83#^m8Z*2Q_
z@!7|Y@YxIs5feY~Z~QrT15<za{hRI1CqF1@?a63&{t$EG&-@E#3qO}%dwA?~Z%$D7
ziI`tO$-6u1?yY+B`78hJO@Y20-9LMR&!4@Kb+6NL^CzL%os*7s*QR%P9POC;tXcB&
zWTvj(;OS4;4DVXDcCkmEYq}9}CF)`OlgE3$2whL_jWyrj7x4C$Y)*^!xm(IT!S!Xm
z37h)@Z%<-5nyJ}QF24K5p~_hbJ-^TP1>7y`zVYuwlHn)4Z%ws2Gj-z5d?^08>Jqa#
zd-7}6y|*T^sWb22|1mA%t3>`yzSfIti@U7Py_j=ijn$r{lhw{=Rixs+3Y~uN`56C-
zQ}%Pdzc6Xs8oOuZ#YZ2RKPV)fecknT()BkDe@`?Y=+8T4*696_D=_({`lG($^tU`4
zCzx*j%65M41mE+mCFSQHT|M{JUR78#`^EliYicjEUDel`rrnpZlqK_9mta?)U#ZnZ
zk*$_;jW^$mcF(-^MKu0YZ^o(ZtT+39KKl8&Q|57}{^p+aprzuW+xiT*TFS`0xWh90
z<)&Azo6o#BW%<MMeV3ob2gdG|TN~|NSJwXd(vke5-|wmYN-k6R%s2i1MZX&#eqNA&
z{CrQU{kI<fm2Vo)J!((Vx9*%0*W>^42uuFC8F9~h{pU`SNIY>yoO?qm)9#ClDteM4
zWiPt_75;8FvpB!e+-_Fs>en|bd;FFk_1Ma?G2l_J-*gtCg>RGp34D!Z6wyxo_rK5Y
zMz7bOe!rwHkH<;<esh^EV;S~;+;Ej=MXnsvpBT*>T}ii+jDIcLBh&E6{f2_i8u=S<
zA2mKS&F?%SHgnQV#juV3JwKSYoYc4|-=ck{@!G@gHK!YYJenmuQD#qdhx)OFEep0h
z+xXJ-e^*e%$wEbSE-57^g*}G@H*5F09b`;8*rlGAG%=>@r{eKf&U{PkyCU}NIlCwM
zXYBdMlFAwk-49iM25;PGH&1en&ZnxdP6mZLF>KbK-+xv5d2X9(@{cE72}d_rXRi_M
znEdhek5do-`*a5?Ur<n6-)|c$H{)~n3Cq?GS9NB5|JG=*dEwb(0z2IE!gktjJkoRX
z^!lR>O<at7VixyUOjBH5v+?Si+1(cE%=XukCN@Qg+;|+}c}hDmdBSP?-5-oAPxQ6Q
zpWjfL_x^@&WUu+#N$JHqLeBT3U;oU}u<b{0apvj?N<XeM_b2yem7klS{k&7KH>vCE
z*$$3pFZS90+)>)ad$iM8iFHb(z>=Pn8%dLI?wQRQ(VH1@w5KdN?8Q}cGmZy#(-`|Q
zPINKL_hvjgaP_cYQdgF~U5Co-j;0OwMCV_;-zhCWyEEZ|Ydg<^G~50cOB>!D?@OEf
zO33tdPud!$8O&@kG3&d3q?}w7dgF3uHvhfk6o##a6&;5k^LC{&#xkr)DvRsRejM}p
zmUvk5n&r2zDW1P}>BvOM^q!RE-zJ_vAvw7>^>f+DZBHb(e)(hnd)3(!l1Dq!l^v7z
zUkys_%KX?-trTtXQ{rOB;<Fd6s^hwL>zrIauQ&5i^2x{I{=J#c&%a;#R8XupWa-bC
zelLAFwobz}`;$71ek`~@nM?Tfx6ltUUwXW5|8DuPv5WU{m#h8yvxg2a#hm%Esed=?
z`Kh9_&m5}P+UU^6+3yvvn|E#f`kbfN4VS*@3yC<p<7Q{+<CpdB3d`eq$|fYWc^OR%
zIp60|ucT6X!ON#krr%?As>=Bb>aH4+EzBIHj7h@fZ#q2EJN|8)S#`p&{i}dh>Aha}
z>PnAq7x^}J1ty)`EdRdWeQQ$Oo7Vmlk#$|ok9$g%e_q!euWnWSHto$dqvfA^1Cqb^
zO+D#Z#o05pyQg6KhNRYR&-s?$ZF=hO|Gro}(P{2?-uiPr^^aOkK3~(F_v0(?@;vLF
z-2c&y)*m~LB;TI1v28+8TyO5X?>))U;ir?-|4Pl{{q9%MAJ%K_C);^<GjmVw=lL?7
zdGlv1?9HA2YtJo#h#PxU3Z@3;o?uKm!@xPk|6JL*S1<jIpKhvZ?f1HW^QHR6-jsWH
zPY0asug!iwEBR>O<~r5tU!EPZi`H~$*L`){X*DsSGp5-gVRFyc#*MpFCLW%s+2z&}
z#~Agy=gY)|!!`?jQaCcMZa6Dn|LFcFR*#iWPR?Zh>ZX1Fq*Ct3CC|2Go0i2ie^_(+
z)#Gn&b6=~7au>|)U$XGAn|x)#+ViiLZt4?A>hE1z5%a-)&A~g*76~5hYsxB}G}nUR
z{?F;YJkP&-8hyY1t*$5e_vcA$$vwHt*Lg=Ze{y1c7?a$S``phVcG4~7gEubA$ntO8
zBh<a4<f`8ECkbD_EI#}BhTWt@Q6}?){yjS{cUm3oPp&@6ZrW4N9Alo;eK7LohbK4I
z?TDT1`p4zo6AzJ&df^kwjFA_5`cs}yW?C}wbnTpj$~zP8u4!a{d{uw#rW3EZm}c*I
zc~yV^w9DL`6=!>M?-l#((l_gB{Fl_5EXfnIzdQK^XGM4Cv!3L+(>SK}<=WmmGU46E
z&fH@ryCQF1)c@Qd(mi>1c}moYp61&f$$H;z_!S>~U3b%QbAK{l+)0Np?%Ia`S(BJe
z13Grbe1CJ<;O4>ukC`VOlYP`0u)w=J$^7?+^(S{Ky*NCdb7Mm6zc(Mf?XUgoO!_?G
zf?V<+M$Vo@x03;v>~<cO+!1uyZPWjg(|Qu3|EdZY*Cl`Tk&anAG2mBn;j9_<;T^{X
zg6)fz{rTc^efq&3e}VUPeL3q+omi_~*OPOuVbi>xocY@F2WlC2_v+|o9MJDyXT7pl
z$;4oKnuhkZRUb6=+|X4sSUUfrM^E<rbKSf9MXG;9_EbeWDTVbVycW`U9&6p(wY4DS
z&4r)oJ)KLZF&+Kl`&GywFh-a4DU;&r@4lhx4Gh2g(p0%*L-`&*?VS6h;a*?7y(ZI3
z{^vhgRKNPHzu%XT_4Txb*%yuMP<26%-Ms~S8D(u+GAnxu)~2Y`efQqJdXhoLx7_<)
z!kS?{xvRFFIM_EQ>HevUg4LgdUY~y(H{J2gnuZ_g{lA}kZ`eJFL)yXUC;!Iplj7!b
zHNWXCDBGo;e>~>NLq0W+fXGj4d!o~=I~z|Vxd_>JL~H%)ES${5{rT#R*6TsLxAzpq
zrT1mDE@L|Kr>DB4C@Avxw6d%6za;N2*!KQ=uEE{~d&5rL<XQEg?dFXmy#;TzyZgiX
zQl_U&yu9(<+5W=3se!ytLInFFMPE-?cH{eP^^>gXiOOf+MSHU@7rZmCui?~>GCLjr
z$Iaim!W@(8*^8Z+Ig?&Xc$D>q&3xY#R$B4#*~>qB_<w%*!Y>%JDU{=DuhZr(H&OWq
zv(>sETxnb%&e6wco5Z^(Ci8|(^2TE29EOVgj`XmuuFswIC)bO2I;Fq;DtkjIX3Edm
zoA*>t`XMi${o~=cD@uEAm_6!zocws1sknS^OULhq#AA#NPxn=x_<3~0+hdjo+$UU_
zvvQ$q{GO|FVHrt$JfX>l+n$`6{9)FS`}0#dbo=VJX0P7-@1)}K4+i!VVi_42ICq{`
z6k(l{e!`%)VXF#*rW(Wfp3V2J<bV6*I`4|e{SPtHJ@vOv3y7QvtNc`Zc52-79@U8j
zhnjC6UpejL+mFX*3%J}^wLs(i1jBxY)pHJ=ozPc0XXV464S)aqnDS#m?a^zZ*&Q)X
z4CfD3vHf{?w}~<4WMDGqiB;l<YE{0(GTb<Dg5mQO(dwxjl52Vju21hge)Rh3dG8uG
z_M^`KA3&M^51#$C_U@aW{IE})&-){{@7yi>`U?Nwd#gSszgqdp^!K9dle?$Ah^iNx
zq#AesI=}G74@vj_T;|`r>(${83p%R4y)=A$z2VT+BRkWi8>apK(J(>u_XU%mjUC4u
zCcdh;!k2$jVB-3_=dbc*tIO#8{}vee!|jAHL-7g^{d=FMeBN=*iIb7p>|@;T1r~)&
z2c-5et@!(V<t_Vb!q@nsC7J8GP8J^7F7|Ez>vgwtzgKPD{bW*DUyOYv$Lao4W*=ST
zCeN-v=yq=BhKK2T-xge(EL7f+W`9&H^T+q9?5n3WVtaF=RJoNS&;04BUOnf8Z|k1$
zJ-zLra+7l2e^~sIEnUp!6yy2_%@I8-j33t=`=viiM%gg9{@|6Q2kRIwu92-=RnW6S
zm_hpao*2UeOeb#s=$kZK>hqi4uy31Ki<;Of8;Xt}d{Ehyv#}>N?vqeP<1~53vZSt@
zHN6(`Z(C={f9jeO)su89w{@O;^{LjnzDREglenJdngcD9Uae)`+gf$JKd){rOLRQz
zUQe0TY_Z*&KPUAjUH4->`Mb~3R8sQi<FmgnaGX$J>q*KkyDF>Ltt9#X=i?i__WPeo
zed$Tsx~9i2?j(C;_ryN-$Z1NYJ$9v&c``05)jtu6`=K_OfxU5mX$0eqpv}E@S#kYI
ztLq+r`O%xS*E^x$+sYFk8-MmUq{zB|X}RtgDE4Eees9+Gk1;(nYW_drjxz3@@$rjZ
z+$|Tw2D|P)L-F&yGww$A$Ea35;p?AKx4Jj>j;hAp>W1B$G#;<J68EX_<GJ^z^(XAw
z-D7r)rSb2fGhHhZzQpvH-QDGFkvOeyju(UJwNA!42QSQAmB`(2!FcAnV>6l>R`GN3
zuXy_Z_vdvdxf=Uo^;C=IPxzMn?@jQk)?2X~d#0Z#*gMfQd7(*7qh(oF{}CbSuP1Kz
zFPQW3qW-fPfB!U|Px@(ebWW|+zlJ48PiAHHos^c^kYGB$lO^%C&gwIKw-RFiY0qG-
zn_9ZLm!rEQE__di!R)#B_w*Uu-p|qTX2yMw73DLIorpQVqP{PgD|rn=_adH&x*V&2
zbY9<c;{S~<eN+90?-6#%{qakmu1x*0R(^fAUb|3CkKW(O9A6%97w=!-yfL@`d7s|b
z-FvzwC!O5?rZ2AOl0cHoGqIk{yL<G?e;=^h*zm5eBT@Dr=Z&z9y?XWEPgcdASgsw{
zqxU}R<nGrB9N#jut9u(Vy?bVgsyyDH-*xPGM?hbPQ256OBJ&z}j{di=N;**2(orG$
zv3aufio5+2jJ{VS^-k+JW@8@F5iTFcdZDxCfWVui&dTKOhF<;$RqV_$odx$N{!W^d
zSpKIYX2Z&ktI1uJ@{x?Pz0*%rF==)B{(8t0(<ywH=eB8i_k@fK9`+ou|6Vf6B{P`K
z-__8T|6%GM2H`aq9VKshu7B~Na?j)gG5)dtdS-08z*-v-)3nO`<Bpb?1xJ&w*uMSr
zc%|l%@4DxjnZEtMSr*Yd?cN9d?65^zlNJ7SsMT*ib(=5deP`s!o;!WD@skuju_kvk
znr2_zc<kP`yL-<hzp;(m7_-y3|J<AKPd(AMw|4d)?=%1RH@~ZOV@K31i?%frvv2m9
zZ~wRFB41^1#9Q7+AK0>ARjs+)X+HmAmu>cyM{)g9>+iS9r`&jDzq<2H<W*ho9U*a_
z6h8NsP3bZVpX?EKvHZRRV^T}ZNsVh4Prvyr@T^Db{=UhF^m@vk@7h0irDs>k_c=3v
zZ@Rpvr?NJBM&A>r=!3csxpvfuzW=fP=Y=PK)*VP%(Ph(DBDrdE9b@CZql=PeJuX;t
zMI&{^;x!i+on$fXDJed;VoOiS<;cF$r)8_296K1c@bbw;QN5*F^BWcZe(o=s(^FD^
zZs-3;Jw-1!b(M4<6h7KrV%3v#X!VODF2_2ipNRNikTkcexQkId<zskfv3w-wrsli$
zm4}yf=00H(-cjz$sQ#v{qq&2DKe@Y7K5j+BlXm_czF#Be^;9KZo{)6)!Pl5){pmk{
zo$GD3I`@iw<<=~@hefM9Qj$I->70_Yh-umRJg#y5nVpaB{_u|YzoLTQCFb0s9|3(G
zUA@WmKef}>Wb_uM?%(k2#(~BBOi9<QJKnZ4P3X9r9MYQ-p7(t9o=qJ#^IcR~HRF3y
z%h#|pRL$$EubsHyY)@+Lrmz)-y{S_-n11doc-)<w%yLQcXn#_0&i1X_&TW$HOEkWx
z{a{v4`Idw;sr7p<SROO#O-SyEy}Raw{LeRg_AIhZ>diaecW_eY5!>t?i9+13lw^MH
z@9fiL;g4h5@p?~xX7qH?hCdxHT^+@;KSlc{)XJ{z%L<F#bReZa@@@ByyL+ywsUPa-
zpQJCaG3P~YT<hD@ovWX(N|TjuxWrk1opW2;(KTPwr+4U|y`o-S`{{&AZ^+!H=JOv5
z)fk!gUpD>O^(aN6d?SZwbYFxzQ}F+u^zztkz2WPss(Y)aPT1Ujx4(L;U%<p4(_?xP
zZ2MS#@|_A<oHN1xTcb(^_l!)@vimdKd97o<dG82eW=eV)v!^<#BVm3+$m5kgB~!m$
z5Rzlu|KX#>%~`)^OCLVokooXpOjn7x`=c+W5lovLBATL)Pw0$$|1oBvW1;S);y=kh
z8Il`n<lmq1uJ|Rmxu@n@Y4yToJ%1B=yG*Nl3cvLPu07Q`uVTs`mB2cI%b_gMa<gW6
z|M8QXHSc$C__tlGd#ADQzr|pCmu3H?!gUh+dusOb#e8<|h~dk4ckSh_j~zA7eni^t
zf4M87;nA9t>(dv!_}E($9@(_w&y5#1W=X#3tu4LQ*AmBYI_~YVk3DAIS!}7ge1|!B
z*Y}r(OseE*VCu^+_2bB&*Tb->bADQ1`P*wOU!!~Tp2v&WPU|lgV!QeCCs+2$$g@4=
zQPbJKPZKyT5W1$n>~9gv%~$DhT~_W5Pd8cFoSgpXr^K2=XHU+^UMX^jvCC(d#ZTqx
zlkeqT7OdW=_<$p(!$0&$hm2Hbgw-aA<e7_a&XP8*<YfJ*cJ$4kseJ{pcB10JzgH^F
z<gI+u<9}{%<F)g%&dpmY-Tp>=t{1~>xfOP+KjnF9*;n5A^Rp``eP*)?Gv`b{`^H`0
z9yFQo&UAP_bLnMf|HdtU9&h-g+_-1;L9LU5={*J7Zi`ho+l6M&Q~3C`zANwFkBJ+b
zPCh&FU!Ie5s&enYYwLS`f=+Xs{l$^om!^1bhQRrrw6G6z-?WPNJvNcez7YP2adG2~
z$6Y$tkIZoRHetc66S1NyjJyKUjd$`-Y^d9Q>|}$cI>Ywg*W*;w%s>6*vb`$ccTzk5
z^isRHjzo9n`8uyRh9(KL{$H`d{wBA3SFtOHY*<Il$L@(gZnz(Ba8Ga8{C7h#<EL$p
zHm*8*Eo1h~#`2FF);{Z-aI(MHUYG01wJ%qMZ=RWv9eu&OF_z()5QoPV4I$nib`Le}
z7&l~ia#;weF({W^FtoX~>Jx)_XKYtr*VZXIB9{csPxr?E>j<CB8E_;2zRHS?lF!QI
zdL5RY^jTTbTV%C4pwB^Hm%+b|vmxnx?;N>p-;z5U6R*$M8@s17pE;J{^ZE|)q^+x(
zlmDGtfAssO1#xLB{|ifTeX2C_Yr6LN$IN-kMQ=Ky(mv_hp5(m9IJG;Zd&0r1A3F=W
zm7*e~t}y5SoOFAJm24z4`-k-7KW5cUV&xV|+C1a@l%?DHRv&N4nf=1Ubp1}7A3auc
z?>*{!eCD6&|1Qr*D>XlNbRSFVv-<z#Y47%pz1CLWPwVmY94y+*b=C3o#%2Avd3%z3
z*O<m$W4N#&A@+Y}*V<mwp49Ufm9@(z7<24UY%7Y@`CstE>{CJM#mMBo*3BIg`z?%A
zq}n9-E3A`F_mrI2C9!itL!X551g4mi&sKgu+k5PJXRrLHDHa*M$2&D`Sbn^|nzQmx
z4pZNWHySH$A7XuRao)_ke;=~+u0P)Cl-<A*^DXv&nt|G%3EJ}|ENwcDN5uY{Df_wM
zTSfP=irl`V5llTt-@GV_xFG&<VQ_N8vCs#x|8+0y<Yk!fC#L$x#gFYX;-!-0IyZiM
z660u+*w?@R+hiZ@Z9T{C%~Da4xMknF?)`*4lMUW>WL-_+dD9W{{RtEQ%2VdI`%X?h
zG4be(wpW!sq5D7e<i_3XI=H7d_gZDQ_QyV_tiQjzmVfNYp3kxM%|zQ9eNOxTz3FcL
z(Ya{jjAwRlxYwQD-gA0w{`$`3^zNR`eQH%(8DFpIQ@iyytT*>w|NPV2o8(1zH~c=C
zQF*CfIiqoP=ZT+l^R|EPYm2%ned+)1ra~oyjsGWpQ2snk|Kr4O6ElPz<qxiI;;!g(
zH2uh=erTh^tVw+Gkrf$zvD^1W_oUwY@#2Y8aQ}j(dK&|m#x(QHyJ`~p?vm+C{RQjv
zXNtx3wY}ETHTf^qsy{co?q{Kj730Fpi4*p@F);VEec$Ch!(mPA%moqiyZ3+VX^Yx=
zns?6%|MWh$tDiP@&Awt1daF0~*I(TQbE0B+qq!OH^|k%J#`kzT&-08(w%>PopX(~6
z>-Wr`zS;Wh4#V`G*t_c{-3k|;xTn7&?3CQwzP9YCJxBj;>Wj@Q_fkz}c^Y0M#N3(^
z*VktK@sLo`jN-1j-L<bL1>|=;6^#riZ9G_Wka^SLZ@sZ~@z?t1Z0?WEOP$<pKT*ms
zied59j@Ui(x^GSIi!J>f|91JmE5<)Jb%y_#$u9c8kyZV%pMKx`&x^bG)f+Qk-#y#W
zT)O>WT8iM*tHyI(Z-sHO8i)1Ik0_foO?Hz2>#=z``k#(nxo9jG)8sAS!I$*)#_T_x
zZArTqh<|+fjIC!v7bEwd-nQVAS`1I_^siDfx9(lA|76LVs|j0P_L=_Vbm(n+deToq
zqI)*~@{?LUjHge&Jnp{Z3RlEUHtFlex$|#W^|Zx4Vr=lRweFlRck}eegNvrUc;?6$
zlgpbD*W1R~9uuLR^mGEhxS9S7|FStBoOE{TnsuGGzLc1B@-6d+#~W8#9%tTps{fMZ
zo342`7B1^$-0@ld-m3}El;=b^Pxuzg&?|B6LCW#owyGO3CJpT7j2EUc%#QJj5!x4#
z_A&m)|Blw16Ldr?BT6R9iT{7xpAh?hrNQ|t23q&)x?&SUXC&MI?s$1~!VB?lKW<D<
z<hi&(Tzk=}YYQ@5KS<r1sCWKk(cuU4`u2UP>vKvJ`ykaXzA9e)^}N2zKmTqxzCkkY
zhH28AzUkT@?>u9i6P_P8x9_=khWne?E*(#n^ysty&OWc+-xpWh`J+9lKkmDyq%lu&
z(?#YdF~>75^elL)wZ1PkvZvzhri07XingroanD`x{^){7os6vP7X@qg%>CXqZ^89H
zv%31&GN)PP^t4^qTixqEwWsa7-Bq@ypB#8*R6p-4Hl51$SS0AroW3sh=hIl^=RYbx
z+1r-AcS3Fb1IDE7b65QpX|Z_yzhhBlf7^RM53^66w|dIsRXzUwZq#%zjY${dy*97i
zJwU3gXTIL<P99}dm-jPl)GQ+_7oX_Pm;HUkpeBl~Ibcp)-`;b*X|Jw)3i9e%u!#Nj
z)1ZvGeWiB)JL8lEr>akqJ8z-9@(L%j@=Om-?t46Q`j%g3{kxUt`MT7IDKi_d?^)61
zIZKD<@!gX$Kl|eT$DCdue!#}++JO@v7?XP2;>tY>Sic0{>}gxOYpGtrHS0A!@qX8J
zJEEul`P{!?dj9Lay<7Xv-v4z~rSR{k856q->?X~a`DJx~)AgO7`)AzN7BR8D-l6KH
zoIfoj{ou|wYwm=d4w~LGy?WDvL%TDNGcIt^zSq#zo77iuccs5+Ls)-Z_l^mUdwL4|
zRWp}Hu6ocv<>$7pxa5}Uv2S{(%vs@3{*duzj%g*+*GATM#vR)A4^(5Gbry%GaB=od
zY2VW!|NTiO<H0WRu#k=`e|nkY_9S)Xs&iOYs3$eeSZ=C+VArHgk0yTHI72yf!-uf#
z3vAsZ;vaFvu6=P#eq-t9T{AhmIEvrQc{qFR{rfj!UaChhc9n|P#V)9EWpv$eBc|k1
zCD-QPFYbMKvO!vk??}wO8J9Izc<#Tk&h&gw#JbdZ_x@XDUzz24pE3E~oO`|d7dZbY
ze{j0LbAfk7?Uhrf`{q|qm7RL8uVh!u)C;jcton=RM_*w6w6gk2;P-ErYS;AjeBOU!
z>6#l>&lb$S|Ks1pO*a@{iyrS=;<f3P_T<9!o`U#Y{*V02`lqew?J1sQc<Vv+gB6oG
zD?enM@0sSe>4e<uy;k>n3ZDLY!r|Q8I#v6oLt9UedZpiy-hS1aTu1v?s%CfP?}@#l
z@wk7QYWjrl({>r&e)X@XJJM}WTz}^C=*_S1br-%_HEq@p<3BxfQ+spH_olrL+4QTV
zYwq*T<k~m=IZv0F{om7*>Am;oqZH=7CwIGVwA#hK_o>X2o|)pRZ2P6oukXzaIvu*S
zcW(UG(`V++nf%@(nN{FuSx@WF)19snOO3bhxt3P4$?C+85~bODe$G2I$<Ci$xNeqs
z&#P)y^`4HFzjZfz7DSy%`qOl;<zH@hi)VLRXbMy1@24Ak+WNZI8UF6LHd$j&>(t1;
zMXT%m`<}#0KU`{kJ^x?NBCYd1PwFeLX{kp>ESREns_#khwVqk8T&C@{WaEsxQgN=K
zIlVhm{@Bk&X}wRAdK%}hUlOHbn)|nF&Pn6+4$XBNIY0jC4k)kq-P5?dcj3*SbFZcZ
zOz(WM@zn0-n5!N8j`uWadD?k<hs3Q}k-vM*ylW@3=gn<;-5J5iaw^)if41n~saI=O
zUi<fTvo~MowBDCn`8rR(ja_re?gVT4sco}96<>AP==(gFtE|6irEt=TFV7cR-U>AT
z-O+S{f9;I?{Si0z*xXzh_F}K`!&`9^cOO4_);g}EcF&#Jj~n7YFW~+$VY9DCa|&OE
zMQ^|Sn^?x4*_(L!zx7AhrT&aNf3dEsCnEmmQ;GFgCLI0fm{<3uUOL9BQ%X_TkhQnD
z{zP%GLU;J?$`xI%%zTqrSUGnuczZbZ|H96F5gjFKF7YLwyeq!Hw>hHoZbf=e^YZBd
z(;9cRGR}Gv{<)|5lv2R@J_hFBUCkT3J45aEICUBw&g;t2J5nO>Zq^4W?H|u#`$Ob+
z_ch;G@KpZWodrUPb_=e3tuX0tUi$Wf;-Mca@?J+y=94u`p60fD?eyu!7B$nvHa_a8
z=<Z0rp2GN|(@Z}9!umh2KSU>WY?&z4(BWeK`htRF--!va|DGpvzB(!LW<hh8@3(I~
zE&h+Bj;!nr41dD0srl^rk4AP)2M;kOomwHZv8T0P>O;km{!?o<T)#Of_KDKF3GduF
zKK$ufsKk`~Uw8e)^AW46P4_PfTP*ALw#UN%cW3L-iBEUk?DL;*y?W*ChzDG)7duy)
zukZ8!yua`I(f-1^r;jd8<h(wUv;0q=e|M|nWQ{L-BSm*l&`7$ned*roaVa-C<&!s<
z^aX@#om6H}aO=BV)E~prH}Ahq|E20h?Zq2r*L5zOtXav#Y}0qS`r@3&Ct7aV^jvvl
zr4#jn*<6g{wbc6s1vjtG+<Z}U-UD0H{?1EQL4OwNcT0vx7`-Te{A7b{=$;1-k^PsH
z(luh5)VSm;Vm|a<dTPPgu^_+uv`#?ZrRW(eMC&_G-8dD~vt;eJ74!1MChqXm>0<lF
z#veVS&i?<3AhpsHH_I;cF6q6yph4XF`qa1&*&91Hc3+<|cg@64^BBYTESTiM7dPYk
zy`EF6YI;vUzou{ce*M16u49{fTkl6+=1)xOjfma%`C`Z8i@LT?VhSvlO_VS%oA{yQ
zVeTE#qrDNYV$!?zZtiWmeY5|_=QZtdcRvX|ol{x$DZ;CjxpZ64-u3?`eVo}-6mK}`
z@UaOS)*s##`t3?s_UxX$S3>`HE$$6HdbPIemaI)9{}aYrSFhHnM(%KYxhR>dH)&(<
z?x{aA_w*c^+q--1-@bW8r<qpu?+&|pV_Pe8@4Wa^J-eRu99o~#vm^FegPre3DYNeF
zaWX5Nd=HulasNBCqMiN1DZ7{r>lfUd7%m{vb<lqIiq94^_pYC5w~=+l=RPLxHI0n(
zPoDq5eL#BxL(j{g6LC*|m^P%yGJKBVj{TpK%n<i(<<7GQ(%oE6FqC!9d$?i6>CiVF
zCH%>M{(XKKd0c*i%j%7s8(!C~`8Rj!x%vH@-><LR-?wo}&(^nE^*z_aJ|0Sr>20fh
z_ib)SW&f5>JsbR=udSK0$@hNj{G!#9>^>H(zoNHy{d8dl%}1*@gd9J+!T!f9@v|$c
z4cETmPT)vpn7Tz{&IZp#vWm}HEFK@=d?WCfv1iN5Z;i|3#Ii5s-|Jd5k@Y#l;Tugq
zULJoP67k@}hNQ+7_w;l=thiTp;?188-=&fdUtrzduxtN@p9fw^zD_&ew>g3-sekh`
zroK%FnUZ=oU8>MdTKQB~`OMc9$9K<JRhHkobN=R@jVXOQ=6h|(zjyV?t<F<=auYcI
zPxzXCBJEAX68`UzjQ7{ym3@5b$LcNe)>q;qr_b0kXVtx*J)P6{P1^DE^n2O&*Ju5>
zoV0P>UA;|`lQ#(VUH<fO)=d4RL%QM<Y|l?DecZD}y?ck(y1TWOtNWLi>YoU{-8(<>
z(;=Igj-MErdrnRgxx1;i%`S8k=gI#kawj<+?X<G5>shw?+?MY@dzburzqWV5HOWs0
zuifrnu$!mJVd}S}jaHK*=C`aC-*hV7p{usK?Z;Bi&IQ+bKK=T5FQ#L`WG1tl&arR0
zYUcgenmD1e=6cVMohRat_AkuRWwQP~$>Haw&EoS<nmklL+?Uk3Fz?)ljP^zM?)4n2
z`ag3{(v7U+pX^Sg^jvsfcPnN8+<Ctwe#LEKVU=v)ig<VDYy)r7&Bmi$dz3RIy?fUE
zJGJNY$=Zl@Wx3wHE4Qw{bN|n-*Zmy1JwK;Dd~<fQjrP5+Ih%Lg%KOCG(JWoiapi`L
z)s;Ih>tgz=-p~2i(=2elvnT&wU-|l{Y-tTr?Y28_|NY!wRh`~D)pmDZ&Gt;qCjz#8
zwO^m`u532iJo8ZgwVs;%o27G)6z0F)e{0*$v*n+goqqO}?~fO_Sa;@2PnU6DRs3YW
z1g4*R`pV<=nF1qur*GQtFJT|ow{S9hBJ18&sqC?R^S3_b>Fir;zPoqB))wDSJ;$!y
z>})qb{_Ci~g8->-k3WP@uHU#?K2L1o<o&C*R(6Vu{^a<*>FUudd51+?Qs;H@nE$>O
zuEM<ffB)3Kn;PEs%(*?4@A@>3>?={FpDwRI(GlM_C%<+R$LlM;#h)0z_RWsIZj`qA
zSN<k}hrO$#PYV8?H|hUgq2+siI^6tiF`3c7DJWh=Y9kxxPld%@rK0~E?nQK#o$Wa^
ztGD$2%lhu#(w;eY>;Ctb26eOKp3^Y=(_4D}<O<!+?A0IHU)VnHTC;Kb?PrViN>dEZ
zcIt1Q6!@&~=f}P+<)0QU-FdJsuCLUc<?FZJIo=va@AZ^E-4M&LTWem!?xTIByYC2T
ztm+it-rqSVvccK*9%E~NYto0@+7vIToo4p$CrGGo(3riGbK_<6O(GiiUMME5U0$2>
zQ0|abUF^S(xp_fWb|!B=88qzKX<s?%Z9C&<`_daR8|K<pZm9b`>&Ncy&6_t><iBBT
zn9|qme0@#B@>v@s>IEgIIh`~-!6^PY-tOG0u%0b)F|Qjrlo<3Y4PSNi_SPDHdefx9
zwRnI3ythru?(f;~_UE;Zv%R_hO659IPBIj0-008USF2<(ZKcJm_j^w!HD1|xYGv|^
zfL6v8Emt@8Y_C|mZ0g=s8@U+&#4PHWJIx~f3De}Bx#l;ys!wm47|7SL!S?sX=!zEK
zA1fQynO^(eKX3JPhnAkR+tV4p*U#OwZn@sKuTB#a`+JWay=-Dv*OT@3m+qoZbr(1P
z*x%Fj-h7|9T<2|1t_rDCiRYUXUd_-r$sj**KA#jTi~5P!|JS4z2cGTgsSBTU`B>~O
zcHTGJ<ieCTvIQ2&y<b>R(O2w}Ww+#p*u9REDz{`V-s!*L(lb3=v%c&0iKIm(NgdPo
zoh;0GEs!*$H-1m|?GLY31)S8ld}ZJLdsp|YS-~mK^!kLHM{!;xmko3OgNm5G9c`O;
zHm3L9(q&0HQgUHuOr>}4gSZ!-doG@KV2r6&V7b?EvmvP^mZ7ERe(L%eW)UwB|LMG8
zxkIpeMfDL82CWIZCePX^Q@-cG=j5In{cCo-D^DyuaMiqQ$A-Y;yR~0$NbWm)`{wLx
zdoG;x;B97Qf8YH5=!@Lhy@&7Ko7wpP=ER!Ky@zKDCbKZ|^T&KUS%0efO7G)K?|ag0
zfA75V(dWR^`8~zgfB(6(^TY8Ej)%VV%+K4}*L3xX=HK4ptGhP!?3=gpn)t@dQ*FJ)
z_4UzRmp1km=c@{ww!XA|UeD84dp})D?(^Dys`p9J_MZ7)t9JM9xVty%{-6FC<(oVg
z_wR_mdpc?D@1}XZ*YEpvSeJEpuQ@2!_2HiA8_uM?|NZMdz0+4bxYkp={!`zMxcb#H
zvD;Rf-R$k#`g__5JCoN|%RkIh{W-bXIYU%sQ`E${zki&YXv`{lZ{y!JJLCEfetR?L
zPki<7KS!@F)0<y(dd<g$o+Wn|%=`0n$B({QOOIqM<#?LWKY``%W8DeN_t*UBIZ=FY
z#p!nuJ;%&{R=w@Lu=7+;dHr$5f4i;DeLURxqMKiQO=H_hHr`co$$dMv>qYV`zM_==
ze&YJdz5nN0-xRpor#DgH;bnvHKaCQVYcEbc-Ls-@|0dBje{NJ<uYIn{r2F;6&9?^E
z@?F_WPYXZqz2F~xi|2UPjOtGkXBT#>ugv}5b>pPO-M$@prIUIk6?}K5-hb0GElORX
zvi{96B`#}YMpo`0Wvf?Dy!*3f!S<(&vTGjH-aD})udMO)#O={M&(}?2x_x}z+1|cg
zvFcni!(t{W|LMQ*rF@f3XXDam*S0R5<Yl?@|M!ny&wHJAPMonYtE@@uc+ZZy{Cg>%
zd!Kjs^lw#4RtZQ6=>Ofn<L$k|&%GC!Es~1WPt+N`s#KbDbz5c`Q`f~k6AQW<56kQK
zU6|Y1cXy&e(}iu~KQBqlYTNwvLiGC;7sG8jc9d<E(5`>EwditL<E6TpZi=rsG7cFq
zWD6yooY?u&;^!&dc%56DHw9c-cx67<p%kY64R_D?^zAyqD&N!hYR|@rSA|dRT=pjE
z@PgZib|09}ud;e!%kz#iM_2BadhHT(EJ82$Rqmvp|3l1H1cz_#xcGR(hr4?YY<qlA
z=RnMfq>Bf*Q0M<2F#P{5dJ}g3e_o2!4U^8Aqc^udzPPKEX~Qe?{oTEfRu;9txDiyr
z`cT33W|Tne|B8tAh}ScmH$HCgkkj1V*^~6*vAO%9pjXVUYm!c!ZhsNmkXZZRUyRv7
z#rtb!WF1c4u;KrPTYXm^t$cE}e`i(Q&MO;xE?>G@xpIN%#sa3K-piYNcivyMt?zQt
z-?E7X8+$H)lKb&?f6valCpBLmk@;V-=JNK_6DC@h^{n2VlGU;I|Nm1>7B~8KuJ``)
z<C9@^@4>iF4D)+VL`|<LD`9=TiAnxAbA9<L{^!v%=G>diep%$~{N}DF0+)Mx{;!Jf
zXpHNrUcbNRXVluYwHx<*%+l9L+5D~jC||j^;I_1Pi+3MY-#ml;2j@<1`;RmD-|YU7
z=d|JB%A`%lKh03?clq>ms;q3~jI~n(%<tKskUM@{cz>+=f{m+>%9L#?e*Y!5>%sQp
z$C*~w{_g47|9a_)&nkuMyahC`_0C)Wx_6D^)lGX1lNmO!ZIYPNbNb%WD`I>4H-0~^
z*#ENZTF3gyGs+h|`?2ChF0X3L>#i3kxY&Eo^>sGKe{6JR7LN&D-ElE;Zom61-LSrM
zH%`88I6h;~x*NOxI3|TDoLRHI^R*IJ;J-sN<Impm)T`?_b7V!(n+2uTVa)+gSdtf{
z$Y&pv`&0O0$>WYQ?isW9`1Y)q+x2_FnT=a+ESJ9jCh7T(pyMK)>(h>E`DPrv*R%NT
zyK5oa%leP6PHj4HlBry$V|m;GCEv#L-}c9y-D&vy#Ksrbx6C^5CVYv6S?KdO9ZX$~
zyK6Vjoj&Wr^2t)GE-KtrU`#s15D><`;P7Lni_2UXVw|c@r5t2p-5FfFr{}~p#;S<q
z+uBEG9k2St(A9h5cIhU@hg<oqt9Qo8M{-0=XaCc8BK#AFd~eC*zJ>okt$&^W^uSxu
zi6;YIGP6&JGyiycC*#GXvp6avZXa;A{oi{+mGj;D51Jo^Cw#B}!~8=jt?}L23GCWt
zJ6~+nV7k_G^7h?Nvr3lSpFcq@nWa@ezcPE{i56Myo>^fXOh<cm))~fp`4-*9Gg;`o
z@Y6L{bElo+d2>uDuJn{>b??mevFd7X`zNeD94)%Lck4+7|4y^nQ<JBwTs)?j{`+WV
za?hQm({6~YUL60Y<KYRV#nV15X31Zwuvcbo(QC(Ftf^Dy2=yPnYV}hq_^8s>zdb#-
zwn_)+wERvoP_XISyY*Aw{#i1ud%9ly>^-3yX_(meVs^}<iC1m9g}-#MB%am^?!B0Q
znklyTTz)3Y-tI0_mc4m5xk4{hy0h&+$#k&yaF#k}PcF;JtHsl&^ZsMjJ<-l}^x);!
z71y-PSFikd;-`G?r1z<PH*HsPsk6oYy;iNn*R9Li9TgbIctw8w#~9BJ&AK1_Nqv*{
z?wz9e_JZQq6oIl6*X{pzPD<}RZ~v)_<?to>tbbG0_Dq%f**|Ijo*mC-M=$)-Gb#Rh
z^>oo`o^w{5H-G-7?TKrO;m#I+cNW20th^^`W`7L%+w<pL&$I1ony%fPlIzQ4Ic<vU
z+P=y2B#y87_}-AcK}xJi&i&8!Yl5|#7)+-rmi+(rc<r7Qt!fg*r>7{!tv%YBe1$VK
zS~@pu@|PzPi(7wqx=gS9^634iEh?wCJC(<5nf9r`=F6j~b4QKm?)?3=vZr_Ms!1-3
zZQTCsI^Umo^3VLE@tZt)uN9qB_c*z_Yky@=yTqB9^8b5#i@uBXJp13<dpY&SQqlh#
zr9YgXFg2pDZ)$X6L((M6fBk)-ufEOLY;~>gs$W6V9?rhr(_&p`syi;%&1h+r>AYON
zr(;6eMTWa?Ch$j0F+6eMbIz7I)u*+BcG^B)D1Y|g*L@Yc?wIetxg+8#?^emAgO{&;
z=?G3fwsF4c{)byDE*vym_+?LW=ic-UnzH{Na3#d_?N})L$c7>L@sF>^C!DbRRAAQe
z=ERJmiymBWwI{Hp@G(xEqLEqMH@*4=|MBDRDkk%<d7b-wA)C4W)#uVDs^{+%p7OK)
z(;bs-SE}P}+V;L*bEN#+1f?H4y3Q}m-1i}QvtD1%{=4fY&w9hD_-Atdj|=u^XPkU@
z^8~Z(&59M5x{mgy?4R4Q{_CHsyt68<oH)W^x#INyifOWM`kQZ;-<#FArsH1vb>6%8
z-u9|V_|9J0I`>J%r45tCyJT6UPcX<kh{pbB@p8X+qT%f2TXpx=9$ET?A^0lqeY=y@
z_q*ow+^@E0e3BHH)iZ_drJAhdowC_C^KB-x-aB#7mqUKuG}bpC>L)rC`%Pg>T|Z;l
zqf2*=cD_npU>*9V^W#Crq>vd8_T9XA^k~m#>7-79#YHw6JI=^YtD4G|TG+nxg(>$G
zwwQ|Mq$ekn&FgzURbE=&%5tDsXDa&>LxsgVWzDM>-u>5kcQOO_WcH&ypXN_tUH<Ko
zNL5gGG#l&h8JonbcYNN&@^#+X<Zpk?zuoEX_GNUxcR{w7Wx`5%|0#^MYhu=%^Ou{E
zw*H{P^PZmXZ#FqP9j`dEr{Ka#r%4RVb$vZ+wbpO4t?297zfPy;@BjbL7hd~wVcPSZ
zTjuQCw(#D^o)6zrH!eTg^Eaty`Sn}#6(f3o_pDOrSblf%>*K#3{OS9cdLYIAbl-35
z6^`CLzn}LlFRhE*ajD~$FPGW5S1WsOWzBE!2;JQOdr$B3a82)?pZ2F$H=K*SaAkAf
zj|0bJ@@DO;?D?LQ)OpLi?DMbtZ)PSZcYObNK&bD8{LvFpcE>Je&X~2^V#f9KS*N;|
zhw40({&s2l$40-rHSr5R$RFE%Vp=Cd{JUEmKiGdf-nc^X@TUt-tA5;wmDm2k5fQU-
z!#ewWPr8<|Uy9j(Sn@{iviVicpKL=vSpU0z>ea3O_m@Mb_HM8Ld2h8vW#`JzYwy`b
zcD`?STk*3tf@wyU>F%B#mn8qmMfZL9yN2n2QpC;g_V;_=Z)WOx|B<Qho#^G&H-4tQ
zOc&Qb>2B8lu0|-P`|XXhF@0~J9qW6uJ85-;P`~U6;rcz>xVtXQ3IB5~{`}8vlC7&|
z{94oZ{hQ?>xAoULi}Q|N`2S(=j}<FTyBkFN-)(=h<L~2HZ@=m^$o%V=@n`-Iu9*Bu
zZ2x-pEZtYzaY=63H2>Zg)%|<sw{k?kN!pQq_v58G?8%JM|NAaYIjOz*+BCh$zQ^BA
z$@N``zgu|7Nvz}X?h{HswsFhnA83+0nNfGRLF0UfWS&{azwXZ;enuR<*}JN864Ra!
zzYg(l=Xt$l0?+wrJGV{a+B#>~q613y`&(}1UOf1J&W=mH+iG{GFdSceCGq8p|E9Z7
z1{bOw{FcOU_wJ2|en}SY2;R#Hvd3?Ez5gX(U)RZS{^a81iyuXQUtRn3&^ev{XVLv{
z)GJS|?3r_JBj@iM6Mffgk?-2VQoC`RtbbVlo0tCFAE)&`e4oF+|LK+L-c57ve*B<c
z{9;mF&r{1iNdX5|q&@7EeZB7QrUhna9|=bEtlc}AL9+F&`0tLV9aeX4_O1E;`t20v
zknp&#pH}8Bd>A)bd(D*%n-;eAGv4UAdnE7#&*!Mh>#oyNBYPHn{Mh@-|1^8#gjeaG
zd~=@dzTNwD>D#56^Ly6+U;UJQe+T2)6(WzHOuoH|@qIuH%l_%f5r0na=uS@Av*4LD
z<N7O$_w+oC{lWCW*4(lqWrEzdzboHup0qD=GV{jM3+(TGva0EO_H#+kw&^TE`}%K8
zODW(y{N{RbYVViopWIic3vArHSV`gF`u^o%pE#;}pU>@Uk>B07JS?=VXZ@PK`^pl=
zyZe`aS67m)zVh4rcchZ{#p9D_?s;iv`g5Yf*MG-*Wah8``u}%o<)u67*T3~Huexs6
zd-V0ndp|Z<oX}7>elqXXkDhy~9~Ev&_ulJc;NH`-{Pt9q>L2H3JpI%8Ke;icaON+u
z#@O8pqkjG>Iy&=B`drDQGu}V%TmJXm6CUZ_<=3lzcJ=Q_`E${*t9N<)>i7w+|0a~M
z$GA=m(0gb({lfBaosFzdjtF&iEc^SX>%onVcR!M@=-TUdgl}L>(z&jeb#0Yc|D0>{
z!{1DhI1sZS=H|m!kz4M*+0}MPs-wJn&Fj*Mll=cAyDu<3!fh15*?CL*lR)03?7se`
zwYMA8^uxMtO%z~j$oT)JZ)u#qz?rvZT2o|C_rG}7b94UvO%gx)ZZ7w8I#D;7f6vYE
zh!aJkKP3b<D)(y(6|Y&TG<zb~{Wp^gpP$IQzGtIy+Dg68dv$(H(Xgt&nv#B9<Nv3w
z!}B{=_3meyUQzzQ!qw~8w^i5Ncbdmt(Riz0sc>zon&$4#Ds_b~zk6nXOP^}-IHqUz
z)m5i=sj3S)zVDq~cC&l)lY$#FAD-Q7uB147ALCTJw{7+n6N*gVUv(<4>v^3Lkkvou
zuhq%wr50LuL~ozvoa#{aX6XT&{zG5-W2Pw2J^k~+qsb@PV;St`Om}#{G2P-|n)c2+
zlbHPtr~WbgDi#rc@*$7Uu9%e-$4^*Tx9vz_nIvZZsV|~-f@$Scr&~8ZzOLx~r~CZi
z>?w{jIi@O4|Fc1Bwu4*Ebo-S4gX=rqKjwT`Ew4RAc~9R8FDcI54d0J(HGF=(p<u?>
zDjVm;|E^uTY8gGvF*HlJaCQHZ?cIO=zL_Xr(Q|k0-^sES^RH~JTiv%L?Ds8!&%Hsn
z>cghWyxQIrmi*(5e`jUf7K!6mBDAMZm6^Lc)$x3P$JXyX-)>$1<n^^>SKi40*3SF;
zZa&T0`nhjk-uJC*I^MLrh|7}i)m~w?C;3AAyxs%%{~5pN-o$WxrRvS;{*jw_>ZbhI
zb;<0hKJ(M{S0ldP4Qje?`ttdOzrk{`rDhjjSWorWKgU+R==rBvbFUmb6@RaH-`ZD4
zH+e?)eapX_v?C_A@5a{pi%gGWSRQXbb!E*|nK_-?ufDmKeD9O>&Axqk|36JN?-1$l
zJNy5)_lZ5Lc%KK|+ZQ%X#*g>Au4MYR<f{?y->lp*uR?##J@(Cw)n~6nypFBx*}gZv
zVs}a`1M^nh`adf-&wJWd{(F*?Z)bX~&RcuCTN{5r2u*6d_@{SY)O6OTSx;r(_I=wc
zeBf^K`krrhzi%?Cd}w@gip<Y%6I4&GcsNbkfAS&+{k0yl`D-=VCN5%(`Lu1<ldfw&
z`o87<zw}#;#ngVfblr!!du~kZ`}oB4C)25C>9QvePdhm0!ZGb{8#vne92WiQ`1b6B
z<nf+;??XHt-hEKm^r>c=UFg3{5$C7ceeYp85X$NF=jyRfDZWgK4;Ovx-DmeO#-rn#
z?WAy4=}%LR1-f&F)y=d&+P`mgLX6;wGrc-p`@%mSd=A>8{JNn`yKZBYFRx`;@4nX0
z4aKF8Pfw9_XY?21n&Z?W_qt=>VbvW|cl~^9euQ(&#cAU6d-sKUvY0h7$Xto}cY-hc
z^M<+lVF#M_Ow>6zGpXoB+^-M&y7pSjO||=fur{LS>+;t^9p{tkr-@ZQ=9fQ~JoCha
zOs?aG0xRrioxAqD^Sxer*M#nlvpu_3ozVJzWl7fi)t+DfT=9ti*T47qGzGQEqSycQ
z?ma!9>G_(=OVWD|hNq;iKl%OtiO;M&t1oSQz12xysbKrFg563c1^X`=RqnqK@VxV8
zO2PR#&&4+~99;gSGw=Nb{_lVK_nx0#QTim}^_6Y=qXbyXdcLllT=6{e;-0>1m(+iM
z+Q@l3spqKGbfLR@t{i<fO-26q7Ut<3oNJzT-cRr9xT}(IlBqqvsee<$`vWh!nfTZA
ze*LV@R2s_vTy=u;>Yk&;C*^MT@BM#e?*f-SJ!{U@{+lkgYj4lYd0TC_?ztX$>-({}
zD<7ooy1DI->HnU+m&;eH6uzE*;lY%re^2(D|8+-QS7vqJhnyGO@jt?!F5NplTjp~3
zo4=ApcAu1TSv0pM?A3eU@qR)e^Ras@+oxFwFsbah$@78zfoavAr!yI6F`4a|!u<1q
zdZR#6aKd+$Lw{KxRwOkiy~vu#6!&n$)rU+cN<|+faopPe;hSkEgZ3<yc{iRWDox?n
zZ##bDZGKAonyLH;`(mm$8T~)FCMIu_@vo07Iw}v#cdX{~{r$n#-THyzjW<cXZ=c_~
z^gMq}%%$e8AJ*|dn0D+><m@QEeuL@anX^xu-dFTpp1SJ$oY+kl9{qiDwfwgFBSZbU
ziK$o1|3?)q4(lzbnWh}s|3<Wa;gd}b!Yy0oN&H{);)ya-uGy(ceH%A2sqb8VqQQK}
z#Qzl?0^++5gg?Gs{{IQn^hs*XF-@@txNXxv)IWKCe?u%ohqzT(hY-JG(&G!qFJyiF
z5!jG^bfe$w#{IE92db}Cd|jPdRWiHm{H5;^-OoQi{F?WPL9)5@`Jv0_FUhRl$k_W#
zoyj!vpxXf}VFpLG^>d>icWL~UklAy6ezVM9*U(d!Z&$}%saQXaec_Iu<sTP{*OfIM
zo%pa|it2VRF0XIBr{1ng`BO7h;B4Qie{;Fc>-;$SiR*gLuB!Kar|$mqlUV=lf5yk2
zQ&p-0+S$`3DklnlzVv(6g{u2+F8)sMJ#~NGG|y)YzxVVUj-T2(@Ax$4zP_KelO%Hc
z50po9lx^DBd}W7MUGK|3eP2IMn)`P5uI@YX>w6D}=T2dr|Al3L`c!V&*O!m1VA=cB
zD!A|P*DC9&Her)Z|4fxGna{P*<6FLVmg&8|!|Uz!r^tL2vbGBN|G&r~<Mgk#uqm>e
z`lKH%VVJ*nifqb@hWIxtU!*m<<SaN~wkoQQvG4Hu)6-cW-O+vjT6bD#_}ks7;@Z>Y
zrcVofuJ^v@&lPnq-Sm}FJ&&h;@BQspyUF4DLP;facKb=9p9~paxc7DZu1&FU?b-K#
z*JPjeSxplYG^UA!&il=z+q2MaV&u_@QArygZk`$`vCzwUr|rF4bB;LZvi1Jf`!rF!
zXJ1`;^dzA<519|Vh<)Plu+!^o*Dw7~4sSb8SJ(CITN}rblH??OzW3Mk!?BDVTYmjm
z!f~=QW%itp;UByA<=1WC{n)dw`grL=t-?)BSJp7DzP-$6qYLLkZ)WKqvHvej;g8|^
z-?dMT>vPg>rnhf)gx~$sv2VU0YeMY*)SmrU^Ck+J><AL*JaKhn@BXT8p}?L1-8EhN
zrG0<IGF-g%-*tw`o8%Xr{3?P0ZY3=1+D|e}2@KuBvG2!%De|wsPCIm^^S9o=1q;t!
zJ*!>)FiHFkV@%KfxTzi0$1k0=E9>3=Ha?K6?!wuRzrDL>UhU{*xT(;y|LgB*9R5ez
z_CDc={oY$^^mtF$3h^6^^AFG6cGaiuH0R16rg{GkeK>n~>Hf-&Q+uwQOS+>QzHRe9
z?wD)cE8JR>cjUAm{XQ-Br*6a9V+WIVw>*AWRQqPriR7KH&DZx#e>BO|_NRbn$8Fi0
zC+sI|J%4G<yhsO|$&q5aCjW{3cj5h`4O`@`r#s~Lo|wP+!h!y)zNNRPg(vrK3;(xi
zns3CKsYf>?R=weT#n#`qt@h>VU0M|rFYoEw=H+?&V1?2e=IJ&!c0Bs{gJ(9Y<ene$
zeN$}b-ulOzH~sO76pirdmM=FgcrDN5Kh+}chTEH6e=DX~RQ*ZHxNDy>%k}?}2WMHk
z?(dG6W_x;?`Q!t<pJ%(-KdE*&b;F)L`Tw=FkCDfx+IZK!l)n)(#pYzsy<cypT0j4M
zVxRpa#o6DbSUB%Zc+fYY<f_W+o9wLbm+?M&u=DGlYkv+4Zg758anEM==BeW6Zr%*o
z{H(&R^3t!PefxKnJfCW|a=qQpS6hERlAYbPd*?g*_gB7M*=XLtuxiz+RZNHf|K<G6
zz%U`7fq{V^bOWqFWMT{>Gh>nfQxa1QBO@0>j7-d@7^b9O3^A6m|BGY(CkrqvieY4s
zWpqknV2pXirmw_kzKv0skx@E^J?VRl2Xl-&t1p8+3m3a-?Eg?lrZkJB5(ajGuQ7tG
zYDq$@Yz8cCF%1)9cw)Sh_!w+BzB05-iQy=Y5n$0}OJZS(Vby1j5f^0Oy~yUy#>m1s
zE5<g)(2+ruDds=JnTDA$6)|^`7$0~@G4wFLU}0zCWr=z3l*C||#KEwL;cJ+>fGkt;
zgn##vY?;n5J!@f9O}fp&*YG3BE@lsdKLc};RnnED4$~wRCi~@FTn)xa%rPt+8Y~<Z
zNnA-yuQ<32lRhy!JH-5q@nw>9Vkk~p#wo(YWy6@n6{Fyhv_2-BReDQ|FiSC40>g_K
zrr7_13^9zc49k)Z#VDLf(us-W=wbT8F!6PgS&|SN(|&I4R+c0ohPj`UY#d_FCS6Pl
z<!)1A%9dbIZ(`!=Vo7?*z|FzRD4leJA?8`ku2_b?B&K&veC)~po-@QSCNq49S)1fi
zl*GCJK7$R5Faz_l7*2s0g%}YoiP)C^TpT<$NgUq=l1w=C6a+bp{5i~42{JIpGU#)#
z#3*sNvB!wB#Bgw!yo>$k@i~c+$$}x3#g@VUWsE69jAG2D7}KOP46zJzV^$}<jZr9!
z`I@wvLsu!3!HAndN#SqOk0efxuSyaO!t4xDE)QZjJJ|(#Sl)89KZr5pNdEVbLxkZk
zL+pR1SVrNb+X6}aN#X(pj0`dFlK*{4X5>|5Uh(e{!!!lP80(nN3`Gj*F{@+Z7>+6M
z#)!r6GtQaFB>k3Q9;1p2^MbdWAC(wRz2JJQWX$#X6363ChIWPrzT6uHVpj0%-73f+
zS;%vgjgjH#>ZH<`FAPavlQ@%jlO!BD1UQmp88ijhliqXe`X}%ync+R-(j*6tr1#1H
zJ~QPv2^2HN*hoYvn8u_t{dbh~V~mMnTB;(>yi}mPmES4pBS)SKXH^<Q{Df6Wag5m<
z4IMljEn*xU9ef<zj2!z|7|N6Xed1)v6fTUh>SWDjm>J8GF2*AN#*>Sm<1Ei6l{eDN
z495jIx1HqJb<t5Q)IH`g6StEhgKi8%u0ztnScZih7X)6$q%o;5vcxP`a7>ChA;`gI
z$Sjzo^o661Ny4WonaPbg<~WBEhrLkki=-wV0j57O*Evi)0%R&=@32U-@b5|T<m5C_
zEKmL?@FA9AB}al2Bhv)F80DBxf=sjKa+JtV<fw4xv|@^6co_R%G?{_vm&7c_B%x%7
zf3IR06_Pl9D141&c+IiEQ{cXco?wiCP0U9@og|^v9J{y`Bt-c-KD-q8&eQQyoVUZ3
zVY)SIs*|u?Of=UgA(l+e+8A4r8Sh<1W~3_Zn6NS_j!9VQm_QOYlf0NClPYhLIFl|1
zTN0CL^1rB$A|<R(>y<<bEZJXPl;DYBeXhi!{92@BqIlVB-71dwj%Pf{7loKrB&IO1
zPn3-F5f+w6Qdpj}L$*th=_4zX=4(NTpi-lSUtE$tOHGlIS>wTxB;+qKM}?JBnp5>f
z?7t7P3p78d+G$D3&ES+|k(rUSDv+x-MrKZsqKNDqPL7q<F>_hc#dy2ksVox`;!Rp8
z!JiZ>&c8&MU5x)aOZ7{Ynkj6Lxu&`pUWsIRxmW1!3M<yvOND-`wkRh_EEE*FY{YXi
z#gm0yV-n-{Nh0sH+$<VYnAs(GCrYvNNb*Yws_=bPa9*p^!N=^cz`Bb6xtGH0l4zdC
z-7<eIc%R3q$g8}P`z`olqO<xd*}sbWC&WB;(3Ji?fia2eyTVlmiI`nU4l7(Hiq$N)
z$aJ}-@J@z7P)LGHCv2f01ADT_f<qG-gx!VL7_=xb#+=ZQQIyb1Vz*eVw1h$2k40Tc
zPQzp-gZiXI7ITL;9$YFOxmv4~W@`k=YlJa)CjWaD^Cy;Zf@18yM+R;T;T`-IubD00
zt2lmf{NWf7p8W5TNF4L;7>O8Jh8U9=ju`G3E<vvVDThuzPK&o58nOSR9{Q@iPvYQ?
z(ejuf8>1BCp{yHlxPde2T#S9vnE*oum!zXf3NalPF`OP5jGo*s9$VgdFuU+6JmX+5
zb&|@mj$tcTWmNQt{r7;s%9oMloxu&RB&|k+dvB9ulLYUyD4)|#ituJ(EKNF_q-1aK
z=XAhxCKn5qBS{KLN){G89F8A7IfS)+*!nvS`fS*GrIHx$^077<zDWM}fQc=D;VloR
zH{(l(zgB$Qij2y!{{laIDp&?@a{Di&SY`2s(<MpED&!5PsE}2hW|59clA%>rlD8no
zLVK%<Q=OdBR!xUFWn0)4W7zl<|EWe?k1)O}rp_&;$(XcDQ$#yjSeNBK^Ri@yH+x0&
zg$!R<%Gt6iKa2f$&y$gZ(IEN7ESG(6cz8WH1TBS_RbC5Q3-Nmz?hvvSk`>B0&BT6M
zC`rJPN$_N+urrhFAz@b&7R{JntYV&V5pl0n3U|2(Xlj|yj%Rt&@sKlSuS$}j<<g0%
z9Z9+|)+_f&-0i5YxZ38p#iP-sWkO9r^1r(*JRA(K5{`!_F>FeTNjj3`n#5>%BAMZJ
zlDKE-T;*h+$S>yVGZv}Nxx-@Ns=VN(f1;COMa-j)UYD~CFBE+gSl0Sza3nKKn(D*e
z^wr`c$8#TNg`}oHZ{Otq<rX|1_3SA++!J0W?X(p0Dq>-@VNX)^h<TcnvvuM&CeNOj
z-AMu}F~JIdI=?y2{lFO0<PgOY?z84((mln6a!E-Jc~08{{27xN^qZKZ7bGb;&0=vV
zN!pd<aWW~N#Zb$wi6OWzrrK+s!kU;os{)1<F&atoNr#dYe3LFGS$k-`c#|aKv&c`B
zLHHiSer-V!hJ6eVD<^hb>tGP(&}{MD6tk0oUm-MRGlOVHRLoHZX=kpl9<c_Ky6Y!R
zxNjIb;ZTXs5&tJf0V>`e2RN+-1?Mr&aI$xtux?ch%Y<zL50n0T{CVNHB7iX|oIzA&
zDI+I?B$HS`*_os-NlFzgmYo4jN-PYf1yO7)t7B#?O0te&db~mVT2e?-!`7t8q~l3W
z3wS%uZ*Ujfz{|3tDf!<YhGa&Cm^Vp}k{E<zM3O;Abty^r)L&wldN*7lO133Hup>!R
zrsV)fbw~Mvur7{fk6On6;RZqitpcGjO<OrcBVvwoNGmwTa75kctVonN$2jRz2gi(Q
z9IPu{WLm94&#7_>D{*ot|BHAbIJ4`!<k`m)rK{g3-(`?>nV8QQv8gH~Na+bjuMgMX
z&V)q*=DRuOP7sLUSj@3o;8e_l|49n}V>Du1m=u+k?3l~AV=0q@plb|UOpYhJIRo#G
z<&$Eb%ru-?>*2V9iE**O#+Zm1bIlzS`Z!{~Gk$J(9Lw-NMv&#H%0zR%e$NFvHtyQE
z&x1WFQ-$&Rq>X11wlm~*Xb1(~by%d*5zSER^p{2XebOo$4(R+pxEaX6$pG8`59Y_P
zC7j}nRrvQhnNc=Z%yYu@jr?gZCO?swukFTiFy1!ih@*rtd(0yVOBQv;t4U5VDo0}y
zayU*haxZ=#!=JRxAtoZ}M2w@3@r3tDf(yJeWK{Uq3cUBt<KWnE(M9S&1rO)-OPu<-
zO-z!CF%n7F963C>lJq$ISzapSG9^hlBz;d3PWs1D?ZUJphg*VMXh)coFPmV-1`!GV
z*PRTCjKXRs3_I>~@JpVsY~WA&5F@j~Lg8Z)i$tZ6;EC{q^WH1Pa0tE<67Af=l{im{
z<M;yh7~v8X7fDOTUA``io1QRo_)g0BRvg3Qs-$<Ih)GG1Gf6V$yP(8FA%)yODlz{g
zUNfu~WMSuG;pd#l!p<RaQDLRaiRZFR>MoKT*31l?DlZv%IU+p|np7|qY;oFgHtEL-
z+es|zje8l4Ric#`w|23yZWX>@?ZH^2r8%F4UFc8pze}FAw*?l)OR4A?mJ4j|dd`#l
zhd<fnLNzBNvr@r51&)bLOch(0lH@K-^pI3==FC=ksTj`@>UklNVI_y^CF%Jkw>)Cr
zGTc{=UBtMWl{e8tlx6M#hDi+9E30_CU4#lHB%~)@cztmRhjPca4eo!L)_VvrbM9Ez
z!4ktL_^o1-2R9=p$8HaW7|UA+j|oX=b3{rDa$J1H_%z9pIXm{>TdBoD!c#eIS#)_m
zGxw|fXXezEIGtJDC)WDv7muQfMzMCh(Epv~{EW<^Ecq-!NwO0~yeI$QnaB{Rk}!>t
zwNvr2pyNY{i5-#(#bP=qRTyq7HFj#t3raHyUThI&{vRaFtfH`(bMhp{hAkZb6*g+T
zkV#bfp>R;BgURcg47(raBo2SJ<1E1$MQl4cwHNx@{x9;>66DKeHx0@v;E!L#V_3wJ
z86}abBFU;REjm%F)}rYZ!*3P#g<Ssy1w9!(I2m1H%q8ZZWfq=v;fEBnfM&<@2kSlx
zoOX~(dLDDSRVBt?(*^YjA7U(HUb5ct?dalMowTvg!$RZj<xd_lJuJ_dw3Vg?bc?!r
z7R4}>8pyWr81=;TFg;LV(v`gsXuPz8HP(~o8hgAqQ?x{~5>v97l>7?DByN_}B~zcV
z?$5f&8r{jdx6?|(GQe#Khc~ah3(Ll56OO5z<C)BK)KkOx#+e&tLQ{o#dOuExu}tE#
zzQ_@;Vv&E5dm%?>3@@+8gqU`oiLCn5xH?atb>Zrdx$t`u_eAd3th&=I&a%V`@i@d>
z>U3*i)m36X86#jT$noCHiBo|gX?>Dq(y<s%m!uCbwEnYXUj8H+s>J>Mql3L5!|5cE
z&@NArP$q4j<W8>FFJ}DcxWJHU!KtazxbH=tXVgj7<q2Pv1onRB*PqBWH^wlL@i)f>
zh6afwr*FJH6JjKO3CX${rHC~$*dB{zXqAt4VYoiorC;GrGDBxT1ZRpB*O56f7ZnTC
zSw#h6|7Wc*IGz#9FpI@ak!jtuBn26d<o^yFFAaVQ#4?;>S4?L4@8#ji730p7v_LfJ
zQ;hYYqzL1fWeu&4FO#Z0l4T|~bTTShTxLqLc<cFN8hhJDzB!By^E7H5VrC|VSm+vE
zu5C1Did1Ec=}&UWh<(`2=V08!)*!Rugu=ZT7U4jp*A}XeBJ!E^Gz4XmW+gFMM7UeT
zoR4V=;kfuDNuxnakW=ONM2`DRlfzV8Com>m{@oNgF@Twk*Cl|TMLBa4*XFnWp8GvS
z*~L9Pl^89VBK}Td`nC7xLF=6!u@*Z&tWlESeHjqlWF8Q&7_**ZrvQJFuy2y5MA3~j
ziO@;HF#>Z0YCEih+Fx)~dR*ju8aQ>5hoKfD^NWr)2fj}Nvs4tWvzQACY_<{D{;^YF
zw?JTwaN~(21)YW^o)U$EMM}c^I>i1lG9G1&c^PA&l5|XBt)#!uIVS1C@W+NssuB(R
zCosnR(g<Z)>%!>&k+EEf-M^EiGU$VZDNE%fO&cany&e^&%gi@DZ@$+!&h%7CJ1~h&
zzms>8bWS@<Y~4hb>y|=)IJG;T3G6)2#H+$l&LI1^(Y;xrg(>$#5?`r`);Wo<osl~w
z`*}HUtFY|gTDX=)o8`EQV?4`ox1<JTwQE5NdTi0&tdX3)QLK?%f+|)lCtZ0x6}mY!
zEv`;7Im8*wzBh_*!IC6}S*-mkT&2Q^p{)Bod0I7Cop{@mo^m`_;%q$2JyR%#={(18
zi6cp$8CaP*oGcpjI}P*$75_!J_e_?FG*^-AXJ+@5_|KpiBs?iol0i45+9~NbgRp>7
zl8n~;SfT$;wG966U1GQs8eb_~6JUyw(%PMTkwMy)O?Q%1HV?nX&k0;H5up;I7D`Qx
z$`eby?dP&sOP4Nj@MXzl3HT};^Fz_VSt!Q(_@rd7oh*wxm<t=`v`vU-yn053Wou!$
zrsPAOzlsL!oH3cs7K|}3Ch#4e=ps3T&$8jzM1j}e1>P$Zy2QkCDjBM98tlxN?~?Se
zAz#wuy-IQvFKew5bAv4-V{K=}#pG0Zj!u<;W*%$L#KQ{iEEipWDos`qWGGG4^<>CT
zGGwq_62ofCnz@pNJu@Y-exi{J<L7sL<=#?jGrLt71^F3@1vR&`WHkSu6jQ)zF<&eH
zNk?R7=MpV(7H$cauC2_o?KzYGD|b(3xX}^IaGFK(OMttAMvN%4x}xshnEwH`3LHsK
zlVozXPWoV_-FQ*E#N%B?Gw;zWtgLt4X1JKKuNR%_BBcGBFH*PQqNlTHA#a&rw`edM
zYj2;R!|4p}`jwMn6n6G>>+5&CVG-mIEOud<{9L@$lVP>RQ6Y|2Hf=_gq@PBgKMF`P
zb1qc$6cm3kQ=(OfqtQ``Q7MV5@%#rDi>FB%Gm4BD1$R!UpTHl(tDwkL%)-U~J?RHq
z?1bNp;+`+2NYqa7pJe>W^0utA2YZ9J<jhG07lpYi@2hxhRZ`7lxXxPKbk5`aml)oL
zsVXzpGRP`^%zGQtmG8-*z2IwuYzvd8T@u^Zs%vV_N?dFIGA%vH8Sc1zQL{&YzU9ZH
zN_(amP7<Os(jDH$D8&BPH0erW`uTFk{Ekl(vN@|VSsWc1W8O$4|NA!Mh@$fBe>W-~
zH!oP9%&?&{A@<+n1uhJ%Nis>ou`K^fHFOkX3J)Z`jgd>5Gef9BIObc-#Djv0b2!)+
z)NmznI>i3Bie=c>;U>TnbIrp}Qzhmu2b<(AC25TenHl>g-C*L6$nm=GA@XZK=Z8rR
zDGTb>m`(V1k?D>OkJ!uYZzX@!H(r^rDn>VHp+-lDi^je^TnA(rR|qqz#2n@*nVF~b
zz+;y>=OmRFCk8<VQN<6l|9f%&YP!H+d@!b*L7b)WMpsNN`^@)`k{LLb#CS4j?};f2
zW-x4GF=Aq$nb_B8_<LcH!u=R#=kJ^!!!<o*lln{+aBye5>tLK<#h&p^rrAVTCP}m*
z=(R!;Q}TabhAJ6`3o~{}3MBEzd|+Ug@r+2|_%)$Uf+J?Z9Y)SC$^Tx)G8V})=(bu2
zDR!v-)3TIMX;9)!;Q4u2Cq{DRp9%9kh29*Pxue19VeaXeHv&Q$MV;H69TRL8Cn<3(
zoWr!rPWYR`?4)lT{FBNPA|~>AJQ0Wync?Q?+;Hq42jh`d0z%(cWC+Snc=OaHMyp`$
z!v%{9UdPC;aEqEVX)@a+mj99^4Bu6RDzwfh&-f*g+{CHG^)=}s$3hG3g&Bex28=V@
zq#r7>Bz<fxnWV&$@MeoyQDgjMfjJEI9WfbPj1&4Na(rJ9rxN&0_=D2!Rrl-|WnE$p
zO<Hp=;*N`AVoVYz;{?W}!wk1MesVBsCMPp=Tuj<8c_)dJQDrSd*29TrZCQ+&O%1|=
z&C;G<q6N1~WLYH1c5tf1GA=5T(OEfDfR|Hs#UiGeoyJL<*#wkg6c}T^FtvMrs9;iL
zdfAaA!XnMj*z6L0EvBPOsenzAd!zcQiG?dTXDM-ReIC1rQ8Jcsu9nM(%`sxOk_&Dt
zT3*qP*)UD8Ak3Sib*~^(Bfo^aol4A#!~-kzEG1hvtXD}=F)Cuxb`-cA9kYf>n}tc>
zDr4+_Ax}-Gn_L$KV)iFha#(hHTAkPsz_g#?OHx_P<mCSX{>coNm=dK0SNzcx@MfBL
zDDEngu*E(V2|>dbOi~#sXJY@0dBieu2}<&WPL;G)QTQYgD8$M2my!DmXVwEoQLQ8;
zQ7%`eg?}sq@+WGX6nyQmqhpI_ZFRJPmP)*O_f*Arl_Xz=m8at-GOo2#bQenOxKm)K
z%qcIB<jipTh^Jztf`#`|A;Cnh@EE5`?u|)pOz$T-EDPW`#32xqEt7j;A{VEOf@q<W
z%f=Oq7IrSOIxQD%o&+f*$|ov0Z(=@V;>mcM<8Xsk3$w;M#w3k=6((*CeL<@p#tL`-
z<`ruhlQbJuc6{Ngf4+0-1e-b2Wmd<jgsf31Y@ZO#7^9M}BB&p5s!?EXj9ttTsZ5XC
zLQ91;1)P%>Dm+y3<l^S$6p~je_{L<=qEs!Q+<wYSQDl2kj-<O112>~AC!;5;iDoqm
zw`Yb~2aAd!yR2Q?%9l*C<#TsDVlZ?Olw~Pcr*i*>kf5j%i$}sIgA<+`u5fm9w)#!I
z>Do5Q#V31N6Aw$ozDG%>Eio#NX)()Rq^T?j>X;_9vhsrfbI`=98et~c78@3)2}@$8
zda?+=Y?7#P+qg+_8$)62|Egq${ze9w-dKj665Je%YWA&&WntK5(DA=0cEbOHSOx|?
zi4+f}hA>B!Cf3N-Bu5tA4r>m<lPug8N(XidaWou>FiLtfMItu%z`;2?RCi1g)>LN^
zN)lMev~;IHOl1tKg}G9rL?cV=|9VY5ftYGWo*0I2;B68yA6R1lRSL2sGce5$D$0%h
z_mUx*;a$wOnChfQlNnxe&hS6L8~a~(=UvA&G4TSz2|Ho}Vs^JJNd9+QY@;&kLBF+p
z>ip&k95Jj6i`9?ZV0kUXIFpOhH>v10gY1k<B_?h`2JTDz3_IAgCuxK;$tQTJu;y|s
zR^@T!*vNUHh+R7QpYH#JNq45|I4VV2Xq|9(pZSzgj`NUEV2tyOSDae1Y{`Na?=3XM
zCr-TJmnZ!4!wwF9UFMj}PhyNYoR;YItmrD{`Xckvg;nUJ0Eg(2FF!n3Cpb+MVf@+Q
zTcUQ;dKrVKMyL8!Y0)m#i>wUCRXV0z-la5w<87YOPL5cH^DJjMV^&;^F;-bWnd7ex
zzXw0VUQcC}yPT&bY=eXu*wyFz8*-#hnrNUpov+u4DM{db%Y_+DoH6|UlRVbn>|qh)
zzZ7Ha$;hh~@AGh_PJIa9R8OO+E)Pu&JGH{2FP!0Atm35Te7BQlDU;%1ty~qMH;fNG
zSI89}GEP?M=Ui)<87NeHj&Hp#(|SvzjTi1JvAV3kBi%Sr;j0kGeva)bR%a7*Jek-t
z3ncr6B8?@cCr@8`v_W#>;)YH>6|+R9)GTfJz!l6%%yPkcD>W|3h3dVm@Zg=S;>cw1
zzf)4xQuLx^^b*0V^)6RbKC4Ty2{9h%ve_Er+3sRwC=slbcs#~({X~JyD+(MX(o>uc
zPBM29IDT3>TS+SSV3N0|vu1`Tle)?wxqN{yo`U_7g+_u-BHv^Bq)Qjcm7kR>k7Ef|
zPU6+n_hi0n{@<Zg$@u>#7D-Q&w+_8h)kchtT|XyfY95-wBj$41@-@SEE0eo{OqH7Q
zy^~oAu9-btrOwQB^5uaF)5rG9UwoD86$<EPdujCA^r`hr=Er8wt5-3~MW25mv{EkC
z?&YqhW^YxDOf@7r3;8pCUNHWsVi+G5SoJDTer3_d*yodr6Acbex%#AFt;!19qFN!2
z8HbClUmxF)`eB1l$JdDErvFu}7{8Wy+A%U5&2)EhD>&R*q^45Iz-cM($@s9b{019G
z?0=8ROOuqM7`Crr_}b)H^^GY;mEpL@)1Ao+Om&!=#f1uv8ct<$Nt(kUE59mr5~Jh{
z*$1};S&lG?#Wtui?$8T~WjGU~B=sPPV?s9bQpV(er=4e5f2e4GlFs(|rbJ5M`x}`q
zMf{8j{*$WJFNztyQ(4)`c+its^z;fAZY8V4;}(C5I5<jgC`@8FUD~yBEz9Q1OflSw
z8zYnc+&-uB?~#M<iplPuewlDQmr46k88@*~Floij2Y!kijFRbJZ!GM4^5E@Ho&v_0
zi+lZUgdUoAtV3jhw*X@SxA;j0|AQKTHmWqXXELO|5pgnh<V{Lt&psV}_cNCVd#;mp
z-^EPE8`i?<{M?dG!5_0GF&=1OW}n2&^Kr>%OGcS)P32je7#d%GY+!xt#k6$Rw>Ts2
z;{i!2EU&whPk(5(GG2Lbk{B<C{+`^)Out_wPvl}w=~kIo$|bD+V+|AgB%V{B1T9mR
z&PaL}z9e-y@AFmDxvKBq@^~h2SHfB)X2EZ%A1Ap!D=;;7xq0(^*XRDZ=so|>k813y
z5{4H<RaIF9-cJ;`^5un7(c~jsKRG67cuFv`s88B4TO|K~d}mCIe9MWoj4`q=(<B;K
zO+Jv`a3N6k<s_!b5<BHyib+1^o84iy;QJB-N!gcX-Of>RFWIua<X`eI7Aa^xh%*wp
z`tr@BHPH%NHN{l~-Ya-c;(ho)A#m0E5ZTvmoikXUh8r=>?^PA+;8#gHxx)W|<V243
z{s(&%Y7``5f;MC)1SGAFNp9%s;9S7Sv2e;{u8S4Fj%WxnPwSb$!r{K~<&lR!m?zxr
z*m(bS$0LV-A7YpfItwMdIO6=kc|+(YVQnRi;FTKTlVX(^AINts@jcUM)*;-PA;mG9
zZ3eU9q<u;nJgkQM5B~VT5_6+#?lIL9<r7$hL^ItOJ|zEViDgWZ`C-Jg<D3N34(tBT
zWxDoe6YFP*T8fKWdND0j()heeihrWv#V7;Dm&cwMYBn}q6t|!JLg}WF=7|`~-yLGl
zKZNqkRPynZ3udd=d?VI6d7_c|XIX!Ng+EqwM5J)>AF%G=-C-^DQALHZf5uu_bJL`x
zmkgd)F1RX4B>5k-?r$*a4C)tS`6(Cks?*@5BDaEsqza4v3jIlplDa2icM2IE44ky#
z>I6%NP=lBk2clJ&4lVz%t04K`<Cxj3W}SMS+}1MZ#V+t2WQ<v6aW;9OgNH<O4Tln=
zy(+s|qa|a~-J~BYE^+K;7X^)Ve_G07Y%x<{P7LFH)rl61mvfjp=c@?Y|2*R<(C^fG
zGSfgP=D`AEx#=;J77Cq>6ybcVa+Htry$55=R?7wjd9ltg|EaN)6pWQmvY6XUjpdu6
zoK$>L$UyDhl(<hFNfAo#zAi|atolpfg^I71z_Zg+Vvi=>a<Vuuualcg(ur}$yyW*&
zVt-Cd+I5IwYV7if%rOV|O^A1wdf_A>m1N+-F+nKl0p}(UA%&kYJ`9TP-J6znEfNyE
z$JiUhKOsJ>l2v+2eAjaYahoaek9Jh-o)F)t5@W*P-ST5a%xeZt6()C$IYKPVp<JPx
z+B^2y#Y{|cWlUr`sCOdiVvLGp(#H(u-<}?Om}VNfDIN4<5t#Rp*^{L~`T%3h#RG+c
zj|~bm)jJ+BD0eq7o!H(S`|rgKUgns8F=kA$0{a+~8M%+d+zO8Qzu>p$i%kMz`=+G)
znw`{msy%sHivFgx2_{ogV#9NirlzDeaO6x$32*Xv#~2fPVTA?nf;;`QN(z3}%%5$!
zf?*S*mhgP<oSB&)rzg*yxy)7L@|GBubT7S}DKFlyWca|CG`sJ{)E9A;yKER|bZ%m1
zpYmewq!eG)$JZY*9ku$f;z?u5%=?12f|0tcubJN7pPG_Bf%8P1x86bxWy`|b(^A&*
zJpV6VvdKc1=QV@y?AdeY82cyNJrcV4YD&uL;*PR&ogb#9l+9JFdJ@3VEK@T*rS{MQ
zaowc0V?U=Q?{n3bNcvmt#m_4FsU~@PO6i1{l}Q}pN=0*8nmRB0FIbXuYFf(uRU+zn
zo+cb$B}KGVg>~bnq+Fe30Giyfx9JkvwBg?Ll)o$03V%<(FlFhM%PRRNl_yV65a!>O
zv^;Q%kJR+o=jS)gN!r1nGc{Iy;xEI6qAM<>%~3t@^@RMyNf}dJTX*fKRE}r8I59}#
z)@-F6;WzGX*wDb3v^~j%MX=(~l<=m#N!2XsDJ-5Ve@e72D36F`lv+}gup=pa>iX)R
z52p2h(~?yFx54fZ<Ba2+NjoS0=s2_?>CDdJ6IL%KL>-G+_*;3UkDKC&#20gtW<AYY
zv9RZW*OHU1%aYm^+>#C^_2>8`O_b4L+)*U9;8OR3Eem)PlK%_;?t5}NsJVOd(zjC{
ze$DBTkY07<=E^h8lXaGy_w-!B*=6)X{osTrdk#oVh&r5fx`TtADM>pe$>oLpf(svK
z@F_4Q{m?M{Wze=lO{aeGC61UKKW>G_ByIZfAZo{438oW1+zWrk%Fke9x;f|X#w%C&
zV>!9_wUgv0wZ^o0Z?N9WrTBw?g0#-Ue{2`cWs}->e$$MZ!EkiNUWf3cr3VUFSXTry
zCW+1N`1|8m&IDNj&X`Fb>R6{F75M&{_}^F1B*(VvBiqRrUP(q*89r|)Y&)^@oIqWH
zXwr?F4axrnV;LDZw)SjXKQ(%-*@1equG-228<xJ$^9<CIR^ezqwrb{tCU?P5y|xRT
zOba%;OYm*tSh(P$#EEb>ri*_BBHIohZRc3pQOmP*SHpqE;Fv8O+Z7^Wj&bZ|bG-QD
zQ7r!oWv0RlT+EM8B{jHmw6LcLR!`ln?WxeT>qecW4eQ!T`=<Lmm6x0mCM+cx<0O#4
zbtY!hPo)bY>H_hS8$KRjwUe3Pd+gZG{t4{IN>A)=Xh~*hS@nK0$0G(g1;?Z#7o1Q0
zj0xnNvw=<W#)rP7lZFaA*Y|(mn>FX6(G3UV8~-P<p15Qyr`7YyjCtP!_87Sx2_286
zIyAbDv@+iKBg`l*-QRgqq1;05!V}4Z|2w~(6Ydguc+cX3Qcd!|9cglN+4`sON~nd%
zM)kzpm~=b6_?D30ji(BiT@G_Cdnn=IqTbZuq#)pO=+aBMOYiw^KIDw~(VbMg#!Y2s
z6yx?edy;NGxF9h3M&P8sM^A5WWaLfaWckQ(Qz6PGMoenLLpzD4S;shDMmMw`;<%K2
zQc&uPo5ZV=&kroUrK8gvG>Pf-jnxzP9-k!nsN=TIl&Oj_LN|_cH^zVIo+Ny#{Bg|1
z3mn%t!WCkkpE!8v^byA3hcg3|7<4U9`D}O^zviRg#a|4PpBT?hPmh>7HG*T(z82-@
z$^SmGO*~Y=Aw1{8Z>etz97%dTySgW&%oIC5b;>2ble-kJxV@Nr;@l?#_lc<=7$+<|
z!IAh#UGj7GBMpxHI}fxw?!OTKFThyAJY#x?3`g@HhJz>G2vkn=P<~Oz{2_%g>3XN6
zj>azO2T_}6@(9Epc*4m&@zkR^v5c2<TNWLk6_-5G@8h(&Pwp`Ed??~cJvU`)@x!Dy
z6Q@kPVAS~G=&!pT9GONxH*pj;-2Ab<EJetBn%G1QsVVQ$H~)EOE@d-Ec+$lS7S&Uy
zFZUK&Y`4+cd)oAuBJDO)r_LA2)R|sw!#ri0{t`a#>9t*Ai9e>yd>+pFc;2)bB`mk+
zO`Cd|_v9vF_lCqDC*+o>Rr2giVbopv{9|*Lhv-Ch%P7A1J8Q%yEI!Fm`CEN@=jF;-
ze|4tK|HQ>Rq3GvS)f+uiI?N{A-X!s?=btCbOIGdEp87jvlmwT}pVTp3rN5g!(vR_+
z-Bi_09n3r%ZfCN^dom?*{9|Ibo6<4AVcV3QzU-;_Q|%UavF_K3o*KGIh;ve>CXe^S
zFP@A^Q#-U5Us%a{GG{{SjTpYEOL8Xfwwux+KQ*-5Q>2hdQ&g~Ra!C8kll}q|><+!#
zmo)Xw5^i;tSU;hQHdY57m~|AM6uiqE-T6w{vPJn;PlRgx)Q<ZdF%u$gedpFsVPl=v
z8O`BWGo_(;!M#|99v00LbFJ1tVdUg2jQ#&8+u_ymDXrcd?Futv8JgJRm>Xxg$6V5h
zvA%e)@5US674ED|G2T;aia2(EY~JK(JgG(@CZFSM`p4FWl9tFLjPia5bQ<r^n^xwP
zbjEGRCE=DLv91Ymr&t9aK9p=$j^uvOIzjCeyDj^L<Ge8<Pg#6_NTx9Gb|g*o&~KRP
zs>Lm~VAf56sST<<9XYLGz1I!HT^P(?YUm#8RG!v&xwd+OS&BqySH;Jb7f(nu-n2Z%
z*x95MvUB!L#VL`luKJr~il;RF@#X%ZVxur+h1;G^h3)g^Fs3LRo!a!$cJ(|Rg{e(q
zYy4+#==~$QdBSOn1zR@>D|AmNK9N<sa<)=VZKY4{P3f9mr#X5DG*+B&&)9AAeZ`F@
zKdt1aHhrAfd_r?`!{O%>n-XKDJkwmh;3I4Hr<-p(ne+cO-#I%+O^M-S3Rg^q@6<<v
z8vYXf8w3)$ZMyG?t+47}F>#|vt6;Oi`Oc3@TGP)l{YaVhos*57F~(Q-{F$tJmM;bE
zoH5EhF@I+moSZdL^JGijk%HfkH?%%J5M*;Bv_+VKlQCw3D(kCc7e;xJ><c{Z8(F8t
zM@%?Y)8$lDdUWN~NnKMs4|EBf->NYAP{w7R#LK_=5<NL9S;8$pP3~S%*Rk2xo8{cj
z%X6h4Pj9=(Hg}TY&Px96lI{hHLeAm>`IePzoKsu%B!yPbJSpEP%g8??;hTJiJmbfz
z?uRpN9>o5iTfe!%??Y_EqRN$=Ii1c$4BZ>NQ&cQ1Z`gnA$o6zx%;2l?RbZR<9sg+!
zlZ5w1o&I=h^X#q;>-}Fg%yhLnX}56s{2OO~&fPqT!&C61<=#&g^B)*{23B#+R*{^T
zz{KgvxU=?r$@U4c|DG{RNlDE4wtR*!Yb?X0o043NP78DnCwc9tc4nXPBtj-;LM}_%
znq-De^E(Uu3VRmL6VznzPW~Sq!>y3ausCL)gDxNci#hU12TWrBXT&&daCg5aP<KSl
z!G&{19UD{3Z9$0=5e_$|%7$kh>y9`$ML%R$W7xInBU4!S28o}?HgdW!-gv~gHkqM2
zMycPSRaeMU{?Q??8?g*mCf2h!?6pn)FP7hUo&SYk*Yl1G3!g{WG_32m%(Uc6$4zBB
zW{u+$1U|1idO#><_km33cPye$ZZoN`;u7q1cqS9exROKqNX$8Dx&IrS(`Vf@{$TuR
z!nKK+H+DAGOg(1vq+^{K>ioX~%KZO?<7Qg3S;Ss9oROb;^uz3p-zKEEOQ%)D{_Dz8
z32^Y7GJkf*l*PvnR2^U4-JNuS#pXcYqy?3dS2{w^-(V2<y5i+A<qJv@UmjUbXl86U
z&lqzs$-OP+qp;16T189FH~g3CW4Rk{@;|=7IpN9XHiZ+iHeV)A*)-X3r{J-@!V}q(
z8?MyMw%;_-tItg0%!~Q6F7}izcaFI)aH8UW(xd=|80VOUl}hpl*Ly3j=AIA`qjXwe
zMGoW6gqYsg|DhMUKJHj^&@1LzV?@$~1jUP+S#NT!-hU@$F~gKIAKQadIu|E0U0A{*
zX!|AU(Z+xoS`Q!mn05HW$E41+9!gRH8+1O*kdW(8iHz^-&RZg3Wwzw$&b?FncIIB_
zo5cK`W4q>qBMTa0S4~io*ug)s??Cs9`H|CXx<1M+*eu6A!ERHQWcdxQhGd3INfWzU
zStO2Ga2{aq;7YoAVujp{tLG0Wr5)k(=4H-pI5BaupG52t$zOsSrd3YvNa5(e`Pe1m
zl_-O-3jYee!d4H)Bq_n)2@HO_7!o+9wZ`6`+7xWI!_`irt8LR=hR{h?5=Sm4-_)GY
zG*Kz@#?!M?nl^F$t(Y>QiQ{WRSZR#h<O{1G{P#;{xV)k62<Lg7Uc2U`YX(ayWGB|J
zNbD4i{XdDJTG!X8g?YIp%ckEJoJm3({wIAoaG3c*lA@SE{3Ct`i}$^sD>F6xY!022
zTc7Z4R{!Iv<(AzO1E!TPSKJp<rLl^C;*@sz2d_Dw#N=#_n<2Jwl2JuRO~dsV!=xuR
z3$C!;6#FdQ-xWTiu$5&}{_zb0k8kuUGVWM1iAAxW<NB1`=iVBJl?qi<cRfpTzE!N;
zWVUf?tf%DTo3%Uz4{|3tKgiD&x?6McK+h+Ig;Ua8nAy3N4x|~eOIE5KoR$&gEu+IZ
zV|@<m1`(Zm9e<B#9hkwo;K1=uQ!|36S32~(Zl5Bas?<^u=2)}Lds^nhn4kl5o>(f+
zjNiC%f#8vvTRU`OswOz7JZX5wAbNUQW=`|Qi_@lNJ~Nz_5xJ{Eth1@3AZCMxtA@x#
zo2i-Qcl9qucy4&OoAdJBc~dj*&#L(_XIkc-1+1+y(~`E@Oi53lv&d#@disooHdE5~
z&e-~SOX|r_8z$-=W}KKLpX5J1UF^fH>S;++C#N4@a8{iua{i@|sp-!r{Ro>Fd~|wx
z@VzNXr>3L^pY5BF>J)M7-Uh~)*A0@7SN1(*X>K|q#5R3m((DW6{bw#Ho^Rmlf2rj1
z<A?aAjbalLjyKF<`cUNjf|*}#V*ItFiODD2KAf1b?Re6}B>rR@Mu7>F?oITOxZWW7
zKsbpf=Idm=8~+yXu{rQOZc5^-{BKE}Q*@@pg~sMjGTi*ry<$pSU(CmsN2kRL#n?5U
zoOynFy#3w7hra1d5a3^weBk%QxWp3&{!4t>;B<g9sUw-8VUAz_g6p#EC%D{V4l**Z
zeoG2V+I;xJVx|eP$B#~!#mo_NRxaj;Wti{`!=5`gCQ6@OxUGLm++M5uQ{yT-?tPvT
zcXZ!|`G%DrGTT1hYQM1b<J7pqof}qPn_hD2!k!z`w^^NBxPqZ`O5Amu)gR<4WMifj
za>s<fiCH$a@a+lB1kR+c7hMw<o|qDwUp>+G-;~0t?jI_X3(f6frm0o#wrwaV;cMQs
z@&1&U+MhdLM`+GeNa5(781s2TS=!W??hcOJoT)J~yLVIu=T9x$%D3{=&WFz%`h2;%
zBmTrpkP~L&WKDi>b;hfs=l5IQ5U-ySs+hD|z|c%*rfU4OxO-EIb0);CSvIw}H!0`O
zI@VYQvDHoQv!@oOcKDwEk;42Tnep&Lt!vYZW*rK&nI3gKX@cMU1}A&|&RZY5f2V%n
zY~`F3!k)~yPiD%bRHpaSLoNGf^fU?|?{rF<5IXUI+=Wf-N$P@!?m7wlJ(4{k^IE6c
zoRgUiHb?J!{G48tJyphXvU;oF3VZSX3G+`M-ZRA__oH>;jhix(H^jLQzgYch3R_g$
z%Y{tSi}vdNI(ltlz>il`i#ES}`ylDw3>{@jCeCks6N_~^gXAvUE@F{5ePND}#Kn_&
z@9U=&pFUmjN+Y88>Yk}3Q#pgzOe{Ic#NJUjpEtkk;N?#omQ$l@HZd%mQhr@sZKMAb
z|Hw(IYbKUi-kSORic^D#tH{rJQ`hKD37*ar{ANnY)Tbt=Cw^1b{koBHI_uPuYo|mu
zPYnwCe>7=I?rD9CH)VIH=UTD<*fKq)$bNFpx1NkOQ*xhtnEYn~(+2kicRqZc5c$#j
z0N+8Tq?ZkD(v#{NoXsX0*{!&duRlF!W6X_4$p_W58*VYg{MlSBc-(2qg+DPNT}eM)
zD(BpIu!*5+#=D=5S7jPzW(YEL++tKs+N5LXv1-GQhgW!Bo?uC}T{Wd(>F#Z7b1J*$
zOo-_$6e<w=Go_$n>Wq&w9!E~h+PLwiGPC-p?wE5;ttW(bPQ22_7&E0)){{+Na0PSZ
zy;;ml3JO>vy`(tHrWSC;aL=CI#F98Ecsld`l+2X3t2R$rIGJt#KGnz~hS$+w)i+7p
zpHfgPb|ZQdGk43B{6{mlwEtUiw^eA4`}xWF{lA}_T_oPe@S$d<>>9>}A}1LB^!yI9
zo5HU@tKk!e+_ZwnJuf#ukgDwA+%u!UVoKpo+lJINQq!{Ud=d_Adh}zG;hv`c$f=n>
zC-byUEqt58c5!O(?J3z_Q`z57ljK-nB>w4p(Y7i6bG2^Hnec3q+u7L%(tmEMdAy{E
zopW}v-R$ksGfpMP{qKCLGxMBX*QZUVnQa@VsT=u+Jz9{P^LT5D5R2rT)0?-HPI$_#
zyy9dpM_0h{iNdoPecrTd-u!gp&rXKQC1x9^Fua`}`?<5`*_8FCCn(LD{rG%mrZOY5
z^*qBU6>|k%vuQJa@^w#*4dd%Bn^O2IwN_-^g523}4~VYzW0?P`qG(F~=aY3cJ=#gr
zor8H6PZV*;n)v1Xg66Ijwyr$0<!*3za?Gwi;-viN%j}-W&fPXI&O0$m#4<8k+AZ+?
zSn}oSlw(KPr<Hn1ueIpk`kHO-iE|nu)3UeC%$2Y1d?vRuWcG?fnz#Ne=(({lyJlkD
zx1BLHuly^LzRqYCWL%g0iP3TH(zQx%3unL8ZJID=@vVunrjf!+r_T43o3HZMOIq4=
z{)8=Ezy2)mx-nadgOT-cjF9%+(zpd(916xVvH!)?W;o2Av~WU$`2A^f?0yK$Uo>aK
zD)BwZj9b=KEIIFKT<~wBrcTGaebZ*&2z~K<&7_uy^~e59X-S!U+*jaP&*ug2R@Z)<
z(3<q^nV^VJ?1%p*u?!2gss%A@y3Fw9rP<91(jPaSIl(xiXZQ1k5B-Bw%yul8Jt61i
z|8_<O<uwb#BA2|encb`L@1jngcGs<aQ?oi_1d|vSq}p#f5^%Hgi=$l9p$V=vt~+-q
z1+-`?@Z4mO>~vc*xuPRr#=!}0@?o4aS{?)>>2|q1UixzrW0HK#T<fWAKaxZ`9!%u?
zr^s?6rs;y9BHuRY&cmiX$qXxPC$P!dZ_0l1IpD>{3lkOfR!F+eD7IT&raiS=wX^Xj
z*S{I7CUbbsIOEF5Yu9mP{sayt@tzfRleGL9ROEhK`gDO+f5*4V34MZWypq;a`n%bJ
zSy<&aB>$Um;b!OaCqjp|o%pyTM~!3e?ViiOckJ6ZnMHY}qyCEJHyD$4tgksxYIcRG
zdk1gh3-KGyF-xXR{5a!5BV#PX?1>XaBf0!HFjvG(n2?yXVbk#m8@N|nPnmGnYy$V}
z?rS?!TEBY8)=YeoqV1vjvuUEF%giJ8c2bizR?ob;No(HpbEZjQtEZf=+3|AI%HX~O
zkEZn<?LNSrZ1#WZ=_5(|xwe*f9OUYZ<k~pVapTHq0$D<=mv3@hp4e-1Qm1TskJU|s
zYZE=5NFC_7{CSd<x`4ioR?=_AH;gmAJ(=nauYH@%_k`tRgNW;f$R970r(UV6i)rS0
zGo?!*$$L|G{VV~uooWI7n>5s3otemZ;PXsb+u4(+9x#`;pW3aQ6wPRv60?eF{?s0K
zO{-0oM<*(8+&@8FNh@Ka6l43Yml0DU1r6-V8l}W1CjSrYXp!B>^jLaIUlV7NMB$SN
z@hQEj_s*?wvpH(FZJz4%%SR7pm`1WyPY9i;sWc%<_9mD2<lIE2DbF`A+<0TcL_v;B
zruh$2R(^C<*=YCC<0g~-loxh$MboGC%j!?(mHX&xzk|Kz;;E27j1xC1B(*cmwVu-V
zFe!U-fiTln8SP1Zag#T4Twyvc9P?A+^Srq^)7IopP<PchZZ@%Q_QX6xy&KGv@>7^O
zCl*X*;uOfe@oCz#EbXS$uvsz_l6*K4Pe=JH1a9KUoi6%lN}sy+qzy+F2>e!>v_Xwy
z%KT5)Cu}%7xxZ^dn7saE@jY!*`g5kd`8+{Fnd|86DQ_o8%1&fFYUpA!ZT<XjQ{R@Z
zRE=GGVBQAR$*&`)`b=bcIz#sO44LOAzaHdFnx%9sY3i$Qwo#FV2M;|`aaLww&58ZL
zhG9+2l$Ejnj#ewgGHj37P(Nkmv?;IEk1-Y5G5+W_W4ho!fAigGE4S-RebpOdH1*|k
zn;&QPO?mNelBIk9nd#rAtSH*Ay!1!Xv=uA;d3v`_T`_&#Q`hNxcctF6*!c7P55_r1
zHcqovwmA9ohwy?;DNcnGj~<`8^3>H;JcsvASy8iAHu(08<XN6?=d?r}5PQHGleg&M
z=Q+Yb^JPM-^rx<fk3O9#7|9u9eSq~`OZOv9Wd`B-Hzqfxns1D{d2o^Oqv<P`YG0M<
zjhnJ!-!<7@-2{P9x!&VU)AGG<&S`xaZT@sC^Yaq3=k|>HQ&z;kG<`Xp`Rp8#>FY~P
zUa#hxu6yLX+(X66EvF{1Y@G2)`SErADJ#OG8&oFlUUwi|^klJz1^4Oea*XmX+89q7
z|3B!|Fn6LG1M`%Xb9eLn$cd{wx1e>U&dK0SmF)*tdob><nf!_2`O<WunE5YW9*{lT
zwY7WNis|=yj(XKiU%{2M>RL?0q?$t(#~Oc}xchkOil@(FR(?Ohc!0h0QvbAV;*pLA
zdmnXvnCv6^k>m2p&WFklhbs@tpAblKdVSHkm+{79UuJf@gL-j{(^o{XKK{DNt8%J#
z(%!OOqg56Pj7cesuYcYxJ75va_ECxX^M}L5_S05=Oq#;5N%*0P5U<B+{pl;F@;v_+
z6_qS@{?P1`jT6;H^{1>*7kum)IeAAY|L2q3U#G70Tdl%=f?LRU$_oGaGOs^Po1Jvf
z|MTTLk7h|6owBk^?)9fmo#)dNp8uV?VhJDno+&Fs?p?@N(O^&5_*Ulav=z&PSfB3A
zN`E5zcG`;PEy_=05~r@5o0rR@K5gaFc;V}hgl<O7$~!uJm6xWNqxSTb^G%j-nzHip
z&yMuZowL=n?Z2^Y=)UpgL{3j9-+_sHrmnmbH*Lq8DJ!q2?^=Cx*YcD08y?3@Sy_CP
zWyRM1$p?;4S>b>CV(r9TD{eHovebWiRQ~fu<xHK#?-%5f-TTbftg4N;e6sl9ZMzHF
zmR3SDFP$svwrNs4Vf5tg^{8$wCcX=Owr`kXZeH&^IET+B;>`@U%DLw@-`M!`&h_6a
zS|N{QDlHbw6x=*@<>%9<m8QPFmc%%nW$MbO7XDNEj;%PIdP0eLeN5!@DKqY0&;9o5
z?)5#>mTxfMdEoJcmz!_wV~b_zi2P8wB4={f^V8ekOke(y=|b1Wi#N7zu-^DCdGgzj
zJCfBIb+uP+_`^DbwS&=;g-Q0$w562?el;^Dy=F9&xe$D%dg{`H0x_qausoi!^zE$~
zDzk3gFx8(ReI!gFC3ffR{sRZZ|NYnyWx><+=5Qz9gF82(wys#RW~$tc`!{~SIdS%Z
zY0{nRx|Iw^?_XbiL?b3{l1kT|Yume%7D$;oUulVYy~1oph<1bLrUg8AQ-YFA_I<ir
zs9t^N$tNlP4SUk>Tz@am7;`W2?sYK^HD>)8J(~&=Z)gY}kC3<DSXBPv&h_hC`Fj4`
zIeBu0^Yo<Kb~hP5nXIUukfi3Jt-+WS%hUEVapt`#+10m>N3o@@I)3lYHNCi`87t>B
zeQUb&d6w*P#=F<=t!1k(WqGPA67bFP_Vq37FInW*%>S>VP_F)9qR0)QXB%#ECSLq$
z^!m;<?feN#k}_qt-MRigD|5$G5y9*!OXsSwteNI@l4J6eCAU=t1%DpkT(NrM0sh?+
zZL1$kUYoq+&E4x)Jr7i}Jf5yn^XKm8sfP}}xpys8$eUS;J+e}Vr^{sG3Bj-So9|x#
zzMO~o{;UU)PefKv^2+6T{%*3&&nZhyb;U0#O5VJ*amtd_(-oNl>n6n9P}nzh>DGj#
zMM-zBul<$sAnC>y-v=3=GVShM3$Oi@^zBBr8WX$yVf!^_dgCMRU*8=kq8@*mbM1zQ
z^P^4P+`m@qX}m+iU+d4dlY)*~kM3W;RlZBL@YPe5oO@SNv!^X76-=7CpLI==>b<KK
zQ<iL=Q0yG<{c4lXhv`e)gA~4Bo3iBI#e}YfQER5It?anIx#QaNsf%6tO;37!yfgRo
z+!g-v5xOxhFPT42SbVtp0J~KCk7S0%C;LuL+kE?E(%~s{qo+6d=S*=GGEmvLc~7N*
z&cvX}AFm(0Ke_Pxq<Qu!F$<=!P1&a3l9b168pD37P<gqLU-gu2b0b_zc!JU=gdLx-
zc|Lc@ng`YiOfffq^tUhA*imozD0$v(@$4yEwze3rxvdmA^_2BZ&i1zgKc89^Oxb?+
z%;K|26HcgEEStpdeeF=-Nw3XQcTSt|%~r6nb@7DLtj{l2PThHS!YZ}Kx#_2mcjmFO
z#^m4pW0A~oV)N`t=2LfWztzY+Z-a`w{)IgoVi|?zOTOe;-+zCDZ-*w&`u!UsE6PuC
zCo)Z|Ieu_r_tY)>{pF<Je!6u0*1?-oE2l9SPoFyXh`e~rnSB1FN(u1~H$R@pXO1}?
zGkd1p!5C$Ai6iG2Z<H^18*%g3=L_G|Hm-g1J?4Yt&nDx|A3knqX)$?x<EQ%y*EP&H
z?n^v$%AUS`W9-ydMYm)$rfj_*cVpF?9oJ4WeAswZj?w<aZ5zf#YWJpW)A_+TfidRK
zs_m1?OixywTFLd{+Pod}dY&x2@kcy=gY>a8&C~mm-ptImzVqkX<gIIN91=KE@Ryx`
z#!jYdF_X5wx$$ShVXl%19p;h@k`HFzR1r9_cJoZXLk!JtW=+|$-%Drjzn#DKOy3&%
zDeV1}#pRz49GJfSd*swZ)A;62e13fDw%aE+)J!`uZ<c<$<eh)hr=OlV;gfm#kMk!a
z&rVo)bHaz@*AqVb3Kl%#+{^f2(eVf7T{C3G>nCiwH+l1)6Wc%j?O;rsu=)H0TfUFl
z4eB36lWt7j^t>VD=*8k6CnI@o{C<6W$~wlGrv1k6-%Q)0X%gf=NAjeI{G{`<IbJ`J
z)|v8oB46Mf@#mYSZQZ_OT8i?v8S~E1jZf+pIX|^z*3>QAuk(g(5^VkO)BU?p@3)hE
z5sVkaKHvW9#3-?26BFkOXC-Dq`@8?=3q9U5eOcsF2FuD>M$?yh^QqQ7Vc0iW;^y?n
zw))Q*Uh@7t@k8SOo&V>jt8uTn|GDNw%=1TXGu-=rn#)_?dw5!5XQ#@I4&6UfYXo|f
z&RFZ;K4+wOWAf~8Hv>{Et5&xizx&Dm_T5__Z+NL|2<x&(inv(c`JulhEPKMcPeRUj
zKYh+(Pd&+Xj3xQ*n{Jl<yIi$iS@Oz$()=-X%4DIxZ>FxBa`KXWE>HK=b<5YM*m*Va
zOty}&id!>fea+iT(XNhO+t#i8bMJNRCe4l&T#|3*SGtK!+hBQ;P4&#}K6a;5%=Vj@
zT>Ch48eUFhlkL1M|9C^q#B)bi*sEFBSa+WF>j*P^Vb+$|-OHmq`^}7<H!f9toVMli
zRlCV6>z{H?o5#Ix+oqPtM=UoOU(TB>@p0PL>0XfypOh@`y$|1%^lnO9@VvR)ecT(S
z*xFB7@0t08VdK0xx=#Y`+<W)_@07JyJZBnZT<}|Kn!ToO%Dzq0Rz}R_`8H+!<>l<(
zpK5KNw(j}lynlKJw@oxX#`tume2mh)8AZz81#e=0P2c#Y?}Bjcb4lwRkNXzuGwwJf
zu0MTql-Xu)p1P}^YbM@{n9s9j+SVzoTc2coT3n%Gv^-y6u6XfW!PK{W^C#ZecyZyQ
z$5H;pB5znG8BhGdYdC?~pLuWC^qpE$#gERgIyzTKsljD_x6Y((qVF3GESpTveO@Fp
z@h0EH*KLfPHr=uR&5S1qKfWOT!0rjp&KveeC$*+cJau%1;%r982{DS6=?kxlPGsWc
zRbXX$_~vKUkGtuf{41+x@TxSN5WHDgnK5OzU_sLN2Qfmi{~Z?m?70wnVWGVKtc~Y7
zXWf4#|2}(C$F&csPx#g!y5PdK*)sK%S?BX7u?(AL&z#o$IL1q+dd{LH3~Oy(HpNZa
z(NWB76gy#utRLg<56sR&OrDJMy}4&B|F1sdsF27Wj@Su1A27zO$noXie)DF+J&PG4
z5wZW%r|eq!Gv=hG@-C(Y_7j|sZF)ax2Y;*!LpQ_LK8Brt#2b5<y<-{vU7m3G0Auq1
zyj#k0B@-Dg&Eklg7|8xKR^fj{EW<{oC;HQOZsI7Kz<-$YfKJyzJNs|Ow;%kOaN@`0
zof{{nJ21wmPpVFu=^{O$tCev<WWOqNuue~^+1wKQsXI^V&YNQww&&pq<Dc{F)=Y3X
z7|ZawYnig}n{Sen-sZgQ5Xw9%8uU-@*#sxg?D9A3eJ503nI4__w}<h>^rwR6KP6qJ
z7AEf6aaYlv`&{;l&3l+u<v-AfnebmQ@LZDE;pwl<7-xQe%3^ss!e3w&OSd6k@_)S>
zu?%lo+0IWn?45fesF(9pkoh;MA9vO?u&JpTM0PHII``(3B=2L7y&rPV>%I5<X3Uhs
zCvVQ5x9G{9NrxjIX@8z_xG5=z;i1Z^Ac1EadSd^F-SlWXnl$~$-1Cc;&Qn~oXGUw}
zt+|^YaHma5J$^8{D<Y*LW~N)sjAh?eo2E!4P5d!Y;m$!l@iN8<H~xHYzHzAI^E2&j
zQ@<_WJMB=#^lwcEk}6Kro5yucZ1|9rH7VuLl_>|#UAy(_2iw#GA8)-*-%$EQ^7#{w
zwNJGwUYE5p-gsqN$9SM}&Ih*>-R5$_2h4Q7BpqC`Myp}d^ZU2ns<ikC&HNN&yKCCR
zNnd3*K4wgx_O4QY>cRXQi&ZwhJLs3Z^Tgysu0kKqJ-9!yqr1~lCFzMrnf8RvH!%|q
zZ-{x=!NwRfk$dKm0RD-YGIcQ%|NfbHcmn5wg%cMYo$21at|;Gr>Y>!B&s56<X7H_<
z{OrTM8T?92AG24?J;E&Uo_S%Ey2r2XB?40(KR-2-A!+iHqxb6PO?%9~FX>y=iNhy4
zgk*2tl}^^4!6G+#R{c{29m4}_-#nV~prowfrSXo<|L)$@i&Su&`arW(=JmaXPm>Ea
zO`7K_^;%^?gpG$>cbAuT(V8hoKg4WgRdRH>Ht~UDL~hf=BbI$~&!psy{HI(=o$=IP
z?*6qqE$ezd`kW|l_kH2}=jX1-``eUnYqUl(-JTz$(jqE2^~av1niIdA+hQUn{7JgI
zUDY_d(s9}>Awl11=ku@HZgSi(uj0{^`^&Q?MFqKO8Xi6;$if<Xdg|5qyVi>eR<}-)
zXsW7y@on1FtI@xHhE2VHYr@q>Q|>L*nSQyG$(gYur*_Uk?dc0bCw)5GwC#B3<cMjP
zy*@mun{u}=rXgrDgY=ZkPuEPl|3xC^PE)Amty_zhZG1S1Wrx(Gg-<unjQ%-!&%xw>
zQy1u;-}j^M`IH57w?Ax3p1xqd?X>gTC*Bd4i=KW?A(^2kiRF^###1wsCf_;EIpxl+
zx(=<0oZDZfRxoueTl0uJV&d)18`eI)G5K)pzZJYEe?))yDEDAPl6umM4pZ*;**_kL
z-IS~o?s&`mKK9=i`wb5blK=hNU1s)DX7`l&CATL8+Dti99-7{@edCm~6%*e)j+t=w
zU`*Rbw?mCn&J~H?&2CJ)*Ae#T0c%3c!U<tVr@fU<;;T9{qdiuKp`q)<pXC!GE2q5u
zJ?G|zTjH|&=Xw4qn>zjWq}Q{ioIN|?)%;0k&raATpLDMN=J6?Kr%idi*K3o}AI7N{
zLT_)GlQaG7`IXZ?+%ubeCiy~K1BYlOgY*OW<d{htZPOo_b(h%7b<Bvr$tXMV%$kXN
zD`&iBPqO|NGks%rPb}jNvxeoe>nClDoRD;MLgg{W?tS%TcjkrhEZsiot!X9C(g|-i
zHwGV%nV_z}Z|bQvQ{U8nI^;5U;#N-moS#$Q{F}P*+NK@f@Bf)J{p{K`Q{MiZbmqs>
zTZtbZ$fQl(U|z{Fu|?$bq`7jj^JnNCobhJryQwGco7TlnS^v8CPQbL2tw|G4n%AG~
z(fK#&!k!PO`aiPIsP3M={_46E8OwP-Q~yqTojPSh?*18V5flCte@R#)b|P2uQL+64
z%eW_uo2JP|Tnzg-<!#uthQbcc16kiEy*6DvZNp!6iI3gW&7TR(-*al?$%~GUQYEe=
z?w_>&q}bDGyNgdUMNV0trLL{3-(&cG>b{llKTmxUB>8As^M|aYThmwG)!S&(GG)Px
z{wAsOeW#>ODK~{PCh<4;dEK;L-SPVPgq8J4EZiR^uCl#pb9km>@5|TQ<Q*M0_9y*f
z(SO2Zadi5s{gYf+Z%vln-Szm#!s)I|WeG98Nf{GX+b1{9?!COc=22qZ^wrijNxv6N
zy>}r?h1)pFdj8ve&gCj>ydJrySXfkNxYmE-OP{{_UTR~wz4YA8ZR=-fZumTT;jG9L
zIkSJLw1u4KY}q|^O>4}TZnL8gGx<LKpFaI$gnj2{ZMjCF`5&VfEC}!UQP}Npe8N@j
zYaP=+Pun=ZbDH@?#!xHk&C^%kkK^3?$@+eGNW{aIjT6>L#q8r-qLh-**r>a4<Dw6|
zQ)b?aVo_(g7`agR&9wPyqPy?1$9`ft-=`6|;Mnnrr?Zd!{MvoduI}dD6QB8#rmxKV
z*<JnSR+k3r@!u1-{+zOU?KJktiTl3(nX+y>OJv<iuCKf^S8i42{J($N>P%kEm7Lev
zQl|&mGDhmGv_HkuK6Pu}TGsthJgsF@o?Go-Ffp>*r03hm?zgQi_utq`cYTaqJ!R#d
zj>xmq7THbbXLus8<IWS^`3?7`Ev(;lS<LT~#ImUy{2xhdW1P_@n?L>5d;`8e510+@
zXK<VSaM(R%(Us})IU!=%)22*L(fYBFVbA0R$q#RCp1Sb#&(534jJH1X#yovJW#N~w
zg{S|_nVo#s=IF!7SrfY5g}DDsL!JM3VEF$>{t4_@s0Ef2LHDh^nYtj}%Vy6e3zJ#*
z(x<H6*m!Q<l8*n=9BigO4HuF+{`aQQhp9)j?I$$c;Eb8FFtvN?hN%lnCmFP?l(@Sg
zmhtWV=hGI*+s}F@*16%@<LL`GPFc1gY5KzR2Q88rRyxj``cU*A*TjVz7o_zmZq{jd
zT=&RG#Yxg)<29)XsUIgKrcGcIKdE?8BlZz%_X}C^pB86#2EF;>^J6CK6XhEd7s)?0
z-~Qb7c+UZ?jWIn3PTiaFY%)*Ql5hM;yYeR=5_|Ofqo(h{34#@m|J&>`6PqA9$%(~~
zL2@FW?Ln_YNldYme5}hREDdDypU@Fg>;3qUQ}3cF%R*00l$j?mM`ub|?h~<I#;Hrg
zXL$$MsW4Us@V=kEG-i{{=L1)MOp9|5TJ&w&+Y`G2R?d1|eMm4a>EtKpK1RhiAG&W$
zn0|E1)<++{pP#<Wev;EGhPjjW*jImCw@GNm)Z-u8C!|+QTJGvCc<okC#fpY!?cb*_
zkAF2)EoRD5_4x-5P56FvYJ>IjDa)oG5tx1Cq00QJhmKF)FO^hOF0gr{^OFuP%PISL
zld}3JHcmKRx@KX*k16|CZ=QBMVz=d=4ql~%=>Cc8Bpp*CmrkB2UO)9fWz&~-ses}&
zQw~my39Meg+?(8R>aNc8Gx;+YP7YW<WohWHBZ-$7CyFFZT{`vDbZh-TNt0(p?yOid
zd!2w3ll18ivH!(mXN3Qly7#rtl&D96hD;0ECUZN_th)PV%F<#_NyP*2H%Z%1eK2Lp
znQ*J$Q<kYDh_9b?rlR-C=E*lAChz-v!sKM&-Ct|klUl`6|4e;6F`H5F)(_LwGcr00
z@@1wktIzUe^wumb*q*}2#64lT>_n!*J1o4D?;ii~pfBO1&4hb(E3!UL^sv}<?j(b;
z?B6L5?mus2JwI)Q*4AkcTaODUcYLXE<eagmHfKTMq>OlO_36v&Cs|0X?5zJY)9@qX
z1pfXG+t?{~_nriA{Wj%k%}cfHy;Bd|oO=A}iRuE|g`bYMJqnsV<<V08`HR;S-2XFu
za*^1U=ll~NTD+bzWy|7f_X<t3jc-q~=oq+8d+^ylY4y}Yxzlc~eV3}TvU#cK`e_G4
zrW(zCGkt0Cy=ezuR)5rZtTVmCuX^gir|(oV*Lr<_J$=y}%Nc7XZc3i|eZH90e%Aht
zc?FDrrX4IUT_w}Ick02S??G9OCXZDsqPtf<iS_mBXnev}<vI1>-1osI&(AYXxpgo6
z(=62`ir+gkw=iw!I6mdO-Tl*xXHM9nr4@hKv$%=zyy<Iwj)(PAZ^izc;IO&Jq>FL;
zo@wDp^LF`m{Jr*JazxCOTfa|j=&lRv%vD+Vd!}^tv|III)7RWwC_HaU%=R^t&U8wA
zUp32hPvgpyE1Rd?y8U#@ruowt^QRmvk5kC~*6FIV=h>Q=2{k7b>L)l>e^O|j(&U}H
z@%quJx!S*{pMR<nY`RFlzTwHgDc`FynRNdhOfr(5oHXswR4?YQlbD*1G*3Gi{w|dN
z`F4ZTZ#tV+ikzLSlh|Y8ZNd3(>aBBA9_s0DzMDPeR_xVD#!Dl*4}3j3<)D7O&?8@^
z_pGa@9h!2g?|hTO&!tYjH*daST=7HwSMSd*-RTF@E7?q^-hOPkBEY_md2Ybu8>?LZ
zsd({O^{n0z8Tr6}^9J+B9UO0_9eliEgLwVk*c|2yQhQh?JyG*^>a;SlI5ulv#P?@O
zO^!z=9<;MNC8>O2UcwpwDfew+rr%F**jd4H!u;c&h<nk$vOiw={*iUsK`+V1uucve
zhm568X*Fk4?@c~9kzICw<o9hWX7%0lJKE6Hy(9AenhAFf?n%;a(6FzYVE5#O*@k0B
z8w{%d%?zK+J!8VDiLR$QYT|D^eGruVWksRA^+wPsvnM;kq$JmObQrL9dL=o05TA6z
zHDhx`(&NtHvyb^7b?iU!f=zq|Z&ulaLyfF4PdUmXG&U4GWZ7Zj&+z!#if<cl6frWq
ziuo6-@Lw#3CHcd?V|TiXrW~>=-!}cjyHC>upHI7|zJHCD19Qyrh@P@3_kL-=p3wO7
z;+{8C?#-EUFn%BJoU_sEr|j05p|yJIp^(mk1+mi)*6(`iSTJLk=|9en*pGWMPo=K#
zIJz*Tf8q9{jhpkzrd`mitZ|uoVyQ~yG=^I3srTnEkQQA(<=_`jCsU^<*LGYC=4GDC
z5g%ba^^!Z^^Y5p5PER|e6~+G2dFLmA+^Gk>_aBhA-IT(8VZ$1B#w7Qj%-nmUmgb+F
z;Tv5#)m{I2%e0d{Fa1tRT==YcbBg-fzNCFT=KuFa)l@GO-8l8qxo*X6$<q(U|C?N-
zd$+N|et+%q+c#5YiKxGuF06T^QC@rc{qk!IKJA%uuxPH1aRuXscW38wYSo{tDCzy7
zDeqgVQh5DSvemSM=f0Osz4t5JC-S@8k6o+R?7K08uS3u$_U;V#@DnaGe(n5Vf9=5P
zCkt2(3NW|DGBjFGx|#F-fLla((%!nKDGq$|nbuD7E|_+yH1Dad+=NB)Hy7-m^6ur6
z6w9f%mY<rw)7tg!hI3^zI8wZv9=f*f-EeQs%FF3zp7O@DCZBje^~%)sHS^~GJHYyR
z>f6shr(IU93`m=-8IlsTT;#{Msh7Hhe;LH=zpz%NJmAjDW7;eBtcjU=>9XpM<o?;w
z+x+VPOurcXbVH#1jej3wr@ihMxez2;f42Es?}?jFZqA;5u|DhMluIY?o={7la7i|i
zSs<2ia)a#ty`fv1Zu%aW5O;*#Gw^iXg2@vV4z^~Vei7fvlx*89@Mf0KarWt-yBfEd
zx;Hf*U}CMC@_EgKk7<*x$amVB#;lG#(DI~`bLy4(uT#1OreBI?`fE4+&+BPdr*Nq6
znR@HV#96Y{7o3j${B%>OZ*S$_Nw;PmJY}l%b3zge$J5^vu5fU_PI^(_-S=riRp*r1
zmz@4=_^;lac<<H)<%s(m+!Oy#y(+tr#rW6c@5vMXxL@4$gE6V|+}RDfdWSf7CS9HU
zqyIvZ`Mq}!Xa9IT<?3=fPm$Y<e>?0qRZ3noc-9k|`%|cL`qPikn!`6$g-<!=f0B!F
z+BN&olX@3sYI8B~pL%VJc;l(**X+V4IhrWBPd^{8chjY2PviM1H}uM;9*<O5_if6v
zKO1&Fp1M>1q|)J)*Y?F&mEGK&BB?XwqkeT~__e)0AG^LrPQ3DY>Q%o^R`qU{iEkE~
zE@O>W6`j=e>HW0x9*laL0cMk>t6xT6v0+K|wz|an(tDB*%l@mMv>s0S_<ZX5>3+<=
zHZh-^6!m<9zWD3CMO*m7pYU|<&6}S(OYG*IUdGAi!}s)bc&-TJR!?c4VSZA|K9nVP
zlhN8q7rJ<N{@FD_Nh$8+kKHpG_7rIyjoIy_XSULL{f_J#JMvw5zm`qDqxjK+sru$6
zxd{=Lyx)JF3yYa}Xd>I>xyt7M_ZL3tynT^Jb<OA96-r`kZ<5+}?Rd1eaDGJ00`<wl
z%G1u5|NA_TRo949`PX|x#goj;PR)H~o<^3eyuTav*v#~i>+w2uip8?iC%J=3n9ZC2
zCllxGH6JhBQg^&7Y3<sPUcb|E57UVZ<z45Sn-~4NDp>u5!FJj!{oC_|%JZk)RXu6q
za<gn-gx1Ly8>ij#nyMm{Gd<Wl;@pWxavh?(S1tKD<>-5_tQNIB`8O|k%oWkQd7)~i
ztbb(Ix2eahXHS_m>5hE;1D!Pw7hFi5a%}sr$u|y7xp&=9w6b#Q70caIk4~L>wg18i
z=c{jStUtlvJ#mBmnkSQ%Ze*W)blwB2i0?BlouB-=f1ZH;O##_y=RC9g)_j{#ki3Fd
z*`Xj;epdVW-zNip?p!C#kjk(9v9Iib>YADN)idk*7plClKRzLTUg)ho3qx*BjQc#{
zkaV&N!_7xKBMkOWJ5+o7K;78~^0Nf~RfwFZ-uyyUDazrEY0T6^X$P*G*1ei|I>Kwh
zp|c0Hr0n-kKR9<nmVBA?)RWsL<UX2U<j%2ggL)G4r26EE4x1+&luEKb5E8c2Nsy~B
z`J)57?XK<fr=FavGtXnslc@*Qq~h%-9g910JyB-jv7<M3&zo_!cF)v<+fz(ymY>--
zNAB~~L-&5KVe9`qVfo)5$7ae+R**ZS$e;ZGkg3BersRo-v#%}DZ+4C8TX9%cf5P-6
zhKG-Kd=T|a{4&SoWAC+%+Y_0m9((#}h2X4As~e2de&0Da!EKWuL#$oT$Jz5(dp@53
z%W<ph>G4g|k4L31V6?TK=<{#NvDf|_>2-4yZ_eTV_It`v{f`C=M`rh*4EQn8uW!Q9
z?@u`PPt0iVoV4_AMAnqd#LLr<ZjWaPe<CDscVWo+6)WvrVipF9G4R*$Pq+{^Q(?`t
zW#K2Qn)jyIr%yey_tw;Z>64zA{+qHRW#iN%_ikP<m^_1h!clQcCjJNBaWNB)Y`&s3
zZ`<$MSuB&v#Me(fQhSN%rQMpcX|Fa-`BGXp?dYE=Pq*(#E>98QneyfHgroDg!gD5n
zHk({zKT}ig2lMJ3t2SIX(cL|Z>F%EgQIS)Rtqo!c?BU<>Y4Q{CV|RCapZtVdxRQZ$
z%b%rQ3bpt4to*nlY}SJG`)_uveB5_%^VH+_PG^0ac05a6VC(t|&NpUML>TQietv4j
zy)KE3H9v*#EvTO?vHMBj_9qOy)1I^@NnQT4w?Synlb9Nf=@TEfw?5eV`A*2S`O}`R
zU$_3rO^yYA_Rn{z{64W~%A*?V-NDt5T#`=AmYM!=Yt8h>CvVN3_84>&Aor<)^Sc^;
zPI>IdaCP65$LB8GOP=~*|B)N(E;dcG*jRM(*8&FKsgJ||9T1+()UbQL=*=51_EojV
zOn*54`R)a~W#4zst#~51zIMvvpF4~{ZupUYBiO#}(~_4zH`s>fOuzMHDUViD(8fnT
z$HF%0OD_2Qli}#}hqL-8JnW5N(yy8PAa3WD&ueaa3iB~ZUz_;s$Cn19jXMv_n)HA-
zDdhKsa~?0O7!u=lNE#kI<<~fmA%7G1qlvF7A29l|ipxES<YT!|Vv<zGa!AAYRQa_N
zJ<YN)Po_NE`|j41JJ-I(Uz_}6&AyUjdp?|*SiR!MigMHL2@MTJw)dysHNCrk!_SZZ
z4;<omxfe6#WA*dP+i(0kzVl7e#Odd!eypE*MsxGDPq$7oDo?qYAAfDi=i-|paThio
zREU}MIqwq_@1%R_Gu8WJrhdNuZqppQq=`q)pA@g0@^$r1p6Q=+pWj$G_14dAQ$EcS
zc)Dii;`GKv=cnFEZoK<>>c@LiCBiHIPW<RA5&wp5`p4_MF`r_kC*GFW{UT|jU`tA6
zNBNUo=PpW%$4|d;bK1xJ`Hgi7x*J|6J(pxoW|Z1JH9_U{<2{T?TT7Ck?3urL>SfcU
z>_)FWjJH@HZESgbu%Y)cdq=D7J;te@g;};A`akjWNfz!lnR(2!-@Myr@#y65=XzTk
zmz|$i8TD`a7jNd`ZPT``{doN(gXGk&b#r-a-?ZNU_+t9k`8?rkcGN#Uu~xS8RE5T5
zo`(is_gq`>@m)vAF~)|cvwyEKy?MInQrzdK2J>%OeV+b0ZR)MZoV&Wk{|RnR+}z93
z$#9v4h1=+1=bwK)+7&0~9q;<4WFT6`b))1l<Bztp&y1>SH}Um9NeH?(>BpLlK9w7N
zKJQ=XcYDgW_or{YxH;w0zx{irzYl-k6QX#^vh|@&=YOvq|4ifDr@xm@3V7e}?*r?C
zwj0yF-h7=kuhDJu!wSCRyC;13IqBWmhR0TRbEp2a;F<c)*QRU3jTpU+3P0!7tLjhr
za(DZb*ViWnW_9o!o%*8gZKu%Y#ik#+n)rY03Gij&F59_y^YnN3{yjDQxa-+Gwn)F=
zSh*!Wl`+5G{rWcL>!Fxw@9JK&q<(*5=N~=g>&fZAs#db@7h{d?ys6ICdDvB1<P*ca
z2}k6U^k=F*Ijf?!*G)7|;>P~Rf}M+8RqgKWi2ZzXk-HGf^EJs&q%NK2-aYL}-TzHh
zGAEDUcq*j+dfMrmQ%`T3b~;Y3`|j#T7bBi(9DOdSy`25|+)4kQIZmA{xVFQzm1WJ7
z;}hlk*}12%h%$KUe9(+Y{(s``*{RvbPOtu$e6#!f1<g7a4TfwH7Qqz$q&YLZO!+&!
zIgZ3UW#E;*AXq2%(XzYKzxnmihBuGzIosZ!QXKc(cF$D%8+$8zg&FeqJeEtI>?OW`
z%0tzd2mReopB7C0{y<%cLva3F^{J2UuKV*bwDRtv^$~hIXP)g!N}8Yb`H9seCEGPS
zKmM5ZLHj1N@=mu_hiTjVd0KCFs=G2i{x{{pWC3Qb+9N8K-yZr|ZE6Uakd-#^v^oEj
z^6UTiEPXod)7umV-%n|0C*QC7H%srtIgYnCJ7-ONbAF-n&uRC3CGOWvNPQw;xzgom
zrz#U;()ZWT7eqWQUb$!5m${4H%YIzRATsIu#y1nU@Wtl**O>J8-z=v!4`h<2{yx3p
z`11=Hwy!7L?&NUiVEG?${;ACIslTR8zx6kY;ntq74SSO&ww<3)TxYjIwf@mbyNQ|t
zX0Z&{7-X+{O1x=&C~zojR@KJ6>GLL@z5Zs($D|pG8^3fun({gSsm!S<H};--<-^RF
zbU;uc%9X*AvHIg`C5;$OM(+L>%O>2uS~Jn_-_-k01nj2VH2oIyp<P(OM|<@y?Vpow
z_QveU{(eMb+U#etdUh-LHfk)J|6z6)<I|d#H|1t|+P&M6P(5i=-D0LcPv=HH_x(8O
z!?QzC^3^l$Z(^AIabN$AE`Nr(e_l=ae<FanVa@EB4Caql26w30&Yr=^$XPQ-?cQU#
zkB8#8*<K%vkC?P(^MvX1CRO-br%mECmVP&1@a>-INs&{ozn{)HZR$t!-BYjM)t<-x
z{{dgbt^b7^J6!H@RBAKJmmNB>ang<1Ec!nrW`)_Tc=kz&=kJTiDXp95OYH4jadpp)
zv&r}Orv87X@Z+iZ#@V8klRt0Xxlb{vQ?YLM1D=Ra&kx3A9r~3t<>vn1Dx2opH^tA9
z`#1UW<CtkT%ui~BoOJymGRt63ip1;{TV($+HYD|Id^q*SUq1=Sl+|3k({GrsZ~PH)
z^Y6w9H|ALzeTeCpcKtpR!{kZH{{!qFGJfKGI_3K9>DDW9CQkmu@O#4bSr%O?0`5%Q
z@&{c-ej3?4k~=z6Tt4acT%+ZpmY=6wi>sU~@_FjDIDIRb>Mor>Ns~@T?wsJO`Q+Z6
zV>@epGMFv=w0ipWP%9U|=y?WmC$i%h=gCZVthg+=W?_}O#Nqc7ZB7cv-aerhHuZ+L
zz(fJYr1>6JO0TEfIB9Wqj<Ec3=^4|%e2TewzP0yQ>k|dN+ppL8YOJn^Wq43G(IaWv
zjq7?ho$O}&)XX)n%@S43pZe+FlpopkGx_4C+|0f`-(>qtvFj;bMPJ0HKJjRJ#xQrX
z;k8-C_Wx%dsW{nsW5SHe6M07ar<P~^J!#POu%>$s_x4@i8(F&_d4}#;a3u1P$Jr^L
zv##&eTD<2=!({dRS*$&W_wQdb#s6CMQ}?BHH?9AEy^wy=P}uVBw9i?0bu&G0N`*Jv
zac5w^cTrYlK|$2>u)|ZXM>8n@IWoWS`NU6Wr?pgvR?p?$9dDVnCgvBra+G!TTK=$U
zpMUG$HGL>{AU)LV@$<RdYqS5FJ^eOYW6jjh-|k*o5?s1Ibq>$_{N2+(-_>1k_@v2S
zqyJMrXT_^-+ITPKiTldGE3Q{IeBC(xbDaKzKi^`X`bF+EtVsU%#C`eRDGRr6Sbc(f
zQu+j*z75;=%;hfqx7yX^h;}5)%llF5_?h_c&EtME<<zt}OkXD*RQ>zx|DH*w(&jLk
zM;@}B`gyJQp_!mFuz$pTiRO8%&-GOP{F7&uC#>gkTW{t4%>DJxQ}<7wPV`R*pJg(C
z&E#AUfzJZk*QR`a{drz>+Vsy`C#}0WM}Pm{o1N)XKkxTu&p*x0{G?4ga?&q<uGq4t
zrk--|?>%+jIhpI~oMl@}*&d%d`m@2})r||6T1{7`*FI5*eC9q~d-ashp<gG396ea~
zz&qaNhVrED1AhdbyT@zI{wX@)+3^PsS=TmZKY7Xc%zgUbjj>8U8`DoF&E?LYw9P1)
z(easkcu_-e4c9N5O-$zVxsMl5`2O+B9u?)ESMuj@r#I#Q|FdEF2?m#mpR0~FGR@X~
zzHhCq^o?@y_&Fx|-8rWJPv%LSim0B)vv-55c>Y`-cNT>pyP2xlb9wR`TaV7xb6fW4
zT@t4o<D}2_`+rQWnE1+_<M6$f{PlZ32&K>C*8OCvux}1m`lNTV$&KB=S|^HdPyQTV
z@nzS#{tFEqu{W$9#2&xUtfcUzP4dhHrWstO_B-^FnDm)uu=z7OK4E-OH;eQ9grgr>
zIufL1-Y@8U_$sM^>HD4)K97EWWc(NVU&<nu;pwE_f3b5oc4hrvb^GV^&#L+xcFajz
zw0aK5{@-spc{(JzV<sK5{XgY%%)e<XCnc_#{yE<7#J{+?GN0S?^+KDp*coG@S)aao
z8ggdJXYs8pFIg1-O#fV5x!}vkmVCSNlUk2kBHzUvn{=Wze|?O4gva}q^diYA^8crN
zuIY?85i5Lh&D!qgZTY3^bpI_%iM(Ug_*nG!JfRRz=C2IJ*Sh6Ta0_KWPO%GXtzYWR
z`+Td+??2N%U)J}q_}}pU$A@F=j7h5-I@Cn?t}R?)^Tg@eT#onIl?{O{`O{xLQ99FZ
zRle`<)LBzCGa{0oyDj%yu>1|<jlv0rTCb*jj$h?x^yOPi>{Gi)Va=Y&pQnF5Ki$AU
z<;Z>mtIB_Sr+i-e>Tlcbojsm!S|)ql)%U2XRVh?;?p^)N?M`k-wtRi3@*dTxpNpr@
zU%FL)^<n{!1S|i@``=nK^<U3nnd6tTX+Dep$(+}7)IO>E6uiE8{71uk(TQpQcQbH4
z(5pWZwB*#3Q`K)6ckWfT{O}}Zeu}_c*6B}zF3i?`$TrKUcX`mGHFMZ5O<&$3zJCtu
zmrV{2ru@0CDmW{WBmUXqi5%HK6Gi)HT;nJ`!Ef0!srke~gLy34og7n_Y&iL59?N8A
z`w1}<J(}jTlxqEcu_572@~>m!|K~G@c<Y`DcL-~E!pfBN|7l#wq+Nw49a;}v*IwOa
zp7yiv_zAW8X;apxiX5J&V0lurC!z1cPpy9sCK|qG7Mm-yY1e`1Yx>PGC+D!$ip^QM
z=p#cS^IW!bMsp57UHqdl_D8HMQ&`=;d(N>85@ux`D-Sv*&0)8$Es|NYHeGCyTlLht
zZ(_RNCCy})U;m?ngIP1?S0xks41waN;%}3;Ogzccxi9nxX9jEM8m+L892@6y`fX)y
z{<CdU@`ci~Gxqd7sJs6}i(wAuTtBHzZ={~3d^%k<b1qNZryVi#IsD3#ZkWBjF;Vu~
zzYe|QAFZvwJx}u7(sgb6w~tSg&Yk2r``pv|Bwye>4(s}pW_E2Y>tfZ9OnUz;tfE7v
zLb%sw(rcEVFE%$kuV}B?pZtACjJcyGuVT^<hmLRaxGG;y`Fzq->>1lEhrMs+2u03e
zdb{SSP-RQ){M%0@W<7Q*-NGK}GwIDduI=KVo+x>=)s{w`zO;#Xe{-SMF1G#CnGVij
zx;lkdmgVrDxr}9}Sa$5L)cZD9Xjhz2;*xJSf3PsWnZx*fdgqekch}`z`*mgGT<4mi
zg~1&~c8xDjs@Pjid=_b?ZngP$Lu%x1r{i7SkLL*Mc6a4Ww3Xf5!#0Kg=;Z(P$2vs1
zUd-O*BG2D7A*R1`LN~+tnJ(JjCVFn1Cw%?yw0QyVzfJiXRcn-FJX5}`wOH4GZb0M{
z-=+R1Z2wK|`#9zG38mlDzh+JCIIu;R^&$V=Jrlm9&uFQ5ut2+Ac}7R#pDADVPAgb-
z<M0196Rv*Xc=Vp{TJ?hXvK<A<F%8+*Z@g-76FsSQ**mSJ{MFtGH?FT~^6!4)8}6lb
zc2i09%b<Bn`nOK1j+hht{M)mX^KvsIyI%@*{<QrVn8`Nv)4ZMYdS3*~yqW$fTz!U5
z-Pc2WYo>fs=brs7cEa&LGvA%42$)b|e{4a(#2vFIzBu1l;?bDFx%drx=aRmrjpf1-
zoXP7SiAK&5*wi{PyKLHr>Yp=C&-(qbLBa0Z)DPC#pB{hWoA{ty{l@v#kIEuGu00&f
z&^0CL<3-u*7mMyKJoe{--$vF4`TY&W;hQHuoqwRQeN&U6#wxdkzbF2ze3)RkU~||>
z?;Bhb-upI1mMxUr{K$tt=Jihri3Nw^(x$wB?aE}clPP6WXXi|_=TqO?8Zt@sF8KUM
zeBygHpI8QtOEFH2dddIq<<7F=C}Fbhh?V>QV(p1oh7C+Bp805RmYe?m-p6yZg%ACI
z;%)bQUTgQ`iEqFEdEoKk|4Qey2f3}UC%(OYgkgd7gnWLE-yN)vCvDz1L*Y<zW5WNR
zdzZGyxF)@s@@5*t*ENp>lipU?mL*M$+0#@sYsS~lJ6pIk_U}kLz?gLOV4T$^ju#KK
zlwS1ocQ(vC*xNgyRy;u=`M*x=Q=h5#eoW5e;XL$1>h<(jy2)#HxA2uoe_r-`@@vl=
zK~<I~yEx8ou(6q_m*n;8;3Hk}|4)2V9@@#D3}Tt-_{dN1+N)1%F6wT$_|UI^VMyr{
zuMbZTA3ws7=MmGz@%%$e+!Ozm-*!$s5mk4TFKJ=%<^}R6X9+#<)C&8(XR^S|l@6kw
z0bUslO2^86io9tmpTCjsbHh_>DHiA0f0LeR_;9<=_gntySwR0hKlR_!-%ouIU;cqT
z>8XGB!3*~GGYangFql|v`^=#JR-@x9K_&r7;f)4TU#7gjmEXX-XHNO16-&i`Pk3M1
z)o%NG_tFnB^IfJq^{c<v>9RlKsrbhoSGqPl+uWF67dG4M&(;}_8zTNza87=EwjprC
zq=)|fjpg4rKk@hfHtp@}BhraU2R$FpJSzLXVRG^;vv$!3rL}J!_&<KQ%YItY9ILwB
zQ{GH{x>5d3(!Qy0u1%X``s2z2zvCZnuq8c=jr%{xK<oXqH>ID77A#ZUKF?sT&K!gN
zPXbE6J+AA!l=)}sTQ#E#+>>@6pUBZ(P$jeDps<1Lgw+$@on+)+G~>|u4y#{3W*Z*7
z7Bl5t)qED;B)$`l$7dUI-+AAhrfyLGzQrr-`P?m*+AnhNEx7b~;`<--x$<96eLr`_
z_w$bz_qC^9fBk8mcFFze7vH_<I@`UdbmFJ%*3P@XpWZT_%dz*{9PJx(^fdkFXm;MJ
zWt<=w`f;Yz&55V>Ou6D;eKV?O7vo&b<xg%Lnyb0;%{=`taa+tbE_~57ll}NS&EU!j
zSLA<BxcmIa8T0p3u6+Kt!Ns^Ez=nI$pFf7DZ!3LJdq44tGLvL-!{2%8JO9qqMV<do
zK$-uq=ycSXrS@&Y$>VF=BIl@mn#I{aQ&;@PxugpZj(?o3Qt|n`D`&;A2@Id&v(_-}
z*sIQN+rSaCKgKQT(~qvNe;U{D$=r)scz0vRq`Wr_AF7`1TDqod)8mG3_WPfdU-|vw
z!N&c2;;&mT648D(>+|Z4#rAfKZI2zFQ1xWixzKrYlz#pHG*8m+<i?0KwbSOPJk7SA
z<M8is&f%B^FCuTwkyee|rSLeSu`QNij&%6G&2yAf=SWXKcWLF%C$pEIo1+|7IsNI}
zUr&U8%uzV~bb{9W*9{XH+~=x&&pyZUf?=ZayU4jx&*wauI7fNy?RnDneNS#J{`7j|
z92vh&EaG#ODtqQ1pQ9+e;_xpP#|^vn9x?9TIPF<zo#mzVPp{<9+7$LIcg;-6A5&Rd
z|LkT6m$~rj-TK>yEnm#M$2wnbXZfRqx~G?JKAU0}*=zl&sXwdl#TSVw&+1=RM@r<*
z<vTam+}rw#!uk1v&u2Lwog-(J-m`M;-Z`?D_r6-bdFIAPbA(o||1{SqNU}@+-<>J<
z-=2EZGjIC+`qjr{m~Z9HU(9iIX7lTaxyCE)Yhr#);4W)8cYLl~e%&15&GV(4x*}rk
z-CXqM=H0Z;kn@wjufI9<-ge_(ujHD0ch3=dI+y9_v<I&$=W$$QkG(mM$ur{Bwm0+s
zOurxhb}q~IFqVV==CYrj%bx#lo>(-GeBIPXy2%qiF5bF%p1|!-G27-E1=l3K*kQK#
z@Lu***8S`D&J}w$@$2_LlU~evu=M;~p6bW3{~PAYM&_AL<=melz%<9?{hLV-x*x7N
zJ1MX`r%-3o%0og;|6(R~^zGahZt;0W!u*T*jlt&|B40)9SbV&B|Kq8b>$gq6u3dgo
zr+1FlyeX$^H%`B<{r{vy%d>saHz(x3nWs@}H(}lWmPzV1?D-Fy>*nz6-ZOE2#q_|F
zjdRxjoWrv|nQ@lz*$FS5&M74Qn|^J1JZtN{xjf!l&mO!@{`d7kqpkLn`nowho9D~^
zn0`&ZdzSQkp6ha!v1=IiPq}{T?k5kHnF4K$NmH(e?tHVm^YIMcjh#Dw%<SCQvFpZ4
z@6B`6>LRCHpWp2>OW>}}3-){SId;1UxU3TJxHj$jb73yV*{`QuFW&D|nc^<}^yu2Z
zD|<gO9$@`3i~a0evrRK*-u=9CQu@s6XE*LSc5wRj-#MG^{x~$7<Ls*QH+JT>?sxpW
z=0T4dbL7Oi8f{FX^Em(7%npeAHTTbjr_Y+&uD<Dv;=j>7W7@&ouYaapKd<hUU%htm
zy_te3j5{aTPh_fnm}GyG@#KT7$xQr>2mVfE<ezDMeCxNgnO**z!euw*H_x44G0*l<
z@?6`OYbKsl6G&e_<;JC>EFJr_-Y`tL;eYq%lUti7J=Uv;sa(u+B1t^=X?6XY?7fSX
zxPI2j%;#LK%91P``+LfbOH)65KfiOS-kakO-d4`xSpRu`Sj6k1qqD;w&v9M5d2aZZ
z$T`|;x6M_2{`B@+g}pJ=S>@cbgIj;k<~o_#IVbq@-Z|Xg>sEKw+?dO4eR_V-_Ni8P
z>$2zA)&HL3cz*i#yr)<A-psMPx__SP)nDF|7GImsWBvQdq`Uc38@Jz^V|VNRE|u)J
zbM3C?&st#~{ncU93ekz~S!)=dO)B5pd&>4_LF|0)_iyH^mVXbbdT(<yb*|m}x|>xe
ze@H*6n)$6~vUGms+C3ct^EaNl|7L|iXGNp)9wXO^gJE;-Pd>12cA0(Tp6PeLRrD<^
zn9MA^BF=VCEW^~#Uh$hcp3kqkw~=Mq`}o>xbL{?IkMY-?kv#vvlur5Z&j<GKab8P0
zHtFO6#Yd}T&whxtw=v$y`EQMkUKwi#_nTgZ`|1lc{r9uJG<!OY`SDz>@}1F}ew593
zeY$31`lFdDzC0(_m#+W!c8=<|{hMxHDOvxLclz7T0uLi&4XID7*FTwb>wefg)zwj#
zysk~VoW6hNN%f68|1U0^==M3oda=$S4<TmhjoXjkTr~Ijr1hVsztD@GtGYh*gl&iR
z(fM|9YuU3?XD^-lIX;T{`=%3HI|VD}slN4MeYy1!*PnepIrCMwdWrLIVrcyuRdKS(
zm-Fqn=aW`{;d#E7qi{{<;uk!R?QU{ConyEAipbMVvEFm+u7&Cv75@C4yYJ5ONxwSh
z1}s0x_`rK6*YkNQ+qca;p>Ox~X!TsX$VHv@)-%n+X7az8^4Y(7esGfcgKWj3jBC43
zR-JTu*C2djuIk#OjAdUAFwJX9jy=+_o$bN-`ExX`zL{h9_gmw#^9`;)R&u&Bt}we4
zU;p&R-W4|Ge^$A7&kbI_W~SS`nYu^ksph?%vHN~X*SaS+*FR;E?mT$6r*S4b^P3r4
z<(M8XvYM;1|07e<96L3~w*8NKJveJZ<m%?CY~Ik;#~Hil;nknBRr>Acsob}jRZ;Xw
z;^K!lOfxv<u?X&&@m%iW`iJvw&X6+Ao^2mD^O@p%MnU~XwQqA&qCIrh2tJu|DfadP
z26o1n-)+mqlTNISNhz$G@!RQm$Fq5JV*j0<_W9Qr-L5J5vy1LcyW?80=-QJhJNK-(
zd}D^FzlGto$%32bGM7cpnRI%tN?rV>NmaAgsIfh*tcsbh^43qGcTen;@8>r)NX=!k
zE)}wVtMEHgmnF9;CY0~FYVp6-9||JoIQuV3^WuNaq8c|x<#qkffPYiZytipj`8Olt
z`1Gx>RVLhgJtaP7W72$;+f%p1Zl1Q!T9uPC|JI5>$?NRT?Va}d>&p6{1$uK$-p<+W
z^JGfts_%1DZru&4$WzI`YT2~u_dM31S1N_So~o8koGq^3b!L;rwiWhu*PcyTd3wc(
zcON*OPCk9LZl(U8Ikx_x*0a>^`b4HS6dj*<Z+FBRWApb@-fWv0V!pb4;jyHjJy~TF
z@9mk*aJY4@S#{{ixLunWXU{SFt9SFmnz=G>Z_hEU+O(r|9*g~7$<B&YpFf>G-}lUv
zkg~OZwDHKp1L<B9zweHm5+a^-PGg(sgH-d^JHkE+&X?_*&vN}_6KBNQiCgupAD@m}
zxYS>2O;~TppBss3%iE65HP3H*^7&8$XL;iO8)ADlEKY8U+B4U}u<eFIUF**FT@#o#
z&SBLr-^4U`&qieq-`%ZQ(^kCP)3Vb$_ocM!McKIdtWT$NYAtBFyK$c7>;|dt!WYXv
zS$9g`o3vrgq{c3efH(63AJ1crT@^3z?Ar5*ALp@JsY`5mJx6Y;N8z3)8$SJfbguo?
z!}GCgHoa846|{PX%m&5<FZmVzKiQBo<IL9$j7jrZ^-EQkG}O;`+`~MV^_2CJ3H9@x
zo=y@x`}~I1pC6MR92c7OFz*Q$6YH-DZyL;IuaAuN7I=Scj{N2shIwm*XM3GA=)3v2
zY7bZE;)of_^6%I1rguy(KVfUIVxEruxyh!pyrg4}b<XmiuWR*wo|foO_OjVQ8|N`r
z>oP^Zo}+u`7fYfk%l?kLtou)M$<Eca+Ru7=+myd~VS5jMTw$*D$-%5a;ODC6qPy34
zx^?rk|C{zFH_}ROj;{K*q}7IJpB%CJH|78PQkK|DJl*W^pBx{o^{+Y~Ghf&0>RHC#
zmFaVJr+fd%E&IrQf}O!`LTR55i^p|E)`mrOb9H{6np+lBUcFJ`{G2kOq}_7@Hm&sv
z-Mrqd>fbyeq2$H?lP7+BswQv$Xvx31Hv3X-S~`DhUh9@UKVo}|V$96#f2Mu)vb^v|
ze}>5(hFP|eb5xh_eroe*wZ-x0-@VPc%FoZK^vtjfOs!$;=qx*E7s1`oX=;7YzV0RK
zo+)2XeKK{Jr|Qk=l=3b4&A&H`r`=fkOtybciNo|Y&w8iDC|7)4al*8+B7Np`Uxt=V
zoX=;d^*R0Q>2ll95WabaT0bNIpBa^Xv(&;<9M8PuwXI}eUu44?`)|^7M!n!m3qRWK
zei-&+@)!A&Ol}oNrhWPUr@LUnr=71qF3OEuStDOR&uUHbgWlu)Gm<Ch7Hr<|`e?(<
z|34>v5&gL1v)z<0c2f&pL`coEI^D^ee{aWxi3~v#zFhw}bLOK5OXVvYcTD{<_53Wu
zq#0Ic=c()IR%Fyqx%d1cS9{Wg)1hx>=-k}EX1n@B<IS0yKTiLm_0eL(lK!b*!hc2!
zED4*VwDVj~f8_cGojH|v!=`>!jg$(UQMspWeg8x6>uV-`?Mq5t^EWVJ@>B71S=~2Z
zDRW)iJ>_fATGr$n0xu41o?HB5g|~h6ys$SSFAhh}QgdgnjceF-e4m`^jkwtd*Jx?2
zxv)!JYMJteAIc8<U!0716>$8?_N>XPv+U=n*S@M?nU(WqzWS$QubZcSU4JKkj+^NF
zX<t82ogL8{^I+r08Ar80a3)Qf{#EaErtPff@|S&LjQ)7WPSRW`_<iw)t83P$-TyYv
z)Mjq*^BJnLl}*00gKxBKP+rrJ(QP@&rl~1zjr@D-nM~XN_@A4ppe(ugbi33h>FH~&
zs!OF0eD7b}@#MwS^)~Z@OYc7IG}<%E;Zd*RpMxcjo^0H1o!p?(aK_w@>A~mS^BvyI
zG~Rqy@y3(q6EE#u5nDc)xqrcnj|WP)llHf4p48F3@r6gk&O3%L<-c8IN(}9Cu$dod
z*)f0r-k)3M1*%ui3)(w7@c2vz`*U4a<^={T#_VR;IeX@;y}v?l&E(j?bfM_xhrLHH
zbV_~t$owH@rbG99|L5ChJM_=>J3hlo``n9Tb9^Vy4zk_9VawsOGlJ&Lu`i$Y<L0Y3
zb1q8Gv@f@r>Ai_bc&2^d3gPb+FJ=UN?p!^8Lwjw-iw}7R7<rN&uB_SrW7kyE{c{B_
zznvevcDK$P&+B)e&kYp)x#{!HkNtZVD79DbV%#&^>Tt`;!$0Q)KF{vnVsSF`?+2!&
zxq*I9A7%fUqj>K6NkQ3lCzxUxR_tDQHtEUf`RC>YXg__HxMqG<@S{_6{&oH}O}_Q$
zv&EmC>HVjSdp-!vF%Q>@p6?zxC-`W~gYKE`XJ-ZLePUU7H*Q1wgn2*aDowTiC{_J?
zwvu&{R6EQ3km-5)8|(MP?BJJr|9^&(vZCPY4R?+S_OLvgEO~UkYjNDXkeeT#Y<N2>
zq<e?z**VIq{%jR!)|qb~J>OqF{gK<HwvBf}H+60Q|M7Bn#ave_?HieO^Oc^yN>O<~
z-*)Azzw@P|w)focKc&d?L|I^-^mQ)}!J3sf+Wzg|rfL&&X@}_(8z!eY(sz$|SYMng
z{raSbdqY>=wS%iS&-Iwckh7+-R8F_ivG`FkBkzsv=IhsPo3w)W`azbYecRv7ImQ@k
z)>(6`TXc$aU#~-KN}x+a+C*mQj_Jo2mCc?aFTZ>L_M)Fp4=v5MpBG!Nn8X*jO}hDK
zS7gNYndKYjNLT;0+x=#Kx4@yu(j^I!EU~8+%}Af)u|Jx1|M$3gom=>%8?OJ|vuB-O
z^^ODP*)tcGP1>X=q#zdeW7g6?GbCp%lB-|Su%r7I^U*mW_sXW~>c5}oU2F4hMQqAX
z4z3wV=T~?+^Dr<U;bvgxT5;t6#``OdR_RDDS^I6n%Hwk+@^8+!e|v6~VEQZJ!^!_=
zbnLr%pj>B;$-g-vZ)UR0=D2m^@k~McLXJnmv)=xjbkmhl>)U+6vj<uaOKn)OKJw<u
z%_{{eCj2(Psoit<p85Leds9}X-+eRB;rdB|v?&$ldK(4GUapvt^@nN2)p;w^|9_rm
zA3wkPsm%m=vx$FZcRyd@VLH3-`5P|rNP)`v4(l)R%r4ux_UH?bq{pY+Glf6#PJEc~
zY^I<6{&@oG5*?mgot8XIj%&W|TGdq{yJynjn-A9bvTfRs{O{Tv$J_dn5B7Iodo;(n
z^Bl+bHx2Lm7y@->yVM=ra(u#9>#|j0=RfuL%{*Xx(!ze`!dK>6j|9}ey)qL&X<^FH
z{?k*dY~6?Bo45WxX_5O(>b{E})A1KP&wtK$TK~ji<IzY*;UwnydtR^j*Jt6qA@=`{
z53_}y?s@Ry#w=%lMs2yd`RgZWD_P{P@0fFA7QcNZhvR{18yjZkZR$RJkaOOS`=M`U
z@Xun3OJVfcv!VRkN9KypOmF7#M;&so`x0~T&hN;NTx;g=&vyv2dG+Dj3!yhNT-2HF
zeY-NF`QyYD6|-D?nLfl#`n~z(o(_kGYYaUpJP`+Y#sANDsV!Sk_v6qD-^Z>Cqiuh3
z#LVH_TjBF;_xU+|@2@s-C~+pm&gJ{g9J4L?{~oj08GO<%2fZX>89rZ_V^=r*Q~$aB
z6@Ndj_+?eYJ?rlt&IxSuR=kLR;*qp!%ATF4<|%k}2&<I6oaJ)8h2t-C?1Ll!pD?NK
zV5;4-V`KNKQ2F&M{f~WKmHB&v(2Ktl-Z2YbIC8&*$v^quj9JHLxMqD4w0fg+J?zo{
z8(cf@pMSErdmiui)qf7SJf6?{cU932)_e0(|IBr~zCT%b&l~kWo0PKVInSHhvwvdD
zF2#-+My9)`l&L8w{98Kf=$d(a@h26#CQn)abA#od10u8fr`y+e@O;~`@%Y14`9ezf
z)^2P2J)duz(!YucGcNEaGi*A~)HsK)zCMbj(aYl4j!$!4)>-VC`F<9k8q@!i9svwj
z=eT8UvUzqOq}%3$&0n)MjEAac?PAuMvuW$%%%cY<ul{jj|C*V59_?+7e>2BDOowIm
zs%x_{|IFvRf4}aC(dJp1&*$;of46;3+p~Fm-@Po>eCt}XW=3k-oGmN8BtLF)+S2fB
zzI)Gvq%#gDrgZK<HRpZu4!77RuIeimhT6>CbTx8H%-U_6TDs@@@7=t3dc^#Vzur#U
z5}i9gVgElN%XzUW3_E7L*>mOlpZS{izU!tqub;)IZgFt_<5Zi|daGyVS5Eu1|M%Lt
z?%!Y89=|^?p)ORnigWb|$w%v|_1Di!_&dFW`OTD2|4Et5E28Gkyn60LmEOOfo8K%I
z{XV~e>FC_1xY}Li>-WxfRhx9&y8H5<{@EXXE}C<GflvOueeah~Fa5hiN?eHba>s;j
z*3~=rDPPFb{=;1RCuX_M)WY>|<}}@XKVS3ytGErilT7|jGM$rfeV^Bdjy<t+Bey?!
zcKzO*gu1Ij8$WLO8u@=-!r#@bFQX21-dmS`b526t$%8BB8}8FRxPSe;gxc49&!Yvx
z!{$YLGk?7{w|;lH=-I9J1vkx4h+oP3`6=`N=g+ogS6(TcU37My=Ks)>YSDYHtP2U7
z;}`wm?!N=fDGaRpu9Rx!Z~hcE$JdwTarmS|b7f!7OZa<k(F3`p*L$yA*4K8O$e|p?
zG&#Wj&!vrzn-oNU@3|7RpJD!+B_6r^uGH@Pv!Nn=CTDog<A6PE2hPl&r+NRizfk|N
z1K;*s`BihXbD`+!r_c6ID7?CPXXmqBooDAZ-I}v~R?CaTuQqcwo)%c#8T#|3*`7TG
zKi_I^oVlr@ap&2aHP7e9+OF^1nl_0;#Z`@g{r7`siZR{{uQu^9yqnqde75HQITL5x
z6x%qj`P#>r2jM3jzFgI?zBaG9s(W_ZQN6pfSTCxSf1azEf81|o`D~7TZ&nn{-n~iw
z|13@S2xifLa~iu|&Trg)!)$gVbJD6<2Di?I2CU4R_$+2?W!*cd+sG7iW{2F>M9Gfd
z;x`r57pKe4zBp;d-Lr3W;~y~#a_qSL^#|MRhJ!JRGos%8oFLfx`ew}6gnuz64GTs8
zB_G&zd~W^uy%R5({z(d5aIWIO;g54P>+63{SZMoxp883Nt}F8ULr)5PoqT@&Rr&9~
zCrKZWNd6Hu>HOv^%6V;vS!1rq*Z(e?VfZF#@8w(H7A(8LG^gR`?GMMQQ{uQ}<|Ir#
zedS8u3TxZ!Lz?$4%=-93pP%vhj=-4-vj5n39zK6X=a-Jf&i_~Bm$9X%YF(Zq`Tt%s
z`>YvCSA`jrQ*Rc%zH#vQ75;ToEz^H6UNDw_zwglXe{*!-K5_7$FPrYX(bt4wYS=8p
z^G7`9&GD`MHZRZbq)5P=1idKsmm&pkUhmsH>+g-)GjIN?JmU~#tY1A(D=djYar*_^
z&=ZBC*Jg$8{=m55jr8hoNfT!Jd#L{Ta$P=dFT>6~bF6Oto2R+nFF^PQW75mbXV1=A
z|8uV6Q-7AH`y077HpJzH&Cz=6FQ9mDfy`v4pHshFUo*jM9uxnXB_(|qoXS5RoYU=S
zu;+^W{ptLVUp<v+fBC^Qmgl*;%+1yFw90e?3_slzVA`P4JvSkCSDZ%Mzd4%gU#BRQ
zEsNYVM=L9KyTh%JKRe3iB&@6|RmtD)^ulM_npbLt*^%CB<|RC@`x#mBVCC6433~UJ
ztGs+Sz2cWo<gRV=i_ZUE^6~Us>vdPBSKj@zWLxeW>-%|;O~qI9rrJj~Rku9auENCM
z+WmTh%83bwXB6vw+Vg5=Ti=Jw*f%#So=-Fs{i7Mv8M}eC@lm}Jlk1`bzgEw;na<+x
z7Sqp=du_hW`pI4GEAmD6Pq_7?!}~C!;QSK(t8s5SKh2%+`6Sc+wb4<QkB+RKQ!-_N
z>zmm@X`TN?e_xxX9F+2VV$_eBOO8*htSXxr|M?(O)f>jSHgWNt0{g7?zx=-X>6AZj
zwcl6%yJ#qG7t?95`sBByy|2Dn)pxG_cD~MEBIDoeoU=0nwZ1+5XBqim^?aMXdLLh?
zzL}NZr)asR*|mF)_5a^mmCM!~Y(6?K-&#HL<VMb{m^n#a=?xL(Ka_VQ$=(y*`Q!Ww
z>0LJ(F26Z(T<ULK%!)66b}T$5x<YLCh8q+6=XcJu4@;Wdyr(56dA3HZ3q!g91G8P{
z{krdurtP`;J^7+X=$^w2a-6>}NIG%8ShVK<%Kd#;8vcLW(PUkB(f0VE>H8#Jw#4zy
z?>u$g`G3Tmtffy6dCuNq|9*~1aDMCV*f)<ZDlx_OcUUu}?wMoaxA(H2PPbgy!-+kO
z{OtSlB3<m})VQ)8Jjtav*JSsVNtPAN^XInhe<l9J<?HkwiO$}mbJDfzPkJ`3z4kig
zo!!#Ol8a^tl>X^z@=%^FwWV%;x~8Pmjaw)5r)-Iw(|Nk*|Fg+kkFTv<FWGs|`o_ym
z4W}P{>Yuz#^!JJ=b<XVMnf6n(l4B-oZJu0ybE5H@i8p7>>)!hA``in6_s-IapJ)*_
z=Yqfe*Ga0oEsD;@l%8&=jX2`)cH8`mxic?qo_{e>H0JPE+xJ)1F2~L5-?Zmiwl~96
z$)j~^r|aI-$eph>_gurP47J8%XJ#eOl1rPx`1J#4(u6Cs7*ZpC&(WGbeMRt$-G84j
zw9d@kJo)g3t8)|1Z_-PguT*)}RDAaQi)>%N&4{*=n|N~dEVW15t`*O@0NO@1d-mG%
zdp*)-PW?Q0$)pKoA6L)I-ZuB@+nH{Uc3u&EeUQJR^RG_lYq6J8f6q$j&^pS>RJ>=t
zQlIm&J@YmFrg!Xo_~lUB*_lOFakDgCdDn-ns6M(VYj<T=^q!0PT#WlqnLl56tY>27
zX4U_SN*vR7&q(Yrn#;H0@1B{mvnQzBef(l><@CSLUzKm-xI0JFs&BC`<GjT9Tk|z{
zsT8I5PYU|c@%POGfr+=gPVLy!`go>h=tPfQvjdK=Ecr24V|tv&*FT)|HT+DI%4WUD
zVR#n%KO&al)5gG8A9ObIpHQi8TiNtuMMfiMjNOf#+Q%mI6X$Qcu&sK}j}4`fhttHn
zmmAO5s69DhSLJCAou$ls9?eWr4*XabJ0t01!<njkvyvu8GA>~{`cZA(6wA#sb{tD?
z-2c9E=j6{Pvbq*mxx4a&t=!eBby=l$q9@DaCfoTFHZ06II{8BP#2fOD?3tubEtqqD
zPO|<ZCjKL<TJEoT@H4q#&;KWE`i+<VABpJfnVq8dM|WX)+TI&?wT@qK<h<m(QEHyL
z_VshGisvNSpPP}tXuj4ag)<<bpK}hqnXi88WTx+o?Nw!S;tjX7)-}|)XQtkJ(;25_
z^lgs%a=)BslLF5OhR<6&_w!2CtWOy~=k5-zo0pjRc+39<Po7BeF1Wkrere@}SC22Q
zzB;iWbLpP)iiwZk_Q%Z7C>3PdI^qA!qz!>LHPhy6)Y^4&v9hM~&(^pr$Tan?`VQe0
z=7mh$!aF4Y%}SnFoi~5u{QHY}`{rz1yJk*m@E?}hYd`Fpr(qXg{aS`U=6EE>=Yy+E
zI~|ocKj+TXDF1nD&Z6h@G)lb$eC=i}s=rfv_4m9*`_`Ral~g&~S$1}h${gqGKes*X
zvi`$;g0=hU<oMfj7K9)A#myKqXF>U=4=d*^_`8YWa?A7T=W`cSzn@ok=M&SW`3pkp
z7Wo{XH$OaV&Z<-M=6?S@v$j2I?ws>8oqt<QIr)5&%S6XLuS6cLU=H0gzxLS<tLr@*
z#b?hrzv5PQ&8s;p_i)Tw{?YwJzuvtK-#4CkH0vkx(K*ZS@yH%X`1=2?@SU4;XTDl@
ze{RVBJNr9JkItPnX-;V9&Xhw9b7sAqw_;9cc_w$~+L*G%&(@_^p4qqe{Ezl|p>v~8
zwY_<DSg31?`0>^!>u;PmWB>R;@|r#6@BYpY_5c5KR@a-ky=9$355-SxEH(Vu`-XGE
zDaoG`ue~@f_;l~w(DU`TZ_fQZ-Fe%rmhz1UJ-b@p-?e=F!DY_<)1QKFJY758PV(`)
z5683TuZUZ>c5Tm>ck{c~zWV%D&R^9>Anf*hzxTolbEmGJ>G!NL^W(!rYq1&G|L3*p
z8gBSML-@yoMfM+=I6uzz&EKSOZRWJ<KQCwc&g<CnaKdc+?|Ygy%%1Q2-MUgk=u+JA
zNA~Y3rkrSu=}VgJd;Rm26T#o+_^zF<(bfFo`kIHq=~J)TzFy({Xz|gBAx?KCuGe%f
zO<`e`oFKAi&W4!WIUVWmySUXk>R<0%_xz!z{rkt;k0m`^`0D2jKik*yn>Kdd(f#?p
zanJL`QI#)tn$GVLUA*l0eBakw*Gjsw=;lw7dh@vK$Ko#^FGkz{VcfW~Yw^CLPnNx|
zoExxpvS8w}1;;O}{(W;^h^<`r!t;+Od0u(9{Y^uR(|IQGdvkns_s@6v=FQN|eDK=b
zc5l}2)$>~NPbOM1?4H-29X7Ws`zhbtiT}=amOPu|`}Y#-{;jOhYaZ^HKey$(AN#4>
zbJwkYGrLipJ^Irxjtej3XI(V8`}yL!tZ%P(Mf`s_>uB%hq&ZVwzv&d2KjYcwSwh_n
zN9s3r9s02<xaPv;B#-!?4fDej{>A)$p%7ZLsCkoD@=KdXJ({BXpSVB!5bf^K{fBL(
zpr>G(K-RrI?<RBIn&ncyd7`9}7UQNf#f6u?^v`tT{hL%LJ<IF^Ys{UTh^I&7>*p-J
z_i);p2TfhGm)igC;5qzbMq2&1XVaeAos?IQST{G~_0}2N?ElX?6ESiBM8QW3TVoS{
zcI_6Ozwj|Lr_MxPyP0!dA6R!%BJNV)Z_7Eich7UZhC2V>!0`V!8+`x2I$Qpxa|%^+
zU8~gv%-_$nImG@Td;YBM-lREmwmwnVv{e4|yc_9rUH|IcWb2&cy7k<OS+7rM@y_f?
zo9B96@9~QMg%j^baL;x%uauDbAp7T1VBdn4+9OtioHsVS|G>E8ef1y4SvyWhY&q2W
z>*oW5J@cA9IZjDm`u1>|D^q{iyuI7@z3H0iQf|EB#GaLpr}bB{?CD<jarc#PU6;09
zb&NSAu_*3g?V84OQtA_{w4AC87{vFS<oR(R_-MoP*Ei<7n4V<RWt4yLF6-J1m$Qqv
zcVF-?-T0uiI&OoSa<Ig^O2w>&W-5%#0u`(0xm>?Jb87JlzOeZ&ZznUHyt()4#@0hs
zn>2qun*FGO*|dzY;n4XxQ=k2^IY0C4^LftKk1&`odNTc&3Wr<%jOG94)K3;-eY{v+
z`@@xMJ3pVD>9gwQl;n<;3KPE1bN;*f*6by1N&6Y!PDm;ja9_Cm`(%dqie^t(cwg8b
zpH*8GcQE?s9Or+b(`Rox-!c8&hsqh}`8!{~<vpA9WZJ4ZOB%(0Pt58RY`n~szh~x*
zXWh>CIiy(RPsMKdFA>YwKhNsgp3iHGH>^LwnZ)SbHQ9bm(#zTtjGRxV?W_4@_2H?z
z{oa>HWN$jNyp7wla%<oGy|%w+FTMF>`gE5q8HW_JCn>r}w$0pme!k1ElUk*7ce}N4
z$^ZMAR`X)>CawM%F4dnHg<nm0v?0NEV}Pm5e3$&aOrbe*uYc=`J3eRMw3iy9zi-;#
zn7jW8ckCROyHA*A&)@O(^OQ;RSNgU4e3;X_m*L``h000KXNLB%%szB}){NQnT<U+{
z>S23-b4I<t#$B_ShxgBQ`96Qn;j?d<t5qYN>OWOqd+PmMfBkDYPpMez=6}7nUwxW#
zI{tlEarl}!o9?F1o3(xQQ?2FG|81Qd`NUwto4K>D|2>(obE@j@pY!6ku9_UfJ;(Lx
z>OJ#jUBA9cCiL&z_}jJK9gA&_&V6h7{oVEpA7|e=Sg(1r;N-_9|D92Fi>Ga#KP$d`
zl}zVNmbtUuzDqHA_+R7co?DwM=5AVjei=vo_Sxr6|3Cd+yw~JuRpg@8Z`tN<THo<)
zZRz^^v!BnNEcoY$@2#t4Gq3uayxh(=^H$RtbwguG4Vl%Ke$Sm1yY+(mn;k3Wr7*ua
zCU$%N{M4`8=FW=tPF$L@^4^??x07!ux9pp3yesiW>YSYQx;Jg=o*a0t$}N8*xAJ!E
ziaEYFD#PActqx&*dHTlfIq9!zH|@GScT@SbIn~wtH_Jaf?(ThlpnMYZ`y-m)o*l6I
z{)y-DoQS;nFW5u)A5T5CbI%*g@|*K!t^an!tm^5+OUE~^-}g~wv02`uOV%6{UYwol
zr5!e-*H?P-rwh}kM@@fz;QGy_Y^y&ez4o3pf8+jtUfK)&I_wYD#J#cHJ^g3=L|)?=
zYmUuW|C6&i?w#fAg?oP6K3{e6g|z(1#$;yh4(4AcS!PbCyZM)AyXy~2ZWi<3b2n{%
zvEb$h4%^u;)%Q=5|35eWn%T>^-*aY#p5!u{F{^G96Z_@M$5<|S*2~@4v;R`r&pGk?
zZ%uT*F?VBj^29ZbU(Nrq&5K|A_eIqSPP3b-?<^WUA2($k61yuA*5J5_g>m7WS@(aw
zu;dMnk$$1J?ES+7$qivYv}ebg?*1rtIObqPmuFnhY#Yu76|NZ^NAzcJPWjAn?qr59
zXEx7?hLQ{GH#~gw&dPT6gKKK6=KmhgiudKbQub4({l%QU^42dGuVH<5uy2R&|IZDK
z&t6#B$0hBkJo@0?y92QduOA<Lwc*LrgIagv7Vv-m!>f0$;o|&lezDbuS!Cm4=55<H
zXZG#-uz5XZ3)t%B&pbCl|Hp!g<8!y2tF8W_AiMfuFq>&oVRq}Pvbo#-%!$9h-@<6m
z-1O-pqUR1St(bY{<BU6x=0setd%VJIqL}Ufmo{k~s-~}>9@#WY>*KtdxaptoCdbTO
zy!Q&r)BIWeZzjqwXMJuoYyQ9a@$bF)A74Gq^L*~&s+T;^qo#QLn;XA=*V7=L=Lh2d
zP2ymlV{`YlePq&?IfeWGP0FmBGyCqZxGJk_bBfmP|I-o}`Qyx*`H#x?#}%x3dguJj
z=g*HWW39UX+HCgI)EV>Q|L=<Ojl8>m=9<4x68K+E-1F@G{Ml=+K1ophnOXa1#%gtD
z`Ld(~4atp<Hm{jec)$FV--HbfuTCbsn^X9%_SmhRb0$}X{+?H8AL(T`b8`RQjvXI&
zuyuL)<;*#35!3R+>-F5Cu&bvdo*ou_7x80~?CR&YgHK;NcXRdp4b$p3&nn8=bm7pN
z$9eze75X>qFMHcj)A9Qe1Miy&2d}UBX?&b<VSm-V7rT$noW4GCX@lwf28l&&duC>`
zGbSDSn9$2Uw=k<aVg5m>Z*S%o#!rfydU4Z7)y;DYtII3rub;;B{`Cv_-v<jK<`(Wx
zGH+lA4Gj%t_5c4@;sXQ2yyXlG3<3-w5bv187{i$K&XIwcD@l)mmEmQQQVdfPqd<~m
z%y$M!Ax8$zKQaFpI0cxJgc#YAI2oiFv^kO#e#S7yurSDWFeS+`Ilg3OpAd78NtiL1
zLxV~Db`pa^%>5+hr2jEaa*V7=GEAZjzmtSx-Xt-z#K<v}GbX(kh+&QqW#YWXk|fBW
z$`~Wf5c4jEGxp!>SOG@v7*0mcM+~uyvSI21vP>MY|1L2&+QcyYj**B_ijj$FV2crs
z;f~>AP;F3%k%|#!Qf^58_b$nnVLrqAB<>i!B#u`}N(@`2k`%rt2`BwwXm4aVnZ%v+
zh2bd&CnIwbr$CZI(lv(9?1Bv6<rscTGBT*YiD8NnY>Hvr$`r%F!OX$PxHX2AlZ}(%
zXY#+MM@%^}?_)R|RT!BWZZ5K5o6gEQokNsm`r9NSTV`h_|EGc++~y3yXB}C>Ig<2P
z5*?n$7{+{LXl`OGmx%e!DXqfL&)BN)EruoLFBAVH0cK7Pd1k?mZwzm_8Kqe`_!VOA
zI&xh6k;KWJ*u>#49P^4nP(du|HB%=`?0?SVHZdOrVl0#RIEsZ`l72Dh3o#hGa50#3
zaz0dIV3%j$_K=KWWZunSD8v!Wv6|5($%5e{FT-vX0fzkoih>ODnK<qXb#fSU27X~`
zSKv(g#!$<o$RIAA^gqc-oVoPhTZY&G#u#gsa+Mzp`7Gs}d`FpelmB&c=`!@c6Y^By
z&}1kV;^EF@@{gI+$>G3qgh^mRQV-{Mmc?Ss>fIB0=2<aUuxYDs<}$ojddtFY#?0?2
z;Lq`%Iq^#uOQJW&8I~JfEb$z^UhI20nHMp~cCf_8izI|tvK&;BN`J;Nb<#Nzcc$YE
zw>u;lGC#5Bx-g&q$oWZ$d0{t~?xYV4{ahE>r+akrEMwK~mOB4l>Z%J*capI5ml*cQ
zSv*_YWpq5O1Re?039)r^_r@qa7g9E3Vr5WBTAyT@bS$R9GwDMNf6{-R$!wouEMuJb
zSQ?T#xxTA><cMTAsglIYvs)yGlSz}WB&nlQvYDg#1&3kB1%|U0oSH6D{hwLSD+z~x
zW=w2l*^$&H7&{^6C4)BOe~t?bauP90-&l<&FgSTitYEy&#>^lVV-s^MmZ7VaMP!PC
zzXSMsHzC2fN++}!*#%?&XUAA9h&dk1FpEXi0d&0^ixP8ajC;%_K?$!<5@j!0l6WOj
zm}1ldC7L2xB3byEQki1@J4(!&8I#Yz%fi9H%pSw&5c}^@GNZiep_U{Ky`)DP=Q#8<
zv}BSLW+ho99T)K9I3E+jA@(F`f=1G(B#C7Tw_3E=lC*@=TvWC&8t6?jG+>Zs6?Eb7
zVP;j%WaV{WjNwfF@1QZ6g^`gtmf;j5yMN4=7~YsJ5tev<CT<pmxFn{SNpB6rm{J*z
zd$2Md*SMzggL8+}Dv#KI*Cc%<F1fsvNHAw$7Ep{?&vB67lku;pI>*6|q|X9@e9}K%
zq#w75s4HncdBIU3bdl=@Bkvba;n@PQ988lmH8ox`8>>j|WT+PU$WSlf5Thtul++~<
zE%b>oUdJ@1Q^J!e)=H3xg<<u}7z>r8V+v)G4oc^kgo7ClIz48Rm58wjnWWjltj%1_
z(Jrv|V^XKUE9TYR4DUN^7(RbsE?43B&Lg;&MVIBK(tD<-ik#w%Ng6Jm%r_a=v&HJO
zoVX~Nn8ea9B%I1oYbnUa`AlHTc~2&BhE#!U7YT;vpIPmdI3+)@AD`62a$M0*vcZFw
zRb657mLwK+p4mc<OBg4`upAUtW{q7Ud6_LXj_da%-nj<zgt%1{Y8cr)9n^R~aNYdH
zvi}-Sv=EoH#baTXm>&X{gkrcC3Sapj#IG?iNkHI%g|R@)5091qm0~O#xg^*G8JRgG
z_~a)_2uTVgxfF}B$Nut^Fkp!FF!tb#@icUv=@I*n>6gfoNrt>mml<3=o-$-}o^v_N
z{UL@+N{OLfLc-lM&{AT7Lf9k&XJG+`nI1BdlLSsNu*Cd}`JKe#$v9ssmtpoK=`#{1
z7-Ll&QW=a@Bx5v6ndF7ocrJOKzURp3oBTgqUB$^uIp#J~s)tI9!&-)X6=^0zrbbUj
z4Tf-LaTa+6oi8!MiVUpZVmP!rIRXQ`9}0iuc(3vIcaoBX(hJsrRu#r1$B&H6f*Mju
zE+1o*1Qo)V7!n;h{TXA}nJ!LZnD75tp-e@h%^}l+J4w$YGQwCXp!U1RXQkgx4+R<K
zax#PmOlOSg)GT5MkMZzS@jUE#wKFi7VLy9NG>Zpg^1qk9>~q;z=c;Rp2ud7EV%*4n
zQRUAi84V#p-hiKs9x*Rt0)BI*sw4$Ed!&169uYESSSX}n<@u74lS9`)D2Cf3>01mV
zJ129?!^ptc{~T{z_!}=o6nZem7zTMZ3p|NYVLJGclQU7H<$JQyzu>4O&#e=A6WLi0
zCkCr<xeKuha_s(=;Lqr3$fkKQpl3oX!}*BGO0!xR()p7dw!0*-a>mpON&e!PAFJ~3
zh3%q1VNT9Qj?iQVp_>9cQHx>){yk3e5-4V8iD6BU7LNTdRKzIB$ecWZVN=W+Rvs31
z7S6BU$^RLmW4OV$=`jif^2fZ1k#9(XT&EWi*Ksc)L5)F7fivbsz#XOA9QQ;xlmwV!
zgkppiBu$7;IuWDrP@+#kB<2Ex9b?d(7}J=w$pQ@BG5r!tbr}VunPUHY#j-G5N%(7@
z{GTbG(=4eZrmHjInq-m<M?lAArfF9g1Uu|9grpe)IhYx`gkltS$N0v)V-VzwIK?na
zJ;{lg;a@DH<O~Msm~%0zF`R;2zmg5U+cG6_7RV-<Bu(N_*qpG5A(n%QAyG7j<4e+$
zh&F{-mj7A`g3PfDO0OC6KV_JCazsS5NQf!CjAfJ;aq?t5X!zB1wNvyO&KQT8Octqc
zVq}vPVv<(J=rRN<`DU2xO)%@QPdX#9@PR@fhq1z>FG)(y2DiBt<}h-sO8#$_#N3h0
z$jP92P+=W|TTEXJXOfZ)N77@1Gfa%ayJ8rV|2aH}VTxsV%fafx$05k!Fg;3k8N=mC
zSq)ij3g1)`W-+LHTFw?&W${~xnU%4au~TrK1Fy6chYPbTo1}<JEJJeKd7(EB`m#H;
z7z<KlV`5@fJ32V)#5{5Ebn#(m-pirUq-bInbA)lJK+Jv3lq4oaj!4EB=NO@-9Bvv3
z$qfH}l9-YiF2*RGW-!fR+{q9Fx|1)N;k`r5(Il^!YaWg)oD8-XlmAZuUG7_OPmxW9
zqnKx7&?S`?mb-#UjGLJRIpRgQ0v{<duxcemoR}E!kI|xm@ve&0HwM`yACfrt`y5xP
zFmc=}WX<?hz>?9kLX6{^MxGGIP6ppqp4__`!WkVq_9Q7X*$I5<ILy_1pCP}6>1mQP
zL#4uzBu0U4f*N&93{R6h4#ZS&$V%>F=@f|PjLBk3;@J5pnc=cSl5`S(5(|eV3#Uga
zSJKUi48d{*>o|_Tc+7u%LK16%L1CK;V|byB&=-M40(>!w44ae07^H>xHI6wvPnyVe
zF^TIvm#m7AY2L!Dw>%dcIlsqf$2?(T_weSt$|%T^q`1c}W`&@{O$n~scPC1SD0AIp
z&~#zaj!{-olF$+3WEApL{>RB|At>;9O2MVCjtriqF_Q&$bNu(?WR!`S$hlZ2p}R{;
zr9q`zN$HBhFIl5$9gH!j6rw~cid}?nzvlbv%F<Ax#Q9f<fxY8P(gOxwEe>uDW{$ti
zNkZ>CZ5Y=kIT}nz{`XLiYm)Lcf&Kp79&Z?h1um*^Nbcog6?!eTUrRM<(h8ZQ%)C|=
zE*$c^IQ9xKCfQl_Fsj5Hlv>>A=E<cfahq9J<q(%ROOd0H?7o;PmRuo$-CXId6NOZB
zm_<7@I+8W+$a2)ONIXuu9^+w{^hAc;R4Yq{eG;=qy=4<)sS9g3pT^{tFU;9VcNn-;
zvWywlI|(sx^T!w(O^W2T%y69L!6=aEdaQ+oS2_8gQ?!a8pOxj8nAfU;g140fRVS(l
zE);T>(Dm??<WLlLInLo&AfW3qNx(3d=`YL088ZAae4GOBC#GD9nPjB!Ws04h-W3&Y
z7bYQJPLB@`tVv&z?lJIA&`J_9xMd;tMU*>;;k+87zDJBu64O<6Mo&)(vy4-M|0nE;
zsS)%SknLy<vdL3ocCp+h&wW!N=4y;F&vmVfVh=qTE<5ok`l|3-ER*~ybeDl$@~f~S
z<L#JF0*$3|pOu83Yt67y;Y`Ym);Y*2aGoJM##trlsO-fFzZGRJ#!NJr%9O{kIF{i;
z5+~E~04v6rCN?J4OEJcb>@H@L->_ZP*U*XOT^S@b-7dFV@aRg7tsRB}iy0-qx^VQJ
z;g}>Ou~^{zAx|q;3vY>yF3wDfhnXHulKRE`IAfxVy>`DvqDr13H`Dt`=a}C!2=i{&
z>QwU7?&Ra#t-{DJ(J930th_;qwbLnHvQ<iExr(ZA<wivX$K-zo8J>)8(zUkz{)P_v
zT6{-eHYM;LWs%N|xg4|0SUyw9kVCiAa&?APl6|@g&w36|Ppf3f#4fu@63GqH33@IZ
zCns>Od?gbf&oFa^b`1aYB~!TM;wKr#3!Yaoda1d7Vqw;K$!u0h7rDZhGKK#q*=aW~
zE`6r(D9JSGLIY#W@)*;kA}eN&l@Yy+NlH;nQ-zJ~71>#1|J&p=#Bd}tOpRH+Gmgb*
zW?}4qkE&#bh(7^>9Sl1q3>GneXh>QS%dl%kCBy%s*#8BwN(>X1uz18zVh)n2%9V&w
znJ8s=NXgox@+6D7l9ItO4v!Q;!H-iIl7c)vSTY+O&!{kZ)Cjl<T5U2ikbA;;LYP4~
z_P<iS)BS3R^&BiQ-wK*O#IpQ*&&ZR^FrU$Adv5H%m&q;+?<~3}TfAqAT*@2!U)NJY
z=Tw2BCPP8Yh9v12jX<4?xAiY(^U3S7^LrFdVm<4`BNTaDWyL!#bD`UY%q}MdJ&o8`
zM6s(+;+kmnTcKIS<{?-9Bu37qtho%~Do)0}f*#5L?yz_G>R(!UAcc9g`0WX7$p)$c
z>|4xDRr7bLvoak2tCFqDefvv{rh0w}3-8n*tD|<OLoYEtv^#k{gjp_JoM~ahM}`YB
zk^4(+j=tud>RNJ@m4#j9qV;Zr+bdHqmp#0icG**fxAgwj2xAw=)TGk8OHK#uF1@W9
zXUU|lT6&%Fx2I%b(EF0BN)r#pMA&ymGA9|HjdQH(WeHB=jdpd33{SFSoMa(u&A5_f
zi6dXh#k{HJ_Z|8}<T}NfU(TE>(`mml%eQ2`@Ql_AFBb-vt<QeR__%C+^<LhirR#r9
z=U!{z?a~=Np>%!yYu=fvhOdj(c4n?gT+evorH3)A=rrk&SEVcUEmn6~q}+a5u+-xs
z3;&v%Oa;ZSlXPQ*KAf0c<DE2V`DDW)krm7;IoW3aJ2R>?-Q5b-cC_wdtt{BCVkukP
z=ft!zHuk^8UzVi>znPNO2xhEyWO~nZBu4dsz|$Fz1sE@IGo38{m{Hq!)R8G^PR3;q
z`Iv@t3!bvPS}~h3_MhMv(WDa(7qK_~42flsI1?i^Be;VjNpyuYM@e+Y=^gwZD(XGb
zbN*H|D>*EVW#jdnWLXh?W8EYs;f02bdtGWx7-y|jV&jcjQSbR8f#G;%t_pLx)WyFO
z8I74f{CW7#;!lsX&?67Q7iVIeC+3<I%ydXgTAdWPVz&TqlH`HA;*tk6Csau!|NGQD
z)AOSP(^?PrmR0OAF_9kOE|T0l9`Sw+H7nk#h<jx2SXq$tF81Fv9lz$pHS>jL`QEf-
z+>(^aUOY2<0q8RIQkPkcE4k$-F~-lDc(Yi6F-Dw;TZos1{nGEGNpBgd8I@+|E;*yk
z_A-v)Y)K5u<8G#@R$craj2G%TRw^;r8Zq-<NlIaPy@>hk2llrvO!rqXzn{eP+P7DT
z*M(uS7)z=O595C>Ud1JuNpF~bd$Rw%^PBJac82U1(JcR`F-+%wylRqA_4Q(pXAEB@
z>?i%=l<ehtuQEeeg7-_3H_vA!?yv9pzeasjVOKagB~Fc1;AM-z$1h1M|4f{-yJ3SO
zGxu*!DgSdXlU{rB{1^X!=CzZCE@O<;%Skh~Gpv;O+z~DHUPAW07t_=x1<6%0aWafT
zlK1(tV&tDroLR=Wp?&5xj?|CYNwK=D+1$*kGS8WE6_W&4#xVTP6!8A?)KlQSK&;Hu
ztuqBDvprR~{vw9uakT7H!Ivw{PfD(E?Qog6g5CdRHN#;AiI^aU&yNCrB_}lmb#N|N
z!l5DUvbvnv$XkLrY0H|EA3Y6YH+TpzKKRKZDB;R{V?Ik$vVkXaETh(cmnHoVUO20K
z64qu=Ra$AL9i<g)_(40SGicHReFkS0MVA6j7UoPPj%ZevbPJZ48;klTsWQqw;uhS=
z@FDp>ODy9tnT<I+3@mn7x;<Q|r0XpFQTT0Apt-KNsI4c{a)x%7RSey+I*y(n5=~S^
zUkIA3##sI~>68o<lJzlLuEJI+XzFTe{8`rj5<|JJ>k-}ufl?FwJQydg$PY5MH8WLA
zddcA3bcN%oM3TRq;tMeuL&2C=D%=VaE&Tn3zc&1zY_QlO-h;!z_2*d^b|=p_4~h+#
zVqP4O_gnCR!IZDVC;8u;$1!WZZrGy2ecQzPgjkX_L*&|(x);8vFtjq{yZ%4k!2h_T
z-@`?~q=e`0ibOl*j+JbplahCAb(0Y?6_^vlcvp2I!_MU#rfeE24uS%`h8(3l2h7i{
z*tTOTgJtah;uwSf$qWm3U!26K$nbv020^iQMwO(kCT~v&9G+0~y@PS0yYNzpn;G0J
z=IR~NLi>~~Bt8`}26%Gxuq^$0K#0|Xp=H8^7@njErm_PGlU2V7Eb(ArGu7l#VG+`j
zPT~ADg<;>U7$w^kK8y_3%$wOOlI|#Sn#4#kJ?MNA_ao$e(<Z}>B1gXN`E&1hlqZY!
z4<^4Et2q6celB84vPx38l4P2s!sM^CoXOvK$23llYN>NPNr?h{NroGgSx&$E8ROHb
za8L3cW7mp_20F~m3^74T>tn(uvPlaw&SYUO+sP{s`#;#@Is@CX2^x$^9@;Sx8X5;Q
zJYrsREKq!-bkde-%7Tf^|EJ%mS>ddh<Wb_>b>xIbl*$DTXGIlH;R!6p9FJ6(IU)sn
zI+>OSykYA2-FPGB8OI+B*ArbFACuTmnlbV{Ke%pzK7(w`ljMK@ES1G}cF(a%I=E3{
zfy{|YMwKLghV%f1M@fMvIA$D{;FuxnCqC;>h=AnAy_^D38a6SCEHRrjoX*5DFwG69
ze;ZR6)A2QFHN&dV1!97RU;pwX{W!3KM<~Y9SJL*uv6z#p4eEk1o>w2ti81Wpc<`ox
z;cthrLoB0k(rv@jY_oR0OE&oTC7E$|*Q5_;6s->&eE4}rFh@FLVoa>#2XWpQu@^fh
zG0bC<4K+2I^+Jhr(F@LdE=k5rtd}_630Yj{@bYcs-j%c>X$ONaM<EL@ThgPq2CqwJ
z*n506e#=oJz>y@&@QR)Jq+;y9PwEq<TIViEdY}C7Gmnc*!(-D~F)TC1nP)DMNaVMe
zko1uuoU?!<jl*SClBK2Y#J=Y<4o^^UU`*mmQoJg}R2aKIrc)`9!P|;8T}3#7qh(SQ
z7duO?a=u1Pn6paK8)+_{O?@Y4e7(4emqpOWJ?3#I6SpF}E@Qev(!p2-C56>VFJsbH
z8wn*zNOQbRniM0@e4|QHii1(3ZEZ|b3X=<S%y9v34*RT}7fFo?9!Y;<t_#R|1jsPw
za`3ILW=!(fljIqAhO@!RLMfKPl8wcQ$&)WeS-D{`V|>wEf!GyU9x?8mc3H<59>)F`
zO=kGTn7JrPDEZ&3j#x&8M76Kkaw-pANPSh`z`;@AW^>>oM~{++TAYxImIPmy`blM;
zuBIfzSByz9oL^KpesK1!G>qfzbK$sQ&62)etf%O-vyyO6s?a1)2NsVg!4;D-N;Ff1
zRvfWRVLZ^^;kky<foaaE_}s81NnGrYy`;EQq6KdW+?&e&RN`q*L;V4d#E&ZkGSc}1
zI~ipyuf&9JQA*nB(`kB3p)-jy+2f*+phTCEM9l&|k0c)^e#Q4nnzh?yJ35)>d&j(%
z>|>Ey^(E<ZXHbLgca9m41Q};CT7IxU@y?U+a>Xv$dHx?5_X|}hX~xC`3W-XJiF$r4
zW!xAgzkqRjmYAR?OS*=v@`6b$sa&cm3+`RsqA4Q0BxYsB2{FM|VNTv8F~KOd$BT?E
zMzcPxlH_`S(^FUV9ozGlLj0-?DjL(cwz?!4=`^G;vwOs>VEimJ^Vr-s2lzZ?xrH{W
z@G$-s+U>@=K#R#WNWtFZxZjQQOp}9{{_;N#UBqJ<$@@HSqRej#-f;2DVsigqd6sR7
zeBAwK(!v-eMxkDhrx_X2|5X^de=D>~NW|=#Al||-QJpR3w!)mA14k!Bx~SSL?5Hea
z=3eknCCNa5N!Pt`<q3roMF}%kZtRFzBH-u8;@%-AU^Y`A&Lfe9U(iE^J;9tg&}g<m
z$VoE>&*Xp4V*bR=VN{$kWrx9Jfxb@PiILG8rJrn+Ulrc-cDg{9gw3nT60$KS2l^hr
zzqfG0oE_dX5{uX6Ci%#{fAQpm76bQ&Yo5v#9zV`CU)||&kSoSz#@mSsH`Z_537!9k
z%zbb!VE7L?{~yd3j`;hqdBr(~cNM2C_!b;+<2ZgxD&UGWTXhkm;vRA9MdvIHgD%?6
z;YwOzE>R}4X<1CcswCZ{X&*R}>=~j@Cv|WoxiBOj`Jgc|@=T=DlK_JalQZ74ci-h_
zeZ+8Dr=@oaKeObYIXl)cv0Y$z%U-=mq|b_vQ=C&bcKY0F?tfJjYdC!8a3*cI$`M-d
zCg~urlA*v#PEk&!8taxbNlNxiyr(#&Co+j2=9FdPY++K2VSDJhn1NSO%Tq_}ZoG)*
zT~%T2ru)Xu$qa8qbmOEfuULxf8wnep>MfGY+Fw?r+IV1}k`Ob8p!q~0-q*sGf*Vx~
zc1Q$Tnw;6|DRf%Uo=Nj$r?4ZF=^<ff6O$(~uUJLh#TCr8x5{QKWUct8lK*PD(8Rfs
z!77E{J5_T2o!l?zGAH>8!z<OwMLss>>b2tDX|dr;er{T@yX{_wQud<_Ydg+ns3|Ju
zyC@W|Wnp()`HY#%?Sk-ZdzI%bQcbs-H=O!kuCUpoK6?W@t5U|YS8NvNI1Vh{anqA2
zSm|UFL+t68cot)~q+2Y70w<G#9Hwt~NpfLnJXB{kXIH}y?{6tA&OJ^GrBq+8ii+@F
z<r8`C!R?8@4BZ>o&3TX!-R=>y;YL8aQ%rZv9*Ns3)hx;f)Hz}q8bgY9BuRuPZEBb!
za3sk!DN!vshv9Yqs-Os$^kwRi3yvf`S#jIvMUB8-hB|>sF%c^^ST=5E)rtAx60>MR
zWQXDg%@Y%%`@h^+$OyU>{s}`v@YWNPSc3P(GA!YrknE-tb8tc`1Nd(E82Lg5POA&4
zGA<7i&ML$(CI1)p*s3^t(|_h^ZlQjwJvl35l7)QM7PJUf`mdkV<e{y6ouk&tV{^<t
zhAUGl*^E!_<fu<(j@jwRARQXBnL$w|>cwJ4^#_)m(>BEhOr5M)F;!P*V*RUf+c_T+
zC+?GZ!IPx^;=6!!2Y-zHj7cmT7T$Q+5#*tDq5q@1L3qrvA8KL~cO{AKxO8Sh@571@
zNgiDc%pX!Yk_vo&$1?b>`pI=5f#osB>}yFO8<MsrMRo)fI=wJ-Ie#EP@WgMY6Gh4Y
zDwGX)k{<o&oFEdzu_on(?vjkPC6l#fW%wTmaujQp&W=$!P#|AkpqxJOPUkM=TAtdb
z-5fK6W40F9Dn!H_FL0J|jQMyx_87yDt*>}GH?HIOSUQ<?cR~7<+LKB@TRFXWb^gXQ
zE>l`r^<6SW{X6%8Z>qJ|WepS;oZ(E8Fkp!hs0ck1^RWHlwipeDy%x(JNz2JJtZh14
z@Q^Jjr{=CgEW>IhNg>Ar2N}yZe!L)ZqJ#4zS3}%~?+x(`pJM-gjCEm@*x@C}Q#$E5
zvxw)XcavAKd@xp)<9ICem~l5l>HWwPrzUKe5F>XW^Mj-?)5SMBNt_MVO^jU0jM9A<
z&QIj_WOh8+KZo(b-x}#tA3Qo0*D^LnxiWaW?B)t*yfH(FvAT0AJ73HMw<PtOnVt;_
zM;Jv9H@sASC}qiFyfNJ~v6$o1O*WagA9%La#6&bG9&uDT^nSu;XC6+;Nur4ioB5VL
z<7oP)DELEpek0>!wG77k*M%2mNd8EjHZL&p--O>fa{~PyPF|8VfjdcriL?59#mgDd
zGB55WDXAU#aW`XQlH?f{-U-EuGH)!~-e1UJ?67rb)E1jDB~nm$W}U^W$>EPLM$Y8k
zIJbHOd&3Qn>H3o4at+r%`jj%xN#C>Jfyxba4*!YW2lY52=kHj4L?EAQ&K_q@7WNd5
z8y9A56MP^edyvDIDM@mMPx1td&y$)aFmW_~4F22|AJP0p<wOX}{*QdsO7mCga4|mr
z`SjQGBTD?qGqxwqU-#05Dfs1sBToc5W=@>&#8SLVZb$5Y@h6pQcNC`ZM$cdKbKl3L
z2^0Ev_Dr19;52V_`o>j`lRF(5lkO%nbgI7(VUn<$v*^B*I;&{x|8rR<X3tr-d3D=?
znCUS^oxjsQ^7DKUj8$Pc6})PZ(UJdNJMO!$;5_`f!Mo7=#Q_$kA4b(llb$36>`1+6
zR5N$$NrtH%{_0G2(zCWD*&Uy8P$wj2V)KO4F=kfVAAFMO*vTXiGa)9!srhD3jNYt0
zQ)Fz{&fBwgvVr{?M)xi8LTtPYk}RH8ADvnME>Ze8L6luwzN?UN;*TZ&H5Q(ef8sKI
zmDxm&(s>)!uK$?CH}~7mxsPlX9@)2qL850@`s_vA!b&dA+#Oc=d0YO-&w3Q&#<TeY
zo9Qo>SXb8eE=jK<f#=({-=4G4X3nILB(_9PNgL+9b_)zwN_evDwVA)>WAcnOA8coS
zIKingcU5pvqU1?wxjCyQ3jh7@8MycGWG;WEXq~xha=04Si_KUwk;^BUL7OExMe6<x
z7eR^Xf*+$c|LkZz8Mttxp0Z@Mr$lzJq|^IJA*xK=QpZ)8MHyTZ8Tym|KNN6LTXaVH
zVc&#A#)$1U8;y;mj!(EQHZ!4<F<k0!%!-ffNz(l@Dj6@<EJ!t*qV;?NN2_7+?gk^C
zr87P!)h<n%d)-og27}=I?#;~FG7D_pch8u<Kxs0=`(J-PHhhv?SP=0ohI>|SN>bnq
zl^;JEe$1J;X<=RAf){NwbVX;Fv<ru3-<rjHT&ZJH`uy1&*ZqGo>(IuHi5`KTA3V2B
zy*%fL`uu~(yL^vJ&Y69wh%0^KS)HG=_HW{lU9kJ4AoE0?^oapB6O4tJl~*P?bZQwG
zX`JV&FIxG8M{vgU_wF-7c{$5fVz|mh{>yz^=qH)+`oM2L#uaOYH7)*KKXay%@#&1k
zPlS|D6+YqmdGNdnhqd<nNCEB{GgS<i{WO|rwCtP!^AAOfq>XCn8@^APFu5ajMqV=G
zk~t|4pLc#`W=#4X`*`BAi5835z862qygzfzL$0|`4HuZ`NNp(75#E?1Byd=GH<S9T
z>xJB&lCq4`GsTr64~sNZ_c6|}Q#hIVSYy)TCjt!})f*coMa(@tEyMUk=;36>4ONOs
z96ZcQ=Q)_A3QSMWJtY&mVYke*q&X)KCMm`g&bY%Mmoz6PO@4ttqd?5pnAai=95)5(
zmdvPGz$y^Sa807(swcw{-hBq|a$*+NDM?<tE~(_nv5Z55V}aPhtDPxr(l7os#3jcp
z_1`=z@x+YgInyRB^6X{YP}F;b<5h~F#!gP*84NL#TseLTsWq^+GtO{zWsn!^++*fF
z;~4XY-Hb^GZIa3-#0Yf91V~)b;hq$?^zkK+ne_&9Vpd3RaymF8>_E(dn2Tm77;i5U
zWqTXTSji#RCtI_$LvBKfV1op=&=pQgiEA^LtDktHP~^VSM?^_t&Z`S~4*MfmCI}p9
zY)Lwxcw@u%oK8ovIiFmTgeI`PYg)j<#;BP5@5PB&Mp<Ugmd=W`vOZ^KBq?0uoOAEy
z{FO?ba$+&FR!)2&b;iS_xkN=kf?;)=bHjb5hCfFa$Q%z`z|z1R%P@OEX)hy}IE$g0
zNQ}a<q=xGao)cDg$}HH|FVC^H<0(TXUq=Rq2FF|3B(ICD4n>pXmWs>oa$@q7I?(Wd
zBh~HVUrD}6t#c&j%=BPoOp@;Wsjc`?AW2kW!%xjw8)qgk#z@XOZMg8Z5sUGT4Y$<7
z=DZ9#wjyMKT+#xUi4&wI_C&-?E$_I;QK~rm`O%3LNpqh$ChgaHr&2y+ozbrOJCr_r
z7gVsy5}fqrGQ&)1=ay49nCEjO$#$HIWt_yrIA6wW-pl2x6CM~<b<fV`Zq}KZvx%8=
zX08X5u;;r;KgE<1HW;`XXKa#uwqhse1h<#T{o51gy?<^Qvr$!tF=ozIjwEm8H8FdB
zGR1Z@*-VnqS>EM5X~GH(m7OdeDqNBqS`RVQGbJquv0Px?JdvF#DPYou*#DE|C0^{A
za4}|o%!(OWvu<>(a+$M(U2BuVmzXk(qz|Cuay!0sFl9?mSR|o)AV!XJMv?MFU5?KO
zL(WOXoLey^z2jjBkA0`GDbuVSDh^yu8qat7&SWlZP&j09jVWd(%j1QPg*ziv_#^Zz
zV@@w+UM^~>d`DvaBxP|+4&GJ$6FGSo)*a#4SUID>shulcZoynmj)PquN!gN0kq-`U
zWDt~@x%I^4YYXOaD#|k^MXtIu|G&;O<9YM`icS8<9LeE6v${plmpN%>jVm*!*v#5a
zCe8$#PfHk=-d-U5kTGV_-iZo<!uwtJA7kX|pV#W`dD|;XC{Sz}bJ9GHpGxy&CrX5H
z*>W6Dxb-DwQnkejN%6Zk5?fXtp6s!X%QYfqIs1lKhKVO8Fun+x&7gQysQi<m$*y^m
zwk+p(?j;(kd!ed(3ESM+?JP6TC|*2}_NiLXcj5UBNlREu)D^=WxeB$C3^hcj&C&5&
z@OzRXZ{nB8V-XW(PP19XGH(g<uP2gU=WA7V&(L1VwA4<0L#o7j^%IK{uPD!1$FcsT
z#Nr5nWlY7>d5&gv?r4`zv^XK%KXF-c`swM<w%cy~A`=}w>q3ZJ>|76#5Wbm3DTi-f
znq>H$Nhjl$&N8O|-If)x3)#-@P=B_J>7~|H6U%8!n0~8TpY?M5rD=0scL_`VYMZm$
zmoi;hZ+H6sQl{eVGND<s8<^+FKexMTcU)}t#FsT6W0md17#Ep(&z1Ks6fXVQ_rub-
zn!BXr^U0gHwx0RiStM(@f#pafJL7@Z>dfq?_w4d4ejI6RRJ40euual5C#4Nu2Or(*
z-gdF*amJyNi=Ny^8S^<#J}N!kxB1e<!j%(ED;SnC?FurvpF5%HvP@_BuhR9lbF}MM
zn>;+ulr(q3=cG+b+4ihk8DGAB>r$rYd0$PQ20fT)_Pl%(^Z6}PYSzlc#uYYY$;F?1
zsPdp@=1JvU?@upbx}Ou%k>nAhWBhm3?qj_%OPMZDox`x)=Ax<JsWU%kcqF+wb274C
zI{27{_t>VSDbh&`OeV5Qy)<EYe3dDNd-kiVOPQXkoYZyAJiOs0=YyM%m$PWjOPb4J
z+vzcHK8N{A-+6O5rm<x^r`ycoh+L%5;#ixsat_CGo5@AfmNNNW5zmQfYTL!~cv4a4
zlk1a;X3lDJJ>hSYGRIky<#i?V+a*l*F6cd;)iN<6bP3Z_FYf1`PCT65_=4y0zbSE(
zmoYuP!u$D?@Z+USTNZFljDG*{a7y&g?!(I5Qa`<yu*_ff@Az`2V73K5j4`L@6i-{m
z?8Tm~E&rT<8B>gO=XS>K8>>HN_Ds+%2vuSDSrC1=@iXI#vybNITXrwEWqthWsStB(
zg3dB#^%;rtl9w>;J~#1^V=_bQr{(9GPb^~!mYm6I6EkNi(@~}mCl9gBy7jEld4o{Q
zgY}h5n3Wc}v~CRaV7$iC5v;w<YOT(4=1zvyOpV(oTb*Vyw^_n0T<mo2i}K_SCZ+zl
z4BiYWo@@(P4#s#d+<H=@WdRFw(v%pv;+H8OnY!3+oQRymo3kM|NBqVav#tI86At<C
zSIk<*TJ~_Bk;a7y9YvfTvpwc5WtB_X70IxD8QUzD8>=3(EqYY^ab}AF#|ELKn}Ncd
zTYl=q_+GG9(tNax{{;6Uws1*i@tHpAv#+zVM#Q{`UCLT<d0~ak0@fUr70PxO_#aQm
zSP{4Rg1<+FrJz_7%jZo=PZzVgGW@pNc(md|jN}3XoeO?^i<c%zF?VDzB|VmjQJis!
zse8f$M%yP3(-(vmE_lcDcvp*t{E7vv+>6Xu`WLZooZkDdV+$`+?2ms>k{iMv-ddA2
zXNTB~vW-jFuEZb8Sj7~xjCJw`u70K^Y)|t~-q7)2dmwt8_r?8V{410nZ+vC5e7oh1
z?{bW@*4|#8Id2K;xw*3^Em3d}D%!VX<@V-~$4l5w&S@+*TY2Am3EOhk$Jvp_21{90
z7@sRG?Vde{?d1~|)$N;4FJ=3DbJ+`#7QWXL8A?lyzARx|>Q~?)x47%{64s|nx->z0
z+0sMB_`{kv*evhLU(B*`30uf2w#QGMrYz~Yxy(LzlhExYY#Hp&D}{b8WwrZQVZpfY
zxQCa_S&p&>wiGX&4T~mwnJi&@8pQMXJ9m%`W0Fy%!rYll#8%qP<$EskUuOwh7SHQS
z6~!g2uXJ}@iC~QBm^WwVm1S&8^Z9pN)AgQvBzoG*T}M^UXfIz9*{!0pjO}k0AFE=f
zc=Er9^!W|^%UGYsEm-Qon6#<WV%xOkZ2DJ4)OCxNPG7TAM03}4VeKWX%d<R<b{skC
z@g>Q0*XiYKKf_e>zw6HupT2}krSO-Sw@vc-WvsDAkqr;tr>MT|@BC!=%6i%ow*OcB
zBCi)dc^TUG;<Dh(zr0Cz`yXWN(mXoD>`?U!&&Kv-hQ?y;oO!?OHpZP^rXtzu@^gaj
zy#1$I!ym-{+m^UH)u-U4l+B^3UOpu|uID7pNPSfD^yH$*$uE26{p5|A7s(>M(5&M)
z3wIuiaL!Urv&~t|oqmi-Zpt5SF*^S7Nt$UjfpJG`N1A<HQpewxgvI}bo6ns+b?x!C
zdD+PeuJ7y7){OeV+s6}g_eF%Z^q$_m@*Rnl*$s<%+FvBqOK&fZVcYQ{D>Q~}(~I1w
zn6@J?3Y=$!`|o)ABZ;YHb<g8ldnT{<F0=aAIYEIj$-Bi-Y0srI{Dp4GvsQfE_CvPA
ziJ37;Gp2jRvIB40!y`mGmMm~*?QpfNVN6<}nUZwy;)C^jx~2<Gyurho6H_qj$FUCz
z4Yz0gT=Q|}jK~dZ6Oz1=9&YQoFy}|0;yHt!KcYz;Za2H9O}^p6c%MNg=1uayZ#QBY
zg_r9*xe>Sj{pt{&UY`3O<TVX>diQ@&J@(_#3~MPSm89BCrSc8Z3#K!DND7YG(sA}+
zM9i^{^Us#5E5BthJ|_5~rulK&SNk<HT2HKr-Mcf~u1kIXthlMHx;GzNG;ZtpQMgmn
zKcU2K-}cIq6N|sL{Od~Ez2dmdg6~eVW}b?<bTVnhP4xvo1sRnWf3$eUe1q?xLejBL
zrDroL+e>F1X8C=rDVE_=%gjqhWFN#b+{i5a@JPTh>Bt6|pHE@}ITsx?``B=H!-bb7
z5uK+da?hFIZK}%hhC#S{S@NeW;n$4pVo3)JrLTNXn%(3-;g{&_jb<;NPiAmukWF!D
zZOnhnIHA;+@zN8yg$XBFCo`}=T>qwFeg}g(TbJR{Chuo6HucK<pD=mDp(Tat8@cZ#
zO<-w?+1ttI?(k5+Ht7gMI=fEH!wEJl>P{b>Vh(q5F)(GuH0Js$x}1BIIpJB?!o}^e
z6PRQta>ni9_^>hY?4-hZjeKXj&vP;E;Z2$>?-2X%CX?qL>k0WAAI>>+jANqTyoRKH
zj!D&~k(?<Lqdxx(sOZeqY4{{IX-oa&G_z*&iHe8bF?^l(z;vTPi_`*x2f|5<tUgY#
zO}^>-nB&8V0`8cGUH7J?bX?;|S7_LAc3RSGj`$D4F^$tta^0G9XEWbiorO$tOAY%M
zCn`U^kv#9(?WW1k=6ra&dBKVguV=he6Ri69F`03}x{3ucYv#Pyo$>k6Uk=GPFWzrl
zxOoF}1H;D~iwz?>*6X~?6kE7;_KPzQBHKA<zPDZTD0cokPva1-%xm-SteN|MZqU^u
zzv^S=--)W6a3F;<eddH-r9}d^*%PK*n{n~PpPz{fA`Ww3Xg$XIAfbsh>E$Vx-xt{h
zZp(0Xlyh$6xO=0aymI1GVaB)j>nD`ib+CNo`2AtRx#x@8CMujcY0%2pvHbYV!w(r_
z8s8-g%`D@MVLy=k?@279{9M*eSDMexcr@=psykz@Sz~DT!sU$Ie`dZD{3mc+pnsxx
zN2X~0gxf+4Qw90|eH0K@SRi-&$Beu4Cz`ZMcf30KLh4Y`oPTkjI4mzZ-n{wa1TVw&
z`EPGWuh^v`a-FI7BxAXR^W3*_y~+O;@!Fnba6SBQ*0xzUMEV66NSkL*ICpR2`V>j|
zdGGXRU;WF&oFW-LY5nFoYn0fI@K4^-^YhBSS+73M-<UMh;&}7^9~0PJ4&|G<FviW6
znh?_?#mkqT!kjoG-JM6Rn@J>wA@K-<gY`nz`E2o**ltW>(U(eR+nd6^e};=IPs?c*
z-KB3mJ%V`BCoy-k9Aw(PX71}L6VuO5HQ?%sl)5|j^-H^nRyyBQn_1Z3%z0g-s}T7#
zbkf4Qv-4j2&zCBAVzGSc#?Di^lb<vcPTjom$#q>z$?h3m3pvF5CvW4*O>tN-L%eWe
zq};@9C#8)QeP_ncTB`B<-?uqdCmlm)@J(Q}Icp>T#Nf)p1?QJ*Zkl=O==@i+t((`(
z_#OE|=}F;}rJ9yMEmS5t$jtoDG%;xJC+YQB>*g%v`mx(JaO;oc{|nqEFECl4ys_h~
zr}SkF@lC(>P1t<0(xb8e@j{7qsrfQzXB4^#XxPdvVEb69@nGqCkARP6|Ls1=r5`I^
zqPlYX%$mrhs`n;p^1tn0&^Lqc{4A05h3P46a$S=qTX)R)y4`lU#v&fxq}c1<*SM&y
zi2wI~#;rB8zTBL&>*Er&ISz~d%;-A78MZL!@rp%_j7eYTKQ!e`a@FvU{qJV_GLO5~
z@4|f!eYp>3xL=%Q<lhm<D1E3(c_W82`;IP;1bzm!f3tsmNM@Y9x;gpZ%!fzkJ-W}y
z(fB=Pf>7*#2c9mjr#1`h&uw`3u;uutIotRd`(I3(y<K$vj2h2{I?JSwEi~Hctt@CL
z{os1+ABMX#9xBFoc{FwJ)Lt2VSSDu1Be^n<ScXlW)2zZ%R@nHj)kqP@T%rDiiT7m_
zN4Up+uGmQo1&4&>3tzmM^<-nr&tDuITVwxUOP%{v=c7$W%ryZw&N)xFKaXit_vL)?
zWQS1J!DAa=c5Gl>Ao6&1T1Tr;lj+RwM>k46o)Ezr%kXIq^NJO%k5*UM%#4j-jam3G
zQG7u_vs{b^!;v4X3uGJ_lO}{d`8*?Zq0sTKSJ)V185)+w{*R7jSSNHx!71jB(35{x
zCOr6&G~;igcZ=xRiPds1`Dg3=|Hsn4<48gV_sSRQ2`RUiCjNOm!|EhQ)y!A(X52VB
z<JGJsqSN=R)Z`G<`S-$;<HsalTeH)GeT!_GV`2n6*UZ{vxuSgb?u|1ynM&U{og{R+
zpiW|G(l?D6Vh<RTj?Ub`&k~zG<K-qM)kPf}dnf#4Q%L@Ar*j}Jd+zhC4BP+A{8-4l
zBELrVh~FfeE0TXAR`%?@zhHrVWW@HR3X{0n8~g0DXFZjh$#YV`u6onKUUh|p?3wMK
z6kKKrJ)83^w|geHLd+8Zr;erP7ufX)^!NPKob}vx{(%Bb37g5reiOVDS{%A#|A!}6
z{QEcO#j2d&k&mRVFfQ~xzJhzUrq2_O=*3|hXRKC}T6MA@jZwT~&Fp5W6b-J$+JZI5
zo}VnxlFV3ZH+>1`)=iC;9SR)2Cu@!#Jaa5&ZosS=a<bV=IquC2*t3+qG3h{3g@AWN
z*DThGtXWeduDp1>X8x;>%qy5b-aK&c=FC@*nSZ=cW@c=>xw!7pvL}jubK2Igo$<P}
z;Si^RR|V6Ii8%)^oX|>=)Sq$j(E{0xFIg*R-q|z%glbmS&B7n6wbsliy1A77bE|zt
zqt#>$#wBwjVwTBIs*qYNcl_eFN3*YOJbB;*XYq%o<ZGMO%zk}Rz;46ph$&Wwk|wV>
z*0rF&HD-aEbIhcb{}cR^87?){o}Kf0e#M)L8#Ax!ERdYQ7$YjZzUavnUe<3jUfL|W
zE4NTQvQc1WZ}R;eh8t)09uwVRe29O6chX0jk4r?pOuj22yi{bl;=ZIR1#_{ESq*YI
ziv;8@&EC^FAxBe`m6?4*(&c|_8y+lSyB0a);1RCZf(Lv*d|7b!1IvT3kD@alK3`Gh
z%llGY=KUv2+b=DFSFSBgv01`p8)M(V{BOlXomq$EmU3-8B&pmI_GTfM;iHQw1uVUH
zUTjF}-@xva%e+u-QPih%3l6<%YfQQpW5n~_W*KYltTVoB!5?{NPgN`Q`j<3SXI+UZ
zW6Es}-6d?59ea{$4E);`L~P(pntO5D9KGdPkyTa8*<Ss--*oTC$pXW<GsSLdS1(~H
zpTpEpyPSEy?h+RDSHU`rF-uugKWsm}ge8Acoy~HlW0NN?V+s1VjHRl3G0TY!#RogZ
zUY*|fBZg&0f7*%%n^$=}TF&x5_rc0TOgF5OmaxQEFJRs?WA4OP9CMbi?6qCaXxex1
zN1k>}<SFT&8>;@yNUWUsA!lK{*b={cNz0jX9?Jh)##r+rzld4$qJ<3eiGwed8_qKd
z{^3Yk%<yx=>^~<G4zefzJ8^|S`3=K|4GtUF54=v_IqUomm%dFC3)c$HoBQYA{_Tqe
zp3j+)yr<*f<LVbTf3!6!Zl3oq<m}xE%a8rv@WsvLWI)@Et@FDI%>OBDn8owbqUt8I
z=xPg=9i6SQ40R113JI|c%O`BzxJ2^%%?W%pb7p=odl{TF_ixR<392%c9~O1oWLP!l
z@3tiZVV1W#qv!p#U&Vi6jx6^K|Nr?b&hL4#P;g_?j6XkbOxQg0%`ujlzuRNZbhyfX
zsyOgr=BAIG6OP=N`F``vKR;(a`h1hO-8yEu(Ehe$Mui_6HZKx>HlepUmQni1i8t?a
zZ>;<Jx@OL=r!$Xzp8M<P0)fq)mmj@kKRf@|^q-oWmkM6DeDb||?JZqSVeZDtfBIbk
zpH6I=`84g$6#dFex7Oauj-K=P`4W-ybN)PESk}up^UwK(5%YJPu(j`qHJfl~_Uu0o
z)*W5AChdo_&VqGu8@?U6IL&d1_`Tl^`8Q&3ocg>>Y`KiE?wp@nSID(0Y}_rs@dn@D
zB}^OMPY_9NOiX6mpnd-38ouN`jIwi--oBV^Gxu}qB8T&njQS<+{a{}%!kpw3b97IP
z!l~e&i(NlYC~iyIwoGX6bs6W%WkRQyecjfi&@1tQF`01#=lMB5=6{&GdCsep3A)E$
z?Cx43v{!e=;~dTtC!c(05`LlFo3y~v-ulID9i|R%oeu{NzF~TBYXi#+jg5jc44?lj
zOMJhCKfh9~e$Jb3kC!Da<3Ibs=x4KoPKUl0kE{Nx^V8;i+BW0ojU~LVi$7MJ`%*Rc
zWzoN>2hKIRs=vPHKj+Q#3+K!~aSJSb@jB^%PA}(!tFqTP7hKnw{o>KfsMj;t&QDw=
z_c(t?-@k*#H46@H`nBYV>Gnfc&HHE9X%*Yde;hh@Dck&0ojuJ-cb2nH_0#&iVOmnr
z#^#%IoVrh`zkZPX->c#453U`zH+M!J_!MKk(XTmX*$RK&mr2<Z0wR(oev1D5GpF+H
zW6ywvW(&SQ?%ekBFni3*l%x;_?!$>^JGUmyvSN+t|B#ds`)|kN=cx1l2T<n!ABMHF
zoth_aeV}{8-5AzchbkY`RoK3J_Hu5A?-Gu=|31B_PTzc8@h^9l{hKRtGXrlXM=k#C
z(VnOzpcFS_-JT}?$~PZ2zC4lq;@R=}U%O^J5uLqC(C|Uc%EY)gC*I6`@4==oxWR6<
zp!O$+AO>TWNL8Dn{{Emh59U9LIUL=e^zQcbr!4#DOxpZo`bn#{x3|1cTd^$X@G6@*
zd5Q1@_So-lpFaL5a{TR^)1Ryorqrug6;!|4`zhn}2Ah{GiPQL;KCy}FzrCf-6gQXU
z)Ka#sr<O6yKA~ZLPjJTLH*arm;F@?`F-A&isrCiQTl^_A_#&3G?M^9p@FC*-;ZiBa
z?#>Svq)j)LeEG7$_JKystS1u{;+9-%joGDk{>9v5GK*Q}Pe?qwsD9o&X7TKg1xoz7
ze+1Ua32m4a$#QbW|Ic#{JfFN=nEB?v>J`T)d4CqTbAnyGl9hWYSM`&m&kR2oGJTwp
zuN<bEB*S3-?$z~HrXAMya!ec7e`GlM<^|ug-Rhq|99CwC?98xch-aC6$)APw$tR&-
z8y<a}c>d?iOOJgf8ck%dS)_jaVY%E*`vVii7TVQ(OM1yJxA|oDE8$N}7f%W(7j7<l
z^7>>-ME8rd^PQUge{5yLl4l%U^LqBp`QNQ085gd#apCDdC-KSV%ZzhRBy?Ual-fAE
zKq>ie{>*=Gm=->Gki<6stFQEgxF0e<xZnNi{42C^&)hFlXZ*Ac<NOhE<I9Za8(*w-
z)8y_D5=h}<f0_Meo#c|Mj0!!klmC5>&0!RpJ@?1I8?!%ep8e)S(!5XYWl3{B?yp(U
z`sdq>&6Tqc?wRp1a>pu0&e;Ff9kC31D?ahexYx>b<EeP}gauJ)duFD5l=-2bK67@z
ze1ua3<LvvJ7Y09`RJCzodgYG!DIy-TBKu=hB9Ac4;hLF0>t&n#{9m)@taKHKOKMEm
z^JTH3tw7%F9fd*yZ(i)!7_+0SQ=q|#S@ch5`p*|1HqLzXe8wl<nDT=x)?G2R3&i_B
zoR`(#5cTiDJewK(-{yWwVeFr2v2k(F#@`$HlP0{Hto`TUJK6pzKju7XXKZ-#gMGpC
zjT66oVEj>fOmu-V_iO78<rDw?7z!s`e%z3D{=y<ICjJ?GpQ~4jU7P*k&w{rn7>qyY
z&e~ymzC)w`Z@KyWwVUTYQ=R?m`<p|uH#ycUoT|7-LL_@*g$?6@@Oyif?pbkY1LKU}
z?#>+N_ALIf?Bll+`fdLM6{0Ix=Dd3xvqh&hX~qT9j|CkHKO~;LUe>;9&ilQ2))zL4
z&8+;unDjO2VDSd+8I=_)UJ6R=`%u3?PwaqGq+HMv#U&=Ib)G+A*ggNJXT!U1Gv3zh
z_+YnEr+@ad&7z|AH<o|mIQg3I@w|8EXMb-_Iy}SPzJ7&;4dbkD_h!FW>A1u0IH_II
zL0}@Mp#o!47KgF+2EKm_1X2X6=X_jyZtm|%3QtlP6X*Q-IQ!&r#yNkJW}iAc`=3(j
zx9-I@AC{SC&w8*akZ}palNDcemM~0jUSS><^Y;9?M^pP|pEy4MbM%dv=$GdwYE;er
zY+HZf&Akr6H8VadCRIwDwCh}@m_P4RLsD7H<mCS|Pq=c0f1CSh&4P{}2YA=aT5)qr
z*~WuMf2?R~h&dDcU+kiWZ2sKOb7w4T=VWrf!FWJfXXbRXorlDjX3eN%;#?7#$h1u4
z<==)Uo<hkMU6YhTnby8n5wD*2xg*KhV$G4BkM_wMkG|wSy78qnWBpQ5kEAxSNM6}L
z*<XYwTws!%^~JVwh1d$U7K0nYG0iN0Q_N%Dtbh8H@#V(<HjAH`9cH@5+{LFe^XDc_
z|JT!$nAP=Pod0BD@@`ttNd@b#{^xF<*fCMke%3ov{uN9MyBBcHertQuDos%OM+rZZ
z{R-i0GfsbqX`l1jEU9~;gm&$pIj=k!jWk-tmPqDbWL8qxYRD{_y+ma0GRftqz6hPq
z-(hofskl(mwL??P#JD8)K8dN0nYmOnvE@_v@ugx#)0dt<y5P{f!}mqS7}trPG-x(x
ze0Q6lrFvf8)A<YEMoWFYw~S}kr;9(67i9SJPfR^2AHn#I@1^xdL-m-Wdlt(^Zf<N?
z#(O+mHv0R$r97*y$;9d&+O7SS@9uoZxhYEJ@9#YS^OY}Ve^hUY{n@Z@e7~Y>PHvyM
z%Ut_S)_U9H`}Qv3`McKUXgu%a_2qm2EaCZ@`s>xvQ*~Vdo;7DS%Dqa|{>~SFvZnT|
zOLy7&Q!hLF<hoZqW1jWd_fcou&gh5<Co(JY);xM0SF(OT@8o;G9`mtj|9cX8`}K{9
zS-)RDI{x>GSM9Ho^`Uan+gCm^xBkNSe|3m``OK|Za`BZf>Mou<!LsVv#WRO4{C(Vg
z%Qoe<=vTg_`&6=fC$Z~yZ2x4kd+OUqH(fU0)I0Goe<|<%x$}xm^Oy5Ho!_q;`Qgb|
zzMa!&DL$;U&)!ojcVczrNyXQ08<+B4Tgm!3Zq~sRE0^M48TtBUJW>4N%$gC&|Gx5N
z-emE7P(68(^mo4LRzE+4ziEx+c^s;`YWegjTYglo`NDUnatY7s-DOQy$1Y1R;kmti
zqUiM>?5}=)Ucz%tWuf70*4pP2Y+v>s@7&DE)E%?+r18#QH!l?b_|8|j_^}a-?4j>r
zU-|!Z&3d+R;}gR#Upd?v^o(5p&9?l+$oiRIiHZO4gq=*^*3DkVv;SPfpR7-e+dl9s
zTjk_8Ec|3qvQ)I>-vXXFCsjNBHZBpfVXj}m^M`#YkE~B8qvVZWOQQ=?V#+=VxHC&$
zTf)orJoeoG9U?ELK5sZ``)KzAGwlVjH%u<fO=g^Rw!>INgt>W*AeTR5bqB}2CA>G1
zelSgTh+y1&;>3fZg?!TX>I+vm<W!&J_M0%bDu#z?Mif)_ha|s~CmB5rIASh7Tzc-t
zqlJk-7xR7oVDhL_j`v0EABHy#u?c3e3;E7poFFAIC+5_e>JwMY>zD9--9O7ov@ZFp
z;ID0SKb7S!c(&(@V8v3t)m7FX;_fZs^ZRk<-V(lbQ$z&63Qo5^yh<zP!~Hhin0H^~
ze=-PvSz3K~qb}o@rShpCnJia+7rfkEFeR3ydKyFT^4z_>CksAakk$UGH@C*_&o{X#
zH3EN@^3~Rz;Cb_7*-1|GHs;66_}*UPdHnx$%av~e{f^&VT)sw%UiNn0De$)Li{P*O
zGh`#*ezeqo-&}fH>fYNW=T0jATFw{0cSnG&^{Z3oX1xC{cz(W!`l>h~&9JxZe#$*%
zQy(SqH#|Roo7>`aqW!P(bBnHj7d#*PN-ck1s?qG;7Wpvy3E3b2vQGAA`m>Dh?%NL%
z=f4V`kKN@Txqfd4XGLrB(cXXZyRv849kJijb#kHFtS{_y|6Y22@^tPL7Wt$#EwSG%
z@BF-(J@I7O0+HUBi49+$oNQVmr@Ovm(ai?qKg&38?w0(#ME08GCAat`;?sX#F_+}b
zx=@h(^XK=Jvx^jFePc3h+dILul12GP+YJ9dUkz_AQCR(?LHW<mwWq!q-v8Nn$^XE|
zdmrA~%slmPiR^)x@T8>;=PH)Up1$G9&B+oosbMW^Qo+;GoQcw|f_i&wU%iT4BKLaF
z+*dh0%xnHWE%oG_S!MOF_r;!1>5@0x>Q3GGwSg(=gP9tW?7hP;&i`4URM{B#gyHUD
zw%+y`JI{VKli&4thR9N-^^+M~znbmeG;yjD)5MRmDXdG`_Rj3zv*K69&C?HkW-{0(
zcg*~3c6OQk{11MnH*ZESmFG?><!@Np@*|m{<<zpt9FLaD?)}~vUfGbr8_T$ffnmeL
z#H5Gjy`Lw@%i2GViktD^vaHsdq=%<EUQcA7@$|X^XVPQNm_IQZ3u;d=iY}6sia8-2
z^LNs>4^~}VRXhuIglFF}To5mty+Et3;qk`<haW9H@NpUQ_BMskPaiFnIeg|fwJ(-6
z-`%uR;4nu5d;N0Rju<D7f<sKJ*DR5{*>L0lXH0ie!{M~X-QtrNZysUXzC?Dr7uT*E
zQZdV9f6tkay|DcF+>d$H%Vk!la5g?Yl&(H;&aw>cZ|2d<<-FtTzb$9I)%CM)Dd*iu
zFTEx#FFo;3fOX-8#~+(5eonY;J+b8mQ_@0j<yVZXt7pjE=xRA4psg|C)y6wB@@FsQ
z_+K>P%bPzBUVnJAkYhGO?~M-~Jbxbk*>HWaa(j&Qa*pfgW_+17;kSML%vULY1o~%$
z*=A2VCbm*~cB9$Mko$i+e?RW*oA~m_M14`upAM#9Uo4xq^#0oU-_(TeRxc5_S~sh9
zvc#8JFYGU}tp3Hin){PO^8YfX#{ZKg5)U8yvxvR2gT0^e!zTG_jI-Jwf8WTkc|r2?
znQo%?6MeodOnx)>f0&R`0l)f>NcQSE|JSE76ffaD+VTEzQ;yD*r^n`;n)4@b`&_~Q
ze_oZ`ocCEjmo<6bPgk4jPfV+3%l&v+RNT1Ei;tCgVikL&`eJ3~{gXNvd6*LC{<&M1
z%X)eN@A2h|{fpH_chC9rF=@_wxo%IElT4b7S3fbIoq1+fr|9P0OO&}Thb6t9(4x@C
z7}I#6{LP%N(^w;;oEFy2`n`E!>LQj$AClVJKK9T5b9deCNh+2*L##hoP0~H%5I^zW
z*&mGa*#v9mIF>Eq+C1mad<iL=#%E#^Qui-p<&=69`)|^9$JquVUlq2#-w^X_igLvg
z{Z)4QznnKklzov)TEm>LJ;P{|M$v&k&B8Hre}4Ci-0&%4%aTr2jY}sbf;4W-Uh?>>
zoT_KUJ*QNU=sipy*dks{n;h|}$~=XEeSzr5r5x^z(mVbwYM*Sda<<b%=5WuzKQBXX
zzPyykw4wOpLf(iKGMiUKsT+IR|C~E*xz5^;tTz_2p51n$=vsHg#4u$~-Z_iom$7~K
zGB`ACxt?%<_uJhwPJLipto&ofJXeRupBS%plyuErF5ADrp5bYQ=#1qP72;mL6rJSF
z*uvB?>s9;tmmkF?14EnM)h|}A`%#_3B-lCGwvKbgx||u6pExvE_gOC5rM-f&f+=Q!
zz@8VoBG*=K{>C@iqHfMfg}}u%E2mB3ot?CDMUbubr_fD_-AlGToAtpoQpf9%i6Fyu
zvEPffZZzo7p7+AQyizNz;e|W%o+O5^uV$=}tzb+3zu@H&=JUxN_4el$edy@Uo>b|;
z;yR;PKKsQ5C5~D)ot*y-<v(A2*gWgYyoFru%oncxIC$gn2IfST1-@_Qd~qw}RsXj^
z`OVxf;jA%HF-<2^jGSZEa!uaz^2>=#p%3Xyu?)?>7l%~L{Caf8HDv)ir3nISbeYtD
z&-}SjZjt$q8K?Nwl4}^+7ESu|Z^q+J!7%Gr7vw(~GRe<Okz=$!QT2wiWAgKbi{HGw
z_~Gk<rJjuHnKdlT2^vf>w>QN2B;A<t`2?%z0=MJ^rRHMqKkWZ>KB%SRVb>Ctt=pD0
zY<#jH_Q$MmXBRLgEnq&o!bMu9ddAm19CN;1pA<DA^V__&+xN^qadYL1j}4mh8U-cJ
z++eAmdCkUn#?P=B-!{*5WlR2VbuW45*Uu}SGr7m~<u71#u_@@XWXyb267a5Lj(PtE
z-6ol<Pl8W;ky5pP_v810o)l??kDJa<^sfK6!1?1h%SRiWH?FxkX^P9$oJA-8Es?P4
z$^19>^X@$#%x=uw|9Q^m_<E(R5-Y*xN)4mMtUAj)_HJB!{F89ka*v}66ts;Zx_%U|
zxj6HyTGqYlO%8jKW*t(D$%<T_J!{^cOG_D2=Y8Gp#}fNdaDm&6k291RC3y8;y!w>L
z`@txwncJR!k!4c#iM^kgMCW=%ObPM*(J_geBfEZy@OQtY^Ko;&tv23JS~jQIIcbW7
z>$L@pH<IRjd#}@+aU<#4BF4i^F*7#QJH&{_{$~(=8aD4~_WOBXW3yzJ|I7$oRQ@=r
zrQQC2$Bqet8JWusk1k`Jy~Ob8n;D<g1QI`5u4teAY4+x5*)<Fo-#+~DLHpy}Pf@BY
z-u4@X%RX!TVELk+$g<GhG}1z9iTb`L8k&tgqPypOnEK{w;>DT2{a5sZZZTP~G=1)e
z{XK{L8m<1bbZ@&9V|0^Q@QdosH49aLK6Tsqd8uIh(|NznFO`|UL}~e+8K2dp-QG`d
zkvx25QnTja1B!=kZ`^1T`PAd(t>sFW!^4kle6!)G{kgTfyX7mF@V~!zz)Fty_Y(f8
zQ)W$OuKX%1d%Vk%ajC-Rb32^p1fFEwxJ;q=*pBEW3ZEmF3A{C%Wj1fQ!l`AdS=W{d
zSg&6(`y=lsmd8u^_uDL&lkI!F<HZ7gQ~qVLCdo4d7IGQRN<G$Ox`e;#*p3$~AKBkq
zCjDnYR?-SDTkV!5JS-EqUnH_vawKis^JX#M^M#X=KX#g*UmzowEXMe8!?y<~ydQ|i
zTwft@L->dEo5hOHmdLDqy=ke$mD2f3mA*bXc(CL6=Y^cJJNL+Ls%GI|s`{b1V?o~%
zrT_aje-TT&sPdSp!$7?5;ET35OO&o#zRLQ&Ol0b%s6BfwPh0lk`n@F*<^LAxzFEee
zwm`2pX{p5diQBuDN}OLRUsW49vsY)&=CGCJAD3ufzdhmU@#XS$r*G*l6Z@9G$l&Zk
z%RI9Qt+N-3EmFAu<krs5g8dyosy8oHyft;!-PS(~6~DSLak5@Ga&JNS#$}4P_a9UX
zeX}y}Fyo41`?v||dzL6p`}BRXjO0?0<$o7)M9x@#_TXE+H6K^(Oq*Gi_;!(^x%P*S
zH;i+aZM&IvyhG^m3VwU<W&D*rZ$2*Mnzexc=!}%CvLy<q8x)TpnXxu$W}E5rpJE@}
z&bC)PU#_#hw!t85nbqy(^3^|Y3cgtsX!&nJe@D|&`M*~4XNb?-)&6R^{MVcrGHdQF
zmVY)w?lIqDIs1<qnID?&&T3FT-w>95bNc6{y3>0l&Nsw9|ITytt7_jez5P!FT^~O_
z+OhWWybGTHdFL$`x%EN6e}S=Se`j5TQvW|D_8S}v70z}wC(SG~-MvWRW|y2!=VZCg
z&i;ifI;va+jOBjTm;G3v@Ogq;^1KGy*%z6Qy!!s;6GOyu?pt%pt3Gkg-TQCW>PPW9
z%Q*heT`qk0mF}csu{k%NH@<KBxaLq)6L-uK;lHP5PSAQ}b#eKFjfa_%mdSgSonZd_
zn)^oA&YF(4e;XYXk28h6xe&8Rq&?;|Q%cSa!LXMT*34M>e1V9mSkelk{Fk~XVs0@t
zoOIK#QCTomY__O$=R^+sAA)fW|C7I*jF$cO?1lJ^e;?K~CNrvZrLrggd%f}A&2I`X
zYZk1FTdw-=-sUCBfo9pSGdBg!U&<6M*8T7Qa$#L5M*TUnK1uPOVxF~J?EfV8$WJ@u
ze>&7IF?<-a#M7N6QkTg;dP?UfruZeQkC%(>S1Ar+-n;&kYbHx__pE<M{+B*WUM9Rh
zp7mqyQt?i%8Qf==iqzFkQl8^+@C)Dh8Hsvp{%@F_v?SoqtcN$3iTbLX(0R1s#j)8j
zpC)xN9A2|>Nm56nZ6$}<3GcHjDy2EfVm=6^D1BR?uyMxyeud1G0ObkmCNm0em{fY>
z!3&qktoch<i(dP=%J0vr>&F<6o~^%kaM$PMs`tMw(aqXD`$ENn$j!fwJ)hZqbVFrx
z%%3d|N1H0=FHttNZ&m*Gdw0*A6FmIeW_(j-`SFQS`@+nnkt=`rb22OObBpq_b1%|i
zoIN3$TRB2=q5p=Y7Z2ulavMM8vpvGt`u=;qT2Srm84Z(;vd8{gJ>&cdzS&D$<I<OC
zY~8fbrGxSN&l#`8>la3fEq2Ua<XkrM*~TB!9vzhUJWDtEi|OVcdz1twf4ea2=*OkD
zPrByYb}w>RZhQ3e<YzPET%`=`J_J29o}F}gb$-r-PPv7Ja<>;dtzPiclbg}_bjxQ}
z^W^^vrOKZ$NiBD5yd9&q!9Uh!vCF?RYc@8tN!!ZLw2hs{u*`1HGX2OUwn<4#1^2h`
zd3=hQ?DBWbNx9>~r{t=|76)*DITu^--#C_G;VdP_-pdSUvS$R|J9KB`%pf-@k$-D=
z7Ko*Pzts3NMv+DQ$F&n@dzlX0F1PtulOo2!@p5M?=Z}T<^>ep>J9A;OLi93m)7{HN
z_BJs5?Ks4-$n~I;yMo3a%@q#>jwl+$<}XtF#K5^kSUM>pAW3)D!XHZ)iV9nNn_K<s
zgWbZ3IwDC&cZI$FRuR3y?c?ml?%O0^d@xOBSowZ~b5ET6Ifd_Gn+_%?Z8&JZduM9I
z2ELCIqvbf(#Ju0|D*0@s>FzlfRV#9|KkD6EWSzqCYm4EY9l6Sa8mo^)bT9-9zWgC?
z$z{7D?ppH9H^ST#Vp|w4vYN(-E~!)f_NpuNh@hb4f(2Ym?-$veV2xf}dq{mjjWTa}
zrR159H=nT8?#LD``*%|4<Fc6UjcUig<jg+)E%wtnmcAuYck8aPE|Xe4S;IiG!9{Z4
zSB~jo2d+Gc(dL-HQ&9H(BFRpT0I5Z*T0b&tu35<SY*}rk{#V)b<&s-%7FUHWmE0Ze
zWHoJh%cm)pvzJMJf8rQ?c$fVDt`{E|PqKG?NtXY%Y?;HY6RuiHiaS?HPEX%(W~r2I
zq{Om?y=xXqsXLum-|W1heW{aK3{x4C^u*-<fxBmzoMdubB9$F4#9qyGp0QFQckxu6
zg;tXoc_%(tu+jb|)8f^J$Cpnpekq!~XNh#U+>-w4Mb6h2rcFp{=W^Y+PrQDK)1IW|
z($gl_+NLC3VbWaSeD=ssyZMH@Y8I>x`*3j0;@+Rjq}EOnP+szG>!+3XyC<c7|MO+d
z2@dbm?+!68mdtvj@cr;Ahoeg+@;f;S7wmZQd8x##9j`ui>^pvOv9ol{%>$Pf+4i?B
z75~3&s<0Z<@BL>aBM$s=x13UAxaiWgWhwVgN!o8x30*QbW~FNA+7){re^Xb_?l}~<
zOjP^)l9~6zHnUAu(Egw!s(kXHg!%ld+bSCNoU@p8(!7^(>CC5pk9l3%Y8Y7&voz+n
zm-PNWNy}nNm-0-!wKOLB`zPCt%S`V7J?#-aL$~UaL-I<u=R4XrE?Pg|T3AI#*`fa0
zk(C!tcsg_}jfubdN+wo!*OzbSO<#H^BwuUb70!H}^!u#omaSE_39$^@50-pBXX+ik
zTIBw=C8oEozfvvqWX-JovnFiCii4ana~k5}U#aBoU21ZDYf=813FhkcrRS19R?T=n
zZ-VNc&7q!gwgt0~HEdYLpS`JI*+S=8XE&9u3*$Nb_JFDF{v{^0OKp$dUJ`Tr{wtZ#
zy_*()ym0i7*XfC-OH8WcPg}KbSTTF{(W@n8Ykw~@S^w{<>HX?cnS0Kdex7Ucuxfu(
z`U~0aBM0Kymc+#UeO12p@6wpNYfYZcV_dY(yn2o0_19B5zb%ak+iLPMZ!6#Ql`~U}
z-Y$(<Z<js$aFPw9#uC%L`^7iBj$M7oqjH(adaqMAx5TjKG77&rdTG*9ll!YeSRd;$
zo=%IIX7zrFiK_72n-83qdg(_BZ(bUk-G5=%Qj`C$zVJN$yNT=Rl9=+!-A%$mkE3+O
z9`k<Rf8I3av>59-Q|-`GGB3~B*ab=cm3?{dtm(=i*2i0gn4ieLTxzm+^NbLu08z%I
zfc5c9P50)#UecDk!&&wlW4Fo11CP5-=6+nj(lukp*;6y;Yh?dmJ-P5`!w-3u-{(#L
z+&dF=^1P{b>ayv3|8%G(pEsR<?{LNJ4Vhn4G~LhHia!<iK4UspMAwyf{RZjEbEZ#&
zS*vTrX5RhQF!|59<-#%9A5{N!l`AWJF#YDgQd;L#f!W7=JB}Hje@{%UIAgjz?&Fky
zi%d2zo^gVk@vN!!_D?teEH$~lhi7Tb+><JI*K{%z*w5XT)Fpf9Mvwh5<{gz&&)r<8
zIZ?26fyvi5C;29ZGU?nte!nA=#ezv_Q;P7a<x#pUNi7VrJTczQ^IkH=EHwV#GmC#g
zX)ModVYc5LzLsnC%a{+m`E$nfQe}7B`PX4;42RxNsOw}n!SXe2`mIGKd7GF!&zgB{
z=38nKmz)$h$?;ET)p5p?rh3Pw=$tpzik$J}><3?W{}YyBCrleJC!Ozf_<JL1Vbtdn
z39~!nzb3>obS&w3%r#@J#k(n)2f5`KV>T``v9qyxnaGi(!kLvc|9{7&jo~LAbuWmJ
zf8LOFFu#|5L8SN(_L!SD8k?Dtlo!~SN9_KTvu1JRht~%hyE-i&UtSQ=ZZh-!=Z@U2
z1tz6D2b86)mqotcp(tDFxbv*(Td@FvH+y0VH>^FhddHRgHLDlQNnWY)`0rWMy;(OE
z+)fK0J#U&lKj6rkV}Fyogd*O~{C$4I%es5#Om{zFn|VghHhD()a_PM%KSo&1IWhl0
znfy1#lRh6$oqx9Bg6Z$h$&x!xzdvs}mo0tT5{EOUUsoNCxc=g-X<pqzV?mXL@$1i-
zx~^tX-ot#(G;Dvu#Wg2V)%dzrFEJ_kB-XXe*xNNp$z_rSujSkBUEvnoi}EWi)6bf&
z^=5gzUnJ;;<r%N{PxY>ye=v8?Qsc|FPez|#^z!4@Gp13|%T1m}^XW={$k-}7hv9I9
z^=S@&wK;XmBDCg;3O2agM{?|3YO>Ui<?;R)>o<!cGuWU0WRhKGyi~~PD5Lty^Fr*^
zQv<@5nY_Hr@_#+}CY<lfjHlMk-m4SPcjB4?SLc_iZwq!k5fQs%$sTiPB7>?si{@F=
zzrQ{;SUg)|JbzV+#Iq^yJ}oi!E<J5j)mHFihrRg5%DPkMOz&?OQU4Y1s8O~gV%77c
znJKa}JD2P+m?UWLbm_*)^QP<L)bg)yb#7g=B;rp$OVWiyr7B(?3wM5FywGB}IV<9S
z`B~GodtPpIWK7ccsTNW?>(Q=dJ>f}R=d3@sYSb9`wR>+L+rTj4vwqoGv(qn<vV>-E
zE^1mne?kPK=?~r=f6jNldUpG}Xx#lBzaKL`u(RL4<6uJ4_8Gfuubp1}NjT%p{F@Wb
zoLIePM|9E^9r-me6JB|5R6p>iZ$)kVnwh;PnNIb-;@|mk!3zH!b=?PlU+pMkVqZRC
zXRlqyX4#7SNq#S^&Q9cc-oflY>Cqa_8>Ip-EOv4@zlk`t;0cSyffca~e_lVh{lVyB
z|NKQa_e?(Q{<@&*+WUuj`_Esh^_=ABfAhZSlmE;8=bX75zG=C?|H-C{OI8J|tF-;!
z`C+0@LDu6)`}7xUR!f;%f7-U`;pG$F-4{wb7F^oNIN^8r4TY|mf)C&3ZDcl$xh&g%
z;oS8U2L2^JbN3xtxIB5CT;7|QB|eu=&M{ucT>NQf_*I4Tx=S?wX`c?;c*CK4yYre4
zH60w@{Kd!i?0S*DyjFexiOSB8iHjdF{#oWbX;t^1+3HCz85kH?;b$LnK0ulO-!8v;
zxnIb&L*AcnICZ_)Q~T$^#vA7&-EE3OPsuVZuHuSWf7z>ILFL9z^AC02h);X4=<K3m
z=46I<tC#s*sgjlcztne&z(Jeki%-gnPnh5TSkCm*o)_hh=BCeJ-ngtviDCa5#+Zvq
zkCyq&PvOu#d5^a_Hiw~Q;bgl7+VfYqd8|xej&WS#Gr#Vqbk&LC^NrRw7Fka8y1v9G
z^vMUc7izu?9bpT7H@<9ow7~ue!?XiYqS-s%gdGes{INLflWL;OpS(4PpQL}CyME8&
z%8D5Y{YMyX-C$o{x$(o?=MV0=GNx{lGCGjnr6Ta=!R-@XzbCiNTH;-6_3>M=#d2?N
z{b<>r3#KnITl%>p_=EBRH!k5PNq-p(JDC$-y$H6y$FachA<KcK_GOF<EFQ9+@Uwk=
zvGL~1hW0NDW{BId%qX(EyFz~b0rMX-`;N}&wNGN?e0U<zbmPa%uMgC7|FU1O+~t4Z
z=KsO#|E;{f`IF@Ag(9~0%UpAQPxk&c**>MQ@{qCU{*Ou@<R@G|{&0%ZyoFoE<Cqqh
ze*Dm?#voYse?hVGUk1tjC(Ac7{g6F=V{uXU<<p@@n4DjArYlD%GzxJs#xk-`cxb!-
z#k?QOypK-GQ`6Y8ewp^qCHJhfmy}N8P*hG?;U`=D-er=O&PlhKW%B)v-b<Wne?95y
zUy`f-e~HzEUj`*hyXH?yQutyMAH-W2wxlHQ_pDPM1~+(>C;wnCUG5ZH);RqWNBt7}
zE@obv)&18N`8=5vapRb{AQQV8_w1zo%k6XC{xr9L@hFO=PS~|>f$PL0r+CtBRxb~G
z`E9Zy1Fza77DI-z6tVKvn*&)RPqXY_R4{*8#NNFxpQOLq_Jo6Bsr~fNOAE_uCuyrX
zEttJsUcI}6k@fWwhd-*i_t!7~@$}*y`TxrtVosXM&xr~Dw$#zHCpB))(ViKPepv`x
zCEvTrz&hv2nng~JC-i)r8+~)Z3;(87pSTt-C`+55dg33?iuv)!FU<I%XSdO(gCpjf
z^2UD*fp2D=|FNv*b$0ZUd*RVbDsO)9o@G=2ByrcgmWfKM*GQdTQsqBUGPwSX&(*w}
z7G6vJ_AGEz_Iv$%=IN7;)k~_nAF$RmwQpjm{=?mz{QqNU?VA;+e|$OW%3@h|X@-i-
zOUK=77-Jgb)EW41N?u%ad(8<ob?NVMS{JmEzs|Vw@z&?rVXG4!$EeS}`SA+Ve2EVm
z808ndJor9IU}<yrjo)A2tnfVPz_KnVZI#03ud~v2nk6eN*zkGDd#|{K3u=~J({=E8
zz0oU^<!ASt6>J~7&a>X%bIRGxjrHxsD-4~58E<CXJju!WA(rdW{snd)m_Bb+=Zn3`
z`1B^{`3(&HPA`8jcbsG8PFlS{t@6^_{-obR=JrcxJYVo`^YW=rFJ{<!YO3pKNB>R|
z(3H3_Pu6HEEAPU?dp2#j=)59D>dATrwS(t8ycvWmS(OZbZDh83-)JkikHOV%{fwW9
zEHNLn?DsD+-?(%`bK#k_(Gf9`pZY(a=u%_It^Y77rG}y8p`iFiMpvZ;ZilyZ-p%?D
z%h0f3<KgEYx6FSP^7qY$T|ZxioWG%6m+&l>;a0=-&nurPF;;9yiTz)E<Lm5&Gfi(Y
zwx3OFy)(nV*Jr`nUbPRe?t0wkj^TJ?ws<eks+g6B7VchPwZUk?zT-1j31;v4vLH0#
zXJgJxQz3?486L6UOU(C(zOz}+eQ$%#p3ik38CQtS`}q4hi_n_b|JpZ_8D1}6GCOI(
zEZ)z_{}>nFdcYWS^?{6d9QUHu2*DTo+F4}SQbf2e9Q2nK-w?|v`9Plg<-M3?OSXM!
znI_BkXG2K(X-)H!oHG--whG_aaPx7@)|ify3AZ#AL^`%9NN8NNjeWv(chTR&Ne5e$
z)C5ysFR<(2`Tlf;Q~aKj2Tm}Bt}8kGafMy|5hjM2OdA?MPWoui9;1+~^I!jPZrIJF
z%}WLRqd!_4-<dK|>O{&B&8<%xS(k3i-p4p+!L5bMx)vP1x@Sp@hlEC><h2uXH~!dk
zIH@5lZHb*|qz2zd8{tBoo)fYE!y2D2v9&J!q$BZiX4l69_Vpj;|2w5*yfNn5N{JK&
zwT=7M{8sajIMOI2w_?HS4=Fc4R8DSLl5F<o$;6~3v(oP`-FEZmna^k4qV1M!Tff|9
z%B!x@4{S+GZ2Dexhu&a$*?s(CxYZM8?t?d<if-jS_`xXrNrl`}oB94m9eQ`fBQvHh
ziCvyzwC<d%`OU12OJdy(Pi$MV?(Z_&%bzk|o@JR;vEt810cFJ_>leq}SgC*h)9+aZ
z6*nd=u6B$mwNhI%amDmUr(8{cFSolh$*M#k+2Ga<fe-&aFP?k&-iQCQ7cc1-`N3fS
zkAI=vM9VqL=FY#r)~5W)U54;;E_-X1EdI9C-co+aysOePb}_9<dbDIliQR&jN+I^8
zcFT(wv2SWzwj|d3q=4Y^nc;h4mfB2~a8KDOy3|JgCqvHz?&OP$ZNxviteCjax_ik?
z*?SjOw0~Y|eM+6h{rwlkn44=?om*Dk{Y5dp>{Ilfiw{K8ms-yMv~>EWBl%y~O_Qo<
zfBAkg*UepA?i@G&-JO4<Z-wu~6U>sXH5)qOPDF2hTj2M1-Jj@b4nkkK!~LY!U;D25
za{KbBSHtHw9{kwSQ6;(1?eIxC)k)nFlPeETe0BF*wATC!lWgzFoGjY&C2G<~&#yU4
zCR=t@$}x7lUVY>0jir<4G~{kg*?1yy*7C`3=PcYZ>B&Tvja_A%mrM@5wk%?2?<}dW
zy+<c5`|)tn*{@O7ru!dv)Gi61zxl!J`OCt~H!bVG`Xg!4lD;<|=S05P@F1C?w{gmx
zN!+|wZcIB9e)OfuF@ugH2j?dhH!QpPVAt^-%ks;19!OMgiuwA(^F&PH%vT$($LQ}^
zA<c9wW~KIxzK2QbKWq~fcC57DJz?2_x3LU2S&s`h@G`%T{WpI>SI5@BZ@xub|MtM;
z<C3ng|3z7>n^&IuP_?w{*p6kL;d@p*=s(PILry#FK>EiW3*}k5C*(a`UMdlJLq>jK
z`<d>8P9NtVj+y^r^G5FF?HiMJtd>gZQ-5*f)$%sonq4uATmNi$@a1snlD1>q4S&{e
zke=~V{+|4zHaYzl?cXk_Z*HiU<9hrcqB;2R>6j%g-)f&OE8PBRNo(xUyEFA3U(mK+
z{h@V3%#Z(li(1Zps?M`Ws`{Y*e<t_G_J+WpYyRw6x*^nV((3AE8&Ve<pPl>vo?YX@
z-!B9=y!((fzf<&kvhK%#qYvt(_tr0PFZ(fj&!Zy|OY+{PoNQatdU8o#-rQR%Yrf78
zja<@d7q@bGe)J{3H%k^4s4+;t{!$rvz~ubGw~rPzA57|4mR~>j=Y`TW4S$|}uC)EX
z>UZRe#G~D1={=L{KmE&!e}2gO^U|iAr3HJ1#ec>8UzQ*G=|<LHwrgPv8$U10zb*Nr
zyL$PQ$mOl4zEsx9Eo!k_I^$a%<C47FpC46B>qw0KFv&E#!!RPov4Pok|H96L8)G7V
ze6V_BKH=F1j*e;iNjEM$UXr)nTPE+`g2g>Qo_wg3zn8SIXU$8;!~Z_jaedg`rFo2f
zd2aT1q0gcmj28d@1wCD!yZ>{~i=PL4d1EeAayTB`cfNPQ`zal_&z}g^-nXRaZ^Li7
z*SmiN-aIMP{-;s#`^E*Qj)~mxwEv^oU@8CeCd0&q49Zsm)~-L{^<z=dpNYjAKAh;B
zx1ivv&Vx^Tm=0Kf5Pmtk|3rQ5n-`f)uaaM7#;P!|2EE)pqx;97#PiK(9zC4@ao)|J
z%gVnsm`3z25dX=*-l2PTNpaeudSyulSv&p(jsM;)xLd)yU{&w87i(KNrz-mj{tf%1
zD9tEwd&w)ghUfdc7Ar-}wEnVo>yqW>H~%hNc;|CNh9~bVmgAe09xf}YjSX9}T<fP)
z--k4Jb%7g6H@~K<-;`SaJzc%Ov*b&9t<8!VW;1LyO_%-JRefWLp$mg>zroZE%t=MF
z?K>^9|9@fwoy~KKUB7>#-t3@Oj)kdLkFaQcSeTlp#A3EY;epuC6&Ld-ypo$0_@+N;
zfx2>&Kze6n-<r}%7Ho_B+?f)tb+0)-GgrF(^$(^F=2Xoi4M$f_eB8lO`(|Zf#1j8m
zF$?`!=fsHRtT=X!cfsk6GoH+D>5M(Od{S{l((kSy`Tk|aoj=a6c$_pz`S{!>Wi77N
zv-~{R1X+1MGj^`k`(r=*+er?iITI#-+$($f%`~}Lllm8THn5Z`>N;@6y*sg8nU6iw
zC5FW(>SiRvCI-)?jVWhz?AI@ekNhe2v16^)i5NcZO|R}$|B0Ecw(-S;d&e(cFwnPq
zX#J1zqu|HY)1R-*PyE!sIJ~2aIXPx#@A2Nn>z?$ii%nsT{1!6(ZO>XSRZ)-n)yv9$
z%D?Gb<yXBVJb!oZs!bfMYi2uEF3HsY-)R4=cUk<NpJprABEL^cn83)PJn6t>j@+KL
zS1ltsPcKbhpUPwVt>2e*^0l6|&$lm4k9*A$IX$H#^2wW%uhf~@Cz&zdp3xv_y{7xh
z{AKB1<Jb$Tm!_?+SyJ(KY1-atj_C`G&M#~HzKQ$HnW(OrZ{`0ncCR?z`EvIO5tn5Z
z>0h@kJ!vLe^>u6a>G;^1<+(P36SBf?%=kR#T=EQ4!x=sI8sdFLmqa$M>$m-KZfef*
zl$)oPubI*3A+sPd{o9han-;{(o;^W6f64rl5{DLLJo}JouDyUeY*z0Nu8zO5|2z8A
z7Ok^g{o-JU!XJqREbXGq^ZzVa^6_owH@ywX|Nedu@AB$dv%oKMaR7fZ!%YRznTk3q
zC;fT+X+p&3CgF_7$-hr|Ph9PNl#TVx%6A{U7y7eDB{O_lrx5tFOwRA5LS%v6Lbs$3
zKDKhg-#&ecRM_5-pci-2_w&q${Y#zOA8vdb|L1r4qYYC(H>BwQ`#9%D>!;3%l9n4n
z<!`bSFSA{<!Dz#=1Go8@($~qo`0pO@tFE!C_xT6wzc*%nC_0!H)4c3=<jIKh>#~2$
zE}3k|ui^NobJx5N5y#JX*xg`^c~p3QhQ=|8!{6-eW+nAs&|PS8{fYGAhBVPfDLNZ>
zEhzCfc78J3Aa<cuzbnJ%8=D&XL_7W_<+3RAEZoMP)GwC&;p0T(yp~vo2j1l!G7&3#
zSowPv95I&Lv*e_bhTi-OY8}q8iA)m&Y9qR4)IDK2uz;tvpRaDu!e%w)h_V|UQ+WUT
zy@_S$v75J`;pjr<?#AY?iGmlr#O)W<+AYnglv%!{?&SB0_cpODUdQo#sl&!)YaZ`h
zzIo}=q-85oZ!%u*{N&GB^oiARiC;_XzcrlVuUF(pUa&g4bE#4aZ=|ut_u0Sqc1)Pv
zx8VEl^9#!#_b<4+@B616X)m73R)3qC-*w`6*GaCVdsk0-Z|*wfd|G0|wGY!$Og1m8
z%lzH9;M+-sf6MEF%9hl<v{`2Q?aK`{Pss(p7uWshnr|AZk+nFkqOEtn`R>bA6&+os
z>-*-Hcd`ZYFPL+Dana$s3+6vs+I{@ftXa#8mTvzq7Cvo>?S~lWroFRIdtcwvD0TB=
zLHluyzKDW`cZrj}&Cczf-MOGkG|Z@X{@U!{rz<ul_07+U{m3|x>CnZgYfpWxo8R)&
zc3EC+^qeKjpR;Tf6`#ucd3pZR+spE`|DMj8vOL%-{lq@gseDuIW#3FNoAu&wdCkGT
zYt4;kn~K*}ZgsxZm$WK&Q-aWT=P8TchkF=Cm0k9YyQ%(XPDe=G0-q;_qFXFGV>)Mr
zZob(avG6}@EW_@e-PglAy;2x^rvG1^nssYQ^U{BB`*z2FSDj%HYIC-%Z}<A&)1p+D
z2b7*$Ii-7PXXw2nGM~1WudlOS)>QktWc{|KVW(G@uFrqJION2Ml8=msCmig2v?PD(
zzON<gtC!_3fB*Exjj7+{U$31or!hHLF8XfR#)uHv*saeZH)zDH7dhqmutzRc_sJVE
zmc9vL7nT;htpEALV8W@1Ev0ksU)Q<&+w4)V=ezG*`&f8yU%GR4PJiFGvhXVXnKR0k
zG-dt%BQYVyB(rb#-QE61YyaI0`uy{1MgO6##yu0#mo>ZcN1x_?`oQMV<d{E=OJ{v)
z4NYMx@7aBSl~Kd}Rs4^=xSlSF@-gLk>cyl#$Fl8V@9w|5&vm41h+Q-_d3n?F_0ttM
zEohQG>B{Z7a(Vuz+YNVZmABctrC#H@k(q38W45WC@kiO+UAvF7EJ?k&r%6!DmT`B(
z>-1F&DGK2)k0&zD`q|;mHlO>3V)3lnYhTVLBr~j@I8R)CPP6NC<vHgWME`f~di>$3
zMQX#7{+E+hT0La@kWx6;_1}Veoevphod=C)zr1&P?gPe{SzR&a%$q;XjH%%MAi(&%
z<HyWhf4X+{D}4RaAu6%J>mN(!^@$qayEm3UPX2N5bB{{h$w!qB?mX(;Rlep!i8{mF
z-5)Z4cJ30LzwlVsuI~qvOm1{n+5NkHc*{MmhAY25GBqe@$94Z}{1xNPa`(@|B)6rP
z-5<pSGA(H8U)Q-ibmFC0M)@^8yU%qp%EoRyQy!7L<9F}39Z#=X?7DFMCe!-`w;wWg
zsC}QaSdGs#B56UHL(<u#V4nGZxH>fEGb;XgyygGdrpB&S%%YWy<~_}_k9U?Xs5!cW
zJ^$N-O^mUOvazcl%#2uEx~F4K`h@3qd-e!6bcpX>p7VA|@ycIo7aN}tDPLCa9X8?S
z#{>3hI~YWjjtjiCFY><kqIcKR>YD-jOY3*qzh0}zVUTW`^r=wg;RChVO0W0y?(&}6
z^1CByg){FYkJ<|HQ{@|%#KlkF(OvkkJg#^1(w-f&dhW+p>v!vheJMHJzia)UMFx#A
ztdFan|A}6bV|&ryWh_hjCu{!Rdv)*ocGbR;ooE=dDw_3wIRE3XpLmY<@3Q)C*3c~I
zcqz%{$DyAd3`^^Gp6<N&&r|Kr+Cz2oKb>BlQ(t<zV@A)e{a@x!*t4f+g?GK=N|pCZ
za=z|$ezWJm*`%I(@w>EQRr0qc+pPIg@@@K#bF$jY8(kDVjQpJ|{v<DLkl9tqps=K2
zW7k8yC+}xmSyrFwzq}-@clXf^&9fVy+L!S@6j}3N{~?w`4fS$Mi|bA=tF2f)V{Jco
z-|qVxmlXe)BQIXPGv?gutxJoVm&7ctk-fL^g8z?46IaW7FDW>9Dn@fjRbNMA>BbWh
zvt}0Sbk7T0UZr=^ceRAz(%!n|J}cj6uU?Wnr&GN4vF^9Ct$PfDbQ&tIeJV(7{qo~^
z{?BCf26p@UiS=?bFMV_CJ->Wf$Gc^j_5aq+bo$YCPWGqA)P<St`z#Kfs7!qSBu=_5
zaze}3KRZt^Nqn?W=i~R^K_^#bEL(a1<V}XJ>&|Z~)L){LeeGdp#oLKi;x})snBXir
zSLEZVDdm6uX;<`|oBnFjGO;zG$G`kIr5oJzQQ+*Wo^$$5#j}zbCC}8>P77Yax%|zi
z(>EqAw(nk$#iX@oidmn)_k`Cmx>NqtPdnhSI@A5NgAU^kzDeKnKDw;ECMvh9(^pW!
z-1hapnEAb>3$;TZvmY>GWsC{i{4)PfSGXKw{j?KDelcujo0-3xlgV^W%qK4f+m*Ku
zH+^vEZp!jy@GbkWSCA>VWlc=<gnxW&yBBm<ew=>x#b&#*8AZH(u`K@+nG0eWCO?Sb
zj{P4uLx!K>qkPBqDSKjyCM^Fs;lbgU1rxNHIb-B1lK&fbetsYxBR1K3<A=0aGi>C4
z-$+cn7Sq{&-)3Q|%0CWA#`Pb6Ph$VLd>*&QnzwZVNlMR>_AhWy=kl(*v!LU|5020d
zqgS0=(Z3bUBUl%Rh)4d~)SxS_KSMl8!BcvM()l;Jvwm@i*0Ij;y}{a<esrc0-+S#D
zYBv8TKlt{-^9jenB@yf~ypamcOHEDnm-Nduq@P~8e6vJD{*8~#=eyP>PuO~X(UGK?
zr)pF9zkZk{-re0j`PJD)4c#Av?Y);R`Tf&-V@G1X*c8jxUn-{_O0#``=;H|u<C!g5
zdl*kle*Cf3{2F6}-SH1?d7lpK`Pz2xvs6b^zTJ%8NbdgbF46T%?7}@6CNuY6>+Evp
zHGI0PcKdUw4^F22i=QuHjGt`Ox~RBz&oAi-KO{VtOt`*hN&5rIn5CaLF7c?^B$2(W
zW!i+`^OJt4XREAUtfS5p)IB?*V`k`O*42-)eY!VkPUh)i(|jVi_*E}IW77I1-IW`S
zU6>8K7}oq-)Dn=y#~$e|*~M_{-_okzsnUn%p1pdagQ@Uqlh*rhEu!-`#cXu{|EFVN
zKl5pwnNeml?W||6)M7ckl3SG}^7EGBOjhlW(sN^$SXZ5AoS`JgzkBK(HEz@03#`<6
zO@A+L|9P<G<HQy<iCj;f?JS2`HM=#9la^bDpFX9jWj8xdDTZ75v!Yie_t6Di{u-QS
z3taETEL@&^Va7&fiOR!*{Kxw^W_~}(ox#Ss+<LVx$G(4)U&!CMw{%j~{-xH_8TYK|
zJjN9(_J6s<P4@DccYA|oB-*y=b?jN*(ZHILR5z*j=+O_+d=ZuG8ZY)9pSj`Jk424p
zI*xLC?TP8=Xpz%B$RPhN>CB8*{Cun?u`Dq&|0Fqe{Oq3ATEFpU{p0g(+qW;N3O&vE
zW@egn@<fI=ER2(uR_ng*n(}z&iL*<z^EPQoFR3}2G;^bti;hs%TaLSb_+}{cC-3f@
zqO81d{RzE@Ma&;N&e;n|Oy@YM7#6d@_V}`zu+qBolRoz!v&-*2TKcEsaF>S6o;Nd2
za(({t=3I5pvAOYrHJjAj_AEGIB;390Rfk5GnWX;_jY-^#y!srh*DQFE_W7y2_Lt{d
z7ib+{q>;R^!rp#K`ALmOf4ThX7KQ#f+wyqhq>oE0e)Jrk>Th6Dx1>x+f%(%-XV+$K
zSBbE=CZ>%$Y7+jNH4579ZcK|@+F;(l;lF(7o|DawPQ3J&3@w{{^87-Jik(?+Cdl%C
z>fZ1|Cj7(&osxyECzxaxtdv;Ty@`kE<nsJWCmD912&)rYShoIa!Ow+qvVtm-=e4B7
zvlrO4Ei@C9`m4gUobmbUMIy;3{7rRPmUCymzF^V7n9Oj4M`+H1C10}sep^!h^h1{D
z@5MaJb)L$c^z`2SA-1xyvUTku-SUmg5}q%qy16J`T6|CJ|0Qv67gcUt6sM**?H<G8
zq~e8-r!B2GH-m5eN2BEx2}#>N2-@21y};cenWW|vmwc+`{+vV0SFETI>|QD>5EGEJ
zPJktA^~B%DlYYc!{b_q3d~Di+W!)34{w69cNEcmyqGqBeH*aIx|34QEmAL%77y5s`
z=*yY@NymAQVd|2}yVov?N?y==Uxi^+g8uuCUx!k<Hmy47A2WYPaNi2!zk5zpq%Alt
zdm||{W`eu?pQN0{8HzE}877p~ukd1KjLD99)FxFLH|M|Xy~+my$}AS`-4`?#6n*{J
zILYqchjKxNi}wv9p7JdE@<A|(^<<e8L-h&wx)Z-AGH72Avpvbc8ezY15_>Ge`kw;k
zt13TkNcM<4$)J1VbL^KY_X9#@KeT&Ru3s?k@8>D1e4_e)3hfSV_`S?rNoevXCaLp3
zs`?zndsZG>A1L0xtiN;G-OnH6`<M>Dxxn=7W4w5zli9*oM=vtxg`MEsJR@%dV`D_O
ztDg(Q{HxAU_a^RlWfu)H+{vQ6=55ExSslCY7GIs*l&~$C;ls-G?|YV)CrvVp)mahv
zZ<%)$_rl3Z`OCdiPxRS-V_)k1=R{xnhNb5}W@)D|1=}wRoce8<SNTbf-Cx6Rom6V?
z-}-9mGLQY!IEq)5`%Ca#J8@-W_tva$i#q?b<{zHC)P25y<C||um!>Y7eEeIIm8w8D
z|Kf>P-p>?Nk6?~?82f*V?V4rnTbH?Q^|LEKEwTFh0_&~c65@p<Lg!a1y!xJSe9@%G
zUlT+l6~q@${xM;p$K=S@zXENqonPjeRVmcHcv%GB40qA$#Z7PS%y94fe8v8r&<yvZ
ziwt*nXjDi^K1|VQ*mKYR{cP*>pNT4pdJR31#TH8@EPb=o`>GD}V%0T!zQteKy14K7
zCG-4efBx##FR|PDWz)u`J$Ih&N<Z5YxoT>K=AJp%Pd#6><NUJP=({Jo+*+E}sdAo;
z)Uf^<Yu$Yyf03fAN8~Tr&T}8iW-axpUwOVs=Cy}Z<%Fs8miO!m(ebZ7GwE%0{pu;3
z7A<SZ$oJILm5a~)e)8?AJ)L##JAbrP{4eS`vwyc=!{=4D=Wnof?6CbC8~*<5iS^kl
zCrq|Gz4_zQ^rhY5>%weKzCSZ*>+iqQRHNR`@Rtvj3r}z8NntpC)M95`$@;7JzMXg#
zU%Gz(oUPCEuj<H)9klSDx9RlS?MEzv_Li^D>R<e$>D|XuzblSfyb3dUdY<viiQDC;
zu1x*VKd+-<^Tp5eHW-%gY4`uWN-p`{vYt!Zd(XtLuKM`HAm-bN>$*SY+=^w*p6Oiq
z^~CRKlHVJ+KlU8St3NjD;<uAgD_P#AGQVHq8+z~SiRkS!zixiv|E%x!-+w|pPoru@
zPM>BDfAfD^!NWN_BHu~pubz-o(Rf+(eMk1sB`&h>dmc|`p1mRY-%?*IU8R%tFD4eT
zWH;~pcW`&khm^Vo-Q#oIl@Cr%-w``EWaG^1k7o-XWK3G(yDLO&ox%U*KEc!0v~PMD
zbc}C-eqP<peIGAGCoRZ`-?K*3yQt!QbF$s%*!`!iFQl^PZW8{v#8*pq*Z=&N8}A*7
z&Uw*tZsLIj&Z|!}c=x>ie>LplRGEWHX;)bvPZHFZI{0Gq*{-_Em3$>^FHgz7|8_Fo
za>d$D{sCWm&*ax$`+joY`Hi<K7InFb?v^{q{(8A@QT3wUHBJ8>O}zJnA#z#I%tcK<
zu1}PlxX{(yQl)T*{QizpmOGX7PfU|rnE!fZe$uj@j~A{uaj}}#E$M7bW>|CJ#OIIY
zCz&0W^cID6o?%a#C>OJ!H!P{=jOy+cMu%9w=|5WF^Y_h(>dK{kTB~O>CoSN%S=uKa
zBewfv&iN&Ml?z)^5?(DbwOQ6X*~h4TVQ=d)F4-rFCqEQ^T+}lmoK3oAWBKjR^V1ik
zh8{U-9Qg6f@#QCCKXNWuXYphG^BtE@8uhC#&Yq~e+32Ot;_kW?o7`9A%Pr~ZUwC@Q
z>&=V13|JPngsreSe(Fx&55uDibJkg`DEszsVZ#IdB=HX8<4fwJBVxWT^V#}+dDow<
z9s6%EE;yE)cI4aHgJ&aG=jtr$s{V51_xXj^`#R;^BWG<szQku;tlVm^{8J0Qo%sLf
zp<?>C=J$UVxy<7<-?Jp4yZ?0U%1I|$CyJxY|8p^*%>RGg-1xuh7t7<xAxpm;HQ&ne
zJmmPF??+>={SbQ7bNXEDC#~oeZhC$f7EN^iBq;ptX!z3$H}_o3ImWmq?bQTs&c{o<
z|8MR+Z6AB?hR~Aa{cG7CPm1#FJ6-$In=3vfn&<KLO)}T}PiudVo6z3#F=tu#a;^L?
zN3U9+ow4`@=N_>=J*WNd=6{g=({txs?I+DmUyg-s|FH36&uOh%RjZin53d&aIGt6^
z-xr<CHEn74x|<3Y-YffCe>uIhd-Ki<Uy_z|f9&ApX0)HNZQhdZO)q4+zaH6tv;CZY
z#IhNhpBL62|8*ml|65&Bhv31a?K5Wl+VFAVCha6H!&M#AHZJe_u<ORTqzfM=J9U1&
zU>~<@1yg_dr-P=~Hq2P(&&s(*WyVIihrc%{Ce2v)_sxnehaN3xm3zhC@tJXT!=jI8
zSLkN1;aYH4E_My0=$i|7ZhqhQd$RZZhBrrh9`C-BK6BmKNh;4egs-mYu;Svo`N41L
z!u=^FGGCRKdf6r$F|ePJx%U6pzUf7qeCMvqt6yqcy=d8=1u7eleo%AY(=n^2`h@bI
zwoau9jnQA!8vgX(KYz00`qCn=xk^19PZTEkOtN%Qp0HbC(YLPqt_rL6oLZVXe_`BT
z!4sz!=zp-RJGt)Tk_F3HOn<aBTwk+fVQub`9R2rS_x+t3TD|7w=@XCAAAaxuw*RZu
z&v`eNyr}N)l>5H_uU9nty+uu%mz0!To0P?>lXOx>kJ)yax$`brlS7kcpNv_sL^|e?
z2Sehv1vZK<KKyb&cPMf%vyA=ozjjkZyG+ss!~dJRemh=DI{HF)58KO2>aR>^FU?=L
zvNl<L1@G5CGd~=XUE#1^@D)P|b4u(#7P$qhK5tCdubZ*t@$yN1t+6vYza}WeD9$*3
z_R8e^+cSf0X6}ny!F;wOFYk@u!DC4sO@{+wW~j}7vGZ#O|0i>y&aD4}it}1tcRo<^
zJ)9ErD{$eANA+u7-b!BBXCBGOJ|lkfEbFwnpa1SztRpY^`qQq;&V`%1kLyoc)@sw~
ze(&tgjf=L(OG$Sfy~&cK|3T$l(&vce{|pNr9%K|*u)R8Lj>e=7muCGq|8-8(yd|ag
zPY7t&J$V0S!JLx{XJ&MCe+c`t#dG$ou%ioQlfQPUb}uqqI_v7KB_*5tPKJ9jEWP*b
zVAbg*Mc-!iKG7)ox-q}I<K4!}>mScA_1?tLJ3IWx;(qg+MoK-$#NVHp6(hF1IQ!GV
zPa+q;Z=bxpZ0Vogi|3Z}T&!Jcb^F`y>XV!Yne|WiXV-nZrqlegr>EIeK!4Ad-6wfp
zEDBO%x%0m7T+pV+jLm<3EY01;m(;g^d2rDtsU-^<)f_7K-CdsR=XmZ<%$LQ-?dL{0
zof203#K`(-@$3oQS3h@FI_M^OGf2dJT&$ep@bUMz#Xpx8d|UbA=bSx{1sAOO_)%M4
z=cbXv0_y`we98Y_oSnV0`ETNk1?S^`2sI?Q3NJ4^`10ejlCty(&#pf)yt=Gp{bUa2
ztD^t^EG@2mexk&D|BUz(0lU8~sk*&P|A|4+!WPl}Ul(r`w60mEG|8yWapjVdy??$h
zp3V|kcauH(%)`|5HJvA-%}-dwoEMxo^P`%;<kuf^BEBqnyZ!6Dd#BkBFX5QB;m-dz
zOVWRSU;Oqd+m`Qh_fC`Aw@?4(lDtP<yXyaZw0yi!Lw5DnW1qf#UHxZCUhF5HQ(t<Y
zf7~KknXu(_&(Vdt_WzdUTK$xBIXm(Ar@2*i6L<?Rh{`Qa&0e1OiSzuz0Pff||Gq9z
z>XZ8VW<^c^hbZyaXD69R{$AksY~xGAhC_05zV6;QKkdtj^(mYSzHPK!y`=r5fbEjJ
zd)*9QSfqa(5ww$7ns@sV*VH$Q^ZNfSxOMl5((EO9`k!tw9a%8-<Kt8H-3ztPPniB8
zdBe`SO_2*1XwDOwIA`*LSus~9Yw8E6C;X30p80OFz@-M|uQiK=*D!9H-M4V{<F5-s
zV>@`6&69R&$o}tI#A$gT_P<e;Mox$QC#I04`RbOp{&#+UzVq1Uj^pze7MR~_SyJ$8
zs))*_ox%c2tKLu0n&`{G{@LT}i2w${6YcgVlCl^i7vDbCc(OICtWmI;F=^`3?DS7w
zt3IvpO<R~famDrzE6n9LC?((fxBAi136}qVEL`(>Wt?`|=S-cQN}noY8Y|TsnQv}5
zHbL$936re26;=_9t2dax-x&L7M%s551*sYZxqpi%{8_m?ZDFddePe^&8l7`VD_wS0
zxCzE8cyrHXu>AIFn+oUZgd6`i-gPrExSDc-XZMnx+)b+)e_wR|xv+W7f*bq+(#xBZ
zZUn|GT~T0u<9|}_msQFv87gH$3$~qTWlimPU>~>gr_7#5G2I&;3d*`<cvVFF`L?jH
zStIFD#EFDtM#Ud&jciGZzg$|M9_Bcl^lf1qJEK6%r)ez47lH&IbM*W(i(TAM$?*4Q
z>%>CwReSF{#)yin+PB=OXbaP)LjUD;8~JB^dc3gp_>4c18)k{FUtpxp!?{WLfZ9)G
zDZ}{}S{AN}S5a1DJwJa*-9nSl$t?PXOI0#$MgDK(Son6s@yZ2$ra8&~m)39mv%zDd
z+>1FCKPR{w+fK?VE=c)ueE-`8H%@XqUgG(DLvJ$Y1kd|5JNI1sshMoIb!%wV{D#h1
z^Tc<bE3V03)OYk;u~q!fg`FEacj$lXVBL78_|(aOnTzX>Up`r+ANTXko7GwU^OsK5
z4tw(bkoKnA8u6QU>cuT>KXt0m?vujH&)bf*oS3_j^JHP)lFYwv<}9mGReqVj!sgTM
zguV;G_dYJ(^R72+>*5yC+e<31m%Tam`z!C{uxV@megE`T%}M^IL*lnxt4~edmAxir
zez**a{<477scV<#Z)03ixxYlF^Y_xqUE7v77i~>8e4?QKJ#+u&uN&h_bARkT|K#h&
zq-}S!@2l?mZM{4&{oBU9@8YC_<Gxo|uU^tz`}S}0)_Y4TzwQ6N@$SC(ipo_b>;KGs
zyk}|i++Wi>xO;8Q=gvL7F;a9d@8tb9^4eP?>whoiRx#3FHC=ma<X7!8%j<imYpt8P
zk8w%$`V!OE-pr@h&AqysZ@TKr`JWbVVqxQ4+FTU6Dad+5UiPLVU+1PJFKK?R^>f4J
zZ<F48M}^xpM&6%WU-oU|Z!eDINkZHl+21#QeI<0~ZPdvuXKUe(?D|g(|G%7%3xAY#
z-?I5*3dhVXcf$YGtXjQoN#(98{RPd(R?eBjR{3q?+DVgEFKPO}X;GzT#5$(XvWLoR
zmN%baTvGYHY$KaHqv^Q`dzUnyJI!W(ZiSshQppa+lL<d`yp}e{pPb>hsOs@f>8m}n
zs+YBF<&L?Uyh!PV{6t3fRR!fAKkZ7JHEqq3maAf`E>$mWNnI$I!eurizSiR9kIpc|
zJp!K>Hm5I?Uo(;W`I6?hPg!KYtlw@nb7Mv9|D{z)5i@tMS+M@`vZnRD8;>k)+P-b0
z)hv$mH4QQ+7&uRyw)}A9T+9WQ8I}7FBr}Sxob~$S*DrgMuJ9i}vtwe?iD!$Pu5X^f
zlU+AUxOdghtDk3dSgld&*ngOPsmr>pGeYl6m|p1Gz5TY*3d#S=8vg8GT9ul9>-@L1
zdvC;C=6Ia6s40<o%kA2C-<DP0*}mYs`T8YMWo9$n-+x^jt8Ua<$M~RP^UT>=|GusL
zeeS}S4>3!cu5G_zml(Tr(b_l<t9#4#rSLpn+OHq^NN(Bw*FmgL_m};9v#iN8$cX>>
zNw1AF?qrD_U_Qxg#Q5*E#*Hnvr&jqdtNgpkDq?A3S>5-wtM8xwdQw7d>Ec_QiF1?x
z`A-+x`eDKOZ|kbQs%rRUFI}u|o#efw>TB7I?Vq>Y*1GO#(5F%ys%|lD{kKa~=j$zx
zR?GjcuUf4CM*H@b+w=eJS=w||X?dgd`Q1w!?LH|TT~ZMex9xW8js@-JeY`KKSvupd
zA5Pl7dF!f<1+m+|?3`}1r1br#9qo+UZvQuY@$=t>3!j(r=qy<Jf4|zLl`6k?rLNlk
z?e_K{mA}{Df7Cj<NA3TYt$w+`=Er>9a{5Da-=^24f*o5<*?)dvw>V{WhfkYA%!29d
zNiQawMs{47ZPVX!=5+n4`pVMAWpR5t|MV}PyVYw>+0W&R*SE~Bi2XO^#?+EIWt%L1
zFU=0Ce6M^sY5tBo`+nSB@@7G1<mx<EhPQuacT`GA??1RsF=mTH=<ZKfJGhu9ZB+iB
zvgp^c<byGDcC1?cvy=bL>#rLxZTxAyC-#N<MwS)Q*@u`T?!=r7`~BnKRns@DF&irm
zvmR`-Yl!`yp2QM6^XuKuCnM|<V*h6(Zz!s-=<PiAAtbrWWCE+hxsUSYHxv)NIDhE8
z{kJ{a&o4=yeCcq_1lPJ9CysCazJH?sh0UGq{n-oMd1D&87j<^Zv$7?Led}6!FJ{NS
z;~!Y%lJ_ty>iFN+$Xpu1w(Fqi?5@rR*Z7Se)+8^8zxshAY4@+{ZwE^Lob#B~(cGWZ
zBvAixhMAkMM|#;wpNKs(L>d`m)_Rn7WO-EbJ>)-sO6a#>Bd2`q6NVO#zJ>0O|1I*}
zJmayt$Gm^+$67WnZOr|CQ~sV*N6XQr&8JQ>M0CclpYX`*#fP2YYd-xv`IB>Y4F8SD
z56m;)^?#blDen>c@6ShW4ok6~rf-iwwoPQ1wR@8N`|m5?EU#Vu`KQ6LPqQa<&wTxV
z%@Ws}OIqyqFDpsi*kXP&;LP&YI_)#7H$_OC3Cpuvl>F%z$ATq|akrPY{&{_^vhzp;
z<G$IOmsEBwu>AUFLC=YZjPr4?_AITPza(N7kMP^&wNnHf-YjW-zIlrM`=d`Eb##1v
z67hI>+4H?i%Qh`-oIhP~1^1RWn|AaIZr`&wZuXLz=}*=jTN?NE)Qp#_BWFABnHBf*
z%LZ5Ft(%lrEKbV1_VV-Q36T|Fwmr{TEBb9|*T#hcvzC;}um7@b3fq=7XTrMJBd0MP
z|FW%o3Tt$x!k?vOcT|}!|6U&VeNzF`lJX)|g%fftv)wkGI{Wpd?nkc!BK0@!$1ExL
z)Z%!v^5o|9Wp$mG3nsl;Y5e2!4#ndI3&K2?SFD+!BggpvMD#Jf1rwM!VrH)XB_Hv^
z?$6Kpl_yQw8Be!de{xX1=V7T<UDB7Wt5RN{Jk24iy|D7wuZznny*^bX-Foz6$va;w
ztGW%p3nxm+O*ry<!=H-H^|G%w%v0vt6!vCG)t?_%EIQ6~PdGGZ-PxOut;1%{`SW#0
z%=2ZPKhJGwsN2N5>~w9_rjD>>k@LK(-<;nZ?%BE6TD|Pd+ST8e76*NLvwrF1sXt<l
z_uPM<d(qf@_J*ZQ)82gBc9NTIN#v@C*;Q+{K8*e}A!kYHq={93ezICLwf$dGs_MZt
z`_QX}@$xri{FjtXWHS8x?*5)%@)b+VCQdr?bjQ(!s*w$1{$2rZ{_Sv?|KULSpJher
zp3$$*o_)H!?D=%2qYIXYe_W{icwv#PD9hgS$6LOOEidn9*|=@T2m3#atLJ;Xe8INy
zwAPVRI$Hlunw(vbSO4j)@=Udlk5^t$o^hyuq2>a6f1QGx3nqK4(XZF)jJtSd&BCgV
z;H)*vtLA34uM~+f{JzcJEaoT2C+`K#2eT`#o|&j|K{)KkzmI#*FRSr-`%CL^EW^@j
z|35K{O)5{7o&UH)zwUU>`CBJuFD?`BU%<|>`iK7s6WiAx!$0OcvfyvHxk70r<Byt?
zQ;si4=U!7Od~{K%==#p*=_^kjU%0k%LFb+Yy(gGc*Qz{UwDj|e>g;<9CcB9I-edUv
zQ)2Vt#g!Yq{+!I^N>2G-pZI^JqrKh#T|N=X3=29}$-lo;`*ZP8QI*(#LRV#$o?bFP
zb$6KT|5YwG8~iseT^O;%vBGZ^!wrrD8uHpJ@2`p6u}V2poPVKv^!gogZhit=*BC5x
zd)%?TR7K&Az~N1`9GpMWlbfHh2wZ-cq*jsq@A*&e3dTiqYgTz};?UixE7$ul@j_DB
ziO|0t>>4r>3m366tQQnIoiEVyA}(gd+>Hw+@WsA9(5lXuU*@-n@8gLjTA#Ro>|)Nl
z_jb~)52f>#EO>f@??R={(gkboF7{07vW^g1uyo^+1t&O8E}I|x`CX&w>}B)S<NmJ5
ztB_kh|5j%bU()JTyCOdx^JVycZE5KF1*iLVScSg1$#=7FlYIZ8=}UvBe*O~cepSNe
z4bxJeqV0>i<tshU|9tFk$i8OcQlHP;KV7!9U+R<oCG6L?lbgGZeBW&9QfnyCp1X1`
z<Lf=s*5AorGGXWar5^U%mrQtGZ$IVX)+dJM+Dlu%pY+<g=}GpaS>-`@XFNJ_dd9P5
zt>@xT25_D&w3@oKb?SQa&8C|oHZSl~ma4X2)>^()HvV2$(5z)2=e|n0<^ARK+JCh>
zo;MZr#4_yI2r72&Tz#dQ|FmM^Ig9zL_AJc!(JHk*wPWTX`;T*01Z|)4`bydQ%B8J8
z>+aefy>@Q-%Bq(fTg%sPTGkr;|CMZKCG)ITZ`LOM$v+ji@$7Q1@K0qMPv!N`V7XYj
z@#)e@J8!Q^@;}eGWWuMZYiHNJWIijKTwnQ6WnE^}myb3q%O*`*GGYIohvz-*8CPxY
zVg2kj;mFrS#@kG?oecaB<J}(Yk7&IVr&#&m<jIv68J|vE_Ugoh%=3Bw?nZBaH$8tN
zhhglw<zD+gCB9i!ma=m3o~5ldt685$9n#P1+w;3C>x4k-hPVGae)+~MTRgRQqtw^a
z+qa!t?)CLtbJ>mN{&U;PH{DzxvGwo#>Z`m@yDy1bZd?53T;8ppXA9M>m$pt-VeR7X
zlG=PGFTZ?}hOBV^xxBl-y^eav?GZVCrgV3#=OcO9_hHLhPc`Y~?MXVD7q`D+{hMX0
zD^8{!U7frC=Go=XZ!g=}bw01wdg3eZn1;~K>6;gBcsxV?>zi|V_qU$?UB2nua__&N
zIKvh-_MhY_o^);6l8JfA8=5-boqZssz2Qxa!I#rwi`Va1DS27iere0^gDL(I&*ByZ
z?^)KO!tr|(7pv*={taaZB<t^8+?Mmn^^<_y)>ED={1V&h7UlR0SS0WzO^Eq;=J>+a
zd9yXX8=ii9Z&|BV|Kf>@bN)}B@Z>?nkqNI@zMMUkJ45EK&BCU8iyIYH85V1QKl|@Q
z*`8CCk>AeD^cDEE=i_M)W=+POVV_UGxe$Bvl8$)w@|N2>;*KxtoxCyl{KngL8>S^Q
zOo*JnYU^Y^wJ#^_H-$1BThelAQv~CKmGgF}e$QFb{lOsV{PM=rQ)j(x4LvczywdQ@
znI%uHcOMk{*<k&{^mBxu!ag?nA5p7cH*_ERvS51Fx0P%5%zW~ZV+L#A%2f0B6Fef%
z<W7&0S|T?)X#bfdG1nGvdb8>0^M(9pPt4hGu_H)+<0baj6Zb`&JuUzHVP1zx&!^MD
ze}yG%WBX_2oXHLSxov6x+Yhzpx4&7Z+93FPcID3{{r^5);O2_cU)sEN<L&#Ci+v4F
z+x&cf?&gUlE$5fB<)&Kk&zV@Ae!1y<Zv4I{7VFQHgzBYlNj<xCy8TTD$1}NeKcBoi
z`;gt|?QgPTCpp~otQ6(lvn+jzLB^jiCr^r1pIfRm-I#IBOT7~w;oZGIm$v-8%<^1c
zrEB+@rGGpHC$s!4JM?BU!^FN9^}Dz5)jKe~`NuYQmhJrz{->%O#lIVKZ!SCdGMM-A
z+hd6(yw5+eDE7R_`x^Ii`jY_RPMx!7m)QSY+OjggQh@0U`|{UI?0%hlu;<Lu%cZ9^
zqV}jxIkPnURds*U?`d;Ro_k|ms~bHn`|GLL$qEG*&%6x(xUA*U1jZx-<Nqf=#j2>E
zWU%>vW=TTQrUS1<?}?wyP5l$oI%7}wBnjT6lOG$_GQIGZmwi29zr(kr1O~@H+c!*-
z4>Yt1p8sI+zq8MKckNjG^~cxqi`468%sAX$d5XF8$GQa)qGi5wmQ`pkkZnD9a#8(<
zB%1@G(qj8(+}@yhfJf@=o8<r93vQfwYX5q<@|Sz(7QD2ZS;KTr;EPXhdHUJI^WQ98
zRP_Io@7twClb3W{f7#O~yDUHU+u}^Ef17-d_n2!}&fwX+q_On$9M?0)s!ne4nmc8}
zu1_APj$MDkbpK|2eT3|S;*Ar39NW@S^L$BH?2};L8SCUXF@5B_pi%wp%cM06OCnxM
zB(fZMWUKvgRavL$<4X&>zdnd%=xp%&{^x?F5|`<T+cBC9=}X##Vz2%CFgYQaVb0=}
zqLCj|7Pj8#`=HdWaNy<t!{3r`7VbN+B}Vba&EFHHIK(%;x*^rT>-%EsCMNSK$EV$s
z`v2yYXT^qJ<~9K_omDnDi(17x8c)PBv@<+tepsIq+mRl(Kx!hxhdFFh*B;oE+_P@u
zgcnKyuYNCNtC+#GabaV`qIu_M7E1g4j9^-r{AQ-bj~flgduF+1M@ZDYuKdxkWPV!g
zi*)x_b$dSD?3Cy1Zkh7IGG3>9zS)xb^JmI*%|AM+BYoBd^QTAkmiNCs`orMglD=sD
z!Z7<25^)!QZk~7h=c3TfOZvZ`WODo<*0-SFJd)9;bCzuP((^Ybm4EyomVEPN{GR3g
ze?J}ays#uY?9i&ulOLSmpE|?5Z+Y+kQ_K3}Hf`B>ZpM6$pE=8C?O)cj{?7`(=O6DL
zT|W2Py(QMZ{DzfZPVHQKW@TCR*Ha&FZMFX-64UXteiFARcTDf|@Xg&keZ9}ayL%+m
z|D0P?Ub!r3l3?A1-tP()G3QiV`xo6P=t$vQ_u~KA*`99QO!;v=Z+r#Wr!AfOYWvcz
z@J_DPcfKFo?)P|gMa<NNE$0_FCw*9YbZNM{HCv@%{elwvk4jSlemM1YPI#<yS>L>V
z#;ORWqy;km9RK!ASlFy4z?Oa@e_7A_r%di2tjvEZTV04XuV2_Bwk)y!#g}Ur)=$)V
zaB;==k7t(psWJq<UMQ#5d~)_?pM!r*)qllUv;P#j`0jX$jr5|4liB4LH(uS-*wnQl
z_UN+4s_7FtH{U&$b#H0!y1n0LS1#51v!o&Y>pt=Q_ueXfZjY4S@Il2_YhBy&4vYJ5
z>nm@nN-eKmtIBy*ebMSAjaTEAl-yp{mc5qc(4US?Qnv5!yuCbsY0K9)6Ggh07KU1%
z)YN8RUb6bx()6u+mb866X{`Qys#WB&sgtExmUnFTmXo`;q(dutfxrb}aruu9jQR`G
zPRU$a+7Yd4m^_u&e0lr!Z9CK-t?B%{@cg4??Yfa1+)Jz9N5n3xeqR;I@$XBNuh*7;
zSDoi9D8F&xs!HHqMo#|j!rl89R-9x|U9j!>;;`>)Ry=uh`+~Vx!;b7qA@|NVy^IT-
z?yvbU?ZykaJzw_ySTJMF&u2-?I`S)B;}$oV=P&5^#MH3ycK*Lb9Z#70x{Z&oNUHl2
z^T1k}A@Sd;OQD}{?5_I6kb9)wdP3~~I)<v1p~pWwn9X(Ih~|oa4`s8%7EJ&1F!b5-
zuJ=<!{H})B|BTwcuvIyU@h$hl#Vm?DiiDCFUf%0iupr`phxX@->+)+BF6)fk6)yUJ
z!{xLki~N}m$1Og0cHu3h#P#+Ij|(uy_%ReMe}5uv!as(pCAH@_eO&+Za>d5a7n>Mk
z7;fyj!!>_LW_TbEe_W&PL&l$}lNl!MHvaRB?OgSe#Xl?OUD_uq$8q5PwV;g-8<O&F
zEO7E?NVQwE=tuJZx*w+}OO^*#KMAg1kW+eN{>ev&+maV9PWtfHm&1D!=a$UZ8z0|&
z$ofl|HObF@!4A6xt6$%E^L)dnuNz`MocLPZ#Avc4sbbN*{-qZ(zbh*o;F`aF%a*U9
zr&pDwe|eC){@zJ-Aw~V=3!d<D_%B`X`QFL8whiur4M*xfE?J<&@gjcN0^hd<duo`L
zEr|T`VC9-QM$hiP{(5U^czyc9#h;g^e6Cv>zWd(C+x$uY@143fb?L<G8)7CNSoY)I
zslBiFES&%R-l^;Rwk=Kh{BLpZ=ewuszWzS7@^}m9`kx#4)+{)4<Lmk3_iEo?{ba$j
zle6;9)9w0|7D;oiuPypz9=5D^_x>l|+wUCynibih`GjffI{n!lbKlq{Cp}}jy3VdL
zB63Oi`b|scU46ffbN}t7bIP|b3He)PzjRu;{-)~ElJ#LryPvOpVkE%I!hZ4pGsf%R
zPnT?59(O8o#lEx4;=Fz?o4zuuaslh|3zq3KSFB@Rn)iEY?^Run>7{Gt?pw#aZQ1Of
zOXt4&d@GmB@bQ|?9k;&stnOPnXMMKy60zs!8q3%H`Q~wBdEMN}I)|6k-M5>mpxNU6
z?Kx|eWuwsjC3Aj%4b#+K8dZL-DRPeYwv$rg)?bUCHx<+@ox7YrI@OweN$=M6Pi<B%
zsoU<)|MaSLSBjry^Gt@1VNaRf>OJo;UG4CB`JAuU%NF%c)+n9%s&GSk*^=JfpJw-c
zWLiG==)w()@{euV+52l%ZpSmz=Nnec{PgC_l`SV;m?mEeT=}_X>AVjXNyQtM_x;H=
zPhP;kWS(_d(t^^OC3F9M+$+fVg6;jX-aDU|<}9kUJ~m^^V%Hl>=GfJLI9&IL_w&-;
z)0VrP!<d##KlSnA{Et^mCoSb%5jyX|)9{4Aq;-pW<&$@~Ex5V)`<3l4imrdY@uT|9
z|8G}rUie~O$<R76^87)`RRxScHm6vz`7V9``NNg;3ukH*IFl|uYIf(?_ibsf<qf8!
zn+NuYhq*p@_wnMhM-Ldk?zv&KG5JJ;RfJGX!?TA^&aARsJwr5kY47~%{6+55mM?l$
z{v`WP($bpKaUD)ME2pO<t#Vp2^QqnGWsB~fuGCuJs;(9J{Po-?j9>TpJGot3I_IU)
zu7Cf|G#qU*>so#E?6SMbhxq=SZ8)F4q_%e5Q>MS0SthegEjY7g*_uo9xgJMEFWt6s
zdY!=1-uhR!PRxp2lD%~Qo0+ONme2SZogA`B^3IF(^Ot1S{_@jgxc24Rs_lyyln<?a
zexqk=;O6s<TJ>R%J=>F1ig)QffADhY-luDpZ}PwUiG%r>S(WP3-v3K`OWrSEWGS|5
z=^{(@qc38;mo5DHgmG)c`wyb$4}Qx}2@|kgHuLBPiG3AI=6!nVU;l0M9oGw?8)n25
zE}ETiHfG`6hslqvuj?$Fy(Z~Jp5+V6{${(MyEi}a&5NJ!mAhxjn#=LK{BvKwUAX&%
z$Iq7+^y`-QoL{=e`hMhO`HJKXzDGBmd_IG*m*M91^GnxS&Fz``dD)~tl`H<8KXEnd
z-;rx4XJ%>Ft<d#lx?HxT%PD5Ihx@mMc@L!)bsax=U2o5?7uIqv?sKMpd$HB-p_Kiy
zuF9W(KX-6OcAS>o{qo_nrM=0`kKTWc2z<r+c}dsi1<LjbG0d_5lNNTj_ARXZ`y*{Z
z|C*IXk2^m6-ShB6e@8y*{J#Rj|KDutu=4=Eew=wx`djscy?+!x9NTbn!nEU74bjK%
zZkW95z_S>+4=j3dHwrf{SP(IJ)1NQ<R7$4Ko@DxxU-bWD_4XLQ3;gF7rrGWJKjGic
znY-G<mh_p=UcB#x%AZ*b6Jk~$oxvdf`^>cuf0u4P$xyd&({YIghxZ@etjM&hc{5u%
zY+;dq!i{N5=KT4<^|+&dve>5=d8Ww+Kg~F9YsPrHQU6tfP4a>*b~om~zOn4_gPZ?d
z0yc35Y`gX*VcXIz6?6V>dzt(ArPkTb9%mnhxp5Dy&vqO;wD!|+y|N`M;&v_h@izIT
zjV*s?=E9#0mzJz}nc}o|i{RExGs@B*x_$ikSpDbTYr8w|_(tT5EquKxYuA*{#Epz6
zC+RCiv^MNqRu_AE{@I1R)sG@({QYprm09%3%!p;nRxdvial~fFpM5u%O@Euhk=T9a
z=mqBbPaL@muN`9CrLgbB@6D~>^RC^Pw)y6*PJss>m#&>Ujbrt)3eiZ0%9k@YE}S=O
z`P8d=*0Z`MrDVyxTQY0@+b{30#C^MZs%J-C+>&*#o^a(Z>N~$m<k9kdnd?tEt>87b
zS+alo(#`7smn{9ce2r%0#li(i=R4zmEbH^X$hnC-X>rqRrXx19=iFO5r8-ildRhP4
zZOeLQa~Zuk*WrJfeddSwevX7S%lp6mVu@b6^q7aHgJgT$qUC#*&P)5laQ;P7+Lz|L
zr&ig|d${-R$HhnbzqFNaUeGgtaeL|KrGF|<)VV6`|JRstd{wrx@R8Lw-l#JjzsaP#
zmi^7r?%B)x{}y#<3o*=Mw(Phml(3}#z5V=o8<#Dg|9x5it+y|ZC9^N<-+S-m(cP6l
zRNJ-o?s($d@Iy^Jdg*3$DSQ17g>eg)zFGAA(ah9^r&xm5d{lkb5oG@RYnmF9r2Zn?
zxYO$%C%v3|c6oo@*L_R2{h!8fzv_jn#{06ROQM9Mgmi)w567(TS*OOt{k~zbvVr#M
z#pO4bb*{JiDaXEK&c_eye=a;>`g=jpNe+$^Z}N|w$X-&P_4A{T?E4RA(-uj5UfOxf
zh)Z+dv)_LhH%>5~wQ#%q`|k%!>^@wb{bADLxuTK5kqf(g+4j~gn3MD2-X8Jgb51ZQ
zFX^h<Z@B7-Wz3RU?hd?iKLuYe^73@to_K%FrsZAN=WgIUx@?Buzecsso8*6<$*@nA
z6`c}lp8V<Q;to^&<um91%s#%wditK#<t-9(RwnH{;cxln+h?zon5LaOH?Cl`N^<1k
z{dvGYx=C(9j`arXLtOXX#w?uO&H3_2@&^g|Yupo-CM!J1Fa5J@wnreNim2V91KQ0A
zam%K^`MUk1-=}Tv{{r;umQCF=%TvBRG5CH`-NN1ONtaVrDp@c7uyOgCoMs8})r*&W
zU|g~#=n;c$Oh?hzKd%e<k`?~f#xis<{Qj6bbKcUsH#W8GxvTD9w@^hzcr%A~_CMyO
zJgYf=G3;KIw13H>$X~{v7j8Pt)Zovx>`hX^;ziFt&oj6FDRyt+MUQ$-JLZ&4QkF|M
zK3`b)J?HauxkdZ-B%PYDJ89wG$H@(c|DLGgP*}Q`eN9rq>O~dD&TZb(^k4SfuJ6^^
zOV&MaycC<Wba&agcz=$TH3AL6Ny~S?j(oFV_2y-}L+v`s{y$;;RQ7Gjn(rNt7Og$M
zEXnHb&n2_d@6UN~HHmX>%x%|?&+LQ47R}mt=d#uFB`eq0R~BrVw`S?*rCaZ=<vBQu
z`JtU?{nE13<y(JmJEA+ghyVU0hhr;~%Kt2lD+)Wk`*Yvd@U3g5-skpk*5979X8Env
z>gsbCc7MATGS^qZ=0b5;-@m2%OU$1BUi|LOy0*Le3%>l`%Tct;^ko$D`ES!MuloM8
zJn5?D_UQ}r5|*bpta|2FasKO!{qJ*^Y@NDL;r^Q^66gP_-Z=Dsiq+Ei=x<9`mjB;n
zck=Dhm9_g1{kc-QzH-^h)mf6V=?$5$_vD^vNS}Fh)|UFc=a;RF``f)gJ7#HOxz@&j
zq~tGKv+u87Gj;kb_NA-#{`yk3@%PtHJEPak+`DAu-uGWkU*BGQIJj)%*RY>XSIRbS
zx)Hu~>(%E^B|=w4H!Q!lr1Piog3W0?%O<x-FVBqqwlwG4`=(ztv%kbWk$-w>l4QhJ
z;XRF4<}LL9ykzCHj{i4em#kcUt4-)b)UvJT?+48&<b67o>v_(Stw+rp7*?%XwTesb
z|9=LP|NsA=VPIeoWME)mh%|~}jA4v{kc=@BNsLL}F{_gplVX!NlX#OPllYUwlQ?4D
zgH<smF(&_eZ~p(UWF`YcaRLJagAm9JhA?#jS*E1-Nes40ACpXD(qoty85xrpW0t$b
zFfy>lu<^UFGI4S<$vS*Vl8IqslXT#SVU7`JQ)gje<xF}VtMczNs~A(NOU!GAKpTco
zmJbZfCP|D8Noh%|lj4#%8IpLDBtY(9067HY1g}qQlNk(MRxvQgGO)XFFmUrQ@VAIF
zF!RMQvUadDxW8k_6i8xZPJSoIwB4GC^}Qeyt1%;YQdBB?HluPt^1qM#j7kjgE17o)
zGTBEmv9fzIaWnj9sC5xz66a<7I*Fm1gOlO3$6KbitgTDlGAw3$sU^tNZpE|lr9g~n
z(n*fPmmIlD{9~SQopg|h2})Ycu%5-Afi*elw?GnS3@b-EpCivw<|Jkg-wDh~tQ@@@
z?<XX&Ft9TSPDm1D5@q0J;kf-eiG?Bg--j6HSVk^RL1`g_<bO&J1Pnh38+LN)Gq}d`
zstd&M2snT7<TB;ZWMub9Vq=J8N>*a%WMwR7WJqL*xe@b!LJU)kDx<8BG>c&Mhvfeb
zEU}C-G2a;^8`xr)V*fp4RB;hn8p9j9<RimoQTvtRqV_6G3mG0NtzznpVR$`J)KQG#
zySU@L7feT6gdAfoGF<I2VYn&5bkV~{Y&TnV5aUNtf0fU&fgGV8D`Mg}Z8>ro*kX8-
zz9dPp#Bgvaa>TIOin}K%z2wNe!f{_fBFR67^DLuFQjC<j7|Zwmm{;720x=34=lvBW
zQ)4(eo-<0ACUGP&B?$_iX6O3(h9OBci7Dnqk{l-ktKeqN7zd8ze~)ASbLUTzuoqTm
z-szAemSioUyWm(XLo17zDg&ROEhmez#Qw)ILaOX$Tsn6hl77V4#q4JHnUKsdRUne{
zy$TaEL#WG~7{>dm4Dk-jW6Wci8J4mz2{1Cna52QZi7|-z5@XB|`@cBme=@@&L56fD
z;k7YBuUO|YOl4Gw*~ZAqAj!m}H2YqROpKE|+g_$5!QD+P=CS`nnIse}l1do1czlf!
zWOGw~BE&Y0;nD;}fivDo5_$|t6Jk<hn3ASUh$)T{V9^)2qQbF<SyxemDTzf$iIbUM
zM=oZTOH3Yz1e4GUftfKCnr2B#SEZz+Sk^fzN3g`aXOedLClhy1B5smKgUmDu34x^B
z9DF~NlDJ)&B`!EvC0$7}P2$XCS<c00lEgfbBZ!I9g5eFvOAZIaq^8eY8oy$E7<Rfa
z8ZYDORnok{$RMZ?n6xe?oLN#OMwm5|t&r)3M9u%e7)FL<hUGEeVy4F^6eaD7iDb}i
z`ofX+I?0NsNrm|@<9wxQ46{EAq;XtK3K0n6N)nRgT*B4GD3qkZ$FYfpk>kqEnCCHW
zf()(iI392?#QuAe%*Y)h#FBJg@L24>w=S^^oR@?dtR3FRd`<qx&C_Hk5@VCZ@rENw
zqAp26km0buK+dYBB*_4d7$t>s9_$iMk~g?aIFjC}B-si~(hw1dQH<H7A$5i$mSL_I
z=bIRg!kDiT!i-4@p)nju+ydJ<{wDp<5a)>zk`M^z?0eu6W6Q+Jq*SQzAjU9Rp_PFt
zmf>&gf2LRgM&YE}jO$_;4Ju>aCI9=vk<7>|r5F2;>5<e$>zL0mf|j1EB|dUG#PG(5
z#qcxE3u2NsRh`5k#*of%uH%K!PGh0{9+x<-FtjT%Jn`jn=wOUl!O*>(LDZv=nP0=3
z;cd+7q|%r#DoJ0htvLiZIFe)~>e-VRB{s(X`;^SU^j@1k>3#A)q0cg>C1h<>Vm>j>
zWc;ltu{I`#aqc8>=D8Bq{5DQWA33s=IE&I4bUC^5II<X%1U2p^Su*k|C0Tq;Vk-8u
z-7IiKkZS^Cj1_ApLwZImOM0iImBjKlR=O&h2G+GgZ-n3RY&a>%u=Jt=Bco7^gp9<|
z$DK^vl5cex6C08a#xgAAI;HR;CXGpr(_x*$v7{KK6Aaf3nFU>vzG!GLNv&i_V)9~+
zIWEY+YtI;##PTA^!6oTWjM8-m`2dlhg0jD*S@`#;BzZdaah50={EKC96k>8>^5lzA
zj#2u=#5tECUP+!I*`3plkty<F?0?Z@4u)Sb9&AZM4axsr#WE@+aeQ(38q4sSq1!_^
z#(Am0D<!VJkAfP4f>UC+RU}0DdOpnM?cr<-;q7tK5VDS8NfnZP$RQl*$@PmRS8Rr=
zM_(s{tniFftrU-y9vdXzHVOV=Oybrma<TMc^f;pAIfYSRo+p#3Z-n&TNvzEr{j4u{
zsW3z;UY}&i{+1zI_>l_Ra~9>+@EJ+3b#q-fxS#Q)t6b#LmzdJ2z-9T#NA07+@}wQI
zeVs-{-Zrl-(mG0|7JbnuR|&kL@lerd5=W9y@I;2+tPvSnwF_eZeUM$U{DZ393P~|x
z6^jL0!V@JHBt<GYh^Z*@FdX!hT;jMwOnfa%da&BEz;`Okl!SPbBGi<UBBwDbuz3oK
z3iAuItMEo#5Y!Z6WBhF3_RljqLxi90@qDht1&m2iEYIVZ&aSYEVSTxT>9uOtWaF&@
zyd6d?#VIV+9xDVC8NMnx<*~5{PvT;c4hVB<^Wb6p>oj2!-{%MypRgrbT|7_!2}!g@
zdrEXhs)(OfmArb9>!bzmb8klF06CRcCS}Zg6SIH3^3PP5>+#e<Q~IX|V-nZ*fDi$R
zm|X?a7=$KjJ1EF47gRWQD<D_K(?L~3jQbZy%)-e3Nl6n~R1Y~g8i=Vd@n&$V#GGKz
z7c>zxytu?6)=!W{U5>$h=0pbWL>7KQj|uEa9w|Zr9kUry<ry*=Jd^)Di}~Xb%c$s(
zV&J93V9l@L)fvF%aFCISnMGql^1nyCA_>1^Bm`n)V@wj#xfvr|Ib#%Jq$WCa@>zV%
zU{=WpFIg?<qw(H@Q_GQoTQ(-fAyz;)#xTL~oIs3y(wP7s7nh`?9%U8`f|C+9dvXVe
zbiDJ}#OF}2lAzC8x{#~II)<%WC{r<3<==x$4epvrZv&rc6jW|i;7ZccsG9WF<4;4W
z$~gt?Btwlp$4t$$N%k5u98M>3CAnxUa5$2rkYvFu=@G;3QK9YQ#n#WU@QMvv?~5cx
zKGtcT>noX9EI5-H-frdLEaYC|!OF)iT&N$r<==gg9zS-KNF#>(9h^y8LDFwHMOBzM
zHH$oSk_?$dty=`nB-u0Zp6cY3W)eTlDXYT7X|?Q23>%-KzUGIZhlw^~>QiMr7ceGi
zi0JNS<(!)Q@4ln4ax%jk5kq|;<19<Djh%|yg-!3VaxGCl;&Pz#jY$`WptXw-|7&5}
zNle^^dxY#&m?Ta237r;nX3{>{DeTH*en{Begheyv7ps_eoI>y`mEv742DMr$`QN!!
zvi{xWbWW2zl+2LzO10e6xM@bY`Fd6L`bl?`>zOT-n=@Wc*(Asy@u;KZtYC(QB1_ZS
zMizFCWQM6MLJccoSdyNxa4RSz1$qm0B>yj;z);Vgz`|1cI%%h;;dd`{m7|^>?olmG
z9{#6e;#o}HlA0V8UBX#vPj*UkBqcc*Z%-1e^a^H~dPpeAG1oD1mr9Za%Ssl1ftbFS
zYOnk?EHQar<rk9V895ze?j>o*ym*r&<D0)<ETUTTuTO5R<C7TQ4r_tENrIiCF-~_n
z_Up!UOS<gIP^|6<cWIlb*4T4H=>^9<HZB&1*Bgw(lQuQJ*mfkzHL262Bbh;1{8hTj
zg&(eOE;4vnlrwY}Gu>r3SyIe0?PLnWl*UaS7p7#C@G&v0dL5%1GwB0E=MMd>Pb?G9
z=_EZl+2ObCaT|xgE{}%-oW(K>Pfo@N-F-1-C+8-C7@Z`SDTlraesE&pU}9xSQeqa2
z{a-1_pr&}jfl=_)(@Q62FeM~?iDl?+FzD%EDq5g$Ge(=ycp`&8>!)=E5f<+oOc)qu
z#r{uCW|VS?>0*#%e~{1cE%|?VGQ%d882Ja)|6`;Kxefm}M!VRoyDrE*%OEBorc;C^
z?`A>l|2oBv`wX2Nvm76b#xl&~SeC-cz}(2FGBf1HJLTpy24Bwy+Cd6e-YM<z<>!)D
z@}8WL#QCg)G089B^Qsf~75*jtf3S1n$>(7cI(DTC#58<hX5>8ao8e6|gHQ)!zzxad
zCkzW`cz1Sl{Jn5qA;#iS(zk{~O^OV55=mko?kWf;y<xf1d0`#HB5RgwU27P2b>uv9
zZ(zLY(cYx>)aODh!+iFeElF3ilmE?2QQ>-f;9}<ti~airX1J+vT%6&<dHV8?rAhvr
zjG8y?lm5FTWiy%b?mk-(`@g<Hoatl+V+>o;gm1A7ZMP=O@NoE;%&>TYk<!gVwpV_g
zT!|mT-*u=Nc54c7#JuO;`!DD*LnqH(i6q|+Z-u={0Ws?Y>^ZWM_;1_BG&yz(Br~)e
zcHoHl`yxA*Vd6?b_66q|n>*MST$cQ??}2CxM~@fBrB`1hlZ37@SS^(2W$#E4PWm^I
z!9$4Y&!t@xV&oWBFg7(X#%LtnUvQIUrMP$!Xpcd{xpzsQIg<Y~OxVXL;*rFW#I_;%
z1=Ea+A7U(Hl=wJ21UP&I8H0ZdO%oCnSi|{ChFfq&JQK%rrcSP>!doN+PIU+hoD*h9
zRuGPnVZ1ge=7GqHC(=7qKCJ55-1$p`;hPx?e+M_y{y&WSpK$PW?04bNV0_j3=s{Uh
zhl|S39XuiGjrTc2JQ?P5T6`!q;#}U4r^NB?o6rU}#)epym`VROFfy<*%va!!;b!1f
z;@%*6k8e|gRFY`S%PGq=l4T?=^85Z`?e&(O!&E;>@Hj&^k9JYV%S!@Zd9;N%bme%Z
zCJN+8NKX*kq+~9%Ly3or`v-fi;+CtDG0djw4e|mHJoy*}ZMfs+bT+bN{ZnB&!X%;+
z)1z%GAvDSIf*jLwX5EbLC)^V^q@2?^&oxO!;XY@H(<io=m@cMbhmSFqNqlVflLWRG
zCUF?4{P_1$*L{v}2ak9ZtFF{kq1TyAFJe4D%x3apiJm&QO1V>PzAjs|<Y)DUosyfF
z%$VvFlGZ1ASSB6QoO5HQ1%Fb{*NM!>KMCGt_I6=D+s<X}*2uzRJ+X`BIFqi*Q8$j%
ziAwP=IE)R7PsCLEG^I#1w09b0GGFXaX!5XO5a81l;+id35F^O><N`yTgh|pjmWDo+
zm>=9L*;o_AVr*iLO|Vj77gM;-G+9dIZZbos_;hWhixP$EtfCCD|FdE&Vvc9TGR$Ie
zapcmPmQ=K1cgp`AL9>D#7-Jbuv1bS*|My}j4Qxre&%mmiWFRgOvrOV&iXsQ!#3V^E
z_Kt!WjhLbc_K-=seBJ*9V>&vLf*EXeq+WHr{h-X(aPD9-<IIT+Gh*VCgoI)a^quxf
za&ByY)FI8Fm!y;=lQc`PVa9QR&hsuYAq<P2Y<MQ~X~J?wmzduSyiBW~2w9hNy=GEx
zDzLikxrM``g;jnMlb~iVi+ocIXEM_}4@R~R?7D3e_V7;SJ-vX(qG18w|As|^F&wv(
zbQv1o#q@oi*dL?aq!9b>Cg&qThRT-$D&~#?8j3ONC1jMWJ^xB8c}h%R_@}UZlCX%t
z9)^=Vi*LS|$T9t*Agkc&ISmh+ryox0n9x4qlfbqKM<%iuGpA07`N+X6kSP(<eNZK-
zi&dTZmnU<)g|5)gw&hbS1pW&!CMkxU<CL<Pz!US>k%?0x<|oHPm0t!=q{SyP1%70#
zlw7ujwR&Z8AWQWmMGGd)mQNEM4q9rQS>xKo$<G+Wqy2RWn?B2GRVf9A%b_gMN;01;
zr6mM8*q?D&pZAnfV@MZRUL;xD{jVj7G5bRjU*U-!9^1zEofE(CvNS0ran9vr(iYew
z9MklJAwnpIo6A!n_J5F)lO^+|)mto?E=}U}bo3B7BC%eDN%JYggo!^yVi=iy3i^`R
zlRJJfC&yS?D=5TBvSfRDJz}`Vvcyxs&wEneN`FR<RVs$ZJ=-jUPc3-sDD;9UMp$5q
zQ1HFEr@1@@pJ^X<>{XJuewMquDCXCul@*gXzX;!$c*D3cQ;0<|U{mabf8SymxmjN@
zs0j43@169ATak4yL$!+M@dukNnUBxpauuz6tFwBNMjxa2Ob6v8Uh7GJoP9aYd}McF
zJpPsAhTqCahW#F~|Cx<g_A7C|l2Ayn5RJJ#%RqhNRHK|ZF|Sw5TX6XC{}ne>q!>R)
z6iV1j&3x)|ThlGpqrt^1VAXof;7O6u%x2rFChBB4=BX$?PEdD9{vVOdu+Ai9QlMfa
ztKi)e%LCpn+$!*uqli~hq;tazOQstQjh@ES68QzbDd`-1_@Ui>fmVa@rV~kTjCXZ(
za5CE^GjKG=s4#oR{OR<3!4xXXBG_@~!?9x=SrSak-aTJ<Z}Iwu=P_C_Ge6wRNDEDu
z6mi;RA;{6OisO}UCj<M(kUas#3XDl>8AOY+7$m!=ax^9fTwzQS{5WxHz-tc1ANmSO
zH<CClV*h*1lx?2)L@*|xP-ce9_lXam{_#G%bHU>eD=wW#m+06T<{2oTp)u*#>_m&m
zppMl++Bp)d=4`Tw^yy%fQmB#GJEvpajJtw~n^$W}oR%>XEGS^i;AYemSQI)TQ{tmc
zhx7ho!ASp>qzM0{H4N`9au%#@Vv!INN@_@$JZHxI4Lgf>Zc6!>Fnz)U4$dE*l1Y<<
zMbra3nU1D7X?4E$U<`2=;4o51S{>$;sKgi}z@(&5vMel8QrM;OmJy@Fx0zl7j4`bu
zMs+8J1kyJ&Ea+tOm2;Zb!la)hDr3AP=rqrcxeKndU+Y-KaV&48BxCabx?~xKt|L;(
zg&Azz4IUl}Ny?5vdy5oO7aR@Jkl~#yygX`uL8pct&*W<98iiPf1_f`&Vyk3^6)fQo
zWB(s1RAJig`9yg}htLP1rvh;W4lzm>MAjGyUvxi^=fkpJD8jm6QHm$m90m4-L;>EU
zr2<n54ju?*OfuN8fsrx!e@${>g$Co|rA#s^50liAE&e@EUXh^Wr}2VQLX&yJ#w6Al
zp_4y8Gf2KvUSKR?EAb)r--4Sd$&ANl&dTZd%}_79Vs7D%8X>{+1{#cpNv=#A;wELT
z<KDidR#3y9=kbQD&Iq2FpKm|jP|$G7h3ULNgUBR@A19g*N>0{pT)-+Q`6@<vLnf2*
zBrV2R=D!T-Dhcj9oD&tb-b%d6P&P>Ze@MAWSR$F>LuRi;%m!BV9wkO&^#gMv*7b3B
zu)pg_DDH57B;u;o<0ZkkLv7NLEY=KehVu%}Nk=PQH~jZZVohea=%J&)88b2CAzxlc
zdn|+Esm(Eb5)O?GJ~5YLj1Ou~_DSos3+9uRTp`NDsWFo^=}Qvdy#uqflGsExeCJP^
z^vPoqQ~AuQiH1BecV#*g8HHkOq%{x5ICAp7jgh^S_+V9xZc<;W3x}~CM{vtw_QOfe
z48k5qn2s{YsIV{`k92VFdNV<xktI)Z<D*yxq4O6T8P0MFaugcNY`(bCLz?aAlY;q^
z1dddMv2KubWK6otd0C0$xiI5d<`pT7Nz#Q3{fvjW+!owt<o4^xWfYp^Z70*sJ5fx)
zRmYUSm#HL)nYVvZqicg>qn^U07~{rZ&l`!_o`HXM@l2h}c(>!|Waasir6(9U0w<al
zG9J(s;+QKXxbh_Dd@1RkRh=Bdc0y+}4)H9VC}n$?XE}$N3gg3oO_G*eyPr(z=UmI_
zFI2nY9N+qBOzRJE8y>I`;@HeJ_fDsDr^I|A?(HgEn=LL|GO=GeCMmJ|P=crCU5OQp
z3PsTj9UN^t7BI>Mi!q$mHkA)#4oPB?3!a`KzC@r`E_BsOM!v~BySy2nU-)>U)J4Pe
zqGYs?;AXkl*L&4;RX^8x>da1W^SR)6A>YVSNy6~8CbMGp#H1C{>7G*VQL_b>shk#A
zC6RqmD)*plt`d{_A-Q}{fy;vZl7&Ws_s-}aVf?X@^VF7(z*YY=)K{F9E5FVX?2*K4
z%WfeoWXI0HE!e4T9<oo;=)1~JFMG#M7kSUrd#rL#io2dPY%#yfbasWNe6J_V!3491
zS3NVD<$6m!B{Ez~mzzD^wUqg>+4J{H6|KT-U-drfH+%gmm~XoG(pL%clN?qUCK@?=
zoHzcun7Jq{e5U`eknl;mcV^6)oW+^Eydde(i))XX4=sOjlcR{KePxmov&V!erp3xP
zn2p60Sy^NM&pFx_Gpld)+Z^Vk!r1=;Rmlwf47$A?u?#ysE-qqz!jQBgmSI;617qy}
zqS*fhu__ENJzj69_GCz6NMVf<TJ+d(hPC^R%9AYSEJ_6?97!yKt6oiEXbGB=#P*_~
z>WK=Ib3&6LmzmKI;R#P-lo*8@m&xon5Mxx$$n%2r1V>Ck`bC@0gr)Nf4l*#t<i`Gc
z*^tceF2*ovvW2z<=R+@v*#Ej8?g=s8<c$<8aEaNFkgAcg@yhM=jZwU^EWElmnEhCP
zq|ACO#3MAZ@42Q;Udi^t4m;Kd2UNbXt8zQ3f6y%6>uGb4(bD4OEFK5Oq@^l6H#?2D
zFRwbZ>r2^sMYiOE`IjszdP~>GFRCcNP_}-RYeo5m7W?&O>vt_>d}w$4{nCnwyoZCP
zGJg;1vXu)hz4RbL^||Dy#kFPY)dl-w%hu}_ZLHEL-?(yuy<ET5%2}Lp{Z^NlCfb`l
zkH5tHxNPJ7RdUhMg&Ttu)x(^xUdk*~{Vd&m&NE?Y=DME_DvS?w5ByIpTfOpWNa<?T
z6^W@&*H4(Le6gfch~tM%^2^t0N!$24FYL@QX57XzaouKyohc7>JH8%_X<g`}7*m<i
z+{q}*_>lQREzb(=*rxv;Q<o-1b;P%>VR#wg*p-+w@!togq^FY>7Rs*B66^Tkc{1R_
z+z;<KlICy-%Eu^qW}IiL;FWw)*d}lzi79qMBhLir{6A#Uh06i9{~yec3Q34%I1?k)
z@u8U`N%W_Sa7pZG^$DWCIzN_hGtS)Pxhnp{>`8_48`7s%xjyak`?;O*;HA9Rxj#E%
zj&GRF(b0E&LbejaY026>8x8t9OMlLj;GX!^EvcF9!l_RiPS32D?A&mB?yelk?q}QP
z@4C|>E7y2s{#?zO3qQ`+-21pXXWlMvw(N^s+ve_4;gR1_X!-l`%|<iE&f61rG;?!l
z|K49SXUA67$NOBkc3Sal@9Ujw#LQoil+wVR6F14xi{&-*+nx`T{w*@|72_>BkoZ96
z6N`6;fCp3b9c#C4(*w<RbJpDK5L;xbBC0E~(7%tX?*Sv@&2XEp9lv;<+IMn2zwY{s
zqw@(zaNE*vlU`i(=J|SB`03`GH<oO9^<E*Fq1C(J(y)5w)aWH!=60|h*)W&+K-A5p
zTfR-;J;@-xWXpGztww)?f^IM0YPU$w(o=g?$%)wip@%{?8cr-`;#|7G-($-ppC?;R
zF|^ez*g7X^SMcJk=T|ByTP4LW+49I^hWL^#yL)Fc1RUz=ad+{EV43lnr^6@d{_-t1
z7fe%OoOx0q$0}+0*3V2Um?d`lC2{S@VVYr|vvjM9H-q@ekV#7|ws0{qvezux`Xr25
zddXI8v*#-|-Cwr#Nd$xLgqV#RG$vSuz6iEC!cjME*;e=96Nl`UY^_Opynx}@%n6IP
zJzIHk<AQC9Nv=-IjNS%ZnvqfQCV2vzh1?Cngl|dTWB)B+m>l?E8G~;0lC8DplV(aC
zN?x{g+J>;n3T{iby#MtmY3Ua2{uxb6w!H63+M&c66WhEZoi}F5*0)73o|~=s={$>*
zN29y#K}FK?EpKgBe*0vRv3k1)OM2D6X>0CpU%vHd%o>a9`<86+XMKEq6VuTpn<F<F
zxJ-74;t4!mqp@`Bx5^EYC*mfzY>-f53h$pWnVG$2>6Ym{PyfZYys=xdxs&@PgK#w8
zb1@e6SJ6wh&Yhv!al7HK%!1CIAABMIYnE;K+NoHTv^Qe&@~vMh8`NWtFy$mI+Y<js
zTOw)e&zj}mPDa}8_;ZqpZQ0f`eT^dZ1AUSEy0-`|-?H9cME$C6E?ZF2+lL~$Cx!Kw
zYz^DG<AlGE{iH$$vwh3Ay!TftzPmqS*_^u{Rr38_tL7vx-5NWwP}^hamiL{nbTU^o
z1Rvc{s>Y-zzhr*W{bif&cE~s~$)8LLO1Pl2eQH8Y(y|R_Id}53F-kG8Zb)W0$@+84
ziFa50Lc>otbY1=Y(kFP;=}tqpd$}KMk{7Hx!oMPgbJ5DA$pMBZz6m`FSeR#Ve%bQv
z6Ye$Z#ysgTaevAB=w{LU7resE+`DXI1eY$0NSeLCrb2qr6pIZV55;tsEw|{4$?bg5
zJ>e3w{f#+GmTp>5av+wW=R(`hrAs7Oy1sQc9`l%H)8NU_9Q!{fi6xeYVa5b*rXR8Y
zQx+`!kkr+2=wK|vsvCAp3NeqkA4G_MNRo(oHAN@qvh-vxsR=H}Z`@<&Oxk<!MWKJp
z%C7H+@^3aX$NsOCUdj_I$1rmOcdyjE^Go<|&&jNLaLjJrrujDyU8v=cSv8S^#dpK~
zix)q3ZRYIoVNCjzx8dWZx|0l<D^-tm?zB%{u=ru@lCJFTsWH1$ZI;acG(~4pbV7{x
z0w2Y_mG8JaYFBr6)hyY^9}~uXYkQ;o^1ZQB7&`XmbflhLvj5t_<o`@(o75Y_=Ory!
z`Gmuwvu-ly@6*QoyOX+a<bF6((@}CFDThgS*>dMP#y2+Y*XD@b>@s6qP%YhbAZGT-
zOE<3h3uw-}o2Ywfu@=t;rL|levRNL@Ui^H~-t&_<4#(X1pY&o!V)8;ao-6!6lrKDG
zN|ApM{EmOZ6w${w`WH{w_~7bFo}G~~H-6eo=6DjrcxlIpgE3h%95?VSJaBV`xB_EL
z<H4O4u?#IUybm5+@h|q@1-8dC))vnQ-nR7MvDkmF8lKHaxnVUSD&pFV_#1pn4$eyI
zynFuSHMd7x`A0NPDBU}8HLYRFWQo6>k9lvz*y+D~Jio(w!Wq`se;t3485z#Su$(Je
z9Vzy>{O5^;C2JyLZnox3wBNS$_&nxEC)x!UpYUaQYxj+*`_9A#_xu@Ve-KO(zVU7Y
z<IFP=4|d&PTzcf(Dm$eUKOR2}U6NEd<DK0F-%1A72Y*r+xR)J$-Mfl`!Lw%3b|sen
zUl$U(XMAAkzqQ)y=E6%VLf0?naWAWi`N^xf<XB$xlATH{)j!y)qn91g;$ggblVkQ#
zn?*gFZiz2CP_cA<MbDi>-<Is(BOs`=WXq9mhD$aN#GkK_*?4vG%^44#+{jt7|6$VX
zr;ah*x9ZIvDs`_?zu9Xu>zUoGua%mYm+XI(H2e6%7}jX7TX$8gnA_Q-XD^hztuW^W
z7sCphrTfFH4=k{mU83^Ux`B=L^pb<OZ!lHdTYkW*eFD$)m=Bth56t8U(V25<+L8l0
zGaMUYf@1E+=otv*OgNVilae%PL#*J#wiWyvdYHVI?LTsvYlh7P^N86Q9LKp<c*In6
z!~}0x(7+h;F6Io^t{bWoOll@*h|S1Xa^gCr!gV2eqU?-~&5vRkAG|)g<?YA&3mPMr
z9ov<%kFiH{^TLxBzt+rN!NM&4q-*s~nJ11@XTCO`m^4Y@C!6e&)2j1d^t=wqnR1y)
z!s2z(=NLP_H;xv`j3Tow<QLoh6S^iD!4UsaQsCCa7v9S*#(Haf;yN`+$BlcX(L$Y7
z%;yd;FFk9PJm-JVA&(V%{1sMgTXHhqJbe>m-fZ#6H3BJ2$xF^=&!4+z#dUv;S#uZ<
zm_{1rEI+Mk;h)^BvO>0SQT3#y^&*$g1|}r`H#;5wA(mm$IZtU;iMvmPr8rl$J&ak^
zHc{;D^Ml7{o>6Bw9Qk?Eg6a*ij2kyZ?%>OLz`Sp+<P<*7<=1u7k9=CJb(uGK+p_a6
zY}Y>-EHj(A-<I|K`H5_&MLD7yvV0>#CjH>$a%MZMb3uEO!e&;@kF2ijhfn{RbR_)d
zM-PQqh8u?SBN@FKavuD99J5itu=$SJ;@7hmL}tgbFvu}%ikZJ4hm|jdiGAkjX7AYl
zr(!qn+~T-8W}ikti(kZdmY8MtbmxC~{$Un-?0=&gjlz!Uo0!}d-Hu#fJR#=2LRR2*
z6^$4siTI`0@>jb^7+erCo4NRgO3XH^Qid}(9hg_LR4}f{PmvTqEt8^k=*$U;YiCa+
zAGo&bm2d`sfcy_RMw^(dkH;#Kns!axkTh+_G((OFd}d2-AM?D(^U?RP;HHvef)ggQ
zzmH*Bn4R(Op-kzVQ|l*$C^C9_t0ehEG$pK(|8a87$;`Y2`3CP<JDwd~bc-peCPw<>
zk=Q2;YaDhQVeCu_<hSYcl@Yv<@cvU0bL!g4pNsl!UR)Pq*u`v`WV9!8&7`zPiM4zU
zmlYW!*LWoVuTS_Z;3@Df=6}@k<Q#?t%Wf#f{*ylLz2x1;m`0PdCAXcEycv%k5;F6P
zxp1;6=ATD~N}-QJMNLxl$8R1n?VLvhw${`w?6hlKCin5viklu2X7%MP2;Icjv*c>z
zVJ4Y#f08DxWcXTWapt#k(r)XMW)ohBG5%a@>2d4KKj{TaVrMV7sqn?-<EC#7RW5}A
zb6Ib0;+}Xo<wOAAwThKI6FXLLO)OyCuOhG{!1|y<LNY_cmDYpF3@ex1oL2NIXX$$_
zp4#Mvz02M<C;zXmJdv{^S4iMV;k!AWEK^UYx9q&RXtj$}&(i>-ZA)KG;%t}NP!YZH
z%MpR_<PY1D7xF&l_^{)l*z%X3KiO1tI38h*Pg?rodE=a3PKVEX8We6UfAMOX1ozvX
zvrC_qPd&wZ^uZDJ1h?6*W+nLLEPZ}|B~S0SrO!%rJO2OlEcn3mq#$pF=42+;M9Z3G
z&*N9h1a50m`MGBIyGydcZ+~6?adC>kzA%~4^NdTMeV^)(S+lj+?#8$0mm8)pd!i|N
zrK@K7i@z&*eyg$UOuH)Ao4Vxr?|7Nsy-T01&%SE@bQAOQUhCMkCePzK^0#eS`1_0L
zOK;}0X0Jn6^G&zCs`zQe-{sFr^{w5wAIfi!UiPAVwTbPwCC~1zS1r7~<oUPlHm9rN
zmp=Qus(gLz(&y!?OV)n}N!lL0zqnNW2SfJ6Q%5Fj*q(PL<NDqh#j5*DpIsL!UH?f}
zqq^Byh=Kdf`lL&zXC5!vn7i!d>*yT$tq)e8-7>#?er#yo?Iq9u?<(0?yNvH`!;%|p
zn-<kv@wvLPeB*S+=VcrBeOt5l?)tKgQQT{PnZAu*HGkjQeUnXeUwm{oG0(Yhbke4u
zyYBS<T=Hz!re)hAI|7R*dv3Dep8HeX?Eg&$$t5rTZR*%n(eN|n<;n#bHOrpWpKFwz
zaHD_XH`(ar&krW8ifK6cXj1O^86I6TTkYrHc+|;ue1*mFq|Fa4CUZ^w|8&lG^Y|st
zQzyJR-s$jY`Lo|4tdI4*D(^3S=K0(6SN@u)SyO*5d7-D?;2^~Nc!l1QXS*-)JdN*;
zO0;ZS@_E^_x!hk}6ndliKXXT}O#YX=TXFfhd{Grv?w?A+OU}LymSMfN{G6BE%gWCG
zdzQEEJ$ba1@rA_M1^fz0siJ?6cbP}d{yJ;+C-d~a8(l$Lc-S=#|5&-OrMT~r5ySrt
z8}H40Tl4<PxmDeLM@}l|zdil@CvV}j_Dd?4Szb<+egA3s*>Juo>4{0lejn&sdM<9N
zj^wvX1`*57t)9$$b;)}3>}C7yF0$pX5vyEsE?CUDY({g4iSEP~MQ1k^^~P*`cK)OA
z^ND*K8IycO_D*7}ln#8eMj~~|IsKC_&kNX2ke<&J{DW=zx!1fi?#12II=bZCYHt_a
zBFXF>Lf@90d-a3m!=^vW&iXEq+JCOoewVsBYiUZ%4v+jLXWu?yn*PCJqIdt2r@3-v
zSLRsHEEoIiC+YfXj?Yg9t3_vpnVk66B=s*mw=rhnIW<Ok>jwEvS`ABHq&CDJU;3h~
z@@HI%5YJ+voFy;hW6bvcQixvid^76};hW2zrTN{m-nekO664KlOcSaT*2P5LbojXB
zvFNL9vCAGuMt_KjVEnPM=7-~iWQM-b>&CSy9KFk*B!>O)e<<7J`NUa$@fmiuB>jbF
zQam)mmpp8Y(N9`k$M`NuJ?Yzv6-qze?^yX}VSU7+vxOH{Si~|OFxFpkw*He*&Z4tQ
z24#=J4ESP~o?UwX_O+Wcwr^ax>#$gtYuC(W;%gXVmYw_ZZ&~yafj?{uzRzBMcD^6O
z&z!hfdSy$_>SZs9e7fZ9^Gj@*o20rvR8}lKyRwZjCUNPr)aMNzJEiw5Ir~SIPsw`8
z+36na3XDmy8qC!*Kb+g4x#-`#WnI(1Ejqi1E%m8V(USN|>*Z%GH+XY;+G`dvCKW|G
z{GIzFZs}RQSk{-PMIx4*?Ph;FO{8M^*{P=&JbiRgr()ZZvpb_WF5VImUGnVGNx6B;
zo(6deiVC=1Tlr&)DF4#4)3yJyJpN=AwB*cZ^}dU<U-2^Z&bi~V?Ag<6eD&u(YVMkT
zGP%8>ejdX|ormAPHALJ<TE!K}^R)J~%=Kkwz4kxma*VlAz%p&=v)Y%9WlPVl@|Sp_
zw&(x(Wly&^O!>3)?HrEpJTDp_R5GzGd-5(-r(oZ%PmQ-bG{jCVKRfsJR}uBC!kTZE
zoC(SQ+P}oY;m@>9OU?#={Uzdkt8-SrO7X6$Nay*>o~%<#nzFZk!s>ZTpZ>ez78tf}
z^RH6XhgWY;tO@i9y>8?YeCOO!|KQj6W^(l}dGfUG<i=@@(<jXC-=pK2@w{!0Rm_%7
z(Ze&`W-WMfNM_NKL-&>)oi+2CX$5Oi*Fmp`)8~ZOEP4FdbLk_S*tma-4qNnITp?Gu
z;Nkp^s2Td=5B?{`GOXzA`FLUS&Bg8?mpddKHaNcg@GOt>A7Tm?-}^a3cjLl~=^dX1
z7yXMBWB52*jH_GzQxdcLoO5f|@a>&BXM#_`^_@bpN_z_K`|o(g-))+Iv*7KLI~6rc
z8$ZtwezfH7=S2rAW>{=qbSrIP=jT;SkqeqPF8NkDi)-SHrwc`0*>>Jue(QAS;uH67
z9*pW=zC*qL^Py$3s@Y5TpPU%kG3n7vJw<OOZu`meTy`^Y`%NrAb|QK1>i$J{%zrYe
zE;(>|V#zU<h4bbux+_~bdF4#6k4t`+*u*aQE%w1bd&!TdozFHdxx1O6OJ??(*x4PI
zmwtL5%DdtAWVRF8yc-sL>tFIszk9Osf?KBc2Qt<yUU2lr#48(@+zRtN^XWKC-K@}x
zm>C71m)<I4x}f?m`QMl7CAX$-Vf!GSG^6z-SKHFV_n-U|5Lj}1y)cXWo9ab(nwUe9
z8HGA3Y-cb2I`fcyB=?j>B{dU+?IxPeUh!wvFVpI!clO?AesjW6V&b3l$z=<o-5J)_
zb+)fr)OBOgF6BQAg3(LQ|6FqW_Ds2rF^lg0T*&=IVA1kVziVfEf0ATb^7LrZ;<Hk}
z_Oec$Vw0c0^!O(}-KBS~c`{t)<-Wc2^SyN~{StHDEV*{_ar$iKiY2>`NbP^N^jiGy
zrAId}y&kejfosXT8quVGeM_#{B}(5(y1o4Rdm$C&DgTlt^ZuD}Vx#@s*O5zaM6+nT
z@m~?|@hin*v5*0y*2g848t0D29PUqAP}DEv`=()K<+5AV6IlYaj7%p^{kUM;gCxEM
zw|#%I%PreBA!b@qykU5YiM;=kPwh#+Up(_=2%oey&}e$YZrhXW{7eg%+=)t`;&OKB
z`^gg+#Os&bo~zSvf=&9zvO95`W0v08zVNYZq}2QswmmbI79TXvUwTI|=8OD+>IHZH
z#H{wHTx~zmqJ7C7)A|Fi-I_Y3-_)%zs@afwZP^PIk3cOM`&N}bFIVVKJjS8C^v>4F
zoVPXoo+w)_e0_Go^;xWyDoQdAjMYmngw0Ugv*g3i72oz;aOq?#?bex~!gyWtLLKA8
zgcOF-9lc8&?b)NFO&nA#1zog$)i1f7dUDR$Gg@*<^~<iQGfb#&Eeu<9?}>r;lB@6i
zdH8NFc|FO%*E8kKX3iVSADvoy?fz-8Jxi`dt4fq=pICQpM)rxxv-moGBp={Uv|aK}
zKY#hP<$Q*pmfd{1;O*I^S8kUz?8;els&1v=n`Kv21*9V0#(ZD6Jo)d6Z<|}T9e=dq
z>+?mI`)_i&s02)P?3VM|F}44*HG{yP1y@cAgua~c>?hY`R_29U1OxUbEBud2W>_as
zD!t=Y(jz~C+LcQ#t$!*ZctY{~%uep=n+h|Rp7^vt(L+Mtf#utR4M!5^wJy03w?9ns
zi6GmI6DcCs+h@2-UEj1Jf8iV@X70nSUv}->r6lPs{qkJWrYsZ9b)hFRSavK@GqJZn
zYG*Tf>BZX%E^J<Waf9fh3n#cLR*20yx?f!T;D)%irz#R#GgYk@T{mJ`di21x0}ni6
z5??DGwmWS2D2L@Z&+k5A&d}PQ3=S)LCdAaRJX-pDuI@_xCo35gx8$qOUwC)<?YXWD
z$~Fw@-&&q;TfRSi$*t#WXFU3O@?PS?rMI5CGE}URS}-ZtB=PgdJBoXlgg5yyOj{}W
zY{^Z|nCM7`RhL^7+jut4KOlDI)5OA3PR>6aj3*c_?7Vb{@p47?@*AR0b)?%qr)n>|
zsmi#}`og781-eVGKUw>A<E1Z398R8`Zahml0wyuHX6`KLP2osbd8z+@!RsfStCxKE
zID<o(qjLU=&nugJISx--@+o5ZlDnH0+*amTu$Q-H=R5iSMei3~5f|%O_HNT$>0?{0
zDlW)R<aoxBtHPDf>8{@+IoDAqg=^uGSI?ivt$OFnd41ZFm#d9V*4f0oJy5pn#VRkQ
z-mv8x&MkZ4ec#*QoP6N(B`<&8x=|eQAuh#2e9gX>I!j*um%eEg=@WnVrp{KC=TrQS
zt_=tZn`r97;K|Cu{^sIht{XcG_N;0D@Os{jPoEgf*R~gVvTRR(AGYM>JAav8Q<n2f
zUWUJt?bQVt^oB9i<ngBhy=5;hmA^81{<J`5$;+MorZ2ZMpWd@1sz%@BrTzA#^q$k_
z*M<0du8y~`tXukGmGxDd^JPn4tc#yzyUOPDo@Fn-Y_&OFb$-dqzq@RY>MnU%?_Iin
z)6BT#EB#J}RPoOKTedNO*~{hiUrRRDEvtF8ZOO|&>q|G@T3YcbZr#yW-<H1kw6$bo
z-c`Bi+@&v;SA8wrm?f9^^Yhmm?Zr(dp9*#^dG#lChUNK5GJSv6>MVU3t{AiY$DaO<
zrk424OJ01Q`s?|gFSR)@Umacg;`Cf@$tVB1XTRO}+Ue%f7cYxCmCiM#cd#d|QF%GH
zscy;3soIvzpPN>mU-EM5i;nZNXC|-X-Z<}i$~x7fP0v>HKh=8NF|&1{m2$_%>(2H|
zUer%p@^Y89{el<gmXyx4xY=O&amkCzPZv7QIeF@*%-Llx&&BP0lfsm{_r>v9KOGs9
zwrYr;TeSa1c>lugqd)!J+^wA-F(`6hJmz|uS#0T>f0h>x++f}$XuQh&+ljSj)>t1~
z>A3g>A9Mebmwz9aYA<^so^<Fc<ANlqCC`3z%Y0t)ye4eHi!~c`Pcpn(^5W7bF0IXe
z&wt4FzMP=8=D+g<Z?7{ypPfH>VK$e=6E2O#Z#LeTt;At>lTr5f4;2=9rp4L2-iBRj
zTJzh*VMa_LLu=V;t~VFMHom#AOr5(UdENCN6_4$9yyN9e+H)c4(fh~_*3FCFS1y0)
z$(X+3cFgk^f7_UoR%J6LamD_-_xi`7h@=yDm_Nlb%GSkP+;%`X_CLddch64M_1yWr
z<mG&;_~mcEOTLSVUiR)=gYA<7mm6;V$0c}sPS5(|GWA`~(oNTk0(36E`}b$+w|`E-
zHy5Tq`RcU%&F_27!F4rBOWvffII!lAOU%zDO_e_*{v28OC~0}g=@;5*%U&&=WT3kA
z+x#b1A!~}OpFdvQ`(4-iPutU_FPBd;>R<YE`W2SP`c5+1%U(sXKXtMxTmJH0PurQL
zf2LpIVbquUUq4O0B!=(l^eLOxEPJ(r=jGEW*OtCqDxb9QTGFo$7l8|hIIg9*bKkmo
z=lox1@9Upb%PxFAWcXl3|9_Xc+T9=Gw#1%Z_VV=pgvd2(x#mQ=?78sb1QXlxm*@U|
zO1iX`w|Lt;rMy}Zb$wyY=qWR<#x<l(JhHIDc+OLYB`>D0O3_h&xoFQ?!8sz{tL{A%
z?BC9{{?-h?S!>GY|0+=_{`%>0>$118y6Q(G70j2ueChQ?yzHsWp7o!Xs6Bjl`{Cz4
zpU_|NCuHN+3i|m4-`)SRQU3mtw|~PHzFgC4qO{_|r1>u;r%hb;mOtj?{UvWA|5!hc
zS^9eA#+d&LU)^XunQFac?V;fMnCM%r>3a^o|2(58Y3beE_8A^Y1uq`1S#tO0i}H;x
z(~}p7Z(MZOZEk_(wxwU!{>nX>pt$5_TG!RjAH<TEd|4m1_0xuAhPg9BAAR|={Lhjv
zx=Ec=_wX?ueUh|v>CM#NopCoBIwpQtzwD;C{fk5Q7Fh0Ca?@pg!rg0sB5s|yG><`Y
z%^$6wkC|!~f4jEyyI$nB@EPHsmwfwn`bW~0-f3%urfzz1>rm1J?&KNIk9It&tGjvf
z&x`*X+Sds=Eo3M?#dc-Mms>$=rtevL@1yFUHCjj3F8dPQDU$x?!&=jm%v{VjmVEhs
zZ`s$PC0Fisbr&}%mGvo{o{-u-bEa*2lV(q!`3=UHT{ANZn=HP){PE@kmt~XPJ)Xrs
zRW>Iu{7Y{1T=2`C<woD%Pk$aPa%EBax61d=vfop<UT=_!S@t{l6U*+Y6C*DP{AswD
zJZr+?q&o}$^t0SuGyltnYYYD>GdJ|l;9UA|?j)X9i(bnA<k+_4&q`sQ8UM6Te-r!c
zE*G=tXQhC_(!VcLq(YZ`I{8p~mOzZndd~gw@oN@pcQ8)=#lg8|`M){5x~G=@^-~oT
zm_6&}k}tN_{}WRG?DZGfxos_@Q_?5lG`Us0VKG0Syjk?)$KP=6?7u!@8**<-EMLX6
z=1;6DSE2rr?>8TLexAY6$sFCGH090T<h?A>pB4$-So9;1HTKg=*?-~J_QdqOa_eHs
z{P!d939Ib_t%(AwJJ*E1S!4fWq1F-RsqIU27qjZ73R(XT*Pp*ooJT$F^N)~LzFy;S
zn+3j~UPyeDN%|Ll{y}p8BqL$w|9z1RAv0oBxR0=~YA^kM-){cB=p}#amV7()<E`{6
z>*e2)lP-7svbR3b6}Pf*L(=gDp~=g>vL~JKh*6JW_$8TKc4A_~%p|8Iwe2jj8$V_w
zE&p7!ZOP}XNTI?G$D@ls_V4<)aoMLy9QXDtjE~shGUs;|1M8ZlA5}R1ZPF29`caU+
z=tFDr&jMTLDatnlJvK3zFL@udZRxl5+djlTUh;COKljbBWnVw7*l{pMD5g<BJFK&4
z<8S}R%U(P+YM4~>sU>jJ((;ANPYSJG_`FMGwa%gsPJ%~cM1|&m5}LjAnX<yH)!n-z
zKW=%m=--ddKkSV18%5?`SQC@Fo+(xPe;}t)Yw|zqB~RxzeB5~Q!LvnA+%*(tv28ui
zw6Q{bh4jZIkCj+g>VK%P+i|f|a%x0zGW*IWGVTvvvnDf~lnimITJoTaLHErDE{pfI
z+RN_0UwDsoZH)f%d!Y$QTVsrt-#gmz@}tiWEhgS1$s<W>JP|jR-9Ghn;o=)i%Wj{1
zeeWdC^DpJIJ6b}Y1jpZ(Q=dQU(*_-J`z3d;e*5K}v-*eF+RpV;7IX;AU$NkYdq(jm
zE2A|`k_$e63UGGpye}U!e;woE2l5A<qm%#FE_m3-qWa^_qKASk5)5VYmOl!UnHkp-
zu41*wYrFN*hkKh0MYWecoGQ`y=SO4Z)`HDTen0vV*B+DEIp>lD)5#+aaY@a;`I9@@
z_e)RyzsX{9!1~DOoTa~3@+{6d+!eOuftTYXyG4J?RxWuE=eWsj#-^k~C5dcyCjPM9
zOTKw5<A|zcEo*Ceko>=D!9#Z@WwEb!JCYe@v#bx|$&F(24{O_2bY}PawkXx|Yil-z
z?OpOEHmoJ)No4fOtj){c7lm$$>gu`Yy(wbWviHI9pDb?nJ@XghTx|J1M*Cm#^~fp9
zSJpNehAnyjZgQJ(PgH1-eE9EW?^jlxl+BimUH;yy^tI{3Z9CSc|4DwTZ#~C*>#2<6
zf0O)cuN_qT)8X^{M83O*`oC8BmpTpe>we8paXKC~H7ur8VWqdp^Sw8IT;@G`^Y-S5
znD)@+=Qli<RV}+`!u=Bl_qS{)JIQ=;-C3`zS$wmTpL~C~cg_FglKdI}_cYvf-Z>$o
z>|gROKNIV%OWsdiUs}5U_R{w|>+hDW4_o?vU;O9ChbD1qB(+?!n!if|b^bpAW&U5(
z{!jA#l`-numwx!Pw|rw={IW0i!<N4PcfE9D{=&KLv4Q_@?+W<%Hz{~}`Npi5+-u7=
z)@d(!AAkBa%g=MmN;gKy#b3QWk$=xxr9FR>r~a#5bhzl0^x}lFzsc*iEr0(>cc!`i
zvWKs~mrqY%KJsR&Fvs#(?PV8Fe|)-Rfz{6=k54Ws^t!q1i`MM>DnI7STd(1X-?XUo
zZ*p<n8mU_?hb-1?wO-V}?M?lfrSD6;SIY7~4LvOo-@5SX$%4!GThsm}KfS{HIcekk
z<fZSQ=B)X4oV)ABjV142Zaz`}e0AyO<?n+gt-flbK6AhP{I`9}KFsxEFFwu9zU01@
zeuJg<%)51eAADPw*R}NhTyOT$-F-`bd|a^S=p-h)O@~a^Y*@?oc=Dm-zYj(CFTWQP
zx%~ale9sw)CtL)3&&?EAa!)_@+Jr7)g?#SS9XCH1EWPI)*7SMKDS@T$=T{_M;GfLY
zxb$9p6q~u-^3P?(6HF4nG+LQIKC)`*`=x6d%sw(L{_-(t$vyA6oO*8>ywtdj>Tge9
z!}2HjPDRY_3HGjxr}i!RYPQhk-o%`T-2al)?K^|*7QS&?w%LuTzHIS_6X6_NmVEwp
zZ-rmY@=rG>#2jC6EURw8@|<O#(kHHz4dY&Ldm`igB_Fn*Wbw#Z@S#1%^};#hiwn0c
z`LMUc>G{Hw_Wu`czp?1U=cV_&=dMbXuV4N?_Wg|?f0n<0dLigx+9ijB%rQ$omQP=6
z0;&*;({D)EEY7~R{NBnSmC`?vtL$E|y!7vJxwq`zMfSI!SQMAtJ5~G1s%go_^Qs#E
z^`~>KiCb0pW7+%HPb@wxyEj+A(jjT7<oT8Uv)1wKofIRr>|X7z$xdIEybHGr<$tO#
z^K<?3dv|^>`?%_RLu1;Kd(&S%Q93o{!KY;(YghBJr$$;W|G4`5D$`l}cAsAU{@(Xb
zLh5T6&ewht)|_)Bd~d}}l_OIt7RN97Si4FkKi(!nugCPOYVqAo!Ai?M#=d<R(3<ov
z`pxfOCvNQhlXR;7mrv;aX%__FSa|ye*Y02P@on|%F2|j7tde4uec1i^p30A{o@*y{
zz1+4XtNPWkYX>Gs1YCSqz4V9ms-)k`S$}L0I=<qA-nGTNAD8@?&QzJR{L#s-<V8Q{
zGs*v1a=-J#b^p%qZzd=|S}>0_DgP(a)_>lK)k_!jC;uoj|GhQu=91s5pG@#v_G<g;
zRIa)u_taOf7W(<(#OGx{Hl5kDXM5Q5B|o-*UiSCLGQ%ef2}|#5dnPIJ>=laqHgA~<
z_d%}1Wvf0hoXFneHE-Gcm5krlEc>T>Ipp`I%8!c|JnVldetzkjx~q?vru|v+Z>{)+
z=aTD}z6m|O;M$TGvll#A^SAVG-Gb81%ihGF=BQi#^4^m_98>-#uV1s|P1*KmscV+~
z-?rra^KDBWm&9#2@^OXmjTNTHUxq!O;bEHpch<e7Z?)r>TvF${|9bhet*>2b7H@mf
zvEjuUhTT1fq?f&1uV20N>r{p4HA|kA=PtQ<cFD6UdG6hfGCxn`ZvK}mlvFlj()5i>
zp2fGv2sIoGeZuu^7rT7?vNv;oY*@48nL7K2lM{C{GcWmYPxr^S*Gry-{#*Kf)$y2L
zpDuTQzA2yo>%p}}&o(c)nY`@(`K1r?bZ7rKDYHjv((-3{>sg}XSzE(em~MYQ*u)sK
z<k{DA3tHKPW4^Cnkyx>C$N8OM+Hbfw8=X{&{kpSjrDtu0;DVb+m)yB#w<SFCM*fnQ
zx|J5kmp|KigRx`L#wB-iJ7oSWyH|9qLuWyd^}UHw$&Ae$;_sK;3o+8Fnb}shmSGaZ
z>7^g*_bh+Dg+c3yn%KfW=C7CEQ+Y6T&6}7-FE;#J{<3e$3(+;P3>{3*JNy4EeQvOB
zaaq}-dlMg=TT~=|l39p}b<y*F&C3Up|3@Y-yr;G4!Ka0boc*+(|Jz`>@t==z((#2{
zj63D@KQWpv=u&3z(O&kln^7|9<1^FWD;qf&bCMaZwJf>2(_cXO-}1-PwB?uGE&cbD
zt907Az2BBUxNf)jQ(f{-)y>NuhEEb?_;7n;NbH{_cPBH|{8{$blc`I9?GY3E(sj-9
z^LOw5Gg18YluIrxEWvRL?g=tlCcTY$xnTL^AD-RE&n|g-&(pDvL9uS7#^<S@x|iIG
z-xVx(Ic~|f?Cj-_PjcEX`jWQ%vHnl4$dwXL6jc|0lDFiRKCq*bA+wil_hg2XJFWiz
znZtTXa?9j8#>HiV-1n2T|D``YR9&;=U3uzl&i0u3kGF4L_SU;x-=sZ%`TpliKK#D5
z>{ImrO%{&p`^)|{nty)qEa~s8rF-T{|J7&wy?)u-FS;I<`OEhoXIc8`)?Lql(=we?
z7jHektibyI@1^hFeV=_yEn({F^%Li;dRLya<iq!urq91EdG|MeOV0Z5OFv#O_nstd
ze?EQbr?<bZ+MNEq?Bna~lY6@sBre}#ojzlk_`l>0JRjF7`G@XX^6vjvo8!Nie_UPt
z)#Ty74~IkKI;Wr7bau_fZ*yfj^Qw0Rmo0ny(t72iz><xh*Tsf!Uve=-E`IK^_iOXt
zFA%NlxjXsA-KB49-%b87^Ha6_&0lMmyj}WEro}yKc~kPA_}z0Fw3oaMe>bNg`1@Y*
z=gn6p9-A}KZGqwWrWcjxOgAr<PF~^i`J8@y+=1MU7aATnRNQGfc5TTgz2^;+TlDP8
zTUXv&_P?mpx$Nw(lWV!HExUrgw=A5_@G@t~`{~R2&i*;<^-1{nl6R$7Z!UU!eAesA
zok@R|eEeE{S?cJrciR`ft<72b{%FkdkI~VM0h5^)Z@eG=X6f6*OgnF8$L%Qi_%AJK
z>8JN=7JfY5|0}(F`KRsOF-ty~M{=8-`rpgg@KgNW`zul}b$@t$Vmz_*{Z<aMIh*{K
zz0d#W-62`C;QhB<iIaI)9L}s+`spLnEU~^NANN0FTJmx24W=a@w8DZ9*d}vd5SQJ^
zS@3w-TmK(SNsB)Sa|XWo$*^|ehvsC4w?DE>vlo60o5}e2`-B7L{}^{{+x&aNk0l>o
z?@Ec7v+V8s4@qk$JejxT!}j-Y{;o+`_I|h4Yfy@4Tox8HRn2JE#e2&>>`JNdTY6ak
zXU4uYJg<!onUpPg>&cLLZ`tpYhg>U`f7l(&`gea7+ny;&Diva&iK4%gDzrlQo=#nJ
zX5*5#-dQ{^D_K;Re0W{|Q$l3Po8_xgB=yz`zJ1ClyW@>)Boo{6w@>${O6ac@d|Na3
z;=LswenoNEuTsgkl3vRnlfQQUQkCL&x0byx-@o+3_T8Z>Pw#HB&|NE7_R1%8-Smk0
z>jZ00ZIO-&U2QV^)lvcVyyKIj>;I&0|GjtVhqFn`-{@ak_F><ZWta3fScPuic5ms^
zQxDCPPFjoiPg2S(Uh`^B<)Z(>*^M9Te<f{O$Nc9=OT^M&Z?orjJ>9FfY1!XvC)e~&
z{}(YMdgIbx@w&4rtd~80x?0NqrpA>ol8G_jB#*D+w11LQ<F@3<$q($@$@dsmFzFmM
z-Sc7j@ACPxdLK$H`@Q_Bg-++)_D75UozP(5UHUtFK7-?$rB7349N)9#=atBl6VG;k
z$iBAZ_v`p0jb%$-ew(O$W6AIJlSI}oeKmFSk7*S%KW<#um;5l>{@(u;oXJcR_|4^*
zUTm^n?EU83g5pDp3s!MU*?(|Z^J32N9}f!pW0ri5*O_=*ZqXzCk2gMX+*$V7zk10x
z)yhqOmwkS&db*T3x_;5sx?B7ougJtb={_@k$!B?0)>y{){H5>XD`y#0e4D)S2^;Iu
z_wS=6W{EA|S;=0#<nz-%4T1-E$GlteA=+_fj1|Z2e-FJsFZtZX@$!b}lF#WIIew}w
z|J*IWzUN_a(g*R4C(^q={1ca79Q*I}!&x_$eR@4fsPmKg(aS2;W=mqtPA&fNlZkbw
z>G>zhS^rl2m^0;FgOTa(WuH_OZY60y(R#D&lj!4#CL7Y%EY?%}cby@(`ookrNlU-n
zO<(f=_vz*DyuV$NpR8wl`k}r5I;PJ*)-a{)ba*pi^N$O=+Zk`X_&;a1(6U!|?S4ue
z{ju=lCEFW&t3UqaP49VR`hVfejf|)7CM|ij^@+sKRid`5ugJY!_|pESh4Y%`v!{N~
zTk!S2%|e^76ITw$GOnnx`gh~w=gn>>Emglh>RSHy|C{A+OFn6ZJ($@y@yOpd8^0+r
zbpDjFx~S<dW2JNca(Uf@=Es7I&)dIc-F)!9t)zn0f=RD0as+;4+bp&y-}=|tx)m!w
zPFR?}>_ckzqVnAiXV<WZ#qMABeD1;z@^x#Le^@2EO3YAu`Nz0N`#%0Oe}3_X{qLza
zPAL3eJzGsd_M7dJ!-=aGT|d8adw$)LXRCW3C@gz+f8AuR*GGl_pIf4swCwHjqUPsv
zE2MreeO|gru=3~J<O5vBrvt>+$mp-(y16sIHLpkP^S|z-MfXfMbuo*s5kHdLFxz?!
z_uq(=mEK4HMtGI2Q9ZTv!}*(w?rmK9B7S<*)hCkc*RiPnWVt;3lCJ&2_xIjtp6(U)
znyQd^BX;V~eCy@^t|kcy#{8*#x^C^94_BtG;r;!0ZhBeu6@&Nr_EYxkeUT-5dZ}4w
z!fduVbMNk&u2b9P7M|juecf>Dt2IlMzKAW%Tzw@iHh=Ei?eUDK!<K&kU0+#YwMy5w
z%Bk+$x|E28*A2J+-m`@Jdh~@S$Jgmsm)>0Z?`KtIO5&``zNU}2Fa0-v|MUepKX$ls
zY%W{!Z&lvG#*kQs9ZUY5zPna6|K8GX`SH59??zr|S#V<F^{xY9vTGQV?#P5kF8jXz
z_qFnk@0a~s`tNFNaP=DAf8W>SUy5#edvxhPzuH&j8~-kR@qF30{i~zauRquLc*(!h
z;j6!v-&!?u<=<GDCd;VR%U-Pg8^8OhKz;kOQ|nptts8fBzi3^}{PEpZix!*pe>ij3
z@V?x<PW0aPF74vBg|R0UQrGYnMJ_$5>%EpQ^<U-ETWfb&&Pcr%`T5o6r5|Q3c{KO?
zIqyl!zTdS<@5@^D&oq6a<)66y-`AMlzPaq*$|=0f%fJ2qb;Mw1($a7Fck8xvet!9B
z-le9$YnFY#mcQ=k$K~ImPk#EpMSk=0Z~yJqvR8_G{#pL*+SDVBpAV|1@8}WVzr$Bz
z-^$qwU;T@_s%6H!;L_=JyiXRJOrAM;&C-8IVm6dipIg!KC$?Js#@_xdJ6F!!y!^Wq
zQ%_RKj^7iX->>;`@7Q66KNaVHAMovGoH#3d&C>7Fmj1f?XW6&!Cj;dceyiWa#J==@
zQS^_8YaZ=S|5LRzl4Zg7v+H<`d{-Zgi2N-xktu&QcYDO4$iI93m!EmL{IB<$s3m_J
zRwXm^@48;N>C+}2lZys?UtYf`-gx0huoU;~)m(pL!;@H7a&G<;+rNh6><6Rl9}kk2
ze_MNRMt{ZM*ypF0e9OQ7ZN{_D%f3b1U69-VKepu9iPG7x9{-J<s~;(J{qyIIe^R>V
zNPnFBN+xFi&x(&H|HXPgeehm>|G!ujjoI6n*6{3q>M?Wi+Ve}kRnJ${`tvR0`Lb`@
z_0k(^|J%&nyD}^N-P$$(VlS^^dz`8o-_&`24Qt(0k+45YzkPe&!Sv?eG<B}d^{c;3
zeC@#gr)<CWO)=3a>OY@wE^I0Lv;4u-S2Caf`gxyh*uG?9S>^AY#T}j3CT;*lQTVwD
zOdATjxqhyhdL!wmf$8tRv42iVw)SMa<a_MJ^!nNZ&&dpxt3PgDZ8q<UU%Xwc=;_lw
z8yfrj|5n$Z+Y)^9Puc3}p0+Q9KfYW&f9bdHpO*d&ziuS(Xv*E6OY&CdJ^rJ=Lcv#R
z!nG>`dzO5=UV7TVY>&c}C5cyGRnLrTnl|IlI@{~l#kv*qm;Jr&ZS-B`s8NC3-*^9(
z{9WgrV6n?L^wngI?r*a_{eo-XFZug6-}Ba4KS_(1|MtXwT=Mt(_T?8|ecit0<~m;O
z%8uDfU$o9SaOlq(rtql(yf+v>uzYS!%iqMldku5cNha+%MYlgO9KL3F%4~ALn*Yp~
z8I%RGtCzl4pR_!G_UC&`7H__1-ro>;S6E=FU;kpC15ZoWtYeuz>EW8CA5#xr?PvK>
zVf*dN8kWg3nP<;1^<JZLJa!#R_TSSBSl1}j{rq3}wq}9Zn}2Iq%6~6#ydklY#g}7I
z-7mL~OWw^}%W_p~_JW`v+VKj>{~5TJ|87{3_NQq3lLh<!gxg0hII*7lb&Alf70Wj_
zU9yu~ync5>qPjrD{-vMZuHkw=>6rJj&wrmV%>Uo?{QDZ_*}|`GB&}sX)v#jS&joP@
zlkTqM%dY#Ss`!^f+D>G_V*a0LpI&!d*!ZpE=Ij~!W&fX8yOD9`$;yrgXFuGmYU`5u
zx5gvhW~=VEAIYCr^UwORSoE6kPlu0dS;OwFHTb+%Fl6h}KjBsz6@J`V{^$Jj6<Uc?
z7yc3Np7HHh<lma5m*mzNy#DZLg4fNR7n)1obSS5<Fng@?Vad_X>mQdk2+V4jS}Lef
zH{t(+<{u|E_};pI?8LRJmUYTWGZ<{mDpt5?uVL$C^4EUEzvg6J<d4|ni+<aSExGq2
zW_nVCm1*~!8*4<DZ(iX%uTenMlOgc;TNA#xFOuHNn*ROCeEFtn<q1ZYzqQ_FYuNKX
za_O?K;g9&2wRF#V_L_TF6=%0Il>b>c<<WvQpKmQr{+Bg<?b5d@42yTa<@>qh_wr9G
zH~;)|;q=m<b|+a%*RXf5We)$g?BBUfPEP-`{K6W~pWn=rzU=?pt?bcjSk3=0`hH^-
z%h7d=w}1W<cIBA##PZ#vC4aY0c5q$(tMmrTk2^<C{?Zehc_I6y?JXAe-5a&`F#ceA
z{OR<^1!pQgpI!4OJ9lB+*#+0DzkR&5=ENH%=G*mulD_@u`Lj}}a`~4{zs%>o@G_sh
zX70w@N0)BQzV@p)m}P$0@{g9OBKv+;nZ927F(e|EVcEy$RyQRk-Z9a<sZ@V~^Zd?!
zwE&l&uQ{&VJNwH=|Js5N69Zz_=AQrItCiBGw5cJ=_G3Wtlm-^-uq!H^-Y=8FJ6;R)
zie6GKU$cr`nd8Y@p5MP>{1s%rEqu9gqhiuU_2X{??BZ5#_`LYa{7oL_^*>`iDYz}W
zGXKJ}@IAkym7`kn*UQSS^t>5aw{XqJtIy{D&MIB=FJ_X8^qwX6_AGsO_to^2=H|2J
z_5Y_xZdkZ~*}J)nwtH5Iew@Gb-Tl){i7RjCPvTj~RJexoZ<OJ`Z;RefW}3W)^RH5+
z#{c(A-=AcZUMnE9%zrI^2@Bt}e@ox*o@}s0MrhXR9?PpAk2rZhedKiZzQnz6b2)xb
zvWS`T<F4K0o>yB8COSx5vxq;nhU?zn(+;ne=iZ83!~Smj()rh_*RcKD)~YuvpzhD|
zi*uL#`@5_DX2zA}r>(Q66ntCH^~%fS{#K78Z<fuw`g9H3&v@g<pE?r$|2!ReAYx5J
zYVzM-Q@1S>j{7UNa@qQ??J?_RqHi@>?vGf@wcC1S(OHw1#V^lR$;Lll`m?JmzGb#1
zW6YnT@_+VMZO&g?`fqo3W#7X8vd?cYHSRV4|F5WIzRl^X^-KTV-CMFTuX;UK>H3n5
z_m=*vD%Xz+E-l$u#XEiXvVT{v?=pFLld&;P_Ww1DE9vXF)@LP8n7Z`e-d!mly(he}
zX<T3Tuju7uZdnG_HOIbv^)y=fH-0L^!askCid02;BN@J*b>6&=t4y8e@%x<;D|sHT
zd*ZZr&b&z+b1%rp?)g)6`TZKUmAf}B`ZsU$JHw41|4j@$x`r+I>Bo~BC#K2s)c<ST
zxUlg@-MLv0)^kjn5EZ|sML41(R5bshMA))_w^uP|9oy}8)lx6)poVf0Q&9DKuJ<=v
z`}@~$?ag|Ubk*p}f`6tbnb;jXW^_gTY5M(VLinSV{IdBsr{7!pPkVn;T{BP2@_&Cn
zt+Jc2l$&ACvCV6_(tkIJzFzWAHa6~mhw1sL0k1YNF8w#JBk=y_i&k8W*?*g#ZrCvA
zWZL3?f8TVt^)LN*_twW}^*qmRh`IdlVoScT{&=I}>;?a>e@JFL`A?32_p*PbKi6}=
zIzOi<X&uk(wQIQF@7ms2%$;<94R_?q4|6V+PYH-!xp}MflR1;-o}XB;|Hj|q=Vlwu
z{ab#2w@|_n#`?9=rxUKN<%yfi{(RadzUBY^?(5mnyO!_nu9O0~KL>mbUzNo0KUU{@
zTEABMCC}5S7QMKC#eqC8Pqzdu`BxXN>oIHplG|UWFWG)|Rh;CNe`^$8=l@jvG1Jt&
zaL2!n^4>So1FpW@zPwmHqe{EYFKl|i(`e^sSCUlnOHWQI{JT>B`I1Z9S55DJGtou#
z)7{?h6I|1#1k~<RFJ#`b`d{%Kbt~;sm8Y?vR!FT;h_8R?7y4`3iJmnI|9^Q0S4JfN
zTg$V0cFcmuU;oSq@1Epx_Fv1ry<2wty0wNU`|G()NlQO!zyI5|fASipCI6>K&RH<!
z;}loBr)zokKMmTw?BCMwE2U;FF#Y-@=<kw$s=qs4C#~T#t+YP5;NQmeeD}TvaY*c0
z9JZG4^^pxv-mc=U-;{ZKVzTwIMuF)uuimcb-JbHJ;{PN4_dlmq{Mb?0xbEEIf+cQM
zZ(=S+rcLDhx@V%m^UkB<`+w#gV2o+lx%gx3hw8M(<292G{D}Gcz{H<fG-W2ooAo@?
zPdD&JUUvTT@!oO9l{{K~4Ptj!bJx#lkX~wTfA9KgZb6M%A9sIxT=A!C{hS7)HGF3u
z6y)t;yyhGB^H1mcC&4vy)oN1?h9A=YvxfWa8uit0m;C;8(x7UUPWs8s=07DoesrIm
zn6|!hVw~+m26oPvnI{f@i&?P7`V)ibgt)4>j<5YcCZvD;Jk!NKZbIDog-g5F@Y!e2
zdU9`u!_l=o*8f&=BnmF*`#d4FK5kl6ulOv_v+D%KV^&X)f3!p<IpznmztE<#DPha+
zA3XVER@$D6LeCDJ|MR!!&YPGiVcyY8J>N_U=1N*WGwj(KdDXtIQ_R*gLTfkv>x}<*
zQFQagjHD@H@m_q4n-oq@3bT%g;aie4=|bTe#?W8imYmbM_$QK?c@5+94@rAxq-U*R
zolq~O@p?+wrU@aTiI(db=ZoFQWG{<Z$M`a54cFaGMo}{kbWaVd-MB{J^0^77KMm{d
ztzmq5e?4#c+@*ixPpubxw4P)A+FwO!djxgX2;2XR)Y4ohyy?WpXAN)4_sl4r9j#%r
zM&#eGr;~+(CkMuVUK8bfR?%#e<EaS_b#g1E_WyE@T=HjmbMn6niynX7^S5F9|Lnh$
zK5Sp|Bi@TKD{M{niStag%YN8z-?M7-4VJVEX6AM=8-@Na|MBN2^YS11H~&1?JjYf4
z---^yl@|Y&-_87e`RT`;NxpIoKLcL<oP7RIde+<xx#!m;&sw!jyn4yr$O}ei|3p>2
zU1@f9<<_iw6IOowoj%*}#G45ovlo4jJyNjf?UL_SVx4#TmtC9UUz!}dLQ&NEp3TkX
zj?E7a_Y0og^Edta%|(xnF8i)k|H*1w+k>!8326<v^Zzt_|FrD87blnHzYqCK|EWvs
zRIe9%x?{8X>Wk(ln5M50i+fYK=!deBZuXY7lV|yKF8fiw?ny?)n%mm>EnjpwqqXnk
zy?@iOw{D}Beaw3P@A@5QuEnnBe-~x=<CBv0(u;mi*Ydyrm#VOO9sAthlQ}l8wW-xw
zf5qj``zgoQr!!8CxxVjHmr3^&rSMZHjz_NJ|E(RFb8G3v<)79_{K=nV`F`8NdpFi>
zuJ-TAx-Yit)$etsCD+#S|BEXse`Wf7&Wqr6HubAEpSlvc&UWdX*|!dC-#p{6G8^~n
zX))jTuVJfyXMb|#s!4B`UbLRNR$%Mz>t!2r*Ydyp{nhql^%a-r`FBe;)?JOQeBH9`
z&)SlWS=sCOzpgLacx&;^c?;C{bov~ca3Xu&hFSG*CjFj2hvWZh?~;w%*NQK#yIQ^x
z<c6rSja%hnSD81S$&!nIy!lB7>z}3_X$@ST|J2>9H##f+r0(0Fy5CxrxxPz&JdeMy
zdDZ`TuNyPpwe5QIZ_2jGCL3Q=M6BcAZ9F;uTEofn|K?`Jo@-KCD{Fl+F}8K#UHupJ
zr`L!-?U9e;{aV&-cznsH_j_eK_cT21yUJ<5dim#T^FKWQv+U)`51$(x=6(3NW-WV>
z`j5!$B~N~@Wm|5Qy`KNS?$O9f&-H7>cimw6QSo`5*qeo){;p{<Rt^%cUd#V`J=;=M
zm4ktS=huj(6g%auVV`^Nr~mU+;v3hnd+&96VY5zR`U>{gCyW=?N@V_H{!#aNo%k7_
zmEwnz*05`(u9E$@p5Oe1{~FPak!wV2Y(mzG{9E=(w||ZB3ii^RpNn<gt`Sa7da#at
zs<ricf!Nd&U4NE;if=nHC1sV#nl<d7rzU*Tnf)hhE&ER688`nh{#l;3o_(&?SJua;
zlf4$O?2cUiYwu(h&1IkN|JIMZAU1L5*_|rYb<01TI^y_a*{7}RCn>I8!}$Mqs?7EE
z>~nWbd+~e8lkj(+Z~ja>|7UL2>NV^oTa`3o)-3t9<k8DG#baeJ?;1YznYLb}djFDL
zZyHwHtP%Nov*XUubz;9_>p#6VT_;eqcLwL?HSFi&L3PNj4c~VD`Ee!Z`1b6RYyQ-K
z+PdM+nzii3b9a2&d{S)LnscWn-H+V!e09+Q?YMO-o}NFDx^ml=@9RWQSzfZzUc**A
zYr%}THFBpGFOHlRVE%i}%1dQy#3rt)I4je-i(%^te`f1Nr*?h#zf!Dk)hwGe>>sPv
ziTQ6@xoZ{k;rZ*di{lpXJX!H%4MY9D{F_n+%RY(k|3CT5rUQ!`R@#?M$UnYZboQ$Q
zlNplN@yvIQxqIO5wY9Pzf6RXLYqsnk#+bFd6F$iJui=~f@k`84-RCFD&o{jN6|qoE
zQR>0T>=SNcFDB@J{(A4K|K~+t_5NLPf6O#PHIeD(oTD@LufDNSNd5*>lH7vx55=d*
z)c^VP`vk+vHT?and5<r!NLweieDC#{X=_AwTmSys7V=5JYRM1#?{66Y>i+oKnjZOs
zW695{&$%b?nb$8&`PX4}cD-Qy1g4ns9}Y`?#_mkHd0-un`0tN1DyAfC^}2QJ-WtJQ
ze-@vv{6FXB8XoJ~o9je({aMA+#2C~3D)i%$pIWD1X0G|7Y}p_AqGB!Y(!c9Cm7Ge~
zFZmgKdmWc6TjcLG97{K?kobA(@5eRV#p!JhpC@dz{&(frME3u)AC`VzIN|3y&2uXx
z)<>=sYX80B!P$k+e*c)b`{=|yTK7)qe*C-q`L1qz>7NXOvp?RL^?4#==mk~V*DcSL
zJhxddz9S?lsc|N@g5gfgf*-7pllK2C`MBX?{lrboW?m20%xs>u>A*x5;R%QS3nY27
z%O_X-4?~^*Z(#WUTS^~x2y^n{_x2Md=Iu79UG(tk6Nyzz-~Wy9Um;;yB&_*xp+(rq
zswYhBOWt2kVJKe_aQ)Ac_qR?PocwgQ{t<)z{U2%%dH&2fy5i9P8$Vc%FuEi!`S9y#
z!4LhWS4k@l-)H<B%dm9!?7K<~>7Qg-HZS{76}_^k;bqL>7|v#<{}uly=Ez(Bb=u50
z(a&BdY2mf9lg^u$e#qOthWqRquJd}w@{=13S2CPmIkh@$`G@uIdpcC-eTmQB{lEL?
z8ph=+nej=dSFd53l2yHC#rAnw&3hKH&hCiX*#03Xy<^&)BNBBRUCu6N+4omf=|TR<
zNt1NU3jVK^n7V7SamR#98<#&Tu3Iay?*5FKKbG8HzUl9r@ck3YHb3+B+Efwo=4jYS
z#)r#-Uf1UTY&!pE_WiGQOBe0j`)Bsw+$Cyr<9R3NuM?cUe)_J+<xl57W?A-h>60B|
zD|VFcxh{VB{n{_fzps@D+G_H!YWCv$YbDlK-8Fl>ZLP%b+#?&ymOu3q=wBrleZEUf
zd)pd`{ePBB`E+_k__b!er|TtlpI-L=UF_5r;Wx`q-2VBu>*=qnB^#^%buC}NG)mRx
z^r^pH`sHh<u-{w$|6l3W1&kpj8-LEd9ap-s_VyZyf7{jz`hWG_5pD8xYR9&R$?NK;
z?@DDpy)N+os<Mg9RjQv~U-kV0a`mgHIlT-1%iheHzWo38|C1i<ZCkk7K9XbdT8W*X
zCU?|r>Y2JDdzLEuri*La8I!L2hObHyXkT*w_Y;B5OPaoIm(%~Z+Hm8a+2KEzot-AZ
z%J4I1oy67oOa9-TY@mGG;`pE0aeG%X-L2qVFMe{qgyou>$}6XR;$6cSGh=nvX4gM!
z#iy`Zf0~k%*ZIMxh}rGWpRORuS&{jF=G<E^`18r8FDJ!%X11)An16rv{D`%ZmhWe*
zI>ft9;&j=Hqx_6X)@vlx86|fw`G372_J7F6im=_&&aM&XPcr(>@n*w`xb@=qI#~NA
zCH>#{zsoD-j)2~3aaZoZKW}d8MywS7_~G8X9e&{-cXa4|TPL`3+j{X!p`Rpz*9x8r
z{iMLTPU7y=W&iK~&bw)mKW~fv<Zl;G|K7N_$m*oiweQ^7kw0g;I(?9vo3(rH|3`bL
z-q-tS*szu}(!;H6joGdgj|tP1Ts`NQZ4uu;tuXlJf(ZLfaa`>G=3IWs`Z&tdaTC|!
zrT?=}GQC~T_gZzzmGx@`OYYjOw0OPbRLRv(S`y3V`JL3PS|b^@ca7Nm-A^rA)+zm6
zXMcO0<lne;f<LQc{X*kUFW_0H^m}gh$vx`?gZ^%s@n`*3tE(%wY`gz^vi7H@jb|io
zu3^>9K6pE9h2q4f^J`e|ZhG-Qd5xm@P2vA5SbOKLH`K5Ht9@-P!}`B#cu$q<pSoIS
zxt8~Nyp-0pHM}d=?pWUUL-YTd9n1UH@|NZ-`X|<?5cX{q&zc3V(pPAF{lWC%-(%(-
z`Qb+x*>CR1SryR{a(=<v>T?bKN6)Q}Si>j&f5-Hsh0o5ebAP^CqFu1TP_*pd3Zai5
z{~YI8E75fzxcs5?hPd*Im#YO2{%2VwwW@NR;Q3Wb&)14S&0Q<<^Y^lkvya}Klqp(y
z!)gul-t3zvkIugPW}?@;ODV_K3TwY#E3#he=e3y=h0jjerNkusf35Hz>rMCmuVwaR
zZfESRTg$w5&gQQNdcr^cv_8bTUOejC$_Z<hACBCZWIlhjMC19`X2uwgwJfpG3~6QS
zS$gF+%ZF~<Q(_%=dHxZ>sz-nN?e48$@fDtSZ<5#fbqd#>PtNh5Eq`y}<?~bX<dgsX
zU!=5g;jQj<;<1yM6kk1f!k~R&p7}#I$NNbeUwxj?mtD3a<FVl4w^v;l6t#GZk}N!5
ztzo^oX~DDp1KZ;_|IT*$!J&EMQOxfE-q?Siu38i?2>-EGV)?ms2H*Dn@4dfi$84=f
z+&(ea;!X2^tqfZ$n)&{~Z)JVy>YoSZtu<Y<R(6i4ONzjUNvYu}9wzJLT-l`$iN+=W
z-?4Jt2}ZFMqI#1)u4Pr+v8Mj>C7IbPz5agOU4Mc>a_6FTkt@z@+%?NyY^7Snog~d4
z(LY`{y!m*+p}XPl{fFWwf{w0sKE%AnCO_q_^y57o-*&vPEBg?mc%4lmdB&!dqOC4F
z_TAsOk^lLwh31hArVEujetUeFtgf1~Lgog;<_&h@b&N|4`qv*gb2XW1MNH|NmG^G`
zsi=rqWxr;@2fi4yJv*j{`8Q=HKUnbP`j3q}*ktR3S3I|w{dG;$tXXm$A6X(F&6t&S
zq?)bo?gqzwADLI!d=%TkXC=@a^CF-~`6i3{Efrw~?nl}y*&Z<opWVS3dV_n%#tj@X
z2e0J!Eff=7@H2k%%7P8QcdXRj!@1*|^lSZ#^8YsYxiShL;bP(z-Er*I2fo$LH+LKp
z|HeAwV*7KMoFB<66h!y0<Nf-EWy!Bwq3Rj2^=<!EC0TAN$V~sCo7`F0$M8N{VacD^
zHQcqQ3!>L(-0Rd5iCHVT{VDV3_0s!a9p(8RwMtWp@Ao>+Z{_+M@_$c?KC!9%+degm
zzx&ro->u(t;^ideu87PvQzk1(m;S8iYGaxDd9C@~_cy+;Ss#7-WM}o-g!{j@^X^-_
zw(I-tj)*_&)UVF%sE^(JVDqCH>gPN6CGTA3dqn2;jwSXR_vFMJyssBG<K^Z#bL5i3
z3MV{iP2RKYt9Im^Wj|&gU(fmO>(!U#Yrn5k5C4B|M#b6-`BT?QU*8v9ws!wo_3it^
zWTW#>tz}JSc;ChnB+Iz^U~u|6&cDBeUQUkrKj-J8jcj|?NnigT^yBEi@Y*5;@AkRj
zPuH0Ke!EgSeQu0QM_9!6KKYrN8yoLf#ci%Wx}I}isIJ`G_3NbXT22#Lzeb=;)hM%4
z_U(0>{gZ^*9WpBt)=ICxeP-MJvUQwqZ%sQ`xn6qhp0-bZ*Vb^Z_mZ~0w&uW_HJowj
zGbGNhH-7!|y3KxXw(n(YIM)jE{!iL{py)(E{#DyoUnN%uKHtT5C4R2n{tnHgz00m|
z>#VV#uqwtu{pyPD#Dgn(6YeERub6PcomG5QQN-eN6^x6%Jp8|J>2;YEi!)EMszuan
z4cis5XW7<gEf1cXYw*N)vxMwk%Xy4(!tJU#^=nUT*b={aEq7knRonHSj$G6F*7z`I
z;SU-1Rqt7T|CwErvQKNzl$`ie8>U81&6!$|6u3d=-^8Ymmty-D-Y?&?f9b6UNv~u7
zcSwh>xok6kXI1FuM$e=P+3{;S{AMw7uGw)%=|p69Pv#@;(CNFDmhL%les;jeAL@-R
z%}EQACU*Tl!f-6<Kzv@@1>N(VI;(#+>TF)gnRn=gZPJaEoUb|)-U{w`|M$<&na9-E
za{iLM(R(lUy3NrwobRrdT@*QbbE~JodB(<nS8bMWJ7N0ZZOjFmc%2jGI$<l!_r%T+
zWMYi@`}<T-8&AxJZ=WxPYX7@pv()<a!bY`(u+_`nDkmiUUe6h>ns8(FlE0g;*wpXb
zvtsd~xL*nOb!(-6e|s{UFX8oxf;PsOYc~Hku2}!`&#d?QH~AFSaPEEoq~L1fLiyM0
zIls*{zIvqM{^s?Z@BVJ(_`hey+is(3o%LqZ<$kVNWA%Nm%+GV{rK`VJPwD)4aN+C^
zwwvF@J#WYrpQs{th=F}-cKPnpOJ@3g{iKnwr`)S<jr8ienFbZhT=%SzzFvAdOJk41
zl;!*W?tW)GS?<YQ=e9|ToBwPm-+FT6*N+X8^gl5z{j;GgdNrrHB*Tp}O*a`hcS*`u
zG6=4bUjIMHFZk>B#@xGa{%pAWe#?!mK65N8*GR8l{dLKitq;zvx$^1W{MGWZ`X{c4
zE#h8(Ji7e$j)bG@EWJ;@=v$+mU3>C_!aDJFf9EdTeg1;(yUKO$XV+*|mET*_^h2!U
zF~3F3w{t67<}|2Z=Dq)r@#Gu()oVqM{+$t*yh_wGy~(oqn!@K8?GHD?u3vUP+vxgp
z!F&GuF^|47h~B##QoiSc!K@oL*AFM{-(hKQqdz;3uOZ@fOx))gMZT<jA7U8=Z=}?}
zG1y=$_igozlH<Zx)UB^wEZ#gRGk@M%gNW~|^ljD{eCAkX{DJ*M&vBUxXJ%cfy#Hsx
z0e+U`rnkE<mcLo2^5lkQ{GN$L+&}&=_#OFY<L8dq^VVxm{rgMyq?FaFKqbx=?H}%O
z>lLiin~trqeqFbEtz2o6&bC{7SKqB#zwm_NjA`|sv_CGsWHCYS_=GT)8&UgDvhgqZ
zw3*$wW`+GB8BYJ074mu4Rz3fq9kZ&uyJOqumAu+1KLvIzdRTg-qpyFJe7)UDmr9nf
z1zK<Z?#f-EV7swno9hJkEV+fjuW#103&vb<4V@^HyMp`rMCNrJPd2Y$yt$4~JbDe|
zy-Pm*a~t0Mf2{W7Qt=NqvDGhcuXjGpXuhE2BlC(`+C?m%ro{eR#5kF`-bUunHP=&?
zlMJhW73bJ3T~#)v;OW|l(Os;Wdv+EiPxOl4v!Xls)Qr+SJL~1y|L?q{CUbxHl7AIf
zQ`3L9u|}?9mi_ZN>58k@+ldW-7Js<0j(6_d3B3z1ioaf?xG||gbl&2x^6xKtBwcVX
zjflCNB>(@Sqmow2^Yu#CU1LwJll}LBcgOTPvlSUPl7y13nA-o}wfOlOzW?uz3S{wZ
zsCa(GAzm$+JuQBX&hsrMM`wsutkZJ6;a;}ZXwSbbB`K!j9RIf*UvYn)K!{C)*0rCV
z8yl4RPL$M67D(a!vF(bbmgfgMk$$t)vi~OpA7|+|dCe(#IGN$x<{9}1x4rw(ZujS6
z{k#kMwVT(m*xl>kJ%6cdSH;3l;uQ~Kq}PajYx0X+#Uj6Ycb~e%R{j;@Nfp&G6V?e?
z$^Ba=`1^L=G2vAL$!qxKS6`W2aeIMjrDE9{e`(X0o6O=3-jfw9XRhCP!L&X}rgHg;
zf{#1CSZ|VPU;UhK_wUs#Negz#eqc)SNSb^>E9_E9@s6Mq|LY}oyquWUP%ElD<DX<w
z9OI=$XLok&vRF9p@Ivb;w<=#({765);vg3%ON@xbt1n4UeoqWfKd~|XMDh;7i{`67
zGOjw6v};anLJV8-&#AtOf?=zpa$aux))#tq(T0tT7yO=2iujtM9lgN1W_9}-#;K|E
zG=wU?Ol<5;S`lA6kx6;oa(`ySuuDd*?Q0YtzqxUcl`-l61qo$^mOkEv=CL=d%MBO&
z-;m5O|B^v|+%3!VR}J1xwBU(e<5>G`OLg`qFPY}3S$|jVT{UOH)xXVKH|<+h|8sik
z^nY_!B;B36{nZf*<Ct|;*L4}Px5cirs{bi_@6Na1)72~{9Qgi(Ep?sc`Za%^3I1X*
zU-f^&&qKVw-qro#*uNp_`{|+=(@Iy}2|ag0V5;r!l6%*(R%bmw@#_E4^{eMxxH@%B
z=*m6+j+TD^lotEfJS=jp)#_Vo7KNQ=I=(JmOTF`E`b&{Dnp5|`y`>yEbNbl@YSx=7
zve(##{=PY-b?1&v@~@|s7L_kA-F*Asv0Hc7gXp92<+?Wo=XboHt62Z?$&an;Y+vjB
zT)%lz%AX_5O-ZMJL~i`j6S1g7^pV>O_SS_;_Pe{7lo)06PkfPkv3>W0h3W;XzWv{j
z^XIy6^!%x%S9j*6Hz>_52wjykgK68l9wCO}*zIj<8+AASJN8YV;cs~R!nxXaKO{x;
zevjKaqxS3->1ewd4ZC+Nd3MG3|Gg>fb^6S+uO&|{U2Zpf#?Cd7;ottPEc?Wuz25Ts
zNrwDsrK|o(?)tKOqs8OakEL(^9t%xhW3zhIo>iJkDsSiC(E5LT>-`6BR#pCdF=tQE
z8iRhW8;9<1p8d<dZzhMu)2CNA-{P<Sw%%sbj6+#wH+`=$by&4ZcCEZB;l9RZ^+i8-
zE>`1jYpfHJ8P?q3{=Vnp0n1HB@BggZyW@W{-;I;?6-<AQ-CUu<&GLS&X!-@0@EhVk
z?kZnoORsO5(Kn-V){`gSGb2y+uM#o6$-udib<e+b$76P{*neYYey{E6txwMG{;|+L
zjOoV4e!CT#e|uK#eefWD<3&HMBPtrwjEgR1ecN%vpCLT%cWv>8>DMNoKmMR~-Nx4!
z{IqjEEoxiybEf*E2?2tP%8cu8oO-ln6^lk}P}2Iim<gr+Y|?Spb8hZ9xv%oVZO8wC
z3@jB4%T^ekU-ft1VfW8B&gU6%TOQeR?I3UQjBnp=`K;McF`qeCX4``#v8gMhbrO?S
zO8%SCceXLVpXK?Vn~k%6?z(>BEyL=A+pQb!#ONjch`rHs_b{Wtj@7?zoQSdi^n^#I
zOZJe>I+0bmYn)gAo4fP8!0nuM&NnaoIXks<f2gX|RsF>m{!J@=ubsYubF%T-DYdWu
zT5dG^sc?OI>DKotW|k`)zpq)Vb?x`M$9vW>glzhC_}NqI_+vja7qC5k!@t(()$(i4
zo-Wt_>BzWa%bOcJXK^sExt4ofl_R&7=dr5H-~ZD~%g)Cv$o{90lq}PtbV#DdU3$Xn
zwIbKI|FNFFi}$fulKq?0-y{E>TA6**fM*H&-amg%e!08JDx!t+eC;ch{9jM4EwAM4
z-~VQo&@tu{wbe?KT?@S}_OI8RJEiph@A{cO;d|z+nL0Q6zOJR<?5;Nl1x<gi-yeIk
zrE2;9Qs3~erz=0Nar?ft;qK9?WvlM0Dk*DU;9j+5$Ll};Ue5V&?$7h~{;92Bch^^*
zN?a*<cHgP}Ia6A%M=|Nd^~|}w=k%+&3*+p&m)Jh~9V)zq^O<vp$i-r{Ju#~k=WS5@
zbD*PtX_mX9<FTfqDU;WCvS>0c%{5=WLg_f;!{7fqH-)cin9%4Ev;E<ptCN`m_vFVL
zuRK{(w}Q)V#(egMNo+CSR$t&sx{!1A&*H3&jFXG>uYKTmKUwl^rSYDhTYr9h^*CvP
z1MB=GxvB-$9jr04ne5n>1lVT(-OD4{u*-&fh3obA*AgEsJSpoY*cqnua}C=I9%;`1
z57d$uhVpA9@vk(M%3o<GHX%?>@Wq5<1`Ri7R;D-YIKolz@$I`+kuwk5|776*chI=u
z?Y*hZ$Jh7;Zx&k_TroRZ;(v!xatF^Ek=i$FBxe7)xc#}<zl&#AxXstvzGj_h{G%N^
z`IdT3WLVp&8T*)PQt00ZiKj<oUqATrc)=#o_p6N}7H6(`aB4p5iD^O%OR`n>KYO>C
z>)o3Tn)5o+l+8GV{xKdneD=xf{}C@z%-`_GeBtKmdfZX=@=g4k1rL)KH-B6wYJYQ`
zdG7AD;+vT6{8=OF=Xp7wd7(=?Q{rdN>z|ktpS;#qXH7pgp<~}V(e+=N8Dpl!oVa0I
z7P~^?1dFuA)7QIMV*h)8hzX2&a3L^D>|^!%2d|rAQUqc;CNh2XzohZ?q1I%fnkk?5
zTwSTvo^<NK%X;M?ftC|5W7HVL7k%A*f<b=4FLeghe~VU%9$l#X=*K4cO78rN4#%fe
zyqmK|cWpe=_G^=_y;*7YbJEu0pKSO3ZpzGFV|II$z6+B*--WVIj8-emIwdmLPBVp1
z=6bnE^XQ}geLw$hRF7Y4@U<i6mr~ZhMzQM;lm2|!o^m7Qq)0pWg2PuM6!!iVee<97
z!mH#zJAGwZCka00kegarUR^1mxK4N53O!|oRqvm!P2aPv_S1wg{cjUXkN;g$ox4u|
z`DB5mAGy94FXW3`qc=%IPek~K=_4Oo`w6S-*62<WxVh4xm2s`E>`De*5yov37S%_@
zGORP1X4tVx#q|G!b86CtA0^FWSEwala2Jnc7icPD^@-*Czv6E!!?(3-RX?pYPHDIr
z|L3Dv|60|pM>v}M*D1xnU6C$&@6TGLH!Cs@v9HL`{=>Z@<B;eE&e<On|9(F3Ebo)l
zv0Z!THz%3z{$Q#u#Ik<p<Y#~8ZC|_Nf7cbK`^t^NR&QdeXMC5NaeuA+WKGrbAK%3{
z@}vlE=BT*nAUaVd;xV_ZK%&k1z|>FG$5*c9^Z9+vCF$k#>p%X@JS@IZ=|R*gr9KYh
zBTT%tpCx~v5Nz8~_hXHStHANHH69zU^~#H$6kNez|M=#v8&~b-KVhA@#`$%}W`Ues
zo|k{tm7e7O`RMZgA6F)r+FzLN!osljHkWCS>ILSt&b)JDpD;8r9Gjpg`|sP!7i%ts
zC@EK`{Fjla`};Qi(rN}lKCW*o&#hU*;a#~(<@}%N`Ttcp>a*9GsB>(OU&r-H@>AoP
z^gA#A-)l{Z{}i0xQ)CtTZH;>NNv^-nf2VY>PgyHmpA{)ky+-f-5jmNtb$Z|QCDgCQ
z{@M2W)&_}v8&cMrgoU2AJoSR%|3t&A-AlvwpL=n4{sqsk!c6w;l}xNpKX2VtGlysE
z8mai7aR%|%roPqsy=UjA{c{T6e*1gAeE&jk`AUwhPg-3wlIwcii+>heTW9jl{$`!t
zvuCQ6674Nv>p%UyP`=;fWtH}V7j<i-o@?JVeZ7hKY@1Mi=xV;{bKk_hl-j&T?|%Hg
zwK`fi1B?D|d;5P<2IIR`u|YPc^VjO_e}BDnbM895?W?AB-7ep(_j{e*)%{a#tLoR9
z+}FL$o4@$TCWG61xyx>@Gu^%G>5j~I55#W<B(Blj=k>Mx>ZJq!|HfN(u-Birt6X?}
z^I3(mtt|^**WI+1UB_=WIp*8h*mcuyT%PjG^Txw{>vSf)EdF!#`>iQ=Ec#<tui{tQ
z`uF{{u&}i(GxuBzi=V0%z2@JxZ>mgB=Wo_nZ@SlRqkv-4-)(XG8DewRRfPT7wmT|D
zcHf%jxBs?%d%Di#eZBh5XHw@YFDm@M_&aBvZrMTm%@<4i*66&ITzvRrrNG^_`nvxw
z@K)~lR<lN1*JJ7@E>`1z7u%A`8s3QSKM-oMRmpp;F1N=ezNC9!XV`we99CU+z_)Od
zwGG<}t#4~gH?7tC61H0U_zLfcwR(DcVs?Kn>{_R{bk0f3o!b(*ekA^_Fg&3x&%cuI
z=l@UU$*To7PT4X~K}u((j@-<x-K)j_Z2x;|t@fmc%A0rm>u1<}W9rsS@$Oq^FPxUO
zzIf-_N8A1nOP<@_U#IDI;>v~Y8m1MRkC+YlS1nFvy5L*>gXuxLz5E)D7rS@tY)ks|
z!7F~_N~>qTcKv_zui^f-wc1*{5AOf@w`=OIRI3Z?%=N2R_}BgKdOk_&z~Ylf|8?!Z
zk~^b9e*ZfCCo9a)uDsxuEHwY$8vUK;*IL}l-+3aXpl}Vt^uIr@&Fjc9-^j?y{zOaf
z*lF|A?yrCB*}2^+vf%Oms`+dG?kHEU2;JQ%_k8KHV7A96Z9f0qp8q}d6wjOn<BaSl
zjI4iVZeRT*f^%xpQZK>jn`D~TSbP^Z4>NCI2n`JlW%%&_FY8hUhE!1o1_ogU5QsF4
zF^x%&S<Mg=7sDCD8zadO!yh9a!x6*Ski;1CHum3VMh@sjpB!(KY#D?Zla$^uu^KaR
zJEbxSu1sRo6<|z?X5wWRWMbuJ;ucqA668%{X6Il_de5ks{7>m4Q(z1u?+Tlk4=nYr
z44&^8YB`b^nXBI=DcLeie9yqFWWvCn#=xz#ih)0tfmx7oB@Y9)gd+n#Uy?WjD+hZL
zgL?8mr%x=&F^tTNix>heShB-e6jfd^ePlJ5$o%~k<9Eh~ER3&Xp2l#PCS7FaO)_F+
zW{;7G*_9-~n9MMdg^$rCX*rYDO@<>XG7MTADvI0+F$)>km6BOZ4lxKTxwCkDVQ5l1
z!SE@TL0yTRp>GL;zLFnHj2s7-(o6>XM3x*UE=J{~FD$$+vpF>6Idq_Rp2adSD#reM
z6wB~hkU^H8!JT;`gEt3<7b7zZ$F$^sk9>J5elzS4kc~0nc*D&S!^QCjbn6+%A3g?7
z2IB>>{~q$zuyCy5j?rS|_#+z=6SJBjNjJtYhBN6L1L)qfB(4}2hoqxP3NaQ8f=nEJ
z$^Rb4Fmmv|OX5%Bn~=nr#KB(5vB-fj26X+IDx;!D?7s($Y}`MD#7a2saj_(6aXfjO
zB&(7X!@w@cz@d|5D8h6$iOHTp8g%s;lM93LktBsACktlD7<LZ93&{-c*?SiWB-yZa
zyCpI5F>jX?QAqyxfQju2!&@G9C&mXcR(zcLjLIId|LzOUm1R?TBizNs+9`02BUVc)
z!Q&05FcYVcR2P%5rb?0_leBbS2j~Vg%~PC;E=<OUIhBQ&Y^65D{9@x%pDv&#qP@zC
z^WcQ!fA=LXNSeQRBcksrWN0aFs4Ezr5&Q3+>H(qGss|)&-#9X-C2=GPSSkrwzn1hD
zWD#8<<g48&XekuV#v<-<TF_P~Q{|+vy-?|-L&A<ijVziMVpv68%e^JN)eHYF(wMHL
zmj7B@`fakxzq`&sN)1;SUa6Kl88^h3t5@qAukwifcgHu-@R^eJ@t6KCii-L%k38HI
z&L){ADY7&%tz}^c-GC;@EEJ>I!|amuj76c4SyUkTf4Rl~dQSEPpVhCEf?lzBsve%i
zV4^gW!Da#Y`ZK|#TP&3)S(1VrLboTmOl0Xi<P;kirm!o?f@Q8Ei*pR8h4PD7Mk)2j
zuUn-}lQjIoSFLv~ym-%f<)X~fuYH5XJy<QS`UEO9w%(n-aPE{z4Bj0k9&#60DpYcA
zGbA>=j?s<zkQBo@L1oJHocj}-ELmBMa~`rV2%n767G#jT=gS|{^qYZ~HA#s@U13g=
z=Y(L!iQ$)GJd;?2k|t)({KLY?s2al*!y3cs(O$^<KFNV4=6^Dy)U@K4bKm$gv|q8F
z`z(lI@zP7`UD21Kx)PUM30=fdXtnf$I7k1RmrKq}u~lKZy6C{f8Nn$ox2ylF2<gwr
z^sKo&!Ep6G7cmx9o|Wg6xI|f3UT|dOO#b&_-KFv%fn1)a^A`kP*}lVBFvfR<vB2J#
zfTT?YjyoM<|JO+D@L=ec5Z!!CAeLcH!T~PE75oZGl1UPZTsq1B7TnETaB<r;C4mJ`
zeHOeFOl;n>;G;j|ilZxHn3DdhB;_yozv)RQL%c)|CxeK?mt=;nEguAu8Rj#zGqJ?5
zGiZNH;&h3TXJG%o;;|5eCD)u-mH#Z8UrDSMvfRhTzIT<N#Nj;Vy>)_&dpp^5UwiHo
zV-(+5timbreq*TM#%c+Xgk+Kb%u_O-btIX_?9qr3N>|A^uw#izjCb}4K~tBQWlXI6
zOsr8X;tIZ#GT-eCW7x>yr&7HlhJhvNUy@hM)|e(Cg&4-9Fcwc0k^UV`D*x*kB^cCP
zm|{4@J5=Ti-d5Vm$`Qj8qqtizhKY5DeiBP8gV5X!M>$3F9Ae(buqMssuy#;LdKB|*
z!)*q|nEy$7Nv{QY7#J8>;RQC=0@(h4Z~<<~q;TIxCFbK9Eq-H#HAze{S)9fyF^wF#
zD<>;}u2!pI$Ypt#e9|VF;ea4V=OaexBnFL`doc=0Qb|IBjLi(JH(ZhoICg#$;ckwR
zOuEkTm}TQ`-XuYRB;lk#9ESxv8Iq+N**GpMa3(!s<QIt1NV>P9Sc)M=Fh(@#MvMWc
zfaHk{N#8hP|NWDBAM;L-N!XfUtEEc}AH#kDhQlsG49wp--6t`abNC8ucVT4ZU=nm-
zk70?C;jmF+3>RY*RAip{pXDS2CxiE?JxS(@oNJSKnC4FU!8lhSRj|W@Lm*eMl0{CS
zR4j(clgHdpoYQ;~LnGtD1A+>|Nk14km4q00xi}^}9xj)Nk(3pj_<=#2i`nI}diTkN
zPD<}S#xR{yY7Xw&%j**JoawI_6Dxy4()uLJq+<d}o=G1BPX8BVcI;yKW#bU@34C3d
zwGxBwM+QShhV&R-UZYNdPYjyYY??w$A76AH2((CyX%tow_{fm$c|)49ka;n<Q^cOw
z(s^-H%u5C_7f!<q3_AoQlD_G7P1q9iqoqe+4ucrzcClC$hE{elh5(kyNsaAylNp3M
zg|=NkImabNiDQ*ItEhAA|Ev?u3y#M!%wlz65wePz8pBk?cr5w9T~ZTsw-@M=v1Wzj
z|K1XcEdfa<s$z5`7rRL4FSR%?WFW~AIzf<&@h5{Yb3lw%OgPIf7GVbA*)c&AxfJ;r
zxTRuS7&BtnZr$r(yzn{SmD$BW*H$8yfh7rixtL4Rtd98OCzcDGj|pKgd@`{j@)MVs
zL*axZrp@zJw3V0`ggqr#*##L=C$XILDPZND#5D0@uM5KmMwU;Wtbr5!lx~zJJ+Kmq
z5o8e-p2)!cmf^C(WEUnAL4jZu_J=Ek&%6A4#87I(pzrdMLEM}{T0k*oJ;zOcr6l3>
zB#tj0NuQGh77OnX67`eZ`(h%;Y|yo0#x6Ja$2__1(*7X+lfb4)9Cj?G%*hjCJ~BKM
z$ej?wEB=m2U4`+MaEVZdpbH1Pl^_fIOM$t^IvM5)7M^1g?g(a(eayruAQ8i{KS}8q
zQ!O7yypkYC@;8Z(Du0s{gt<gLZ+zvF)wn&0;o*y849`^_)H1yFwE8J!q5W9pBl{!6
zN1Yr30cng<QYsQ&0zwLWF~TuF7-n<`#c;Ej1-)=pVKP(LAjl}qq_Bh0^FbLiv%oVY
zg<FgtDm}SHj8;i?Dk=VWFLh6lGmnvTLz1VZf`S9Xg-MEgI2UQksYq%GD!4Eu2~PRM
zl{QJEhnfAs5)MX5pXC2SrW||a7|%Mgs4~WgFzGL1uuS6pH$C9=2W}6klS?(13Ne;^
ziiw@@?{Sj1LFI?ZDx4k6%pS=Mo0X=riga4ePqMttzzEu&&Y-kTY5Oh>!%mCG9LJY&
z^ea4wkv6F35RTzy_DYKKWSa5AiAkHKjV0kx48KECb<BjA7aV_Glv#w?*rZ*oSyay&
zoNVEan933J!;XbXSTtsvO3Xin8b?{B*A82GIf|NuBepZfyf*X{h~d!Q{%_JHfl%IS
ziP0**iVP+S`EsZ^O;1t^;4oON@meU0!@_BDl2C($_{X``k_?#*LJ~>SV;Wfn1w)xE
zm_-~VOur;O@nr0X{eP(@c0=(AM$R=2x)TJYb2%0{yifl3MSHQ!`yUk_9b8?~1s<+q
zV0IB;VE19*R&p%g!yv5W&mg|s<g}oYWK860zP6Y~vv%%p6Jly5R{J)3tY8u5P<P5)
z6eG*R?;<LqB+BwzNRYw$q~$@LX2zIj4U+^eG4UF6G6@?nCi$o&t>zSDxG1nUDIm#n
zVV>iQ*W$_l8B3HnIqDrkEQJ&r_zM2LPiAPGJc*O<$A(BJ6&Xp(xJe%vpNU#CZ1Py<
zpu&-*B*MffAU2WNeOEwAb9(caq>T*wR00H;`aC5{Ro5}jb4dPQmCRses>Hzi#^MqO
zW6aGT>lkB{R15u{F)1l9%#LMf;gIe0xNSNura@XcM)FLj<xB3bs~MYIIGm$7w!82K
z?BtL5ox-8X-?~9X>oU_mM~=)@F>yTeRd_fyTdI0;_bPgGw@y;t?mAP_QH7bCBeamg
zKD9yT0%KAvYqk;R(q2g+_FS&rE0sH<BwN)RW(p{ulrR*~>|``x>`0l!%+CF~H-$6i
zt)_rK`_qdIwK1&aMx0x^m3FZ`R+ZYQJG)aTRN$l$PvDCbme;DxZ?k<n*~@uaS1`U;
zQDT>R?I|Jd>8#kUBF4Qu;C?5=e<np%o}D6PtT8J;F!DThRZ5NGVZ1*{Vy8m4#QraQ
zPv`Pfs|0$=yh}-X%HcmDbVAGp69KNTE*!}c8h>3@3%rcA>}-fxm6VdiYgoYYqn|fP
ztR?221hYX>W%``PTL#})R2h;UCLK^q{`WkYq2rBbLAJyRCyw>3F+Y2m7{p)3GAcAO
zq<@J0_pw32nNh|szv<`C-$@c3Gm1MtIZ4Db#bj=FVbthlObAwC)%wn`(NiN{NLPsY
z2~Wr2iS90p;<p*NS+rOV3M8=}OX_gz(DDqh_cRt_xoOFI)sp3Dm)l9TErGwM?{j#Y
z^rmpGNAiE6O~P{=k{Le4$W>UYaNG!2mh@yamY7{|aRPUeR>HvvX3j~gCmfYAoMgs0
zA;vD|NR+U}85RRk=cJ>I3Kzh4kFhXZys?%uW}+a2dftTMSO$g*N%BejF?^g8CRgys
zT%HhP9K*z#Ix97hPrNgrmeV1ngVkf{y#i4Mtt1f+VGsVKPXU{m%I73aS~|6VGQ(0%
zW)+d=Qj^;|PrZ$q6s#O$_21FppjMJ0$7ZF6;b%E^E7=K%A7(%4lH|;B{s_})C5{^`
z3}-tN)4A48Xox<Mr`SE=wcvS{%bWr+S7VHg?f$u4oZ-*+Se>DIqGdBb=XH%_kGq_g
zHL_WRIiB;!e440HDs81b!+Nqtqm}fW39*)TD%z`cbno&`yug&i%saWWXg?bhtHPxi
zV@6&}zL^sX?()n$$#`PI7Ej@)9=DV@Iyn;#PZZqxhI1juOasQ41qpLvW~ef9t8m`t
zXi_@E(Pqaa9d(FjDU+hgVV>m-N}i05Gm0gdow)2{`Z?DMaoPwqpS#MpUWjQUcQ0Qj
zC+O}m=}s4pg+dI%lQ_0Bi3W73FtL06Jjt<F=ko+LPwhX}xtDZ4$_Dc?Co$E^gsMvf
zFP{)16C7P&w@NOwkCAVxSB0sPVLdAg`=pDK(SnE7zK59e|5E*~>YQ9~Rng>o%o`R#
zAr8r8p_8vqN~cPBH@@_cN|(x1+8TeFLA_HVn@RDYZ0<Cvi7Tc!$NoQ9Hpy_6;AF`{
z6{cvB?<z5U(xr^~fl}pX<;vHy1W!!jm9CbGSFvN)Q0!b_y+ZkuPi%*z>Dwf)d=;tQ
zb*XyE^NzfXjhF3IW6}6mYjR&-gnfBKugT-+2`#JS`umvpW_y`E|LV>B)a>PV?|>s_
zukU&?pWnFpSEzGb*EK8NnVyEv7j86Yo#e1)(eBK8kMqXgnI((jJ}-3m6%si~_xFO{
z$tlmhdOIF<oKZ0nw^+WQsB=ddL+45hZVx64{s{{wMOCxuGdl~!{-2T+lf1Cu#4(On
zEYXFrf}N-OEz-ePk68pPVtF=aK_8O<LoE2-F_&0|jT2Zn1g0<_72e3>!s%?yAgOYa
z*>Xpf5^Dh)%P!%jDHaEUlGtvDc`)AiVHq#Ll5~V6(MT}i*OLS3jEXnZ|JP@h3dPL0
zx!~D1<qP};*_|t=Caj!aup8~#F@`h_&PMmx|4zCYMU31Lf=rR31u+|vI;3MXQnubs
z-x|ebA5`Vb%Gq7O&YCe-MM#O|mS<u21#YKiuaey}d{kH;T%YjFlU-fNy!5${%~9vw
zN$QPxER~)N`W#zXyOlCq@37B!c{jamvlCl#!Qv$rD<sP{zjCQ)yr7!@zq_LHLW_G`
z+2*g_jF0V3e)n!D<vqA`3g@cQ(#_tCXYG!LzUG~}v}ASJ=JzVxM~!1Ue~1?zV=UYJ
zZ+gW_na+KaczPd9y1ZtpZa~sYi;bp_>tD{|G<$0QlKE-b#_U>|`1PhQqZeIY73S!b
znOns>^W@5zD<{s_<T=5DiSx*X(zP)^%q@SPZ@-ahn^d}b)shRXPZ!Twcp>Gs%9`~W
zqA{<N_Da^oG#Ux5*?dZ#)iWo1-PR0crHA@%8i7u&H)qFGYD6ooyv)Mz){l7s+mF8-
zvHvZYmnJDiG5lYX5uD`6#m>~=p1_p!bmF;|4a!V0MW0nNYCrDeU`m>kQ7s?ix$+yM
z<ceU4*nbPS8MU@C#WF04Vc<;u9}>%OCZ<CwiM!yO=!cy$-Lc6!|4ujL_%(TCZ&%7V
zy!^NM$xZ!hmQS)hQ5fGCq{g^gH1}hS((xO+IXJe@Z%Ac0`Xu-7%6^X5Oz})HY}^x5
zlm2*~%6X7<<F#J9-o+;I=s&U7PjmRUF1)HPG;arEQbfz*_tPY-r|k5W@H}@SyKUjw
zn2CD}y?f{BUM#jq{`Y>ffciWIRZf?f<(DSMu<<LM-pb9j-Q!D=O!VAK+vjj>xYV_D
zG86B)%R7?xv_5?u-*~cTY2&0>#WT2N;<}bd$T$2Gf8G#2VR_8d>3MUq4#g_PGsaAu
zQQ3L&&h#15i`$n^nZcg@l$){pM&YU*lbCXujxK0*VPcPF;+^z)Bg1cnjdLc*D{P;$
zkZq=O%(Pj;AGoI`tx98kI-P0e`t)Ygq>~0qWoGa3KN9nVYq`V*bITdt4oUt=t7DQa
z)MYpuE^??he0kjbh}p=Wxg$(v;g1D-EN2|vSkb=XQw;Nkh{udO_C0u=#Bw6yKn!y%
z<D~hPElZ9)SaoEgv(iHM^hVB!5)T;<aH??3WvEo|=;GEa)H=&{q3#IlB3H(a9!};&
zmKcj0G5<R(R8*4~WN*D?G)(-E{GTPZfKevqdxxtGTc^{*os252>*lQbDr&t<T-5r}
zr==Z7l(S<_##$acVku}pK_-%eePVRXyd9HYaQzjspAd7ABXB~<@e@KPIdvCicna@i
zs|}L&7x7j3%vi7T+<cBZ@9~alQd9a4aWq8loWI{W>7_$`&6Nhm0*NI5IjtAPWQ?tE
zX+OVFbYOu8Bcnb`V^8#=><QD4PgtPHlq7huiJj~Jn<Ui<1<fy#<R%ms&-~89xg+A=
z<Cw=s*r%;wVL2|AWIfYj>%RSp$qcPts}+Ua8Iu|8C#f<TurhP}k+^#xjl(WxH@j#u
zL)z56r%uGoiD7)aU{8mKr|F~}lM`l5*r&`S!0X1f<I!hNz73~iEG%OG7vGp!=y02{
zvvZPA^bvNhjS7r0+jh<BV_M-R`6#->ovngtQ^CZ8i947TV*iISE$*;LDq&dtb<>u~
zzZbavQE_?0aFT7piB9jN_8qoKUk}`2oDx$UqrPFCP?Q_n2Dh(Qa+oJvQ(+O(VYX!6
zYC0<>PmqI`N$AT=mzavCJ68|cyLI?V$sAkwcV)cb`=%fN4o``?cU6SrNH${<(`^Zv
zA4zseLc2I(cQqtMSS4L)=<rbCY6@ui<PkPQ#&eQhU`P_D3)cgC3Bw7eEhD^ku)6u4
zuwmq!z?ig*f1!ew!uptSR!O&*o$q<hDX~<(IIx)Ee_#w_EW@&xguW!5n8*|H9bb5=
zjAl-l$Z#}r70*EtMox(X68Dlma8B96=bAK?EoNrG#TbjkB#A?&eoQ;tqu#h3?BX^y
zn;Fo?G-J_Sg<}m~Nk3x$9a#C~gi*r*M%JY7F;4f5ykq|}9_+A5ir`9;n5Hw~>LI~5
zj7b~nI|RFuG<LY0s8KQq%3+_GX%vvPW_9F*=Q%Sxf5g0;QLLaCvq>VN@65&g)jKj8
z3uC@&tW{u43XRZg)Oa8BH|fWLat|IWPeay<2Qf3sj!4)tt?E7aAjWXw2la?lroRmR
zv5dk=MYkm<Z+gaeA?r)>ImW{tGnR8Gd0NNJ`#g7oh1jL`!@G{h%$e}n<%MK;6300u
zh1g3RPuMq#Fedpv6f$6p*?jrLHjY0{f0Yy(+1PfRTAid&8uKOTt9AH8g{d4#8}6$x
zOuo>zA@<*=<Vim|EwYn#$SZ%go}Ruj>EtgJjf+fwPf9W==x^eUoVD``k6lmFf`wdF
zIx}YWEjS;?nE6D5`Gl~{g7b{eFT`)uw_u;Jv{He8&J3}LuU5(z44ASD6%=CktQDJc
zc_)|p)8oQin@;l2czBUhD8gcTjJrzAW1*BxVK&{J%1H+~Vi^`1d|*uKcoCDvB*mx@
z(7+Uv6mvqMpTm$@FzL(u86O25JCbG8k1MR^urDfkktAuLa6`TMhJH-I?T0+Qkugcq
zEc|<3yzANUS8{ucg<LFyqaKG7lP6z{oALrt#z>dB3V-D_80BWHV~KfJbnap7e*@8E
zhF=F_85om<lK;Jm?O;?$;`sJ;#zJ=uO}_(2g>SY!C}X@(Xnbp-665h0Zly~S!a6)%
zo*D0XyHYoP>N#x1-!+M&<7VYW{x6eQGP$}$rro<;&>=i6RqL0+%A`0$Cg&ww+)Ug_
zmMM%YZr3a}+qcBzI_uN<S_>r@FQ|92WE!oAIrL&8+jAD>Dd8J5OjRV`z0~nwOnN3T
zOEFXB;;XNki8YK#3xiaAzOV|W3hj{XV*1Z2$Rzn%BB-=bOa04*3Kvceekm<ex0Mrw
z{Eaw%f8$?Nu`5}2f$=}i>0I3@5hrFzq)5(N)}TF8V$K(Ft4@hyk?R;m`9Ce)5$h>4
zoqOe!2$e3j*Ir6l&;IcED;$`_@Kfmj+}nmHzZyA&?g}?zWdF-uyNds1w1G;H#eI?U
zy21H3IeOQb{7Th0HQ~(Tn6<{QwRcLzY{|%3V{%njLTviJv+IrCS9^M|QP6p^d!>kW
zwWXoj0mnpV{$z&rCc7t#+M4d#)U*4fM!|aH?{ifOcV+K%m~$uLic0==m8_g~Cgq;$
zhc$lNtx?*Sw8r>#kWXm2e$j*JSCV|f|NYNhV^V+mK++sP(U|*djN^k=d2HWPs+3?J
zm0&%8=jHuNytePSu6w{GdX0Sb!Rnf|ekZp*Su4No;-T|v0>eMe6I~-)YV=@6V{y@y
zCrzJHSmuN`I^@g{YinX$w&FUoPt4||P5Ea!)=61DIK|y@f%7K=^Q!oo?T$}wKKS&&
zt7g6A!Pzl&GnkoJW2R4JFk@$6ULnC7BcI5?{Xc2(zRffCoM32OBcb-{nI+Twj=ZG3
zFI@aPCVrl^>&Hsl4>4gYGZd2=nYbHRVic1e#?&wz%$aK`a5ClrlcYvY($&?*W@|X5
z7p2AgxE5oyAoa($HKr2D4dy|%6*u2z*mZpCpCPyEN%EeVm7gc9Ok_%$S9v(5VZnFx
zli&DfUaOfslj&Ej#lZ&k=yjHMOZ;cAuw?j|v)b}T?7!}W8`d|ZDy}a)RkOxo{^F_U
zS6HeuzC4(9`AhP@Znc|>rarmYu}1y$tYtrEcGs-6-p}8uvx3`hRf5@%6(-vR*I61(
zcrSNr`A<&Qi47-s6;H0W3Y)~msq%4!>Cv^Shr8FATo&7K=h%k{ed|m%&#d{pwPHdC
zQ%7Us$5j(77W;4NVoG0S`eS8d&*I*Nt9)<nowwe!r{NjbJ(<P1?Gq)WZ5V{s`5j$n
zdf9G)^2CR$zFnTj#%i<1EWg7w;@)~QJ>~}eX)#5|JMR9U5d3(_4{!E*hS>k!prsf5
zI~=`_H@GhAxG$K(Q8KYo>cc|*h?NUsbk>?!Pl$7hkr0YGr65vqA?8Gz&8h;wiNB>C
zjxA)LDetf-#!12K*u>XUe3JLv&3Wjl^JvAzdFw2!j!z0^Twr$Mlj6#<=ZCa(Hs()a
z*#FITa(Xi3)=wW7^hqr4O<p)*l}+KK^1jAQ#wCgFp9^bP7wg;Y;h%rwDZ@ve9l1%T
z*V@NQ#QZw2Uyg4@sGZWo4g9NYzj059_-7OIZ=J)WUpIB$taWyuTi_zgA}-3x?l&iu
z^P|`bm;McAGdFHtXV)A1f93I`Ydoe+us<jmv(o(-(>jMTC8dxgrtq5r8`s;Mn-#H<
zal_XWTsI#Yc`z;gyLi)|%`5FsiYd-iR2E@R@3_1%C6RH-<HBZhyJb@>nWHC&DKDBW
z&U;cNjM2G6A~BX>f^G^++XAzi6)q|<62%hA$&0)uzM3L4ZG~I^8u_I?6NEQR;EnM~
ziT(fa|BRyvYhw1y*xKRGV8SA?r)I75!<eX9dM7$$9<Ojb9@D7$?BVh`Gqu(_y*Hbf
zS@V0H^RbBqD=u_O+~6{L+9ATR;vEyG1>d4q9}QU<Cp?kdt)IMtV?pwqP3vapf8NEi
zF=<C*V$zxg!Zo{Cge(?ImBg4;Z?HQzW7hmN&SgEC7rECuukG1oxN^qP3G+@U6l~jf
zb7B9Dm=!KLD-<Vi#_Z+z$E914^zW4GBhG74D;ImjaHxAp*3>R}b8OP9Mfo?@Io{i~
zOkJQZedgSS_9_f_72X*#?%gv>zGwM@))`CBZZ_MPymL3FVr)!(B}2#R=ncsX4J#@e
z+iYe$%wb$-J#X)VxvM?bhA*8nBSmm-0$-Bff~Aamk^++s@4Q>Ek&lBRFPULq&$=*=
zxzfE7xpQn6oS*S?qA3f9l*F>L|0S(B_B<87!k~E5#9&=u(Y*d`YXXkPGOjFC518Y>
z&cB;uM(nbyOQW62w#2aJTK>{m>wkY0>*KO1NspX5W7ha-9`9{PTBkGF@|Vs+?`i7-
zszZ4hPb;*n@hg7C_cV@sz1ZxMN@nhLesL_yI?=m~+D<YhEwK5#u(W_<fy1=*0q-VR
z^v!sbGR0+`|4Xe%t<{&NrZ8}B)H}$Sw9fx>{VUmE-F5z<`YE3xGv_Y2rIMXh`}=SQ
zJL83Uomo3$)&)LYeZ}-~^jiOYac0l=cNFWa+HGW|`FZ!WeO&LJOfXpE|I^F#^>*g7
zHuGXZ%Q|ek7j4?bIbmDpUAvg|{!6PIi?d=GwjZ>awkBYAl<oPwYyF@8`&zcSdaeJy
z_|nbW*ZD79HTPXe*F^c1j=5zUzpo3pvcGg=UjNGGwf;}{g_dvh=3Za6@q6_a^?lb&
zU-mL4$;GZJZ;YO|wRihk{}|mT_mdyYT^CT(?VrN9eq+Nuorf`ED;2%h1eCx06aPC^
z!SgSBVe2CGKf&JXbT@S?wN^iRx9DYA%aVFs1Jl!M{GYci&Y75JBe^uju6OF`wE@->
z4)xD)X}Ps|v2f(fDG`hd>UGxm$IkoGP$9AOz^U0gZ_GGq^L2LR!o50c{in|~Ebdw^
zw>j-3TYjVL)LBp01w2gpGAGk}o&QqSr&W<nSJ(LKrO#f!K<DxA1x$&o6J$R(nm?Xc
zym?JPNhXix{7ch6h`Ksl7yGiRd!@(f4EK)CIjmc(*7z^?;=5S6&QRyu=g2jI5lMe_
zzODDKnfFIuXHCG|Npo!TXWV#nNt~@=0{fSw^XmehuY0_4O~94+O*7rAK5z28u_hp9
zGfRVN(i;EDPfJ+ycTeU}S;?5xw&TQ}XAQ6A`!9Z8<Ewu25XTH77A023*#F$C{mc^u
zrj*5eKKOa&i}ZE=mLCs!^DT&bz!bC6f8)xi6Ea>63*=a4zxc2!?)aw3&(;RK+%x0j
zNiMU6chxyu&as?Wv?29)%=&=hbDQR_s7_uNpm~k8V|xYH0!E$n{yP4Pdh8b6V|=tE
zC~196WF(95df&EJvH#cjsGCKv_;+}x<g1OIiLneDE!Q+`u2^vM2jdFw8;t9`&n@Cf
zzt9=+;SghmKnK6#TZR&|M=LzKcl=wS$$Bj*OtB$0;e3+%g1wIw8jc_T!FA~E#wiSj
zN$+F-o%j;VC=h&^N#df5b%VIv0Riqs?-hP3gm$ETT<;sox8cRxnE5MwFVrcAX=v5N
zCav|YJ$LcjlEa6@*87!l3p_JTieBR@wlMSdI$wPQCH=)sD&ox3B~JOTpIwu*#=COO
z%5U>Fp0Jcz`YJfdWZkEw6I4&KWXtSW>l4n-7?XH=t?wfaHRc^cA6J??GRGX3xUg@H
z&*~Juo;5zZQw(l5WV&0#9(%iYjnDN=w$${dh&3N8qt|=eB>f2dr1f_Bf$eL2-d|>Y
zy48gHgJn$bj^xGn&aUzP{&eyF)}(Fv?neLjt?}8F;*q`N+51TrTc_wu)r<7FKf!CF
z$J5n3pI2Y_xrS-Aca?0}lu3Rr=4I0|tdu{ya(zD*acb+m3zl~e++XXnpXcSP+sBMw
z^1e+8(Ol!OHeT|_iI^J<tZRM5lh!OsTH|oH-l!sI-|@;d_OEv>HCgv(&89UDVfSCF
z6}~$v{WN)<ciD86!#OKfPhxz|@cNLBE5qk&YaD**fAtCdZZwJQ&h4fC;jizlvA_R+
z(xj<=(!Xj_9;dExSi8EX!FT(vbw&**{>^ydv-j$}YrpKSZrQe<qy5c#)0@XkPPXJl
z-2ZXv#m%(a-ybrrGgz;xBz|K@XSVSRCKeV>6|Ua`ykfIn_{X_-9Pep*`e5?W3EMds
z6=VO~rA>%_!!U2n!K3$Qh|ON7vws7l<SP4#jRK*9-ZiW9Yb4g%&3n?;JHdOswz=7g
z72ervf^=$E_}Q(D&R!9`CT6MChUII5H^{9BO%O|3&3Ams<4rFE&K`B0x3JAte_ht?
z1@$^Jed1Po&Y9r+cuH@>`Y_g{n(imR7CyB-za}*Qh41ExnHytP>L<@sN?yGnyWMv|
zt~mFM_874>D;l)dxT$j0mAzfz#v)ms%&`Cb`e3n{3-T8@#jSS}VzQJ-NxCkzVI}YJ
z1xx0wb)Or}w06&%RqiJkxqh2IUZmpwLOX7~yIuN(4-Y?WUZqmGDr)2UkS%jMeHku3
zv0|>DwC6G3ip1k9)TEQF*SV`M-d7egf#C@U?^;Lo`)mB_-z2SZ`tykE<(>6TPlbhw
zrr57^+9YVTmOp3W>=b6^70#|gi531yyG|dD(O$iyYr^W}B`br4w;1#b3f2A;>SnTD
z>!K^P@Eq@i6RSTfIh6fm;9eoY!n1WjQoi<D*S$aL+L_n5%+K!sIK_)wMdIxgt4dF)
z1&SZnxLnR%amrnJ>7JyOb`dLFKdxB#e8Lr*HMb@SK2<R06yLMf^+?Q;hx1pyY5%m|
zTYOWO#K*?oM{8V;zerGH^^!~4z}Wxc=!&JX-P;(LqW`RQ2~pxY$)^ykJu}vZ`C+Y1
z%+K{tQ0M;-pv?a>YUpna5p)#zb|i`W!+FjLmp*bXtw>#`w|RrwjWt$T{af}a?AKYi
zy>8{|6anS+Ht)lDPTQ@qyeUw=)@FCAR?woA9~(GtthLd;sZrJ-I9XnQg_i9#rWMS8
zR#-QJ?gV3y{jqz+!_OO~B36igUT2iMK!4+>DV+???JSOe)>{456iKOX^*AkH)A3+~
z;KzrOD^BuH{<yn-g;Wxw_^K7Z`m>}Sy*Xo<ko<q)FX2G3>PjWqO<zAGO<QZRXTvF5
z`?a?3&)Depua*&7yJw+M#l{bRR;5-n8VGV^?Y88|oB8?;W6X-wi1pSwD_TD(`nHBU
zw+X&g;+Rp(#LoX$A=ZpxrNB={_2%e<j4?k1l$7=-i8AXat#feYxMH^U%_9?qhiNnT
zTR0^+lh)c!HQ!`Vw$A3(o~R!S8-+DY_)=p07*bX?H}8%K)Nrx+x#md$L)j`-{^b7?
zs$&^?G@{l9wa<|{ZnHsXl5jf1y!F9bzc*W?@5!7Lth@K^$yH|aMR%t#weESBBBZ*`
zYo{>Rs+jt9{Pm}~o7d@X+UIw2U1qc@*ZSadUy?X($F6IOl$80m_1l_tzF)lsQu&{T
zo|N$Jsl7elI5|b)ckd4UNRFcot{>O=eD_;<n&a)f^<JwdDX}kowEfgN->7hXy~_Hf
zx2LZ2-TynvgZbLp{aUBjdS5>suzZ_V)bq7oKdWzE3H(zvDIoB|_12iJQ}=$pBpk7B
z=W4%|ukYQc__OuI)b9DNGnwyA=%~38uxZ1ob-v|SSK6N6zSjHBe!J6o*=v34b!|?9
zY}i$1n!T*xYUE1pJ!^MtwK}+FpGxiXbzUp$@2;JCZJqC~>X(N;mu~#D&bws$RMR<M
zoY#0gU)|GH*}izSU+}|kYjpqJZC_e?ddBG~i&SUaOrG#{jqm%o1-fF3$|HYGUF-cy
zXNI2Mw9nJ0sm`%F-NakDhV}M3pP)?k{PaePuQN`r^Ew=}RwHWD3d?oAVNWMD+f1B&
zZLN~dy4L4YXMI|*EP1`}`rB)~f<tev@SQBwd#FX{r@@WKF>8EZZ(cc%jWLG1daZBj
zLfeT<rR#iDk8N8uZ>?_}H=AkffBw}zmV1(lS151%vN17vPRN_JzP3!<E4`EcO}X%+
zAvtM7OahDkpLM>mbsaKKI87GxpI!Cs=HqakHQw`A_}Xi)EIhWcXq|8T@8(61x!3x1
z`f_ZjS!wCF!S#~ZBSvS&HNNMYR?MH#^k<Fl))h0)Hk|5T>1+R^KS_Iq?_<UlQb#v>
zCBBZiz;d)xyF1{I1=AsmYfLMAdoCQ_qu0r}!q>FSXvMsU3#)vE1$9`mZ|Wy88S>pY
ze{{v|u7-o=O}8&Bdm7`mD!%u7gx8-NeK$JX82`oods4md(*xny{|tw@*L?hWbB*ur
zX$HOPeb&Ez+qB@vI-gAoUj1Ahuz8KoU3Cwc?8crwF>91`UmQ5Ue#5z&ek<3`USBu&
z?;4-jPdjqfMo!Z@m9xfox`*1E^**IZ)mkyDXCGaYd3*j5x0*FR^R{T^tnrPH+n~H>
zjn7@xpP&9EE%~IE+`zxaclBfku5~{9^?x!HPMNqfCB|fp@9#;>AGxystoIJ}oR~MI
z=-!$fy-@y_`cGW)*ZX|`khC!ARq*qUy(bPW5dZV+-hIzC;d^u|*Z8dd{nVgk&BV8M
z=`#<n^LhI!cm0%44p(M2JZrJq`f9SrlQp;Y|C$u=O{H+(q{oxj`M%9NDcT#wwZ^9`
z{*_PY`srOdPxQ84$vs(aeQ{;>gQb(4DsHsDoMXGbhk5Gch_e^=f3*1b?A!YtOW&;Z
ziB(rpjNQI#YQ*k0YjR@!9>gDC5Ng@=I(nV&)SKU)C~tekowLrT^23ogEyii<y*HmY
zdW@ybxN6^8&&`v6fBg5sG41%Xqpxgk&ftCX;oHVN3vNBWxasWL)aY|Be7@e9e{s3#
z`ewG9Ya{lwvMqc1;?H`Urz?UVCY`7}{$tC$2VKV-d9wB}omhQ<DXDIq!}FENA6JGS
zl3No!ebFm_p|Eeq?)~{`oEYP?Cj9v{t~K*B`cJG4pC7IC<l2$%UX1rPX)(+SIu<i$
zQ}Tzr<0~S&wIpojY?=`Jzy8y`*=<KxM9pHHu;xQ%Vlu;;sQ1MmxYpRLkGh+rwfgg#
zsQgL}i8a1|eqO%0uy%6;zdU3Ag2dl(;`x8R?_H87di+DQ)yksBKi;2jWX-#_Cdw*(
z^TD;jmSM6d)+Z>&-21TR;|V)uhSk|0FRtPG;k8krL4DSmS+>?^?CSo$yEpSd#o`rz
z7EOs<ab^pvw$Xt#f8I}dy596$=ZE8~f@iNu*lWAs+9rz)A3Kx&y>E?KRwA4I^JdQX
zCD}I@PWZSkIQ;F0ppEN|lb3gYUKbo5cR{IQ)w<x@6G|gj#x|ddxwtaebVAb07&V7k
zt9OguV{Fj=xbQRkq9mu9B(;T!cI$%PcWkcw|E|*hLdL!|L0TuJx~1}0PCm@|cjnJ^
z!IPL&Pi5L_FS>DTPGy6h{u;&)n=K|DDdH2Tny@CU!Ju&B>9_`#iDK$qGq1k+8N$Kv
zn=y(1<4Z;D-ygQE4ck>1^J~T=9lj6O=DeSHZbM1G%qB+hGL{p^->h)@7_-74ZIxHb
z4vkfQYdUtc$EY)L?wQRtQI1KpiPye<$=x^1s|0SWxH^$R^8cg#lR4*aC^@^x=*AkC
zXok>FJX?Q0Rufy1z0T!R1jC6P>Iwos8Cf^Bt~vSe#=6$Y43l{l-}|84y~4@-rjW=_
z*Pa=hRymnlGPKT|t$*oak}Oy3TBo;r8Z(ZqUF#UH&yaAf|KH=RjRx2LtaVg3cvQd9
z<OsLHE76q>vkg8)@L#G{7Bu+tli}TJ-i<&0AF^GeGW*9t+uw~18<RHtj9BNe`Xgh~
z1>NTjn{BPv6#NnV|L~*>Z-Y$UCJu|mhmzOkonGsBOJ6W5eZ$Rlj_W5eTCVK$Wq2vJ
zGRS&XWJS{MpO+>Y=&pP%U+HN7Z~f;Lh9?bN4)8a{)H7MM*gj2WxL9d#y)yCIpNHSJ
zGUo0$_8}>)(NfKzt$Llq(?#bFCNr$DzkRQ*S?i==tH9Ltp+VnT%A!iA&07<?`>4f{
z*meH#`?=lUtT)PCX>|5ZkeA$=xs?tHEi1F<|J3~ZW2(QynqMr>jgRu*ToYhj>7lf4
z%_L#Zb)nCrS^r-Bq?Xex)qTrV{!dbB+v?OFcHO(Yk9D~>X0Hv{%JuccFN3d*o_Z0C
zN$Uevze?FAx9G8Nz(l92uSsVDW|#fwexfn;-;d?HQxdq>?q2`t-;bcL#~#i8HAAWD
zz5CYdr=_zuH8QUYDEod|s`}G5<&M%))5qr?+*ltF_cgb3oA{g^F(2612W;IRH>EOe
zo|R|G#=YwTw!e#hP`s;r<C=8=U+=Ce-}rWQ+sUHO`_yiiep?f;FVE8a@1zUo*Mx?@
z{Fiw9X!(tQCnIP6|97r(U3vS`P<@3=|1ZlnDU`1ZowD)tpBb7-YXaVCJ)e@i&Pmt*
zTjsqr0qf@U-Cq~5)jE}@eogM%Q&VT9t`F7JzZCH%>F<v();(|YFLjyyoKU|m;IG)a
zXW8omQYSdb)-c9Q{8je<$F4Q&0{*_AVzY5o*o{9wc0OXBuuJy*nvlthr5INg9bFr6
z??lXYgU4$^ii{Wx56nNddCEjp{d;QzBA9;t_&70Q_McDUHyNV-{?M#sko@^0@ym+D
zjVr=BJU%2bXrEZ`@nb1}-n=#8Z`KB0m0KOYyJ1@8D)Ti>V$c5mT>hy^u&=A+>YjCh
zqAb-N?(^1$Mfx=@JilN|-6vnRRiP6im_`5mn4a{qN%{ENki$`HLz<FStqe3@-^lcl
z>BqjL6>}$ZCLaIyaZS)s$qResKBOPKc;of)ouMC?XK?Yctx3AMD#77tj0Z>Ap06tt
z8DjsvNM>x~Ih1|x(rfvo8w@?N|IVf7|M?znwl<`CrAtLp=-=<VzpV+l)}ORuUBLFY
zH$-p#|Ni=kMc?nEN7n@0Pn|H;zP=&i+S-7;`8$Fdo5kfAmt3k}8*txjgLn16?~yx-
zA27wFtXg+;T|jjBC#9=ProCMg@_Nn&<8{W{pA;Nk6Yzer#QNpu^Q+eegd3S8f7!a4
z?dhB?7Hb3c+iz6Zy8X}hvaK4vd)5W~J!uuaMzj9=$&UGR?^uVbOSb>?a$S)(*<$nL
z{>^LpuFXAW_IYhz*|{U`YXai;7o6F%-ecO@koD`H2FTnAR*zp3Tzc9@Xj$l!H392i
zPs=Fyv+mRq)wo*yn3mt``tMH7Hv6+K;I6*<(aH;V)&%U{wIu1DPv}1VnO#BO)?CRu
zd41DNrZpk8`ZJgOUg0*;aHqwr4LM(a{rmIn-?RrDTXwy(`}rYd;p+2!t@^dUL{2Zg
zwqe_rNju8c1njr^$&mdaKSfpigwxUHB@wG87%vd~^JPZ2GLzu_^&#t@B|SZ0@vO}<
zfA)$sF&{2TG_Z5ee6jmm(gfzsD}CgWjukWrtck4KQ@t|rWA{3@!*ds8-&h@gc5PDn
z{|D!fUg%%%a{teo*sZ(fe_rn%*}!>xL5?)jn;5xuak@zo)ki)(ZHRrdD&CVx{<Pqn
z-3{qK=bPJ~to_!;`f+7Qe?#%+HLj5hYd$WtKhDy~T3@#^;fQhY$Cb)@VQUkuZgPaK
z4Suink*maNO?;5<XDREoLC0lRRB!mWF+e(|;%<!eis0VAD>%Y!R@}X|B82%<5_in|
zg};9;?CzEO5O#b;Xw{Dx@g+=eRu}(R6T0iu+VC*Tj-{*D_}2Vh;FqFou*P@pZ&k^o
z42yrS;`d};?YZRMilU8cT0K=iD72WZw3AeR)akCu$}9DF{tC9$;l3>2yjg=e*y|ST
z{<tRe`rI`!drx*~q^t>xySLug(;zeK&kHY;`mpP>e^{)j?PpxoalPZ5(d=N2-8Bo2
zADeJ_onPLyhM>d3Ni)_Z|9o_O<;h2roXq3CHw8ZaJMou&|IFGI4A&(@R&n=#ez#!r
z**VRR=5Aaw(e2sH>WYUGB95+b(rRKd7EOAyV&>nPpZ4Dxcyv}LmaRy9Y`f7hIr--U
zcdhkutE_*ob=<?GT(j2x=V~`W=DOrJ|07nocQEDbS}`U5&&vIeSIM5=aLg@B$aKy6
zHBLq`n?jg08x&@*a4fBv`AR?|rj<o7jwPmrLAWmF(Z8ex90!xW{YZD`WRR}=^W@e_
zPJZ^+vjl(4e8>JFra>`=J@$Wf%#Q%kn@nvhT+XlTw6<Hh<{ImYO^x4UCarRft>9kk
zlCHl-q;6u&$F=IyI0P$Jtu%7wkW3QToz#>nu75$UtR?m2T4(FMYrU54UE>_I)xpJP
zO~%tT?&~KBnl)tDmnE-r($v2v@F!-WO4^Ec-Wb1|LOH7wZ|vI9>f))rRN(C<$Nn{m
zN2^wN&K8{ZW@2RWiq+y99T(1C>!l_$!FuI-xm7XQEnWLP^v`UGxz?WRB_(!mUD!Gy
zrFzEfHCF#WiFqu$uVV0`EcV}@e@}&M*IAuh9~OQ66Vv}W(f7+wN-M63_;gz6;rj66
z@=4AIyeyVJxvEvYE<AScgsX4X2Zen-xgl{yyQjhPN;a{R;n~YxKmYsZ>FcKlSl1oc
zdTwp_=kK3_tk)ihR`1ZtUlaB<epAc!rB9-s{{8d(U#Z>M=(R!XS4Ed@-2V5^^!3-u
zHojjQ{xkb(*~WWo!`@~8e9pYa`0eggi`8cv)>?gUS^9kw$I~<ar*nMXvnK5C$(HL2
zpIMhJp0#Fuc<o7n>vN22pUvI>X0`6KHQ_5|X0MIuo~hHQB|Cd&%MW|gN{Q%ye^$oK
zUAkT7<)`&wWvZN-&zo+p5C2)WdR5R#gYs43j~24;SrhiP`hY+$&)UHIw<d|4WGepq
z=k&b;yptI_*9QLgV>iFIHtfyGM~8|x6-KNHfBjTbU`52nmEq|f3jB#l*EV!0{%H+(
zzAkA0`9*paduonv)Ks%L5y$f9Z{Gh^K|g=JF`h90+Pa8SD<Tf_tPQ^{wjy?-=DlSO
zW=+N7l@4}4|0#wui?3f3WEy+pe{js|pz{;1Myv==PTF);^dnc3;ekbuW-{CUKNwQ6
zCak)8W%Q<x-&TjGH9qWTUKMV7k9lSK<`wO3%nWmXup~9kRer+JU%f8eY-P+IhIc1k
zC9j+&zI#PbC&y~xGff}YhF^R8^W*vTQQ`6bI;PgFi~2d?^YJxN-{-H_-TpM<(c18}
zUI`XY7#%)bj5mM1F6gf+)625x^+B(*A`K=^nY**6OLSe}|9$74O{`o~@OJW_MeD=&
z@0upDZ%NDE-+xZdPrDwmx9X<Cl_e)v>qlC?I-zp@Sb0_0nxNPJrYjZhN%_BNP0+pa
zy%lybR}x?Ogsz_E6@KLD^&p?{|7BO^|Bim};M%&N*V->POxV6_mCJ#$r~_4gAOHMN
zxwh%s$KPiUv+q}_wtkgXzb?F1vSHKeeezc%8=mE_OHt*D)L-vg_ds~_uZU)aq_wGA
zQ>sp`@p`^}Wzy`07rwvQr}${bxs5AQo~=kUuU?tHsZs2L%Awc=7wU7E*5}>)6Tf-E
zrssQ{MXT4Q?`<f0vo=0>K37$R)tdOH@vknI9r!=7qwfE|t#1ygCND7F{Nl~QJ4w<P
zLiP9jK5^$T%Z@zldncA{h~Zulv4Lg5Jvo-Lq>JkxicC<qU46~rM8~O1i}J-*{MP<i
za_!3Mm2Y<@CqGn|-G8}CA*PGz-Rm{sCmFdHxhMVFe01Te8*9SXzF8j?`sm8DuUi+U
zu&}QS-(MA}aAj@u?i(=+wmg}kbK#Es{%cEIu5y{k*i1Nn>L&y9ns8qR-fI^X_pFP_
zU2xo&LH*ha#U~me3l=gbX(VyHZ?O9K=z`j_1(%MlFsNhTO#b&emQi-~iv9!tVl-9;
zW!+n0*vuaDeaV}nJD1m&t%+Kl!g*xHw9V^tYd<~Pxp(J}3-!lOt<REMxi)`&^3PS9
zbhhrv;a|PYZsm6SAIFc)+_K~Bp_o<HN(^(u*e3)(=Do5?^cqW}!{?RXAFVj_d16x6
z#8;2se7M&5C`oO?B4I)0*!BBnpSZZRfw}tL+Kg8<YpdlM?N`P9YhazY!g1&E_%$m}
ze*Ct<u5#tc<R2HhZ2#|UJHhc{jrikV_QmTPu05>(xXQgxsvw2&^MMli6OWTzR`q@^
ze%`1i|GGh)L*wzE7^x{T%CQXBwrr4Gb8*(8jW<}e?S4GmC=lqkK|1|MfiRO=BL6S_
zsW+wKe*R#59Lv!D|3KD9##I%M7Cul;TJibcjtlQLuQ)QF!JuOO&BtetCU>&1Pu`#?
zdQ;=uh2)2OcFdAJ$uv))Xl3N1i7EE)PkNmcT(`zM@7qOI<tm2CYc3ZuD^_l3W?+o@
z9Lv!CE$PxTVTK70B{ghjZg{{L(-;%nB<ari$cA-A$s<XH<P~<dpSZa^FV3EKVcFLY
zF^5+iIkbL7DHB&r%A1&_7qrwkgUbw89Dl-Ccu?28LN?~%+St!~nz;nu?|L11dBOQL
zzWL#MnAiJ;??1ruW=+W)CC@$Ue9FJAQdzSma;j4En<>w~O%K`dM6vK^z{e|_PDid|
zKi$fy{q^P!v545UF>80{?#L}Ww$ao1)W5qe8{0VjxAQ#S7avk}t%dVvidFa}?GH(j
zw=}r<{;!MvdsljbQ2(zZlf*XOm32GakREnz!%ywx34hk!SpR8lbllgi7h2CAe6J<f
zICuTk^?Qn)_N<S-etl{A`uppmv%g<AD$aWF=8yWvDUT){{BzOn(QNC9>$d)oJO8j)
zYmVCl$txQ|cb)!`ek`_a;nnNMe#ovV{d7`w@}B<ew=+dS25oJyGrt#eNqW!v=xg(P
zc0Z24x_*A%{`JwNr_D6iMQ7LhExI7=TX${!&&jUoGMCq^iMbZJQGCrS+w>Lv_Rn|J
zURggq|NWa4Kblv4UQ-q$z2RG&%!Q(l8>db9#qj;=`q(uW=dpnfe4EeDApLK_nyBiZ
zKXfmgJKD;weQ!mSFoRp><2gEO!%bgbxG6c;^zb~(mKFQ?d3GPvl$aCse?`>Bq=O=}
zRw~r<td3o4-*}?#7}LgeX=RlUex6_16tS*E?@7$wkdju8`I}!nlz#m&pzqVF;6pzT
z2x=c&xx2|}RwH}-kEB)NN7tv^6I)R)z2aqT+5ZN&Jq_&5NsAutx_%%@JEq{kqUs5}
zF}oOwcQhv^?=YKlRA$vvVFoci{T0PGnqt=F|5@Ss=th|Q#u)K)-5a@MtQ*$f+mZhH
z*6j2ZF{VlHnMGq?=9|X7TN8cwd(6f5x;?@_q7T1c6J59Ug<<%fi6@#kVy>>co>jlr
zyzav0jccOkH}r_N#h&=YcxB!HecxX+OEHEeE&IyT!OHgkh33Q6N!QnHKebN1drkCT
zyW|dsC7;q?uZjMuFE!&D<A*;Vuda)}*KlG@3&;2Ad|hwWM1Pw*!G3e&<nF7zf48lV
zj*FL@l>cw*n$%U%B7*4~#Z1><Kfm?bp8I-F)fx^gvA2JGA@0KU%`?3E|K7R(?U4PC
z7WVhv%JGvdZG_m{IKKY-!t(z#!{7Cl*`b!lwCmTU{GAl~%7gnPL+qQi(c9(<+iyA)
zpxDB3>%QcQ(#cDvtx4Vf%6tQ3*eQ{o4XjtH<X^9eF8ymHV7x~wbxrive_H=HR8M^7
z<M#L0|13`?Bb9>;cK_By?Ot`sKQR35+Niy&o*wyjMovC*)1o!0ueIkMIksiXKI_Gk
zo^0DR>A<cvDch}Xs)(<u@>fxQ!?GqVa!u-LzjNnq9{aa)lBV>^V%wj$j;)IM*ua<{
zx8Q1VL(Y?>8m<ek+D2a6^&#~90`cw{*Umnw*AiQmUcaV9onvkFn(*940r}l)Gw;4#
zDDbCYbJzO*xo_8ImOtW(c(W?g^dM()GQ+;LnbljlrbURqv~d^swQo)OB&Pm_XYIK^
z=G}SyVDAQ&A4`t?{}Esnx3d4n&r=nREHMu!a6i7gV}|@U?hA92Pq9e<(`XQ4<&L@c
z=E1Iy4P1KPUWh+*TkwljG$vtgj6#y+g>$mfa~HkNUvO{3^Vt7CxRe<)B4QbyFP)eo
z(XpoDb_0*qCy7}X_jRo)n0l*KcKwg_HNXC@O}fS@DV22l{nc%uag%+N4Y+3SpCDV=
z&NQ`W)>O`<ACoU`_jO)ewl=6|qEQP|{LL5q^&fsEZGSOcz?;Q%$^Qlc_J^?yOwG)E
z$^SiKuQ4=UYh8Kjt?mAYX9bHjR2IHpA-hrM!SDG~ogOo;wkw|Kydn92@{7CD9_drw
zt#H_Q;&*bhfUNf#hkFwOCNf+7SRa2NW`2_M&*J=VFZ4Iwc=3Rdcj2#(Ys`*6e8FKi
zZ++wD7jCm!1k3kT*j_z#{^xDEQ<6{14=Pu3t!frLzNStnsd}Z~Cc#Uqn=2Ysj<&G;
zE<KUNFA?`z+^VjXW$mX{mb$WaDUp&!N(TEs8XSJ#IK!P+Bl6tLZH?Sk`_|;iCI2sC
z<;b7W{clBzipdtK<@GC5*7)4uPG6g(dLo%&i-pdbP-|gM1#Lh51?L|8k4k1(87i7Q
zBWhONjqVj*513;9uE?}MA)J)X;nQHbD&l8UYYoHvi1(`lE0X?v%H92X_eaiEb1EOc
zX+6hqJZI(XJxp)v)dd5eFvbY{`7v#C!!vEORUW?^#3#fsns2l-@BeAhC|=&o7$f>i
z@BR~x&L$bzIKdZ^8!s=Me{p^J6KSW`jpr{v;Af0Wx~X{L;-_1yCMz>>u8~N(cqnOQ
zm}w-#od)a8J8sNlU_HXQlX2$TpN$OuBCp%#o?Iej#bL?gv@ppimD^{=u{E1^TzPci
znEXQq*JVj7T+DAW_+HLe=G3s+zt-ks>xJ3!#~g)=lNlP=qQ1T0pU9BLtf#_xOWX0u
zn;6OEFH&Vs3N4YC`S3$_SYz?$70V)4-0hXQpktf8_4||G40c;f&i?#Yf3HP|H|F+9
zhA@uw6-{<)p8UATpb-0CC;1=43;D@R0TU+Hui2;^*>Klad&3^~2J?9nrk4I`FrW3~
z+1EcSDo-$$Za$ZE`I`9tl~+!1MPBfkw=vCJk~?)#WLZ)Z$7BP)29CRXe*9G9tpELn
zH{zwfKSy}oioDGeR&i;pZrqe~X{WgM>REs8zIgV0#p0tAR$JeA8nff^(Ftp;{=8}E
zJ;eB9e%>GE8(K}Vj4y5K-@K4c|G80g15?bOq!S$dKh7Lov3&#M<+c4Le$yC|*JYU7
z|6KBk!>E<})O?2PYg!e<%Km)We9!BXs?bdPJ8AWwqzqs3b+4bX^oYQc*kkNE%+~)~
zBty1K9Mf4J6S+z5iFE4AOZNNQB>&78{%Erz{NAy-p1jY?Ps{vWJ0rN$U`@=*=||hS
z{>SdMxNS4{{?xT?+ka1&+5azW`nePH?fzVB<+^`;wQT73R!OhWt(#8m`Da=3X~u<X
z2hU%BzGlYGCp%}hOV0i8cfjyl+q9Q|TDfk2e_guq+qHxKS5Hf%w@GT(&+lG1+2G}(
z?Z+n^wfJI_JmFMN@pP|QpZ~2mWIX9%+$4tNn0srd@B7mt8GQGB`{H%?W>js6+Bf<3
zyVgZ@krvO_wEv#7$YAl|qgM{<*J`rN?EfdwnX|b^H@mV;a;cVj&qLwbSeEA}y;iUF
z>NSmN<*L{IKe6vaOsnMSsR8AGelk?7TJyO@^3>H&LDy#<t!S5AetJRc^Ibp8KSs=F
zyME9we{!|>NvX@ifoo<2ZC#ar^$FADU6(($bLHE|#F=gQsm#KmyLzTz0oR7JdkkKk
zY5pCvY6iPSOu_MFhQ%ANoZB?*&P?T7n`bY$KgaMf`z)yw?%CoSqAOo^AAix1eTaE!
z?EfnV^*1Dy#WcQ6ZsGj<gyGQIysbhEclg%-_<rN+!Q&fVO3X^FU(;f1JAYM7D_4AX
z(o9u$#-!hWw@F?uy2i4$RqK5dmpVsL|Eg&-l)vw3;+p-V#Waq&QS|t$%k_V_UY>h4
zA?@#)Mh>ap)(z9|HOg&V@l0~gLFR)tfk|uQVt%aH+)=81Z+-6VhAXcUWB)%;I{%`+
zI*H*sV*_)10%Ow77qdn8-&8!vlr(kabY;%h&kx4$@;<_s&bVR~SFTLbyaka8k9V0I
zEU4JhpkQ9c+_C8V#E(@vjH_n-;p$i+r~mpz=SH@UwRsjSNgGaueQNwOXXpKuxut(D
z9C&o+aqj9iuFY$vEI-x6q*c}`v2*jfyo&1wo_=3HC8b?rdbC2@9>z5;m20Nz&0hVn
zRid`;r$j|FgYD~fi4bpPMT76>PHbujSoQPTfu(kj4?Q^Pbs|cmc&fncXQx+B(s<Y=
zfja;HfZ_jd`4zD90Lu40F$iu^oA0f1Ev{8!r+%cO$AR^8E>3uSQU3MygCVMdlb>I?
zv@UnPy{2TN%xC{~*DNood9S^8l4I)~2D|)~PsGa@TkOu?a5>44+mW^JB-i5>GyCr+
zUCvG4@b8cGk*k{$b>^&@ey4VlaJ*K<xmTN7B)z`xb*Ng?mQWNf*DUzIMdDBDiPOz2
zNzY6!?3ud3J5)C#Zuhj`!L{jE4$l4m^udcMA8jL7%~~_#m)AL$C6Qd3TlVcgKj~@3
zx|yqb8X8+9;`dEDobv44zwaxzo?w2uA}8-!oBGcq7uXor)RoO!v-w+3PGvu<>5o{3
zr8AEHV4rbpPm6lcCPT9pzFCV7)&6M^liIw#<9WlWScZ91Z3P)>Uwn)CIqh-4j|u&&
z896s38J#FN9)ICn-Jg{m{9QlRl>WKc+MX2g@O$hLgVyyE+JApowcuLv!+-W-EX#kq
ziMcRA_RyjQk7r+Oy3QfN!2T&lEMmsvd8$i(znyTU?_}7UiB`wC7Ch$rDgWan|DKqc
zD|(LQb%?L}@pIbapDPp0_wQIG*k|xo^e2ONqiE}m6?1wyKNy~$82C=$hXt!D{{q(k
z|5ubTu*HZZ{kMsEGw0{AM$VOW+JA1eiSqqi{owUR{z*slKRrCxVAQ>0^3^}BhKKKT
z$nO6rJ;96n<+r4%=hJ7e>7BT_eB(;h$3LIj{+_U*jVWpEythvomrlM|`lsDFX>IBB
zRI43Rwq8BAwyXcwd(++Rd_|8qmND*LXuJC2-ihBY&SzoXw6?3Lmoa9-)==S_{IT=?
zuXSf-Z;t)%vwFhq;}0ip`QOPjW2pegiIiJTlehfe7|@`Z_lJ4H7Wpts_H7d+?%Dki
zOuX=OvA;mmn^$|TK3b`Ne&O5W>^C^`A{b-V6+izp@kh4UhXeX+noBoH$nEjxPp)M6
zcTw4RR#(}YW-kekdrbwx43GID&t6;bJZDwMB(HtUq8D{UKM8uBTT|@+bWP{#&zCIH
z*S5Tjj9t;Pr$b@h3bFHRld>lTHgNA<8F+MMR{zdxSN}|y+a<!<#IPl%h4KFF6|K!N
zehrNA#XoQSSv#qXJus$+e|<~LxAaC!-q`=|FD!_>q0uhQ5cA{nk00%f7eY6-GTKjD
z({gSFtCJqXjEYw=>smIg;J(qBDSnTsQF!wTz7v8WYuX;I5G<VeW0Fu7lWGfN`OWUQ
zT@h=N)8F6JxVdI;@r?ChGuH4Yb^Q#<ZDthjUmLk~XL$<egNb$VPdJ{eO8eaDTGjJ-
zN7#%7jj{hVR%W-yu8VXRmYKw;DJ(W&UZ~-msb$T^6Ai^z2OVFtswjHpjE$35*>4ie
zYY@+xw|-@1(u;_*FFdUNHS+U*jd`;+X+o~L0rL%}B-a&_ejNJI8}agn9`BZz`UkDw
zHWqZ8U2~pk!j8#|1{>35-@mkVNM`t?@uqEQbnAp2cOQS7CHy~URd?LVo{0k5i&P#b
zty#mF)XcqNb*x>|&rcPflm9mexC;jyNM@LxR5hWo>e^(9_WcW-dH;t;Br|MWvF*)@
zj7OWB5C4~0HAR2hnwf8=&c6R`#ico&Q5^yQ1qBvMZcO3fTDATlQ;f#S|Hot2PA)&K
zoiinORpg{o;`29ftjrI8!gToMPVw-4MNgaCbw1d8vF2NB+QiY^(^U8N&D^<93yfX_
zn%-n!eY0Vyb|vG^DJgsZterTA<KUdbg;y`#eKlE3bX|CO%(2?CDSO^}ak~DSZ+UaA
zVA*zo<$InfPc<$+!t^on%B8x{*F4XE&z<&mmxwRd#gwNBHCHd~6;}KG>m!5Z>KBsp
zrtkUt+Wx1(#&zAlyd8q;7DY{LF!|%;&%pU@UCqwbbG9@uTI1iWJt^_BMCtlTQ+L%r
z+*rFkW_``v{r)>xUzKglS=W7c`~KxWViv7g^7&!e)>|z?tMAmlozPRW-q*5I&Hmi3
z^0yOSziCnXZrQf*cZ*O^sNbYn!hiSui?a@2Dy}QJdH=kf&)3(?6=u>++`qP_<aWEz
z{JQ50KCf$1kKZQ!twm_5on^J`f)k&j-rQW@8(Vkn-{v)wf~Hr!%~{nQwr0|*+Ev|e
zSet~n-qbhL-n*$&v!<)MAxBv1G~??wwbUD}#Vaav*w=TRX3(AUXW!467wmVp34My3
zuv~n1n^4j3wLRxnR@;B#vY8b>e??yZ`=+_#zo+jhJGNu_nyjQ|q4=7$JvUcYA79&T
z?)&^i+peoU8@P6wd|uhLF>+0p?5p^-o!2_%9o?{O!_EoUtoM9r$d70elK+={BkoP-
zl<xJN`qnEZZs1J1xT5Rl!i%OB%t>2LaHQ{)m~s89&Wa6YD>~RWxIc~YT0LQJ@`V)n
z)eBsnujt%7<8J<qe;+6A7X8P+LgDzt<^O-Ins6}YYwyPYKU)OnZeKUy^3P*}ysu)`
zPuQK@z-Id|?10ebwG)a{S7*ysN{V%K$k+e;zHfc+{b}>xofP=9exlb@sYiRRbZl!C
z{J-*Eqrd&Wbv^%{D*Rs)H8po#cj&nzD`$$-?_0;dwpHldcXf%{-`{pzotnj+v##g*
zC#$RNg7%6rix@k$C9UcC?Qii*tu149i_qm*?>`g%EPA?T($e?m*L8nCxhQ9gR{xK$
zAJ<GO3RP8_7<}hi*!I2as#muP6^EXVvR-jQor&H1zD?<-8(PO-Y+G}F?yi(gyIO=|
zR~=6JvcB5>dJqTu^K(-<YTvZ{xL+$aBY*eGyohE|+yDE*RE12}&)xbttXcf?x@wss
z{*_fp_d-_4eO!DqZ9-l6nv-XL&Nv%kwLq(aZ*7&$ihws^6Z)m{_&<p7RxfyUfF<ch
z(!?28c70%3F|}^my0SKwn9nPw#W(ZEESTU?8&Nf5t>}ZUq}-T!E6lD|d|hE?9-)+U
ztwBokbtBUUi46u;d!A37+P8T2@e9+e@3qOK#;u%ivX_75vhRN;pOT9)Yn`#Rj-^BS
z_)ERCzdLN-PdKH{sQ7wC-myC?dbp*3U#Loa8MC(T@23l*PB$d7u1!q+xH`9b+S>M8
zYs)6BxM9Eg^0XflkDBYRoAiEt+sDbhS!NHf?r%|@qR3>kSZd$eM((8k-Rn}zbd^@d
zG5+{+qKKJuo%5!-neHCSb}O1TFvj>Ntu%SoAX1vbVzHw8{081fKZGYMd|J7omGRT(
z^Nk{_f2?Www8HH8?A1oqn=~Z4ZL`G|zpP#<5O-mXVb}6E3)@7z(^sk<T{$<)Z%$y=
zhO(DpiNcpvk1p;}V~W3acSYpJ3mdvw1&=TkUs|y8{Yf_SCDYR9Yz}wfWMgD^+P1zm
zMdQJmw)f|HoL}8sn`S<HWtoUgijmjvJ4f3@Up-mG{r}Cn#;==r_N;P9Ufa07cUkV5
zru^(xO^;Y5lNWwgT*shZzpCjIgZ}C!cAuDy*{hpBalY9YP#<?gcOrw&x@Ob-Rkmwx
zt+4v2wx-Z;&Fcx9XEzIcR}hS8WT?tt*Lricdm~fK)D4TyuJZ3*G4cDyjiSd5R<v}l
zZ=3sEcum~r*XGiUuUF+KFaEo6(rWD!NxmE@>x=5{t(<;zO>6pw<C7J|KIR_1!LKd1
zN`C!<J%?gm*yP<vn!a?0=<JP$R;e9bS(Ua@KfY#7`*F=i?Z;~ij;?7B3tJnbzJ8f-
z(h3_zJ_Ex~ehkbPchB1pe*JSJt94lePcPGkb>|P*&Y!lSwlk?gJ3gXkh3A?!w(c7N
zwSQJ?_Fvq8_s@<U&(@|Nouc@7?b4^)R$hyoxY>4hgT;+C^Skb^tdL*-BD8V?SIwGv
zxvN&UpO%?W!LoMlhUEVn;_T+Fne+4h4z4{bk9RE=KDu^ETw~J1<o~Px9bGX)I(ZMH
z;Ev}`#|78_Nd7<hpY8jZf1bCB{Y{Z{Xth?=UOB(6Gw66{*Q&~u3qG!$T2nc>#%5hr
zblZxT_g6fBw64sP{qg=Or;i`$ezKwR`kv<H9v<up3$HC;JHDcB|Buyv^8V{C$xXhs
z`^uxx{Zi~U>!TvqO@B8{thZNly3NW<*QOnPpZ!zm^}4ew&(AsiXx)V6o4Rtc-z2x|
zg@;Bj*)vUH?z-tu_f0O)JpcWw-u?wG6|4SF?7FktM*RKqpTSfAz9_wSVQ>2SW##*x
zRQzqz+q!y^l>V~m)6aFxo40=A)YbNt*M2VB^77BRiFabH8+2pKw#KdP`I-H7s<7Bc
zh2858h2&qHc68-A`?A*Hr@a&Z|7iDT{8V@H_Kgc`@~7@kon(F|$b9xJ?k{uB&o6pk
z`N3vSn_gJC*zJP<PrsGk|72jec3Q~0p1E@;%1>Q(ddl8aoic*U*Y}5?oVzh{P5xZH
z`qo)uKN_u9Yt8$Ysm1+cPVD|Ry}#CzuK54+TsLQFl!JNQ)yLb`PrRgV^nL%&{+nwi
z?)3Laj$625&dTRI3?If^%rV`+ebuKYFRacVzF={2!~EBsj*l1Gw=!OMEWc)T(%O9g
zrz?FzZQgKATQ_a>+f_Y}7P<HAy1hRC>(8~TC;qtf@cf!-m+I!Qer|Msysmq`&W#D1
zxHhkuK4oRz|B2kqYo@3EzxuFt+M4Nm8YfqDihW!+@$<)+H4~ME((+gQ_!MZgX4;>!
zRryEPPXAQ4vbUFe-Nfk?tb0z`KR@_>?exTm4V_olteH6F(u4nh)=qdZJ?5j}(G?TB
z8l+<rnKtBn-LNWohG=((&i~C@^tHRWqNnT-jb*fsIhQ1NVa0Slg#+7}lH#_mn6PHT
zvDpXeOOG(>bI&l#`oR=)`9c4O8LnR&n3Ar==yy1nTHkOBTj|crDEfM3-tz+?dtO|6
z-m?09*REGL*GydbdF`|}2a4r?ug&}Rbj`G%+pa#meZB33UCg??zpFQQ%s!Sh{mA;%
zHC_AvuW8l$e!3`jP049hgWs!nswLmPx#sG_>(iN@>+DFrcaLM^x^+S4j_FN3vcLAE
zgXq<VdH3f>aN4Z6v?+pr&AFnfGT-;CpI8%p?cv+q(Oqdj7?ZZi?bQCsy>Z=ym+zkL
zcy+IA>nnZx^%F|<f85&t+$Uyk!^4eh&KA$_(*L+_>Xnl(>*r)W{^`=svNYece@_1T
zsZaf$pDI1ASU5o=;*9(1o=Mhgr#`=TVxv^Z>K!#d7rNf?UvTg9f!q0E8~R!~R|uHa
z|0t<juqlFbLxX7@(}tF_E8C?+vsaWf+=%H<DoR@0vb{O^f7Joe>yKl8Jnfy*vAK~c
zsbE7zY;#9a{)XQ8<o_2Y%0?)}-2c(M`G9CvMa;85wVOW%R%|P>f8M~mQm)l+$E&-_
zyBWCU0v9=NVq~Z}@XAhZ;jC*+J6^Xk9gz8+$j~VI-DauNpZPmpOL6X>xH4(Q(q6`i
z&o{1V36AR%IohuK>*$KLtB)nEFil(0alBDCzo%t};EFY8f7H$H{#5@X`2e4I+=CU9
ze=x3DeQN!z<5CUA+Sk^WpIy5;?Dx7^r#2+)`_arG9=~>7?uz}!GYZRE<j$@wd-rrz
z_Wd=-q`G!|`)k<oPi*z3q<*3FZ;$&^*jU$1SspF$<lL^;D;c_1B>S_s#;u=nYWLg!
zoeXc5pExZpr?o*^``7(XOm-iaNleU*u#P$1Q6m5TrfS7cxjmZ~&sw#@RUj*D&9pTK
z4EUAje|Yrsd;OY5L%v5JlGKtoc23u>VB~4lUHa|!yT==HukUFLcbCykn8bKd;?PRb
zUdA2g!yg*2U&nbrX4=0s>!b8H)V1psH~yZG{NI{Ai^FOPOZleR-zRef-nEO@;A5Fw
z_pm{D<Hw&KjH{W&%ht>}!l2K#;_K{Pi{lT*JlQm1cf?UP@pIQZjMw+&-E1^Gx@JdE
z^vYky*QC8Z$$NL@BsmxT3rxpm&Rn$QW`mqL_eSYSH~IffIN->zl~JFqqi|)+n)R^<
z`TMj4Iu^3HY+)2?;Em~t$xzUI)5xE;n(y<PDQuZBEFG~7U0RMe8@%g3?*6M~@jtg?
z`ud$;Pl{@<o}<ppyME;)1Ev|fKe0+5j_RJg_Ws8eIeD8D=C$dZ4!itrPiI0GW8I!>
zGfpx+Y1YvX+jy*9uuZ3a&+3Lpn-ABnSvxKE=7qaw*DVSAw|43i?u%>AoL+caO_2Zf
z%0#!_0@iB+H{9=AvH1LiRdsSds>_m(@vwhRUcWN&*{0|<E6SeVNEW}ne$k)gCeO!h
zmP;p@eBd}UXF~Sc6<fV6bxtHD2z+jKwVI^PD^a~>L$BbfO=s6GkJ`3={qCa*(y^^_
zTD$kV+@Ik((ORlt>W#lAe|~y)%w|p7&Jfj@H7AuE89uIEbnc|`t`@mfku5honZ>WQ
zsIPq$d&cATx^?fSDa~I$>+3#0fv0Pt*3J4BzjdPS*NKY~C$q$hEN_n$``_|<^^UAI
zhN%y}ZeBktDm?yN!~G)>T@6n*?|fq|w({9G#_byZKi1Z*nfdy6@vK*2S2$12{C0Ew
z+IO-OVq?G0S@8AT0^wGXTXAj1n(ea}#U!j-IDOw!G28Vsw`-|y-YBqt_V3;!s-Zvq
zB{Pq0dbncB@5l*{kFK3rUwUr;+%;>%@;lnY->g}<rBUhco^><L4_Y_9zSnBx$+NJo
zW8T>|BkQ(ZTABO$Ki)TaHvK~Ux3x2)k8S8)U$rmtWvxX0o{iCKR^R*a`G@$5*%BA`
z?PK2CJuAjGe?`l`mBmL7+PtegqB39R#8s*EU2E5_{{5$8^87vfKCZ6H3tO}B+kzCW
zZylSDwi)Z~34C?-Fyo4ub$iyX$eTU=Lg>i@f&U-=54c$IKVn6b(ebXNS2u3)oL#jd
z{e!D%<;l1cfws?ggkSk#nto2;kn-I(4W`d_NGe=Ns%DU_+kE@hoE?e>=l%V2!GHFK
z)W=VDXh_)KnqYVB!0h9PXWZ-9fB40W!t6Eg8zx(xH2S?}My=Veb-Np?Dvl}dJ-u>4
z*Nc1MYu3&PpZi3!nK5b0QRVNq8|?Ms*34L)fA~ga!n(@;XJgjPsJlM3>i815wwkLa
zUQ718{CDGkgXq1_n|_^bolqUYk#xw+_VI&b*H}vT9953ndg9vWwUzbZ&o6Y@G?;%9
zVxM+AEcD#Xzyr6|RkW#Ytve|7h%x5+g|iYbz5mZztEs})!5DKWBF4R$@$8DzzYj9T
zoVf5P;=qYD2hyY%>tY`E-SBJS_;cc^bj<Iah0oV6J^oVX1N(#vN{f`f#ms!Zabiom
zXY!Sk_gDYwd2syPj{R@e%_#exe&SZ)$4_sV+KevUoD{Za&AgbqksTg;w(FMvzqxka
z%BYF;J~4AlDqB>yuG=<YYsH$xo#!W1KfZeM?y4n==5E`xYtw|U5$oo?oU~>2ikH4y
zivM+J_-?6{TWWZJ{qnijHf`$rA2oH?%0GKjiswuHw|RO)UBKLW?Y#XT*w5Z_`}Jc*
zVB)t4PPXfByB#*1;FSL-{>R41m6q)eE9z<=@9fa6&snuRA!buj_=G_7dn_-s6Z6;4
zJ3eFk|C<*D6PZp(-@OsLcGbO~KdbieuJDoL&;IdX&*vRGnAu~duV3MPIC4Yj>kp4s
zOyvLf`oiXq$}0l8R!$7PA$4Ju?55WY+^7FE$NoS0Uv&POHSe;I?_HQb^^o$_&pQtH
zy)-(yYR9|@ad+2TSeo8AyFG7#!SPn}_mvHnXD?oO_u<=$ws|cUq0Pw*3)b#hzh=$6
zw`(@O|Gdz<;+&D~n`DLw54+dySf8_QMc4`R^Cuqst88#SdU?zLAB`;H?^k&LymZU<
z-`x}5y(}jz%Rf%ITA!q#&)aGvFaKe~gWV1G0!|FuPwu|3D1D_ht42)SoeQ@8Uv?b%
z{=;m?-Cnl|8~#4tuz}^V<b_w?YqqldzHnZ?CWdd#dfO!JHLLeu`gP;ZQO&*ck``QU
zpE0dx^QuM9CQfcqU7XbW;nmp{Yq}TBKfY?|{B^16H`%RMY-!Q(^N+c);BRuws<rN1
zE#FqoQT%yxid)R2_ZkIZtJbYKAU$uTORx5tZMpg@w%jm@S+i~;L&Ms2b5k@{tjZVG
za$C43#(8DTya~;Jf3QuvYQFkp-Q(-OwyH5PmhNsye8`m4#4q~uiNr#yoR4q)4zu6>
z^oeoygu~U7SQQP_Sa~Pu>}Zg>E3ADj+2+5^n*F!etoGvI{?}@;@t|b=Bi3xO7h$$H
zxx$WU+s<FLio<+OgZrbcf=91jdbj7|m(Uc3`qkxUFP?q1;opTX*8kR&d|Z{@$9Q_p
z^|PnLF79q+T>bz2+G*OFJSTroIC|LkK*0VVttR>>k{PyI=<ay+?gaP8A9jz|mVO6S
zOGz05>?f8AaX0B&hpm{F$lv7ly@4x9HTM6C)x60JO<h9sIAZ@F6!^Pw?aGZ&4cb<9
z6PG=H(xCM9hVrTvhI7xEEnE=xZS@KTo|tZqEw9%mr>!WrJt<Vo%)fSBarK{=Nka1$
ze`$Yl<JkR=C$jUyZgBW>{`+?(==={QH@-#BpZHntzM&}Iy;ESujm?LY>ks@&@=Myd
zxpq|tgKETK;c&%T27}#eCrtd!V6$fO@tNByE`0A#I<UX?&AI0JlA(KEW+op_{rf~%
zU~|{U3+t5_%2yv!?{t`d+hOsVl?#6~w7E0%?s?Q~{rF<j^&gt+C-#f3I<lwH{&B1I
z^bIjAH&#AdQyKg1R72Gy>5UBDZS$ue<(%?mL*(oW`d241$O`_mS@HAJFYUu>)j2n}
zNqzYDBKDA&-nSV%$4_Luirnn>@%M%wYp&ef`TO?=#-6#_&$h65DTT$YJ79HF>FCKt
z8`oa6S-VkTOY+)FHQNonQv{~BJym_k`9AqytLy&vQF6?6>ksS_HkcTbyy)8Whw<ws
z8_hmq`d6@FDx>v<@~}3y-@hkC|NXvo|Lq^C*>UR*{<4a^!TE3U>pe53RUR{)`|s3(
z=kI?m5IZ^}W8H~^WoxcnetWR&XuDfgZS1)j^8JQ+(~p>j@BDplMp5OO@Kfhrow~oS
zZAo?dp^N`i3J=_fyYS%8+U|JkISTvVI8B+Y^!c!P*DI&`HR}%k=@jUWJ<5J}+ux-z
z);;R$r|r6`dSI^i+N-JuPXBLnjeWZAw$8e3nqscITimXyUkL19$+Ba`#s~gY_paYx
zYkXp2N}QDC9sa537Hj{nSbJsNnpG>+3?kDv-@JbRzt!%C+K*O*m0Fk{ShRYkz>Q|N
zvp4wd!Y=G#wTyjz;r_E#E6iul)M_vit-P`D&XqZv8V;D=H9U~Ifg|brt;uQYZk*ir
zX;s9ECh^^eO%DfO{INS?#mch>v$DeO%sano`;WEV+l^Ko{dU0g=#4*V$4uil-B^(K
zhULcR{$>}`Ys@#6zByugx_ZsEuA~pre|u&WFGxDS>WcyUp2yc(+;*>7)iZ1Db=4zD
zDjY(LH;vby;E?L(pWkrDRDQ*7uGbIVA2M1{{9AyLVee1<8~?BE(0;;@{dj8ZpVi&7
zj+tJ6Ip<KKL*Sg<m)75IpMK+$X@X76*`$jXp37@Dq+k1xWPDO-6SHc|yTeH<|EV$b
zZ4@$n@W3(e6UX`keNx|7pSYX0X663V>uwZV-q8Dh#N>0Vk>rB~r+Ok6oLM`ec1^2W
z_}&>SOXnO&kPupP#MCc*z9!of9lrH9e^y0$tUO{m_4WF>58u9EuT_55_4gj*;oava
zG<Lmdy}I)0#D|S3^-ox=)^vxy^X8~1ySHTLKNaOpg-g5JZ{MvCYjxYbDm9|&h-vIA
zE&dy2N|iZ*?;6CrXFOZief{O0vr&KNt-qC3`Q_~K+qch1ufD2U@Z5UM!S8Y_uExDN
zpxXbfLof7WgR4{$|H>QR_P4uK9<Y?(|DrW*#bQzWRr}7Z5L&y?I=#WoypI2c{bBZ`
zW`)-kPi*bqw>kcteOdhf8{yhbRp-vk+BD6()~n*zy+(ucXJ(xW+p~3IlmFEBcQ;;N
zKflv9`NaXhyC*I;m^3nJhfUng${1th(`?nTEoC_a^U6>~KQ_VH3wmDJe*JS(|M;90
ztDjBSU;d_n_3)MtJ;@Ueg|1n7Eb^t8saf^v*Jd%RUdJ)L(CBAeksDvZd_Y$G_s&N@
z8u)pW|Czm5Inicb%&N+;M&{(k1Aegy%rUbXJmVj5#=N+6QB;1xp_nk`2CM!*FQ$|}
zWWBJ_e*fBjBdIkpH!r>C=KI<7bd~X%8-M5Dkh^NL;`$n~OJBQh$z9!Z!R>?Mir}u5
z*V}ckey!iQqCM*m_lkEF8;v4l8mwENpAG+h@IS}J*Y7IU9=hEb^6O`_@6ng7zY4$4
zUcQNqb?u?D_bp!H$7eo_UEe*4z4Jlrf8Pf4yB{9KtT}&@L9fNj`q-?0&0f}T0$(`v
zAGEx``E-iawZ&g6ZvHT;nEfu^_W#P3%2QFct0!H1w05=l?u&0Wh`;dT*IoZ&`lCis
z`!J4I9PA%szNxJ_c;ott+@otQgq@sp=3?x;H5b<VO}hWB#a#Dyqw%9TtM@djyPul!
z{n*46kGS_qZg??&)x`~OKCZd=bi&o^6^uJtkAF=2CoysF|J4&K*RE~9uwi$*T7zBu
zW2Q?R{(lfmdT_-m?(QGg8L^)j3)VN+ZxR)LS(}~WGGp7!Qxb|Zj{R;mnEbNodvfxM
zclKqBYhM@syuTs#f8>gZ8-!Q9m?znGBjUmq+x@FvcXE8N-mvZHhVRcd$UaV-aP#_}
zl}DMIV;StGtT?#)sY!E8#f+yB2RA&gV0`8zfA851u4Kj)jkPKgaRNs71P_L9eC4Ab
z)~MGyQE11Fq63+tpB4!Ct=_@@B&K|SL%~FSp-VS8;w#?Y;%t4y#NY9Sq26eNg=qCk
zt8=q=-sH0TxB9`J)t!-RkG{EluKSU0{Kg%sC#8BPTzvn4GilY{k3S~dZ1gex_v^)>
z<0pJ~om|+zdU^eu<W<Wnul_&2YVV_iDzOjVCr#L(uz73Jyp@OidACnnyR%Pyg_`K^
zdkhD}VxI1Jabt7(`L(+yUHboh&&mU7Gxk)(tod?oP0j1ncbm<<*Ne?tcU=GQ0l(N?
z91&}dznY{thhg%Gc!ud~&qQBUmr!1Ro8!j%Gr!*-F_{^$?#%uA*m-M?oS!jaf93i!
zuV0;h^!3IUiRFLRocVg+dV$IQX7`(Sq?YPGU)FF;d%D#|hTNEThrHP6O;_yKzvz6t
ziEGWpT_5Auo(aFcNzC`%46FLMrjIGYC)aGB`fJXiJFAWyZ4a#5y7qAT;}afh58XU5
zXZ_EH!)w-^IeTc$fzM(MclRHd7Wr|FtoND&=ML;&b7uC6ZAV)#bhkKMU2U`GVCBb$
zw$`iHep`3Ou6y;N)idvY?qG{tb7+=%1Nh<<(Xju&7_6u=|If|9@c+LA3j+fPGl(!S
zFfcmAvn1IjF|so<#4sk^j$w*v_>p86vpa^7m60LID(Om+X-ASu5~JX9Mtz4E<0K{q
zb_S*-p`=$yMl4C67`bD9COHK%DkiODaAjbO2})X@6n&A&P?#l=iHZAl5@RgGe}C|i
zfk_;TV%{+5YbSLC#=K%ET*WBD(3!*(!=EJdl_`V0WtvNjA%g-312c;OL&E%+d`E^R
z&LkFwBo=0t6--I*1Q|N+Gc9;JkwM#&*_nlv;eHZ-l6VZ$bp~hQB-tc}_c33S|NRS7
zR}he8ih0klti>jYp)SdUVV8#j!#;-D3Nfpa;+XOol#)1N*ki<Fm^c{Dyo>$!StW^)
z$&?|R$(BL>WsGSIgJR647}KOPu>uTplU6hQe!~z`81pq|byAok18-~+H-ihq-=rT&
zoH0BuF%lf=>>RQk4`Mh=**P*f-f}2Dh+!}U-L=9L%fRqA_CFKoJVB+~Osm)#3mlUF
zeMx5IWhxYi{r8B$no%IeI_5J&NjgK!YRJVo6Jo?-_!;LhNqf9ySiq>F#JuP&=UqVt
zz89SBNlL~{td}@Wb~3axsQ7Xx2qdjwFxW20alep-pN%2uZPMzb(wHxd9Cn;Zyh##{
z3;`TTvK%}t>>Or{vHt`<B{RHdT$tp*k@P<K-)E+5CvKORPpmU1iZjpTv5-po*vYVr
zGcS!{T?@z8q*#V+EgW}}co_C|h%p@E<5(lWn8Z|=tn%*@OESlVg#v41tXR^;S!CaI
zaxwF>z7c+`!f}RkjnYYmg%<_)GICsDjB$^7%*n(pSgjkw^q#}&U@XHzM}~hdW73!;
zCNRb<XJi-p(!dlG8*_rejl+;xGU*E^#{*_n<`|{p3~}~MnjJ5acqI7#2xWQ%Na{$)
zsIW55VrXaroi>={DOk$FD4YE6LrW}!gi(wWQ+@+qjB?B;rpk`F3>)Mbws^R6+A&2k
zJdFJhI&6?7hK1vyQ1U;8SFxbO20sXVjb(Vva7WlFMtDZ-Kf#ayo0yNhT_F;DT_GR%
zy1t0>b}f>)W6hE(&RHF^SENfayMs}rD^+M($4VyF7$$BO!B31y+=5KpVoZX(ElJ``
z>}*L)mI}%LK8kRyWRPWh?8Ui#5ktEr>vJ&{`PZEyMdBr|bt7CjqMtD^D=9`^6yo)i
zXw%S>3{mkBmSs?M)DB@x+A7<o#Ppk0$X4jJWRKLWFC9srlO!idG4XmZO4JGYD+%0S
z<&<^g)Q|o5L3WP+2iZA+l5%q<zGvAeGbf61r<UxTmqLOpsY^H|L<J{lZ01m2qC7`T
zaJn$3m|z_1<9a8ilU6aTPgR+oPkJN5YpSW%prR3Bks~M|XvA|cWg-jv3Ko6~0~evM
zY%KCBTrBJo+!L9^c_ev7q*Ww58MQMN>}3Q)c^^-gx&Fd}_qnUg&sTCkg*bm%m%Zxr
z4>2ft>LBeR{gcr{i^(eobX}4{66m@lV>wP$2JSC0*Cq-u$#?`x@TiEeDRX!*#vEc0
zHQ-ZXGW}w3Ug?B@PAr3_qC;@Z5(dL2KPE}W7&!r#j+qROi7fmsf^O^v>y(&lW(y=S
z$qS@;CjWb;5c4ONQPCly)4++Lkl#XC#nZ>}6{q7njlSf6vmWUb{ASq2ARA*6!x6*5
z9mC~Ns}Q3kW$~ELq219#F81F;Um4-|4u7>AJ*LRU#CY(t=z1JLz9@+Sa#2zY=R}V<
zM#ujg{2lKczVj(O^59@El?qq^x+952vMBc7gHHZB*RSs+G8DLyv?TJB-g<n9ktkDi
zOxH;YaBE~NPCA=pFVWQix+BR&Vp0R>jwB0-SuTMI>>gP`+HP#UizMdQuys!hxX#C{
z=P3CNd`Hp>&>cyxT25Acocf$BpevGuX9qKTsn#e|32-K9DJ8w}<P=uQv2K{4on)v~
zW!<E3Cdpo@L+BKztkR^zo}BVZbF7xUh+*SXo*yHkzRFKnQz-eVgz=lbBHC63D=fuz
zU4`}U1<$>ddc$Rx%NvzO4nb2Tqgk(o%{z^jnQqe(v=%Ylak^8`-ssdxVMiCEYlnoL
zrA!{hu-1yYZ<qAFqLP1=+qpIQpU_>$J{BH^tXHb#7kwnm)vLL^onrq@x>F<D@wzuA
z<K>j;f(r8<dAOVv%#cxJX<FL|xdMq<h#_W03`^28PZqhRQyz&O|H~ED*0aaCsJwVR
zVe6#HUzH9r1goeVWQgUAs$xhz9U~RbVxDx%k)`rvQjmqK!1g2;md-;eNii0ojXOQS
zHzBb&bHs3ZMlwoWRe$(D$dcP9@c!P&T913qXBfC%FueBlbzLA};p4rvvD)LV>!zzq
zyry+Ac)OT*I9_0>5X!mAAo^MXd=t`+7EjOk2GvayoLJ|@sAu1wly!b;H|Rp7K=6e~
ztoM8cK^GzkgDym}<(PBe6=RI!#L!DIo;TJB-T2Lv%)-g2`XHM%hUpcfe(b;ZNwE8n
z3RiC1vRhc9*K+H&O_DE{Y}?TDp6ywZY0SheYhn&9kyzbw;zNvg%1xJ_ealq0X~aaa
zh<Zw#SF%2|Nr^%6iz=h%3%(OOn2beOW&Yn+iDCUAs3^`L7{i+U?^zN{M=Yb{i$>N@
zy98qYX~@Kc-h1Gwu>bjy&`yn|R!<MbX&kn?y2&Nx`65qaCRPFYq|FZ&oRWxTnAcR2
z@H(a_>05(nM+gIR{DYYs-yY26i|ITtLqLJE!8?(q!LLKKV(JY6g9)lJ6(Wn$72d?K
zT-fZmuqc_4XGe|8jRgk;e!Ot`&hz7uLC_hSR+ar+KhCs-pJe*by2Qj(CFU~2d`lPS
zrbRLD4xeif?hNg;bBcMf*CM8o#Z#K&g`tGm9QKaLpL07NS_tr2i7*JpFem?e(U#Qk
zTUy|c2eZhZgX}yuZJ+rJSIzfp_{Oq}i8C-}X?g==#{m`z2bP#9=cY|f5`Hc$7JlCx
z*iJCCa5!~`I>a)};_xfrPZH~}?|Iyj-1(N7F-A2>fjQ=Xj0huZOOlvWe)7K#QDKHO
zNv9YG=@@g4CV>kvmKT<Nb4lWp+WB$CPQD4kF+Uj@Dt;yXj}a@hSi{)ygRS#8OH7Ai
z%-svI+z!qh517O?Vwf&okXHa*ccc&_6C*0%%-+2v`M<!Hm>)f%4BQ-I5>JmoZs+0n
z&oueeg1gBKosv$LQ<xkDB<92@F$9{Zvx>&H{Li}JaXf}Ya6v4?bXE_ZY4R~m{Q_ak
zF-5Ft3d#R%m~M35>gO~*#ooXW``<UlKStqEjCPDS%haYN9Fad`vRM|pFnTdgxYxuf
zpcNC!zLO(HaJO-i!tR&{F#(L{9XUQ;OR{9T>B7WtUr^wt%Y_>jY7*QQOfij@5*8)p
z$9(9`XZdm1Ht7+gguY4AuNZcXScV%c6DRz8oaD;jJ8@T|P?Agob2C%YuL+YDPvS8>
zAe8h!dBXCCOfenHTqHI}3j}lg4`XP58WW^5aS_W>CBfydJ>D5I_P?5-?7}?Z)oC_)
z!P^Y{ek@745_`F0&N|Ox6Xsx^#P28gdIpCGtLYu~3G-QE|2w3{2q!c23TQC=jF}Wu
z9@E3hs(d+_fnjd)|BTrGRk0!r8(D3wRbq}Y_;RW@v9hoS$LwT@SCSA&W1i{76l0PS
zbB#%Hg6I*pdhuEE3QRE){(=m`f)5tFSBYVg@qI8=DTedyONCR%Cx6&HAy^<oVJEMn
z(I)?-Zx7}vEsnC5&`8=U^dxFRg92mH1_>)cVdV&c_=T1X`kn6_83kjK<}=tje2$4v
zQe}|rVVn3&KsA9Qsck~{kyw?Y2{Sw-8Wf&RVs_@-KQT*?F;JkBv06azwt#5Li@q6x
zM;JvPHGB|MV4NDO@LwaD;RUnuM2US*8CEifKH=Cp$(TdXVug9k;Ry%6%yt)i|Dp7=
zpvAs)hRds1V&Vj{IbwKOlD=r{Q%DkYNn#eLek@Qwfh$S*MM1Nyu;5zti4t=Z6)JCD
zVYzW5_TOWfn16E$VkBQW%rrL?eEwp>n<rNom>d5kFedrVuybKt8^f^n2`|Ik8EaG+
zLk(5bdoKu`o%D&ZpL;P!ro{3Y5uQ?~7}_}>H*xO&lBCFS*n^*=onfIzk|4v{k7rum
zNuG|m`9UT{am@@%g%`1moXYMKG{3OU^_x`0a8czW!_~wqO=%yJ7?Wa|CQ69U_Fq2p
zjclw6Q$N4FC4=RJtBne07-RTiI`xDf+)A20=OZVhi_s4&!E_^r^ce~sNhbx28S;e`
z9x<FwQu1f0eas}=A(0fs(7B3XXNRA}OvVlUER6DuNePw$$rH}9ioRw{x-ZG7JY$2B
zAQL;2)ZM;A3o>4E1a<^67@p?&>A|A#O2Jwoi8J~CjW<P~6xx@RDJ0#G`IZ$WsVy^O
zIj01-Vk%=26R)gj(wn4*D#`yjLZsC?k{Jbm<Q$hYevtfMa#_-Zq>sEy8CH6%;9krT
z?7}7$&CT>vh@*soT~bhFBUeEmqo9VMhE(cZK?64x2301Nn5P==7{!I&FkUwJ&&)i-
zHI`ArQlPq{gHxb`!RSxYMB_&>4<aNdw<xqS#&Gy%B=PLklHlE|$+Vn*@A8RUynBTO
zq^&1%9emGq-04_AQmW|QAhulby+S<V9Q#*#a*7J13(TG*kjo(~P|UM1i1G1){WB*_
zV2t6=<#--5w{nu!LxWV-$NN-8W*esqO0Iq;vHFrH*C&r{4D4+yt$i3js|d3R^an*s
zZD;w}Bz!;l-+Og$j}P*@C7C^vmdWn@6y>+TkttDyOJ||<aZky+n!z%sCozR;o|XKn
z;_+Q#Wva~O8Qnb_7^SYynK9pC#}TFDF&p?!2uUs!$rO{+l9avf$+TJ`UFG{End_bl
z#U<mEpJs_jYO>{uORnUR7L`0AEXcd!lm}<8nB-d4$NHUID^+*DRXNOI#Qi!crBhf?
zVQ#b#?-Z7|%<orx5aHgUuBcI~BE+oGs=VShQ{f~Y#-B`_T)&?v{GZn`N$xN2<5hkp
zvsEm7wGHy0@2K0EIw9r)i!j&c76(p=BsF#ygQ-yg=`D;A4|tOVq<c0zvpCrivv9J3
z)90Sf&l;vIYQ_(f)C7|MJx^Y+iqSKn(_*?q<OJ53lNkpY#2>tjW!y2_A?8EuKZ6c~
zWJZ~(4`h;7Hvi^HlIV~!QQx`1;H~@4#f%AkE{q00Swn*-SsYeTXPB<Q6T@2QI#Fo(
zZ3gZM4+WW81&$=K8hmA(5aQu@HgK;{NWTN)RY{h=lkyZ<H^;nmkalEOew*}$Vdn&<
z<o~K$91b;r@A#P+Z`k2HNx7cUI8i#`eGK;uIfHjGGvbczcrrJRff01S&*NG0EB7Zb
z#yHPN<~fk&sgT5)%y97oLkDNfM2D?;GZGkM87?Gs$S3hTL^5SnJh&WVyyIifoaH+<
zI@%`ji9bD*%OOzU{3WUBUV$g*ejj!T{sUW-7xHj8N>=F4oVC?w<;vxUxnd@Ma(Ejf
z8}&0~m51V-YM}r@J3$V`!|X?sl$--pk7TgA{PzsdV_`V?B<91ZLlqqpI1KZCcs78p
zDLv0}zJU{TwNFsVgXDh~7nGLp-4|l0W?awT$$43z_%7#p7lCSFj_We~J4zT`lB6|b
zldK|-Bxz|U&v~X|>%20;owv7{DT$fk=og-TA<x3BM#i3)OD9uKI8WxCy7J0po~d&g
zZ}%Js5BRFW(JYxNDQUgX(~a>&HOE{dq4}q0sLeaj$+?tC_7Kl<F-HBQNr!n>a#%1v
zRVnywn6Aj>7}L+WzSDR;$HsGf8?!i>HZ%Dq@pVdfa;(22-R{D%Q;2(~64zEK6K~HT
zZH}ut?|qV&I$rX9&3hPhpU+(0!vVEDC+EuszfxvmI{jBR)Sr>*c$RE<q0m{@(|tx6
z^0$P%WMa*^m#Th_cRo33!iv(+8>)g*g&aaLM#c)?UwdjYE94iJyIm+@l6C9(#-Q1^
zck^im(+)cp)q}G6Vp4^NJmm@*;smEok}PJ*6!|$Jrcb(Du5!g$xytJ-!ILtM+pufs
zgYNUOmfT@*VU5x!pW~9IZzm<$JI2h_+<s>1yGyaLy8dTbc80GoyRWy>!N%;N)yfGz
z=8vsjGCwzaTDLN&HOyLX<)Q~+_Ew8eU6G5QWT@-4SH)o&8@Jo}FFl_9Mhmu{p4*eO
zGA$%@pG(?9^OWySL5WF^W}GaV+5IA_?}Akk(_)U5Gv+fUX}(^yy!}Y*|0!lME0;)q
z&{Tfe!sy*pxMN{5Lq9{hLvJj@PKywgMJykzTof&?Fl=XFX#n5g6U(qOLW1SP@h;}G
z(~og086;VMxFWRDWTSzSp}`W454V(7zL~;sWKvMXr;ThEZIl@q#FdWlgc;qi6MAyu
zHG^<0&;R<1f-z0i7B^X9zU{cFQjj|HoMFQ9`5zpiSNJ45FuaQ~Oq!e!$-+7DcI<!M
z<_{|vxij9gL<$zfY!FOH*GSoXdu#e;{@^RDoNX7PSZ_Xj!t&_SE0v7Y7do0<u_aq4
zZn(^9v3A0}DQwz1W~y{`+U&RNnff=?ll%Ot^SrNplDACpEP7bBR+LRCxnQov1Cd`7
zOV`e3WjOgIHhjOp=@l1ZLtQ0avlVUrRl3%n@#(IzwebywTD*t9maf%jge01YtX8t&
zFT1MC*4le=pWOZV;?hf1@?~r5gDMuwb;mB6#d^W?;s2$x7-hSI%^v@~#QeN$?e$f1
z(eZ_AgA_H*US3_oxN@f1>q$m$Gnc3o&3+)+KlwvKddk(G54yfwnXH<+lk?!h)T2pH
z-8;-KCSUfOaOv*tIj?7!9&zA|S#HQ?t$EyTa?-l>8TBri?rsY9F0DnXl?t9hjIzaj
zOo}(vWB+^1Y+72u$dt4uW4(prq<L*j3!0c1x{o~cl<hcpvgmt93g^RCrX;618Q0}w
zZt(PV6up`leZJ$WI?I^{;5&OdjxmJ9GMtH#;%?Z%A(JH9u#DqlN%ZN4f0j*dJK5e(
ztC~A=Vf!p+#w5nwesfkA_;Tfbj5+Rk%a-Bj4%d|bWl{^nb0*gGb#CRJQPIqp^k+^<
z@x&MBIxX7fmWEIIAw7rbhw7Xk@-y9v+h#}4dS~H1Q_52MYR`ha@c!A)CyPv3R5Wkx
zrC0ju+<r4uFKnCux|e76mAw|s-3vM|oj!LuX}+ZH&a-FdE?QOIFzJXD_sy8ap9@VJ
z_?t9m`o}!s`uIR1CTN18Vt_DvA%kv0!vh)49iKVW8$Ld6JjcAC^hTLQNASeOf-DQ<
zXSE75L^De+_;#~J=5^AcdgeK?jI-u0nSA1bf%%$gCmD>Fm_Oa|(Sq^t%I*bKi$zbc
zt#H_6(D~psD`TO|iuWu%F*kO+G`y<9AbacSLB@$6lK-DreLyDW`+{qh8v?o~WH74C
znZ0@4{MVB>LKh!Ue(`GN^I4l$&7HI1$b`v9H!4{!@wMd8p6#N(?w+*o?Ad=dsTXg`
zFOsQU6JougcutbkhMzmjEjT7flrKMdXvbvJm<{QTNfJr^Tco?imgrYMeiXR!=bVja
z81!YDYGcwj`CZJt!LdR~rg0zpL9V}Vl2li`Joq9>ZpE9M3zIlFcSQYr{3PZ-cj*de
z5mjfggFECHV~!nbU`b|Z?K~XM%$m$#e?pbrtZDCoyGcJLxZYTk*_6yM^=P+b%p6I^
zhX=kD9_01uFmEu3QIGv!+#%k0JeJ|Xhm9*NP6}?F$m%J)SMV^)L58{aVv;@C7H?}5
zIC*3{<K&nPeu=UF4_9>r%Sh-mN%+ihm?X4?Ib_P~K$g8cEhnORQewPW1vfM(Oo=IG
zmcNnI7t`{ZX96pW@`S(4&KAr`;wP*nLrqp~b7b<L6_dvh&cY=0V`fZ+q?XexmXiWJ
zOqM4qIvKC9>?oM{bHy2_;v2elE8`{iZ0S5`mb7N?l%!p}sjK(;b5@>v-M%rT<Kjc1
z89Ir^M-H6`<mjEaVFS~O{f6rfZCN6GS&D`A!Ry%nC)gE|8I~W|C%vL0hJWGf!iuC_
zNh{cM*6y8<%+RGM&y~o+yo)hu`jHqzj#t(P_B%4h=yQCTupp_DMfs?>%ub${KUstu
z^d(|euH^H$aFQ|U(+z?8mHr9eV`egcUdQ%y-LBb5j0Y?jPYFeE#3;>3sadmo`;1cF
zw=vOccgH{CdcSSW?$y@aGoG*8t^ZMCUBa4OcdsA%Yn!xb{hn|2odM$4Gb(D<?7sfD
z`sCXUG0bcB-2JNlV0rKyi=3GCyZ_JsGvh?W-`fr8hAeLwx2@l^di#wHAKt9leRX@+
z`m>MLs55a!uiJAx^yR4pMk&br0&5KDF85J98UmvsFd71*Aut*OqaiS?LV%Tl0X)|a
z_SFAB@=q8T7??qG|12@9LNuqCUgljBS0}zohdY$Nc#^1xgf@%jx-YurnKGJl{ny16
z$H^Su8pd}i=Ex;k4z-HcK6|s*@-T?rog@*VA(O$$uDO*}-^+4KnfHv<TUhj&xTpAT
z=k1#2+~o0pitcpY>S^XGOffDD%eh#RJOi!c&P-yfU24R;(T`t1TmDOCNt9^Y)Ucy1
zMSf=ao}4K*<@4t>zFX@3Hl;1fw7^sBx0L)V+YM7?%1jprxmT`c)wwJpm&G@CuV@In
zY2H$nbF4f4S*ETwEn8yqpVws4x(GJ4I5(H|EY^N1&TCmpqDrqWXMex6b}Ikn>1K;%
z=4ENJE0<o{%4)?LH0{V16}GBO>33!4HHE7DmU;@5=!l!o@$_GzGI=$R?o_dzW#^}f
zewut|iNG`4A6H}qyma;1KWVeC@+>^hw7>LxCd;a|@?|m|S7oPUu~x0+X=UgBQgU9O
zYt~#|6ScrN{%bGYY*pnJShQ!Z5mVVXS8Z?ke=p{vt9Y`e%J|H!@Z&G@RIXsxU&?y=
z8vEl(vN!dovKL-s@zrP2^bC0Kd{_VFYn~~WSbC;<rf*??%ziQG?ZYa*-I-jx>Tyfm
zs&s<Gd7^b0m6w+873-O7CdB+gB{*zO)KwOXOH9h4VVW$CQ$xa-&U$b#T|T(zlBo*M
zUT@Z(4BkmEUWKI|Tx1dwaAT6fj4gr9EPJl8ozOPFvGVh59px?T!R(u_vHD)(59Qyb
z#VS~PWQC`)A4~04mYqR!pH#6~uVr~JVh|F(Gm2N0RcET$<T&0hYgtV5S7y|*JP4L?
zTq`2sFRgh=Zo|CxEYUe@MHaDS`>TsZ@vfZnXSvEBKUR0`+>EO{s!=R${GsbZEw->9
zWOtZ$f?Fp#Z}~*4FpDsCp<N*sTiG9pNQJR{i8{x@%Ri}LZ}EW;3op^sONtZt?X9P-
zdC7G84C__i2~py0ta)oienhdPF213lD;2_$@mf}R>GA(8?(sY~wfUcxw(Ry2kq$Lo
z&YF5D)HG8xe{QOfD8m%FgW(pdrpPO(@?2tBUd8uFRh+?~c&*qy7RM;o{@Nh<Y}O=i
zp~E`YtMv9SWmzI~cak(W|D!Ng@zrdfLXZCBT-|b0VdauG(WEWyXTtQ0ma?8?I<<r^
zlRe;Yv)hf%E{_EnA386YtuUGCX?#o~Ym&B<!BrcVYfE~x!ar#WDZ5@)<tYl$U(51O
zrQ)h+yqC(Hucu$KxldV|%AOj=lD*WXDwWx{#m8!`sF$1kR*?+xl56a;K}`DhI4{k-
z!Tvi)G=x=Wx!bZW>u0eGUh5UgRFQKPe<;NAW50~-A|8IFsqB$kB(-%}lw~=V@`|y$
zED`(Wn_t4JrX}-htxgJS{nFL#bMvpT>l8W9?UP^2;yA_EOlR?=nfY3q=4=rV&5Pon
zxpRxabk)EE+e2)UF7ayVovIQQ@r<^dpUIz-&2GKbbgA6gIM&FuEaD+4Mz?quZ`q{F
z7cn)&PWJK=!%HmXQ%cp@k50S0<cjb#0kb)*7e#fA*dr%>v*PJq%BsxwJ)Ae_HH&E+
z-vWKs?6oYnRGwUCpPD6VrpsI7p17CoJ<qJDn=7jLctcs5z3l2r%%XT|-u8MI9A;I{
zRO!-XHGS+pwM3oGD30agenG`4rRppZRV%rR=Dgn`&dn+~#qFI$+!pyn*N;IWd)!XT
zPMGM$%gLJkwnJ~njb1mEnQ}}bo*Xk3?#+>2%JMbLH^fWEv-qUee2KOE?BaY+Or|Y*
z72>x&iluFiXnc^8-1M@QqWoJ~&#~;+n<>3=?fq(9-b((Im;cgP6~b9IEVs{0;km#5
zW?e4(hONwAJg2rkx#`6czqVFzvT2au-k3haD3Q<N|GgOn_fDK@x+~`D{vhM?DvO@3
zITy!1MN>6Dl|^=*^))>aZI-a>YtCu1w`s~fa*J5WBF_4CDbu-W)332xM6p-#1+8aw
zyr!dniiLa4xv%s2&9Cv)N9hFX#jj^KjbgFh%HA2qx-(mT(o|7tljCOlG_98E-{s|c
z#n!gOFI+Ywgtf}5v}-T#O5g35WQ?*{jkfY$W3i6Y@m<QAGEG!Jz;nvfWo!lauI!$}
zemrRJO>c#(+v5EWOjAFj%DT|ApiYBthWD+IFNe2zEWT;{B*^Em{zWa8R9$&(BlgRE
z%vEBwZ&_sL8K}&j>~|(qM(o*<)Oe%&t6W%0Qv-g<oK@vjGT6P8w_E=2R+eBU?hyB7
zL2Qxi7DjgJ3)fHLEofOU!g)<L>yqP2pFf_gMizCuSSBqg-_Ckvsr7bt*3hSGUb60A
z%3Q*hw3IirR60brYF*3e61F@m-k`PmZ)Ca8uBndV)8;qSdNO@2iyI4f*_wG->}t9^
z^Hq#{ZYr#Pdfn1&?MF?PhMm!hS5IGIpSNh)DK;_jC0t9(pKmp(kni?luR0mLd0y;G
zR=X=Q9bT;2vMY7PBCoHR=ht@n?#t!8r^49twDy#JWw8#5*Aq8eJuSWDsploue|v0K
zvFdDLv19SN)h4@^<<M2t!&&?*GbPK|v#;{VM6oPn<zA~3`IRMD@|jeunEEc3p2^zt
z_*FxAC3oqnEp0xl_WY}9$FgZJxALbj3SK$d^|RsM6`lI(PBj&U6%D$XI#MQPmO`dS
z>Qcq~uN;qKx6@RW)|}DRqVB1!u=)4=|K2h;?ba^m$-nG(rs$R8vN^A1v!?1c+q!s(
zod|ODx6kmK6YlqarA$*8yVs;h6Q+0`c`y0P+Wfm;@;Tcygt9tnnZH}go~mOP<v(*N
zzw;Ls{iQrLQL6uIC(Y>E@IlP@i%3_LP9!_`YQ6Gc)mPJbPx;yFW{WIZDsCn^XNh^u
z(MB)UAg7p|n+jj9MVX&uowf8>d+yY%D4x}-|227*z6_Q+(*A8y!_7XSX-bNQ6PB=+
zAL$L^30cdcyhQux(FvE;&qv8ivMPJ0o!E12`jKg?rYYx?{IQxUTc)}$$dtuf^dhUy
zT)+9()JwB?C+=07&th7)R3wmfrx)w;wfr(+VrG+!FUb62vyNjajE%~P7eBoGyx`>W
zld9*Zu%6~s&D+Z#s>A2S)3sV;|I}Iq{jzJKg_nx!&M9AFO7fqa^>*_2D?g%GW{W;s
zdQMqY^mq62mlm3#;yK;>qvUPHgS}aU8GPsU>tAQz?8Rc|_bp1C^~sn0V$C)^`lT;h
zi^F(Xih>^Z#4Y7FnaUGUpXVi99i=a>rn_9Xd5gRPyLAw|{z~32U)jW8`D~vgQSK~q
z=GymNtW!%v+?TRcdFfie<lDDY>7WSPrO+R1MTEA>EEm)YIV<QX8YytgZOt8V$85H^
z{xwk{j$Y~pnzfF)Ivb>HXHU+ps13<k6UC|{%qQfKyYc9a>01rk1apJ0{fcruTdJtZ
z@-=fwr2DVEe3H64Vf$E~_3&(3^6rlGnipIB56?H7R%6}5`}`7*n3sv+k$ZDlXNR!r
ztmJJqdoShf&nCWF)AZ3=p0hGp(`I;|*{`?O&VV&CjAz-?pL$>T&StT1`)ap$)fDm4
zrKV9TH+XlywynFuY81uuON>ol@N@W;-%&i({12@!{NBo{z@ihyEgBVOCzTtee_w~=
z6njG(&nC8pI3DkrJsZupCT!;ym?qkG`{<Jd)A@TZ>)J)71+eU#AL@09|JW&()b@OH
z0nfG0Y6eepHAO^TDhINwrEnjacT|Plm-U<{gJ9Vc7TKjD22nhcD}DZ7i^;MI)z_5|
zl@-WkKlE?zHJ+7Ke3zH<e>`&j_Pov|JgQNwozp|q;#kY2HyYHkRITEjb%nPki#2<y
zYA=i1HQr1ok+z!(I-yJD4X3g^+M@S*3yUL*@1=QHwOG}5`j~CiE822pl9Sa0nJtFe
zZ`s58#DZ89wB}s;%UeB3!k?W<?0p=M=gz--LS&w1^PgSH?kkeyCmOSzefBijtsxJt
zu~w~R)%TL;^_G?AtJFGk=qju7uG-Y8b=UhnBDJ4s<!s%`>m$N2jcw;v`^-=gU9CAe
zQ(2Q#rv>q4Y3dbjRaqpvGv>;hm%N8-yJNRa54yyf8)SUIPhzdi+$UkLkJMe}my2R~
z#PaUvk-9iPiM=YiQO-(}d?dKip4`yfDxz=KcFoN7wc3iOtk$iKo?_+tS{oF%v))wL
z+`n>zxXj!w;)huJgM6c^#NOz#9My`MDu0Ug`Vx<J`~FF6n*3SQcxBwaN3ocGf5le#
zm92S|2*Xqnl^&DT;jS^SS$ihQDaX9_;;CHedUq0QgWj!4{Et``USl~L#ePv-&Rg8z
zXwg(&t(1s$e=Ge|*mCbMc=42ab2ZL2%jBIrdoqhgOb8EW77z2u8w(SzEW5k;4s#@X
z?Mj|4TV#URxsB!>^}LwLQ@WK`Cd_wf7Vnm){(JX0?!G?HU@5=D*Ra$m)%gWQ@3zXs
ziL~hY&s@tQE6N$lqP&`AXE0k*<k6xiK8N+}0aMv_vW9tmS-Um2(2qSy^XqS2_DQC#
zFEzQv&YQ||Fr2^o{I%%~-@E)#JT|P;^);-v`Yp0?3EF#P_8tD~Y-gw4i8Z>zKbJTE
z+8Wm?p1`?cBFheMzArS@#VX89-JA6a+ne>GM~!-}Mu|LT-LsZ=Ul@zE+c`a-V{>&Q
zr=<$AoQvY~Uv8pwwN&e6Y5O#`h327K#3lGt<M<b6v+J+r6*D;)&T9Ia#rHaU>M9eB
zGusu{#`A`n%*|$*q08$aV!V~tnMr)g)NL#cRpD!Fqxg5QPtA^by_Iz{<Ekm8pV@Z%
z`GucqHM?+cG2ip+B98m6znsjp^0<)SgN{`^IjRyzZrIJ=U=<=*_V?>aUWqB!rt))L
z;|cWQ*U)#5Vtrq7dp-XgRbExK3Qy@Q?>~BvcTS1ee0JZR)iRP{qBg5#L#GA2`TOtn
zHTA$Kk(s=Af+BL?6uo5AEL+MnUo<&v{`{3{>#mC&^9oB<Ilr7OwYcT|QuENMEQRZH
zy;v@;R_VTOI&s_5Ex|mmb{}cKBre#+vYWT9L)Y)d9T}BaFBa>=26}e|)~eXZ_)NU1
zzz`;JU!`EL;yUl6)vvFZT~VE2#FfA1*K6L=Ei9d>g<I?&w{KD5<t?22meqQ}q9unV
zd~>{bbC<HZPhn5hRS4pldu;WN_UTi2E2rs)aeen$dvm$mO>f!z+H4JL#XVTxY>}PI
zzibP;IP0@0u6MiCC;KcbR$b>mm1j5a{V298>%~N`^J=VODG60MmL<DkrL2)?`_!qm
ztjn{uZ*}&ac1dPg7OzBj+r=pMQ@g%sl|0v#XV(&3yyhc&)t0j7yj!AJEKX}Bt^0T-
zN_V!bcBcNerSjT7^TSnRwb?hU)ql+U|1#g_(@e5!KE-Yo^^W3?Tj>&1!q=q5uD_S>
z7mIAxS`h|TU+<Dj@B1XR*2?ObXorhGSuK+^h26;K|GMk^ja96IHx)d3ZTxgBbPfKm
zJ+{JUi^^va%}8B#YgS*+?8_0iwZnoAZe-bzdz))x>sHSMsX4m0@9}IqQZa{hQk2LB
zZI(~nEkfew!dL~f#RD=~HB(NMpWlATS(WAPB^PrUpY`k)@2varwMsO3rC7VnkuNN!
zy4`7)uPu&J=~bP%R=lN@O>o^E$zay<smHg(Ny%ExeZE)LH^jn=PkTL&XB2<sv$qSk
zi16{Q2s>k~&T8jrtgG106yW!`{<K0=xlnk(de+XR;^+A7Q<X&5{fbgDTh{VaMOCFc
zb#0!%Xzlg$M&8_REUa6_9{c6!EtR#Ms;kVJ7suv)>we+12a9@VT-N>P%^t@3axJU%
zG%m?GvD$Chvllgl_&=P)o6e)hQ+ACnZl#z|iOLypaK7W?@{|3wT*SlwEcag4o_$-y
z)2^7G+vv1{=i}D4L@D=}FUvD!;`g$-t6ZDLtjT5_c9vC@HOrFu=^W#$Jb!|iltZkS
zv-)nj$GC;1A!-KCzMdN0n~YJcduHhRwFI;6*Jr+~Yv0fGHGbOcOY&Ac!cqLC?6S98
z!=q)Img@_a)_AQCQ}gDLU(Kr>%4-{?zGeBLrR>=ytoo~Su3ckQo~s~tg=O<fnc!>u
zp<1khp`rS_SjsQ!aBGY61oyAAlF?Z!UaH!9P33cx`hWG^-i-QZy{2BW-Pj^AMV@P#
zu9|T3mM|TrWn0`k-cHTz-kzx99p!UgpQ$1Ij=*}E3twfwZ2BgCcKsZ_32`j4Th*gB
zP5G63hv(fTe%mPVHX&Ut`yWfi_qb{V^LpOyQHvDu*soGySKbpe`yNjaul8$}=E-(u
zExdj@Z@fJx^RUd1nXb3@`cr%3X`I)ds4w5bqQSx)HRsHFR@3skTvJ)9qMU1jW*!i4
z^GfaEJs>uL^=5?hzh7osEMJQ*`RWty#Xi-icPabMt?X-gKTlh-@C>h~e}%V*mrUr)
zyF6ZO&-9t*t@P<)U$ynyTGlspx+WSNbBnL8jAGf$vVZ4Y_RFk~y?FK5r93war9D>V
zn>dwCnPsQdS{`|}g>U+f?-e(`#(yM;S&$`cJ?ong(Fs~PF;iKSYG&(YW^QI#vX%9a
zxU0|H{wS7@EFHRvFXP{NM2YmX<u3Q(3FlAtnrq3^c=OnjFj@9&mcKKZl($&=@xIdM
z54_4!X!mJuq;bn!ac`EX+3eg~MXP)*qu6})MYk=TYs2bwjlC+1=fcUv7_Ndl5+zZh
zLiV$Nu{2MWuYAMo#cQ&jRmV>+eyfT^7%TT`)_GsWwnwp>vI?$cD-Rb@Tr1LkXS>N-
z8L7B_%PiJ;p0Za&jQMz%mjqstJ?h=P#dPsY6^CF~sVLFgxy`GOHU_c0m^3@B=NZ38
z7|-+9zE`L4F!ai*r}E^V`&PWsFpB-G{NmGId$$|Ty~^&%vpt*V)mr}ZBF*30CxvCP
z2Y8BEy9B9tXS4J?W#>NoZufPzs+HpJLs+c6S#KHC%)2X|x0c^i_WM%r!$)1Ouo!8v
ztF1jF$YT=E^VFNkQ8FxUK5NjEtt(lRwyIwe^|;0s@1?ej@7?pc$NYHobPHmqn*5b#
zy~fLUsc^DPo)&B5POCXbC-{dkS?MiUtDifMKaAzVwy8d5i<5TwhUdPysXcXZ|JhYN
z0babZm$YZ|c}MYwt0d3d;=R=6m$=t7dvVc{DE_^xMYl~A5kF$NZ;6zE@BirCyMKk6
zP7w+BJ!2K>`?E@H*IM~FHvQ`=x=}J&EUEihOzZBl21luAv2*XdV^}qpElHL&h<(p$
z7M-#l+okLcVJ&lCvKeia@8m1Hb;oe3?511uSo+x$!{ndV&1BiadUMWXSC)6Dh4`Li
zf6rn)Vn3HfmsS6z+V-zg%-(McQq~n&r60+-_|UmD1>JkXb$ymo)bFWI&|1sGtE2X%
z>~=PPT&>KnZO3N^@$XorawGJ(#4VBc@0uoyu8tC&@ajlW$iDkg@>Z-p-p*^p*iNx=
ztUowKX8JYuuqfH!BXUbwW{0t;t@Szl`YLZ`mdNk5$GpwAPvu|Q@=o)q`P(JCwNJ7t
zPvAPeKY7ONk~1sQzU@!m#<}8Opv=BWFT>-W9H01n3(rF_1wWr%Q7m8C^|RT1x3b3H
zDZkYI^E6l1-0~$ZZt*JZ+B}<{cgwWT^O7;t-FNEcyj?vzr}G_*3-#jX1&xOC`9$fr
zspYR1*|=5wGw<1Z$BcBitYX=AR<Wh7<(b0Ht#fqpRQ@|*qFO8YUo0_wA;KS}yLLHG
z)>hUBtYLn<s@r)&<BmR@%DUKR3(rg2H>%1f6(^^P2yW%^V|PEzB)F|YspQ^NRyDtw
zDRuWZG6%~Xv3n9f|1Ddi%@&>tmfEtn?Q8i<RarN0RC~#kUE91xzLHfiN@VtHR&Mj{
zJWEA?T;n-n#A`Bnj*&u0{~2x3_iNd$#f7%A|6}i*#@ly7e9GG{R?R3@{itc|tdXf}
z9|f^kXUXoaWzk>B^Dd0Xb1loxuOcBYj~ol*$x_W@y4?1zifzWqv`eh-Q@PlWFY!_@
zo7wZ<kKZ<%$A2puw~mgNxvOsEy7?QlS=6qv8J*_(zeTt-ianUycoWmXe$N%GYEQzF
zRw&$=BM~=;)oLqiFt5$2Uhj}7o;s6<x}5&^TePD1S=a?<ZV_5Fb?z&+&2jeLr<H`(
zehG1#r1{K$t$OlR(aFC|7F=c3jAC)*U*pXh|AYM%>w_iX&)DLxi^=W0tK`qCx>lsc
zD@=Cg6pkQv7p?gxx3c_T5A)*Jel5Z|o$>eFlio6~vsof?b*IcJzt(E$scIIgvsc#b
z)Ha?qGPzM)67!DrP2;k>#;EyHd0H9g+_taVxT4LEY1+oG<&Wmu63#2Oa|_q|FMNx=
z*e~jHFJ;w_7TK%IePO2c-fNy)`4ib4udxZf^yvzhF?y+XDTGD8if#5w3vZTxQ+R|g
zUI`W{(q&Sfy!Qd?s=Srj@vIw`YSte0_HtGYoA!Q6xc0QYfo;1^`Bl%|slvsUIp;9T
z8c$P+>`Dd&t60USCQd6%dnWv!(mY+YPEEKr^UVbQ18do<c%DpUnQ`UZWuEpZ{*&zS
z*{r@(%g?jK1eI1qv6rxAtQSvO!tASCaz!*CN@N}j_g3*4*Vs*?M9#64&!7I$i)HrI
zHkZ9U`{uKXM~U{XWW65BE4)RJN1N4JuX@h{Z?l`rYIn|enk2MB*4K~c_|D#&UwH4|
zV7xJ>!Anf){S;s8r7{k7onNM$Es`p@8nc{b{Zpw~S7PGW)igtuelmTzvTfZ|6`_R4
zE$*|X9=W8#@5O%3q-ZIR!&f%zTOu3gE{U^z@KMvOQH@7aTg`5XYHk*9&0H2u=ABcf
zrLzR9ux?{WddhqAn)nhn1znw``|H_%TxAuE;$@NixQfO4tK9l1)~Re)JlT`jtFqa{
z=CTR8pR!uZx`fZFl(o~-e>K~0O%}eD?x$F)uKW6}<Tby_`(+Y$I`a&6Zk?rTmh(?r
z%4#L@X{m`2%c)!I?-d6z&3Zcbl!#Qfrsew1wJgU)9H%v`KAJK&j=wjXMKF~;Z?3W*
zi~CmImL&??S(v`YKPi&Zo+}-`O62`keldQTNg5*M?Wc~W`0ZEso5p*SFLz4rZr-P1
zEXuiS?`u`cr=MRi(VTs4{mrSfYQ5NYvif?nrLw+R$$S6G6XBb^PEl%s(W~??=3LHH
zsn+F@G-M3jdVQ1Z$}9V(vV?}oUhvGYTEn@CNujx4<Ev^WvpCC|R2Hu*#c}F3+U8ep
z9|@bzQW(ZkyL;M~B{CEF8@KYcpZz*Lltp&6it(qG6L)9N<M7|hxRTdlEB`5;zd=u$
zGTGf%x?W(_2@=i8jIW>C6C?6jtCYnw%D<gQX)DXlthGK5*|?3b6n~B4KgiNBpEu5E
zS*4Yj*3^;&_J%0_YfJg{wu;EH%0{u5c==w^6<o`@_^H9SHO{WQHfu!}Zha<m?%foT
z6LXBUPqLO+rtZ*JGF8c(xP~=8GhLQ-xq8Pln}zu+&P*~(W_mnBNJZj)vByfg2mL#@
zu*9%(pK4#3!Lo3z$Wd08Yr2t>bQ)Mi9MiYRa<M7|vBdw<v7XKp<I5Z*J0+Xt(^gh)
zxeI=36-5U14-&J&M3%B=voD+^=B*MKCi_deYx`W^Z>)~f7&fzO`tjQ?71!D-suIfN
zrzgAIly`}+qWY_)yl$*XTXY3k+`aUtzP$S*gthS5+|5^57e?_Y>2A<vSDvbJiHG5u
zD3e=@DtqE;T`Qa8p-W}DpJ=~6)3bI9OE&8an`5C{*%w}8c{Yhly`%!vmF#A3p2oUU
zIB&`EWOnhTtf}hLmNJ;`VY$S!F^iQumHqT=uV9r5b=H2*YC*9Lx-KhuwYRcbvwihh
z%kq4^l+{$$20iXAb<ad>uCa4xxt^WM`)+Od?<=geReW)&EV8rB0`+cXvv}3A=XvqD
zi3n{iy%)sVlg;{G)oxw?%)PRyORVEq^xt1`_Y!5*6+LIa<jh=|<DYgiL`-!z-rQvL
z*ko&mr%>LLI4^#QvRA8lEw_p+6xy$IBs?^VJ)5^emq~oD&(c(mDE196WoKOx(a{$B
z{kP<;x6&n@H%r-1eC1mlr6a}5qHD%wz2nO-9*w13bIU(m0u4lTio|M*WX|>PQmY7J
z*MG@&aVyJv_Wo<^vcFh-Q-$^(3*Q;S+PTzCFqHSjuMpNK;n`Wd(w4l&-Sd_%z0YPe
zoomI~6YGQc=Ui&$Ke9qsYhm`Z5AQqbCR@hHcV811j}p<8+3gu(#ACRZEj#Y~?<%(1
zr6QY;tXnnrGE>QCt4v`_9_^Q0o}qSe{Igc;RE4pa?ss?>5-Y!wwfw7y&`IyuHAj|-
zPP-<zJez;nRo2>7DITF`M6%c?Ee)M5lNTj(Wvl$QJA3~=Ka%=<3y-CW(6lb)P*Xp4
z)0uCTr=3(_(wTF`k?m0&4})Z<?o*z_^K4WmI8Sz)TK0DNq>DU(St5d-5jVngSdyZ|
zz4)iC=2;OY(y)F_`lhZclGko(@;UhWdGbo?HRKgs@>XQgQJp<u>9d2Z!CUS;V=3_y
zReu#@vV`lx8IDVGYgV%Be~~ruVmq<THJhbmt$Zb$;O6-Wd-xV?5pNW;iDT`Y-goAj
z&DB#ZhdPZjbd=Y!Pt|eUQZAe;=*Q~5mN$BuAj8uSmV0?6Hdy@G!Z3wZKZ<F}va1n6
zEakh`&A!TOGl@4@qCmmhXWd-S&F(&Xm$F5Mv&$}9v*3zqW|pYeO5Qnsd#|x){}S0S
zmEC&na&Ok7YkB0qvT^TXbzCZ>zIV45tNwPrn{j+|*YkwNOFyj=b$w~@i1l%p%Z}xI
z>px#R91|t(Ehf62MRwYi6gG=<R}U9gu{KO)3FA4KwU%W+-^Z{wOEblPoMiR6;eIt~
zDXZo*)`HvRDzAlB%=Db|pJ|qT&+Mc6Q&^ghnWwL1pT}~4DvQN-p`D?u8Iz`Q`5b0?
z6Z5BJIm^ya*3G|U7}xf{%Q<54+MjRbdht(FVk3C9qgYH|vg%)9wcgefHAQxf@VYJR
zg)&d0jwPh7IlkNXs)^^pT`ZlkL6!+Bf!R9o+pndvRr$qSTgtZTy8NUpHSN%&?UQ&{
zr|7JRlHbbmg3tR@zvNV=9aBm}M2>!%tf@3JWUHtSkL$L{50s=?Jf*m1^s3mLwp3W*
zkiqV?mfwa=(D+Jj6#oIX$n~tLsuBY1OiNidKYcxgJ?UujCH{^owi9dFeN82UT*aao
z7#P?XAoKkU4BQS3DD(eUSh?4-bjI^5?VLL;n6>;RtA3cP>LlJ5d^NJ^=X$f080@yP
zDGL-`Vg2pJ{*tA0y^iu!7VfRPF7aMd6^xq78aDTB5bMs!Blcc0si*mz|9#EmXVhi=
z-JHHsU5WMFQ+Cs(xz;P0BY2{0r?4$$)d}}g*Jh7d{NymZc+N4q)htu*zPoQEq;q}Q
zdUh{W*3<mnOWBou)PtwIUdg|%lx4G5swPjwYF6&tKDn2&s;V`bqDIv#Hw8%rxz5dE
z*{myb^RZqjtI;N9iL<eDWv@-kjFx>7#jd&Yn%Y$v+g(!@Ulk3BVhI+jSkJO8j_1o)
zFA*M}Iom~hL1ROrrPtWSi+F3MC}xSadvYzFZ^Kf%*EBka#b~X*`&RZ7`~p5}Uu5yB
zzhx0$)dOO(#;w#{;4^26{_8y-y;yrDWlZ$Ar{^2e;+Mtp@#Kch#*6;FPg(!8?ESu_
z{K8ZDRo6YvzRD9cNj6=~j&bLbeOw}Kr+T^WzLsOZ%2N|$-zMX{mgmKiB^Oyu!`QQ5
zP3c~8ipfoKI(LEYEkD;REq1l#my>w)qD2$DosYA#dixmgNY0;IddWvC%72SkU6fBF
z?~bKYUi<mXT>0kb7Wn|*lhfSKvGzx?i?7=IH$^mcsg(X+xjP|=la$S-y^9L!(^5Gm
z8MN&1la*8aS+8w1$>*A!b3}hTOF)#MdzAVv_N2@;b6#pUPyI55hu8FZ5UY4L%hanZ
zpH8v-Yq=G4iFcpd<tTP>`)xb7@`kXud-Ha%Jd@j}{+Gqti)C%8_i~ntOL>2>>Ui-B
zWb-UoE0WA-70zzDR#fO3&zkk@%fI@RdNXN;Gi~S5xaRv|El*Qe2-9-bukkI3OZhae
zvtQ4Wa}2)nI!gYXDudjT;I-_D>WVc-4VLpWlpN*1{YSRalXa5X{QNab+1p~SozU8{
zXRXLQmb@)>M!Z~0)STRJepQ{elDA;azKN`Fw65x$zGQ1q;`;Nrffv8(N`2NPQeSx2
zTvivVJ0cb(tCY#wFqJ)$@AwtgPp@QrN_}^&Vh`IY+ZG~wg?0HWla-}Dhxf{N2f3tp
z@?LemGwp4_W%ZdwN~_oGS;`Zus&u}j{Vi|l3Hv+i_IR-?h}Q00v**-_%$e)=1+gAo
z>9g?*n`Rd4s<rI#YtElJv-9bi{p;d+&Q29`nxtQ@?;F&^tSNr-ZT#Z3hwQe>PSWoW
zV->u4H2(J&_CilHmXt+SY^xUkS+82@y}oiiulzL=b}#kBtl(8gCofT5RC4|j&-^If
z!`8}^GG2H~oL;#k`@6rE?eezM)=BG>G)lGBnm=KAlq%#kvm#K$`rX<?!R!HEcAu<e
zi~J6+`xNDN(5`>I2;WzcmzqnbhTKpvnYGG=Wvi%y|BWwf)~jqRLs=cYEn<&vTq?e&
z#P^;Ti>B|rsY16)p1NuAPMONC&+DAGmOqqLFpMQ~>9G}2JSnePdi<tKMX@LGsIK&n
zo5IhVlkLTJXuW*QR-Qzb+0ztXA6=o<DZ4d6kdyaY6kA;N(dge>MFLn0dmf+fm+==b
z$>tS0DX^KNw>|Bi-{%VM6-EVzuU=lx-W)3WEJ*vY&W7duTegb)`1|=<h?*|%#Jx7w
za<k&tt#|(W`u{3#Q<kXTS{@}npX<D*<}Fz=ok@Am4ln*AulcTpvgm8`+|i$MFN<~I
zUbb2u$!o0TPut4ESTi(3b@s|#XI)kDbX63~V|MP<ya%_cZF;lIOK$i3Wg5C$*>ys7
zs;2U8U-u=F@7{WzaG$;eZ{daPZ%*@7EIXzt&h5ECFkFr6n3j&nT5(6-$=BG<trqRf
zz4}7y$n)|kE6uKc+1?k;rgMq4XVaM_Z^D;64c)?`!^CZ~Y~G~jHLPD9411=%Vv+UY
zyS$#?Cqymk<=op}L_geOKYGmadKKUORQ|s`HhGz1?c(-(MWs{MRn28dVl6joZ(Jo)
z8**j+F4k37)mrymoe|73EBim&%oR`OPTis>yd`RG(Kg=5BQ{<l3$=L^H*e!%Rr8AQ
zGjg~#!FAplh1hLelQR4xN{{q<u?DX-WuC<Rdqde|<`P!cOFXx$Or7@fIPg9^^-kP_
zC66_1EqmTn_JG%yV%o1~idgJr<JOIgVs(7lVj0Y8s?Be8y+=Ef-B*{Vi79)pS+sej
zmv?&>>*lrm^6Y|pCMK_Bx0@uEoa0i$*12t~L@>W_kR%6-h<Bnii_Tiz-<R1VgBS&$
zoXTX;&}HZL6WuFT=sWex9H&cM()w0js;gPnXZne*<%wcjYrOvT`fyuS-tC2cQ&}_o
zMa}+9%wnCfRwSFH@G7f#mI&+5GM=k>uP*b?s)}2kDnD^)oS(?){JkcwyrFADbiT4V
zTJhB{HFZ-lxZ?hFrO2ggYICw#WvB93xjv0zsoKlieMzQad1&Vrl}0x1Z>>(nQS5V7
zPfVJ)o_V)S&^7tEB39)qRZH1g-|nnAp|G(vWln0OilZ1)TlYk9mgZ~xizczIow%FD
zZjyk@nGgBh6Eqw4O;iq_))?3t5+(kLHCun0x#qOvt`qn2neaMH6LZ%%@r0S_j(xQ%
zzo$RXT9yEI?yIalr&yLOS-p(KJ&NVle%8(?{<ACh+zDneT{k~r32VIl@=b59m3gV;
z@J)YRduS<}Cd&+O-ut@N{JQL{c5~*c6fHdTMQqn4R#}$#l`>3I#Qj=xJb9F|`Sqq>
z>u1-A(tWv7^w?7NLY2dp)O&BqGX4>Z%H+|V%4+wZNhMq6$;3>iFZp^?bAB;t-nlXf
zw5)Ag!x6uw!7^Nv#2(uj*;+^02S1;taQVsH)iRR0&$3p_d<<pjm+qOfmi@Y@V3_Fp
z%xQgl+3aLYCh@#xX{cMu)AW4SCD~ca*6y2pe2z@|HMS+$?3!D7cZmNCV@-X{R`^x#
zS(Vu5y=-fRp8H%`xtG1oZmnDf``4*F?B}<yy`r<$%5=?InK!&X*Vub*sVQAqHC5g9
znlASy!`^HA!=iLtR3}*Z{(mZyb;QGqRn};m@6u;0S(m?^a&XIuO<#N-M(KYNuUgG}
zY0kZux(Y$8cCU42tFT6T-&<;Xl)Y^#%M4xdU8QU#UZE#MBiFJx#v83syPLU}&6=rj
zO6+vj>|56sFJYOa%DRoapnU7BC{{J^x+)*Pr6Tq$v;AxqWwXwh>-$7xQ5cWX(i-JU
zuBW5eGGv)v*-Y5tFUG$$j3qC~N0RkxHjDUH*-rV|Y5eAU7i+Db)<1>y;Hjf7WvAFb
zUY)gs_v%)E&Lb{wRk;+?)K+pX;{6uNDt>Nu@0PpE%vvV<RroY+Va;H#T2g1k{yt|(
z{_}aUQT&ECk2zLpN+*g2uNAN5nLCx`mTIO}f7?d3m^jvqr97cQqKC5iAFbu-W-*Ot
z>3pf)vgv=sUhzLg$6jg0U)am`hBqtkrRbL0(^Gin`j-01Ch2~<HCO+A$^kEy$J<-3
z@4qC|nk6D$-)_EC^y|`Q{<76enUbd8%$;wi&+WaHb)oq3O~>u_vb>2>pBBabkM*v%
z_!2IYD8F`=wb}fkOWD`@mj;)vDD|3pnf2>-FN2u%OEksj2fq=2?JE?*`)C!b{$;+8
z+3d1gO@mn7_0G5bSugTGiuKC=CCXmxE<2AHU$Rf|VyRkseWh*JRn}EeDxoaOr{&)~
z{WeFHKWbH%)^`?NofszW^Yc=|+Fk^+Ov##iJ~7e#hC(yb@ww%7%UNHjIvi05%wKcf
zo53#TPhHD<&m)a@wMyn}75&8DGL2W8ZC;6s{K`4oqr=p`u9m&LmA{)^@S0rHOWA8B
za(!018GB_Tuc<||#;;{9H(EPuDxY>7Yx#8P$Sdm%gO~zTf2y)Jl=iIiQqR3wvs{O7
zDdXce(=O?&MyZ}Ta%nDW`js%{D3)$5{bh4gZTG$WHhrxZd%(_X8{)#1qr_Qd6SI%R
zc2B%7WLl!j?ZrONMrWyL`Ss%`{VsUvFnLEL8YrdxtDn4n!M<x#j+U~j#W62gx28>n
zH<v>%Q|`r-xXk2R?AzwPm5?e9sVUD=y?T{JKT70`S-K~e<dG-q?e~VbTszHuc3o>s
z7Vq~^_RdM%-OB^)(x&hT&t&#xshY2U&Wm-`TG4OUSj4?lx>W1ds!vN5yS46wCriOp
zpI)oItQT(2bw8p$_kZo_Gto-Sd)9`s{^hx>W~t3{VEOCVqguhdi?Z3pm-0mW_P)BJ
z>y`QJ=2ITAeZQ_e`|Bl=ATPXBK6<OjF&6Hut0pE<?7=cjQ(1RvZDrlee)k%ipy$lP
zEMBWw?7~>8rt5Q;ux(y;CF={HXWHDIlY4*iO|e>ff6g1rpx7tUr#{v1>8;{R^W+U-
z&YgF_TgbY$_#{`$UheC8>+Y^)uVNJ}T6cHvyaQqCyC%JEl-oCN`!(@^C=o$c*|oA;
zrx`V=X>FRa{_|YkZm-^LYkR6LsU3L9s{ciGLeTSxytA^|H`l6EEW6_4C7v*I?weqJ
zzSky;x5#{4pXM)Xw3MZ4tNcRN8JE}`U-JbmW&Cv1#*0_+lfs_<CGnc()4Y#pEjKx{
z)%1pj-jj?qk0b6pjn3pb;CZWr*_36Kw(sQnc`Jjse)>#a$=bhF-NUMF$>E=6qTXr=
zm)@zfp3`z$_H~Y&r<2bc{<$o(!&tdr%k7@Z?wH|!#jneYUH|>|rJ_7{+qOlq)(WbJ
zwLSFY`L&is&3nO>RjjkO@Y{Bo8@Jp~|8h`st-b<#z*g~Nc>!BkIb@lFbgNcA`Fn-6
z@KWl}bx*a}^w-KB;@kS1Vd|mut)^PS8B17MpMKxM{)km)ns&O6S)7XWX`$0|0>Ul{
zJ@zy6Vz<yetEo~HeavXRpV?OSJl-4Aywje1i4$SU=8@dX7R>8BZTF+;m&1H*LbF((
zt!00}BNN4Hx?P6r8f*L|b!oQpY!=70;;&c*i>}m$M)AwD)XtCjvV?VK$l9W7wd>id
z%9eC4Wiz_YTUphjy;Ge3>A}l9T~Vw-nlIwo_&w$0a<9~9ZB^|x2%porfvNw`)H6l5
zWbPkPj5^KWxTb!OoM9Ymn792TE}309`?m70<Be9~yKTLEs!o{br&C9{OIEYyEh)Rf
z#~30q`xlGjQm*K!m#rqRs~0&L<s-3_P0gR_#44ALsj6DC@zW%OT^>dG*v~b&Q>V+G
zB>QYB?<3LDYa%D3Yyw&IwON$I*VIO-gxXGC%c2~`X#MQz?JKec|BfkMVz1X?y}#AZ
zkLAdwJ8P#hJ@Q%8m&yL<DZkTk#rIqJOM5<DlAV>QfBhtrJM*6QsZ&KVuCa4_u^&=9
z|4Jn03aece@7eN}qk63~_Oe-vWCT4e_{C!SM0>+rX|4Es?JH%Yr?M=OS?t9tGu?Gf
z5UcfT-s4yG{{3R{-72Ea!magro^rN$U@U8um+5`pj#WI)Tc7RbJ92Nzf#Ov>->=H{
zM~R8<T{(6A#CkuTy+4ohc!|t@xwqrnx{2qbWLZ4ay<E*@lvaygdGhU7Z;|d={$v)r
zrPlo{%F{Z-j>zu))?!t(>?rr-u$Oy22D6^i6rHhGZz_wUR{l|$`Y8Sdva?n%IWd{_
z8H<kIRGHnpTv0p@Yken7OY35Zw_3|Ti)W3h=yv}<YuOi;+FWJ5GH?6wAodci^W1CM
zm&l4Q{ocSL9=>;hswu-vj&*CJx5&(rU3RKv$`tk;o8~GkWerXZ__!u|i)hcjb)I$i
zm#vRp!s@bj%4PA?ED`RNKW_VW1+hAM#+?y~jN*5&El*t&{VUE)Z@tX!Yuosu_}8<T
zZe=MCI{PWh*Jdrta#rpzp9<Atq2-tJ%H=Mx6wXaI+hSiGt{=4bj{0xjrM?GvCT?Zt
zR*|gQzL!(wj~9PVHt#g=qik91I+?~d$|h%WX}Oy$EuCx<duCm9lzD}?#c8Ib_0e~#
z*fLI@eX7B_E^;lea~NxYH**Gmr8lc!`-k7#E=4n0PrA3+eo0;Go>{+^u_;XN2)m(o
znZ<h2Vwa9Q<_9Wvzcqer?$7ya7Q}w~7mI!n&n&rSuC*+)ef@$}F0JMN60^=FdJD@W
z#s;3_*F=^s_nG6Jdnz;LohMU9{t=e)seih?e9o+`bNnhgKg!f<@3N^P4<_*(=uo+S
zh1WGo{S%Ysx;2iM&Ce9c=B{;I$*T5Lm9t^##w``wdj5&-<n8Ub7296^QcQEH5~pv}
z7rUM+wrwv}SI8~cYGZnxV|REC?@8V@^W0z9KAyr8v3LEeE4&?5d<$w#Htka3QQ>E2
zik#fLj#oR1y*XPX<S$R+Bqq&kPenqk?y^*QYQN-X)MDLv`)XpQe3d5aZ_$da^1(W?
zaXc@wWu~s=j62FaN%lgE^VUne-m86cuCvW8Yq@>dFGq`2?d|f2D}t}K^2}kXQn9;R
zkmKpxT2QK2e#^9CZEN*QS<fZR7g<=ZsfXmPbM$1LBwg>LmCa(Ux_5zI?a~l^9^2YI
z$5nZYB^mnX&GuxN&Bi2L<;B~?dPQGNYx$HH{E1g|y|mc%*Q#G)eK}Qak<JD$cE{Ij
zf@i*5)b*RZR_2AsF@K$g>Ei#Van5DAcxg}QTHXEE*!S#Gov@VMac_`UXvDND7MH}6
zPVpSrfB0LJzNFYQyTc3fSFHZT^yN*%RQ9S(H-tPKR2iAXZn!Sx(ejxvcS(i4!Znso
zFBWTAcHOeWFDtCnDke$&lGy4qHz|tWpG9z`PvieBJhuEtKAnr(dp>RL7oWh@tj!q$
z?ksENtz~_$v1;DyJz%|7M2jWwDtnce+S1spl`Km_ZC!6KRrfPJe{0*?D||&&tU8`@
z9e)_OR@ikPe%s!=M%;_3t?JI*t*i@Kb{4G{U(esJD*K(kbS*!Z`t2tUt<$bu6VHg^
zf6gYjo>lg%`-@3TzAlEg*QYJ}=+Jt_?M0N#6sFl)E-yS;H+xjLyijG6Rb}_`S@Dw9
z?)lcO?2c^KalFgcvKMZ3`NGnFO)PLV&zI7ai&@iZ*0Sb>zYpSde$CQ(S;aEjH)nC9
zDlbb^?aSq?Yp?NtjAGf(_jLD}y_fhay!c$1F8td+%Zt5r<{C*q-p~18n!kvN1hL+k
z)-=24X2_h!S*#6fdCYWH#Z284rE^HeXRC<#B%c-RsbQ@BFQ?S(+QNTUblMW`f~mrn
zS=E+KjrL-hq+7Nnm5t-<HoL8?@6F~;((Py2mSulLe6HyBkb1r-*T<#{Udoj(<?P#Q
z=*9bF+fs?kJ~^jE1eZLC_Vk*@dd*X6aq5z{XST$tgk;;KKK1#0X3M&%Du-EiK4BHy
zQ;@foeFN*Zps5Z)LSc3bmwgS;+N<|T)O(AcJ&WnCtG=aCQ#4qbv&|Q*<)6iRF-%0_
zmA~;7mS)u@wQGIlSVSiK8?*eYS}Haz%=D|+nM=}k{KY}+f+}5^>?XRb4i*ote+l<w
zb@$a>&hvIjk0#5?X)Bg8I6mR_-W&CP3+toF?S>y#P2y|Z?9y!E|Lv65*UKV3+2MU_
zS>jn_x2U!7ScQq+)#mrfZZ7fiHB(=tEw3Ft=i~Nk@xglWS?3DY^5lw2m!4mC%U<*B
z=8|mo8M>_7f|pMfVP;d)dMRXccj{#k-DO{b{Y1>N*||MMLym>Ba0Ic5i<GWqOS;Z_
z@shv|_dG2ht+hM_X2RFm7JB7=WOvKGQs~c?yPjvV&CVH7tbM9WHCZ&LpIyX$_SU``
zn^}{l>AmBevxR*XU){MqGncUjEOkG^^5fclrb$;yc~x`HPGJu|vT^Svo`@)RZg$7Y
zb^Nccn_ETkEE7?GX?yyLEMpa)+gf&6mh!Fa&7u-fEDN`?S;tw~q|K4~YRX<ExAQOS
zwkVr#A`jIiM50^cUa>SxRo%t1xo$20GM0<sd;L{;H^%Roo5^z~SEhJw<IyGO_K0kl
zCe-6QA&4b--juc1*-K7|&u)v~F8WDjvYw$<rZfxd{+It2nVT*7cgAmWn5^MzInS-8
zETREXVcB+D!mqMqXYrcP-mo^+>&((UD@8YN<#%K4%t~+Qy!uytExR=j?^3Q!><cGx
z+4lH)vF=~Xs$ch)hdoNP#nR~&U+7aV`D^c&h$)(~Z{eRSl7Ef8JWF@&SDvSOy_HjW
zo6g+OUdvL#-Y}(iCd-Dbt*pN6;?pFn_FmJHlwH{~cm2BD4B3O4=5zRCgV*Y<WC@--
zVVQu=l&^j4RnvG^aF}hG60~EbKijsTG_Ta)C=qVfu=VdsbxsTDO<k~-KTSOKsz~`H
zwt4Sw@UTSj#ZB8Y%WkDsL&^MLmThWZXU}C@-l959`)H49Fso@cZ^zVn3%;#!@;)n7
zq`$DWMXB4f6wYVgX?2z5`YG{0XK&O-i6^j?&lTJi!m?rKx8AGlwMKTI*ZHqiPr0+)
zT1#i@O2(=u7q;-c6|tVi%Dpsbe=v)VH}k#_!S(u2eWs+HWa>F{eGiZ2HGT#orlV)B
zfAwO|nEj-Pd%`+})vTR8Ygl-qukoj6>AVkLuaMt%VUj2tLyrpcZ=L0yOlr=%MV2pB
zJv>!x|CCc+?D|_pw_IbH=fztoVmwtx)=NgvTT0e1Uz=TFB_D51{}z5R7Qrx9uhncx
zTV$57#%y6Z+MevrQZ?0`57dj{kJ!q7MI}FowIiG5=}F$z0+C;Pm$JW`%5U$RY^Tc`
zD{%UzMA>O}|0Dc!Z?#TjHf335cJA3$o-<MMR;NT*d)5S3i7I<aFTOuj_xfu8Qh%ZT
zSI0#CYz)@E<GISaEK4?eFPpyH#5nH6D4DIYVOjT{ftHP$hOzoq&RY{=A{NEo!1iN3
zYn6Ah)3S5VuIal+@tVh)XR;jK%B#mBevMs!_gvj8tX8LVL|P=gGWl=6WS!;H{!}aJ
z{qAkO*C(+YDLV6HJ)hZhp@wg>GpDjP^BkKtZ;{HeI5UlJ-MN#Q_|B<|Zk4NH-x<bw
z+FRCvweuuXdD~4L=5wknlS(dW`f0H!WUBpSQGUz*=KS-#y=+%RmIUc`MyWHai-M<>
z3Zp{9R2tWd{|uA6xzc~yWKmbK<S-rUwJg@EtnMOOYgL(jriHUqJ$-uIPj}T?oljr+
zf`T}&sZ?jOPkGC8_3W!DTRYi|;)Lwht;wDuu2aeuB~jxw^{@HWY}4m!r~T!bsgitx
z@72^f%&aj{EaKWS0!!yI^L}0`9v~++S-3pVWzE)AS9Z;3n0{;m+v95@oKfuD{LU-q
z@=Ri~o*JsPmG`*lnI+r><-MEwoPxdBPqWOP%R2Q|%f-K$vYR!lo6T}(8rOXJ5%uK4
zQrS<_ROQcIh-A}WDlWRko>M1yQ_J+AD48;LpWE}gyxDcu`Wb|o-wT?ugS}zfxd+$O
zRZg*lttq&o&EBu;QgF?9sjrsI8ZX%|FYR7V>HD-cZ?%`W)7qR<(Y_38+2cc53bTF8
z{<1hm@t<N9TsrNnH{0p;EVcf=>O0rw`LQ;vWqmd^cPoog)>q!etM)pi2*<2?pfl&U
zDy!_=kov3aKevdU(C}1wV93oG{wC!Xd)4X3&o=dlu9bN=^}?Aeyz71W!(?)U#F|xl
zSIU@7=X>t&<i-9*GxX3_)@;@1aeV7HwSAttX%0)(HFd@HD#=f!ZnmykHC1kIj!c}7
z+;X0+VLmx4bqlZXIA@A)f2q6Q<<S#{(@%nYd7f_7KNQ9yn9Y{q$vR1;)Qo+3j`byx
zS6=MaHhZ&Jziwrj7dJhOEq?YD$sqA1(Py4}$*x)N9~jPZP|GLqCX?)*$y@lDP4lC;
zCe-zO)%J|O#8lXFY-!Kh5EJ_-)7!$?UVHn@|E%WOGF4QW#poLE(n~T;?7^FO=l;1}
zTGzMo<lAS9M1!x$bVrGt2)290YV^dqcKUZO(WyZSIr`R~Y?>^q)=u}o&SJWk#Wze|
z^YxOpFy5!DS;b3ycCFUwxtcd?6-!&Fm~Iwp-cPRj&W%gBJ9tdKvKD&DHn13N<)40y
z#q^dK^V2DF*(Yx6_&8g(_1?6Ir}vA+m$JN8y?U)oJxi4*lGST}hadOtAWu%#U%T%q
zt8mq&ajcx3##6C{-M9Y5G*)G`duOJXKHL9xx_=J)q-!E?){D1YWwrjqRoVL?elFYo
zI8(9L`fGdIH|_cKKZ@tVa^9yQJXYDfaj|}xReY6OMbkubuJJq#Iv2zH>$H@`-s5vw
zd%U)aE*CQk*IlJ)|90w~_wFp$Sba|M=B%4<^^(mxRd|1|rl|7dEgQbR6%Xh+xb_Ka
z^BU93G6h+zCHMbd`?T!lv$vjQ_Ur-KJQG%OF+N$GqQ$+|Du~_G%hysxbTyCmQo$2!
zj^RArVLdXtqIsLv^3+^q<&F}4mA<oz&3dY6<Cb$-Q_b(Q_k%`I%qGUKS6}Y3RsMb3
z#b{oo+<#mpY@5ZF3#{c|#<OFZj2+MJFqRE#S@fg#9-PWtR<h@yC#z0LzP`}HsVd(@
zr+V!@xR=ExXy3urtj#LcUe<?}iq2-4J?FQ+MahzNTlVhTA|K6b^<~S>D$&Qe;zwJT
z|9sQ5;sj6D-fhY*dM8;gI@s>*n8YIfX?0o#i~ihFY1X4&Ec)?TJgqAo|EiwfDwD#d
z9H%e1a^J+MGOyWd<Jg<0vc-h?R|n-E=Vd%CyY2qo7d+Z=>b-T#CA?TVJoV;EB)YB9
z=2yvP*Z;|+yr(s*QesD|^d**<NnE=!r=0b>|7WS>T$YAyU4m-NrZ=-Me0hCyo#7V!
zHDRnTz2oe4?(bZyA8g8;&2w9qb$?0k(zvB8^QN+MPxshby|nZv@4>UnW=F9f74eH~
zo%d=Bf4xd(-BzCI{+V&CrfcQzm-1b{<RhWN9K{mJV!f3mev*Ga&w{#Ama0sf6;s9d
zJ@db?6-Ke{6fIq@w%|HTQtp|rUi>$*b-AO@Jj*nH`%+YP&;6xZG5+~MBBtBUJ-a3z
zbIPXb+_P)hGG~|a)`^@@aXTpY>-xE$R%}bQvL>Y%`LT%XUSsrri~I#OHb38KD^(1F
z*!460>(+*5tKBOVbJf!Mk;)$5HeF9uM@NLMmc8?mdOL4Zlt^0Mmu#0ex-1RS%h&pI
zvFQi1o=|1XKD|_Rr3mZOG|l-|UM4LkrQ_PBK3yuUzS8T})JLfWqH`+OhVU|&9q5Zc
z`&RnRTTSjj{$x#=*X&`N=O1_y<i+zmn}vHRKTC=CQin|}lfJgiFPmGgwYRl&UfKRQ
z-_p=b{^F(TeqVQPQO{x04`D~0|4(4}|6BM2bUgs0a*(j4Y-P51psTnyzqtBp*3K!S
zy{v`z_Abd<&hl@G`#X`|t2~UC6u0wxR<YJjwJ~GWId^8!RGvlGc(jaIo6as-$*vRI
zmXytMIg@L$di77~zt7~uWcGQRZ)cHR>GpJ~Q0E`}OT3L)ydO?Zj_~6@f9z8f%VxIy
z>-oi&)Hn0Y@;SRCG)r{zTJ{GbY167%b8=rz33Fd;F-7lFNVb>ApKMXlscA>u-&tK1
zx$%poa|!zcRhz9bq3-XluvD$~>H4BJGm8JFTHM>aFa20k^b?e){+DCFX>@kgRQc{n
z5wQ*vYq}?!^-NtWzh2~A?^@m~JLmg;Et&K*CHc*||0Uu-yuRMy+Pmz`sw?{QqRvF;
zhVGp5y(Dz&DUr_QGomurwCM86{A*m^ypZ+Z715Vb>bg3gUY1C2X)9%SoD|Z`QfN1o
zeYxuSD0yvf)}0(FS|;6Z`L$;q4q?{}5}LrWaJlHNva_q-Us)hKmG_94Q_=KC>yJHO
z!pirg?^e726w$P4n%t%-Q9M)DihjPrs~RL;dS`Z~_@uY2ZgZpcx3cR<H`R1hyDiaV
z+7!0>;uKXjX7MX57cMFI-I={wRdK4HE03SixrRv!64`Uvo5T7RYT3kOGJU%8_N2lG
zJL@wWr}DSlJHG0)bock%DYknjtOjl3l8p;uG2PDMeoclwN@j<;>ua%)tGcOPCjNe|
z(^(gmO?l^iI@pZ;HCyLWUguD|D=Z>aTXya>ox&2}$F_DYZ{jr89#@^PtvglO&i>Ty
zSk|xomS1aH<=!Bc=~=b+Z(2Ccc>2USdd_prts=n;Mmi0JQ7(N7>XWiN^jO#EdhyyU
zo$l~erOu1x^p^50Thqz&S9@57q=adSmQ3|=`nvmx_50q7x6UtFAro<l{g9`;37hYF
zo<}u%yYFU+6nV-Y=WPv`rxjwVD$<yDb1%E5ReQ{o*5xvM)12QqW?gZ)R(99KlP`Kb
zi|kdF6JBv!SqnYY=CdSCRo@;b^6Di|$|aWBQE7*D1@n(h+J1#iBkpJ7=D9N7Q9O#g
z(^B{Jy-eYnytmJjy)ActpQWTCL!ZM^_T}oUb7z0K*V7Oza!9(Fcdg9gyWG<x#m?OF
zWKqkm(f3pfY5Bip$^9UeU1IN-tA?Li*SAD2E>6BI+r(<C>=ZWBs<rHqV#mT&^Szm;
zvRZFvI(=u3#45e7OT-LVtfN_0WwZXf%HkEYQ;(-fmsNSG?P?y?com=3Y7x43c$Tuw
z-F|P&a(S+;>IZIZ+kA&-idNqg)A?Epbk;Iy>TFt@_mRIbly~yJw%{<<W=*yBrJ}N?
zu1l>Ia^Cr|&Re>6^%Z&ZTRIANc|QGIb!(#8Pup9QY^4H3?kqjIob`H`xO5c%Yu4W@
z+4QFiyXGE@;xB&9(;Dug7qySwUr3upXKG9YTZxsf*%8@D&;EZ*3-45|muZ{Ia>9OT
z7*CTX%a6T$3+&RC*dE^c$xBq$h#_(5(M<LlT@iP#$+_D;T`7;cQ>&_)e>3sKzxFSD
zGbgdi?$lW1mb2`KuB+J6&}iQFN!;P=nqhywWwI<>>s~3cWQwniZrc*I`TVQ5Oikos
z56Rm)iP4=cSpV@fF1LMUTjNxjSF%QygosaSS7)lt-SO&+n(iw#?<FCRd3Jnd;XcjO
ze>T51iak5z(0(S(FMBkW`!0$W**n*zDvUQSoApO2Pj{7E$yUDTy`EY(xhFikuYHnL
zA>X9QaG7uTWfuJ)qm#bjUM#YH;n_T{TUkoZv48Oo_hN6(yT4Lp@)E0(KBg<vPG#}d
zZRMM$^3%(k=hl*~U&3x3dm-0X@WRi2>4ZDJ33+SzyTxaOs7OTdpA|W`l3jm_4@0-W
z<PamC;%hQ8Q7qXim-aJhZt+WCO`2AJuC4wOOJNkhJZtlG^G8cq!`K8%_DFg&mG|<m
zWLqB2dhs-)_}v9_WQ*gN@4J0z;Z4ZiTeSM@^rb#ILHyy%=2So7W<Iu9eJ@+d-lDyJ
z;^nJZW2T5c77{#jHZPOiaVxJ6YxXoj3z@lEE3JR*ll<bJJeTi6ob0K!ET+{@d5(Nh
zwA#zInMF2?g?p)Q@)G4;ERON&uU@m(P7*)NX5sftCYxpXTKNW@wU^n9wAG`Rrfz3T
z%00VbIm_Q^8O8qt=3kP1Hz|AZgg)y}PcCn73BIDsbV}V|j_>Vk_3)?sqD#K53gOMn
zTk=i$^_Cq?)4!^SGllVQ(e2XF{UT8CXZMxNw++uGR`Bs@eDO)}VwuF#H;HwLb}bw0
z7M6^Tn;)kseqSxRJXCaHlzF<y&RwkfTg{7Q4Y#noWPJ98ZQ&}FA{B!je0p2`8b#h-
zyTbUArPI&O&s)`i$KQLu154FZo>^YJDeL(nw(|7Jh{x@<oyv0R)0wSP*t5cTDyHr6
z_hVU*-^h3UiNoTvTdd!*moNJ~g(r6D=hs(RJH7ZTe0yK=F{*f^@z2Q=6Jg27{yZUz
z<(#IvD2u+Xf0vkM=~IVHkwY)pl2~RhVR^%PcWK@4sjuy)vX=8TrD$yEiPYAW=@t#y
z%dRPR|B|?66z`*chN#%NI<nb1nzg>+Su97_vXro71h;Lf63Ne0Ud<lARi>f5_ZnMp
z6zg))sFkv{Q)C?bS@s6$gjU}Xc)f)6k*`f0@8_j=K3!+O^I2hkz#g8iFrEqCDmmgk
znLYouFZ;lAEQ)8#db^$Bd^c{1{+lpALuLE?8Kx6f6i%O&?V|0ec7~xR<aCg13agrT
z@NXX*RnbEyHG1@QrU_`W$j+5v6kT#jhBr%Ac&&^iyZ%=;(=1l&?NicO%Cpvr=d%f3
z_VZZE+NqU!ezR)DT0algswnlerSeQ$)j#uoj}qixcV^}l_MlJVFPGIW67k*2&Mi_N
z<P)OFZf$*c$=d}#=X{Z1{Sw70ZoNWKe`)RWEsIq%rFZ1$t=;pHjeE`tYn};VKHkga
z#4hog2XVaeEwYkhFP(C2yULSm{FReL6(vHq>IboCZe6lETBm0{YwC1nYg6YS9n&De
zQvFX+K8pIk*UMfBV@ZuNIT(_?RJ`{}nUI%!XS#=XdK9aUwk*?L^@zRGwJJ^jpFM1;
zD`L&6vvt}O9-(PWF@N1XS$re+23=xF_2LgvVTj%rq_x)Nuc_q}mL4%*ovCw|vn<?d
zzS?we6wCFszE}UWYAyHkQ!#jG@ML;hs=wd9E&PnU>`|<mYe5U@_1E&&Pvh+l&n{uD
zT`ihFRixeD&uS~{SC(?EsUqv1)_C~{t==bpm8B$0R%B~x9lO34-`~}H<v+D8&|k%4
zT(jSNy-vZL<+uG##>pQ4*ScW6YPM&}bxWz;$7NDQzV;+UF1x&JkJA>PIkGa-{2NuG
z%qIP>d-8M&&&jXXPwwrTdA2Z)HFZ*S)RZRHs<1F7PsX)Oj<;AW+9H3yU<;l)?H!Bb
zH5P8In9pl@C0TT`J!Mr>GI)bti~d_8%24`kii^*;_}C@M`{zC1D#E~D873m<EvUj`
zx|UBnh5hKV)4nX(^Ld)A*0TGu#$VIRes*`w7WrkO@0T!~-LlP(#c}77t5NcowM_X>
zHneki?B=Oxy>I<icFheV6^?2~ChKLtBBv!5uaR0S@-m2Zk~WL@)SNON=WF5tS-gpB
z#idkdmM#gsWUQ4}?_I9We(vY9H9zC|yI;#Fed~#>TPm)2iT`yJ&tFk*RW+5pOLSOG
zqgZ`a<NPxXkBbEEWpfu<dW}Uhi&gw9i?0>yEmfAD{2goA7qU#fmNHpu&9bem8(75i
z^5;Ka%0AKeTw9d<5njL3#zH5GJlAw7$+?}bDt)HOBEFXW>0Ze=mGGJ8+TwhK*7K}W
z$v(2}O?<1}dL6#4`b;7jQT~fI2^r0O{(0xw7VEXV@2*%bJ;u{|K3Fz)C5!%Kqx3r8
zC4omx{IANcuQDr6HJ{ifeCr*bNB2?I+R#h>eylO4*xpPJTFLS?$Tw{luj*CNU7yrX
zw(2icvAN31J&D(*Wg3f7)=aLSORspbY(1@2-0<(lltwRhHP1TXji*oXXtnaHNJY=h
znPtio#CkpR%T4{yllL99xWb!X#izPfhMk@JD(~k@Oyb+Ful5Un$`p2$^^#amwuskS
zc5YVdFjiB~8dlKg;BqgKmiaxVUg|B`;+NL)Phm5?&Lbbi;>(w~p5<s1U;26$*)<=Y
zztl+zV_mzNH}oq@v#0)Jwr%SE314{_y?nRu{Ms*eAddfwmX6?7Q9;qWe_HR(5mAe(
zF0S%PSjykkdvOX&+p^oyJPFw%`=9dPUUoZ*DSnbn7IU^N*A%x4d&;IUbK70-Y0#K?
zbt2b?s|R#d**jTW*0PJU@1Lr3x=p6AEoR!%=c~4eSFv84=3l`g9>&jpnuGD_)49B%
z_geO>&A)J6gl!cIcg*rj%h`TkXYE|NPIal+Nf!4nIX9#D%b2QG#R_j>S;gORjYV+x
z-J`)Gnx}*P&vXPwiHEUt&pbC(XFEf6t67l9!cP<L?+Go}5<U8pS^qDGr|A1n8}{r`
zSYy+FiO0Z8UX{gKd+N2Vw$}3gVJeq4wV!YOI)BNF^tEkoS-htBmZtcAdv$k~>HHuT
z(^u0@g}Q9=;uq6y)?#6O$|LsGe%%u0etGk)yfq9brkF;v9=)~r?lQLEaFMH7yt#Y%
zcv)ostmWHld}N7q#<>%rJoT@6c~#90GH_JSU#iOG@UmQ&HF&A;YSy*UqETDt?tH(6
ze}!t%o2gePiE@`slk~o;KdsDL$nkiFx5^3;*3&}S=a=7G&AK+`T$+CWv#Fx}&2N_|
zd-#b?W#7Zw>&Npgn>}MCtL*e*6>d-2iEBlgRcw5h?a!7y`+UN$^RanXc^I=;-(Tes
zuHw~RtMfzt&Q_TPjG9~ci^V^OsR-$6@s;{3?qcb@EV6fYtJ2oISu71<JS?koW_@8Z
zisCtLqL<B|xRTL*>+eglVw)6RZjJYj5|?5rIL)+a$=<2#%A0x%w{kpLGsk2qYssze
z3HF*(*S}<v6=_>4`%CQHB(c0FEMBbr>&3n<U1cC+vh?w8AKmrgD${gDd#C<S_D#6<
zcfxX?`CHk!S(iuo8!u;h5Fe_Lt=G9$^q$$KC*H18jl5aUE!~&<RrFhw-&@|+EZ_f2
zeeKzFrgMH#+3UrUxSAz*Z|jXYEILtBBJ{aLRbwl-=4<*mu{Z3!vy-PQOttjqG^U?R
zT<TeqCS5bQzd4TQ|7w;EL7{*BdXKtEMokN4nkP5y+)~jVmYqK5;-~X!d+~aDa{IHh
zW{VeX74a7N6vtY=mL)rON|qO2qIqZ*Yx7jugCPscmT#Xcn-|4WK9!|;+O~!q2fv)q
z*DBRtS^xdwHQd5m>BezQO?B1QTPnIW1<FgOri(L870>Xs^keOd-Yf6T;^OJN$!$m7
zn$9gOsp@~WO=eOznz>Z(osai*F^<)&k5xRqg*3mabp?r=vease#xM2D7nyfuTIKEi
zy8phiUc4nA(JbgGep+tsdX<N_<}IDd(;a26>n-<DK2e)*$6l6gIz3UQ&YC)=D}~c^
ztV8(Ovt{jc*{XD}uT;CiSJN}SU2amG)CMhn&%I`I+2ij`nHiR)d#b)K*;Cn1_xn-4
zHbGS*xv5i%l_vX~346)fymxo}&kfg#+h4tAi;vwa5XG{`_Q84)M`cAVcTW{p?nUf^
zCyie<mw4*c3as_(c3}~GEx-6G>%3QTm$%w4XNeDs5&pI%z$(`E>m`}#Dkp#bJGjNg
zlV{mAm&ElvhSPMEcT1}5l25<#l_gb6W$9hkd0A{3OI;)UeYdhN-u^z^kJsb0@{A>3
zcd~M1p1%k>@+D5Cc{Qs=&hkm?<tHu;4dShsqW*qf`^1Fuv<KJNd!kt8v94OGb1-V&
zk6)>emk2$}V=3DeSJp9mqWNv!xFrT}WgM0oXWC{2i(XmDs_&=G>Sfcw>#WJYDO1Li
zU3@N^Am5!O>DDZTOV^e1Uc1Kk?loJFs@g`;rJ5^$ihSE^bCFeN$};Z1Y?s)}tJwCh
z)h}d8UCQ`a#UqF{#hcZK`D|Fv3#+9(u4zkjx4m4-U;U=zq?$9Y^<LSRygW}kYM*7S
z50!c0&H9F~_hj;<BOgj`@n!aIdA~&T%4L>vE0doqg=VBo-6C?Ay=oeh=|BE=!Ln8>
zRV**-eO)d4;;UR)l#C<qh3vTJTUmF?E&H}bV^5mtCAH75*xN4kt+nD~+<fetN{!au
zDc9KE#POtW-)f|_Qq*FL(PYt7zwjvW&YOREf>>l<Z8e&zbIw0J&fHI1=c{gg_p-#3
ze&J%xQwwjeG>!WzvNoG_QmIeKdY&VpqCdQjO0(<lw^=uduhqR^3wysDSDgJHZ5O`1
zY6<I?ZuxrI_dpP9|7*7LDci2I@m>4x6y$t}HCvmdIrzx8DmLq_qDxs!?;ZIzg+1;X
zOToD&wzKTo%h_v|dad?}3i3JZoxsHUPS-PGCD+U~e}t=RZoHl=t<GeT`q$~mPrth3
zD_Kvguyy{KJe5@~)hLMf`Rb4pOY5fBESSW_=o=NK_%Mohr5>N%iZ7lTQg=QnGi0%{
z?iEoBk&0q*oWDc$$}}-A@fqyPmx`YNZPZLxPqkdjq8XJ^nyv4$mR*)FF`U&mn}>HV
z-%6h2m;A%mvh-NLEcX`6EZJh}ExN9@c{xw_R&i-I**JE6Egg=%vKkGm|Lm1qDjPJ7
zH{2&APU(DJ`*ZKBO|y@D2p8R{&GOxQ+q3mc<%30ZCfS`UE|qv1;u8|ZzEDJbwd~og
zvhsQ&Wn0hPy7Fi9Q?&_h^A}Fzj$R^W-5Z&z*4x~qq9-o6Q}s-l`W8v9<M+PkvZ#GN
z=&7-}kCjC>ecJpm-mRyF4BF4GagCe8Zq2IiC&IkaWugk}Oa0kfS*NPB+pQEfR_oo$
z^24Xak9G4}J#jzo?kK(*|K4ns6?6GmroIkhS+!RD-c?-@CdTs6a0bqVr$1!j=X~=r
wFpSRskIw&(&i{|j|Buf9kIw(|jn4m{&={TnAD#ano&O)5{~sCi{|pQa02X_VrT_o{

diff --git a/posit_adder_verilog/wlft9icbf8 b/posit_adder_verilog/wlft9icbf8
deleted file mode 100644
index 53efa8d4f8ed5cff144d664545df9386b49993f7..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 753664
zcmWeAV_3tG!oa}5%)r3Fpe|S}!N9=4$iTqB4dOE}Fj_J&Ffc*b3~>w$VQbhba?DDN
z4Eep>oD9u$gNzIe40S^d%%DoZRI+fcDw~mkk%68eD+BZY4n}4W$-tlhk_B4?VvOR^
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4FM{J!2kaY`xqF&7&Obz<08$#%pkzR?xMiR&&VJ$
ziIJI!n^Dn$k)45oRnf(PRgsBBwS|$<nTd^EF(ZLRQIJ8Lfk}~BiGy9SfmM-{A)Jwm
zfwAj98v`@rj0RRl1||b0c?AZB1*}F&A}n)!StJ^n*g&fc7*7eXF|ir4bTY{^9%E)X
z$HLISz`)~CmgK=`$!Ms=@Qjtou0wFT4JQXXi|r&n4z_1Y7&BOGn=gx9;B?dAV6naA
zz`B;*mXY(R-~pM{4GgPRt<qxPp;DlX8Z#OKqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UjNj1VD5B
zV3+>?&$)ns0ffQ3{23W+8Cc7TGjp>UY(b|0u-P&(m^fR-_@?HSFyt4x7bO;CWHKZd
z$K>Xxq~<V`q$X#WnZz40fcN^xlxGU$nVG~eS?DpOR;1c8vFa8u<QJ9LGI3g2F&J8z
z8d#bc*fKEj>lT-!<d-s(q-Ex$<|XDbGN|e~Tj_@~6sHyy>sREMF_an^>N^$`xG*G^
zB<lO*CnqxG=!c}{7U+jD1p9}E7#XEjG8ARnGO_5UmpWwHGIKEMGt5<BVBl6}WMfeC
zu+sM}PF7$jD9X=DO)k-ANK8r5FUT*>WGRVf;EcCOYGmLjNzyB0Gz{PnW|v@zXOduG
z6BlK1Enksel+9|W!YRkpJdvS;iIsy@poMW7qa53`D3(42=F-v<rjxR)b)24TCmGZ^
zCtqT%U@Pg66Jk-2X9{p&Vc?cvh-_hH6k+sZS>VFHg_luRh|!5vm$Q*omx;-#nB}|+
zdlDb3_XK99R90Db9|3+5hQrK)49D4-s~Q|Q#hEkn3|U-VnAu7N8I)8w7}OZ2C~-5e
zb1`rWak2<ATCq)Jk!Il(Vszp#;*w@yuw`))_GIv4JjNL2BES&F&%o@$$H30Zz^%f=
z8Ok`#lgXRejbWMtYjH_p5qIGP1_l;BK29DLPHDy&j*KP@;>-feC$ZM>Wil4Hu<n;(
zNN|y6NReX5P?2O{=FCwNXW$lN;1?3*yu`RaO_8~RfrZVflW`JjrYw_M14~tEQ9he`
zpB%HgLrQ*LD!aNTV;1X9C$3ZCY)p?-3|KW;*i{%980WA)c2ZypWGd!eG>KnD(3qFg
zLy<+5w{;S~7vl$B{SGCjxtxqg9pX!J3)q9aITd+hl%&>+v+3xvM{))U@^-2)f6v^K
zm&U%Fv(ANgqbi$v6#GifWF_94YFzG@SUL0A1B5tJM48kx*)`aIcXFn(YG^QNEMP85
zW?!JnxQEsMk|wJfzvnC#H7(A~DuN1vZGyrjED~Pq4n?WynYpR5ev<?jbKhb7t|D2=
zD5#{%@m`1H^CWGqUKS(Pye}+9LV`+~ZhD@AT7uIh>n1V!OGq-5c`&gRN=}<3?8oUS
z$m+t_Y$A}TV$8tIc-fI@wm4HN>-{PgLnR9aLvsdGB{K#~Q?AVlthtGKC9F3**-bd>
zS<_r>7}Bg6vRtef@+{d+qS!N8OoSa6bnFEg4D1+~Z5J|SvQ(%{P+_cQV(#SJFMGj*
zLA1l2LDG#uw!@V{(S<>^!<j+Ti9y%Hk<D3c;v_a_&O53i9RUoH{tU4$n)$vAsV+VY
zncfV{E?x}mo{WZ*B>ySCl=f=jyvvl!dqYLrhB4fe^9<)RMrM~#2B#1PH<w@ruOJ3y
zmO#b|ai&~P-Yj-g&f`j~rcn&KN|6kP5e%kE;S82xOr}g+9L(|QCGlG_^W-Z8<6K1l
zM>8j@<mkRsm+R#Gz^WR<tU4jTfRUYHu8O*gpp+o1cPx`Cvqnx)GP_!*AUmsC9JAVr
zViq+OK_gaQr+DV#oFbOuNt~+8T!PXrvOGx~+AfJ4`U%XsTZ-9r1$|Xmbu~kTQaECn
z7Kp1b<>;n}AL$fq7VXdEXz$42=uYS8XVJPR&Qz?+ZYWqHD0(oLV`q*a$KGs?gIN<n
z$C)HE3ac=3@$dB%T*v#FalJ|*gDz*JQa*!e9<%AJVs=vphF}*~({hG*CC4YF4D6nc
zAy&%`^CuZ@GTbJ8-GL<~GtG4RBxX^@SizSnmR*cZN(~GG^$a3Pbqo@<3^GbJ3<}i@
zDoRxh8kGz>OchK=SaOQuJzc})-%FY*vHoabh)`-~h-qR-Sk%aDT+Cvu=E~3@$g9)A
z(9<r+FrkfMN-HCm4o7N5LA)x<X8uo|l7YNOx*7C4x)_W*nT#2DT!Mq*i##&ZGX!5V
z3Ql71Rldn6ry@Dk_L$OShV)4c*-8@`@+UA9EA=y!_c2r}^)l4=Fx3a~vU|G-JF$c?
zFi6f;V)dELutjMW!;YB@dz5A{9GK2<L}?nsiKz@{l%_D9;bQcuN@Y3MDfv=#@;rur
z9dkLD=5R4}a0VXFmDr}@7sPVegMlHEL!GtGWf_O@QVw&MB^=g^IqY2)F)%M=V0T%-
zz&)SILxq*egT-bd|DQw#8P9eX&ZzYa8ZPS?bk;H$xU69?S<S%gvWkIyB?Gt13I_h=
zjJA_JBRqFVK5-Ea<<LAiAwIv%^&_LK3Uj(-SZ81cW6VYd2aXL)m)Lw%{9PC>Fe^=B
z;GgYj$a=nGCqv#2hN6z`3}xFGsyenZ)NNsC>e$TCwu!NgL7XcmHLXM;zBnT@E!x$E
zfk9m$oneiV?4vyl+)BF{_;)dxPU7QiVY1}7;1SPi$=)m^xq>(Nh#-UOVFu4bf(*U~
z83GRoGBEFFVBa^9fqO5LuTZuMt1qLVCxa;adC5&mtlnoB^p#FC7@uM=S31dHeS*PW
z={SS)F$Q<0qfFi$IjQlJ@;zM{BN<{<_!lYi3Gyzw%20kqkfHiAL;WQ|2Ih+l>=y(X
zxX&~2pA%$YKFh$)pd!w~=*`F}%j$klkU{@0gYg|f2J_nt%(ny?*l#j$-w<Trzs|sX
zO_9-7oS9*gCySf?HN|8l*-eiaWR)H=C_Z3Nb-K@NR1~kcZ4#rY{sP5WF1-Cu83J6M
zFoZm2j8KYP%EiFZnK)5-5o>_E3Y#ONlp>=V1A}6HXJiv&@DB#dj_(Y%-xwS_zB0Ie
zVestu%;5WpA+X~kL+A&F$d306vF{iXJKi#+zG2Ahc+HUeilMOMB}3^8hRTlT47JZ#
z8$Gxgx&l`!X{)dWp7dmEVw7fXQfXz_5V%N?;TmHCFQZ_FD`Ub{M!|$S#)LXX5awlI
zU=-qEU}i976lB=L$gz!4kmCfS#dpSu9FB~P)(Y=B7zO4qN=SGxT4+kSyZmQ}{>KpS
z@|Pj`4+FEyZwB^X4BRb085fB&I(n-xa%yTSG72&<D83i8Nn=dj%DBj#S(1T8MLc;r
zqg4=_N@wB$gGIrzfnQj>gc5x-R7<0B?Eh+Z39(KqY+`T_XD`Z2iD%bRlF#g6xFx7#
z!<aCcQ83{kV?+m|V8m9&h=+`V99fJUQy3jH6Bxw#xTkE1XZ4j=R?*z9a!iF$lcObK
zt`cK_C}YHTCB_70#)xbu#)_GYN*oJZ6uCmU*aIi=Sg_AF<W*sv9Z{{qXux0^p{K+c
zp~vX4z=ctV!Bv~V(<y4JI6L#~Nwxy)k2Jrlu(}28S7EeZsByUH#OUyc(UhS>$wQkl
z!i|YBYBeV(Cwzq?xXm_7jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mk0G1E{&Fdr0|1)a;|NrkQ^pt-#euRbvP$rmW{SO5oL69Pl
zDGUstUHc#r=J%cq3=FIwCQn(C2Z%;i^Z_Kmzyc=OKqO3&A_D^hSb#xrx($fK4w7ZK
zEOr4&d*gkuAPe~V0I&jN?HnLU&@li=I-1Ub1Q}QyKonR3Ob192)bq`IL9z_&O7mb&
zm&#5&0TKf#f?iY5asVX2z@=6WQ>3hIVF4180TH8kGz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
z1}X$V)88Nrw*UWsh6fA`U<?*(2(kgq>~k<M3otk_axx3Dak4OSMmVr1F-EY*urYhG
z3NuPavB|SYdo!A|DC@F{uVrCp(Z0$SpT!oO$tca{zLj-8i}o~Da~A6;#_lYZ%erjF
ztkc)Bh_kMq#v05PeT{8(7Tff#EcLAVTUf%`gs-twN3pGD;or{UoOPEaeHW|uR+ef$
z`)Q2(d7ZDYs7J9#^F^;^Q4eD)&t}Ws%Hz(aoaM>7o^v`&{dQLED4y@zS<_ctW!;@6
zmcNzlz4+xQ-sif!(i}02AqrnaWf&D-gC_oi!^|5PLPJAC8Iu10X8^4x0AcV9|M2i{
zM+{?#;xie=*9>6qn!_$c&_MDoc=g4|@h*5R%JBE@X9fla5C$)z!S7ub=1Yv+Ec}xg
z#aa1Tq_43FXR#}9Wr=6?-@=y9(mjoln?*i~ZSz`I|1j3a-mLAc<(F8C`NXqWySK6|
zW(l6gdY|=q)>;;O_V{aT+oM>H^ITue!hMzH^Ci}5w&pC>@U1-aS;M1PoOL<N*RmC}
zG*1&<&cYtXV(!iA&whI?oBCBY?<lc+UT$4h@vS18S@WY<jI-IAx3U<sx?f>gAH*um
zGXFJedK9nqT9)=GKI3e@Y+W{f*8jR}?5yg7%=#e;Un-bn6kmhZ=&T7g2jBRyih=q6
ze})ST3}6gi8H6JUGT37nS(zs#G4Qi8vr1oK<&R>~W;4%bGtOj8XK~-klFpJJ#?HN(
zW&2XrWH#xm?EG1*pFLUq8UM2duVs-B<1t>%em|7;@@m%Nt!$fF+oRYvXY+jD$~T*b
zJ&fn^YM$w(tm>;-y05Z@N3rb|VPDG<e2s^BEn9yy`{uQ5%dfI7j^gWQS6|Dgy_LtB
zC3#;cPxxxK{-wOvSsq96UeDq?Ey(Q*Dz8BP11&E?_%8-rNRJYuAut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*A%Hss
zK=a<<xiXNF|9|BlFfe32h3@}nXpv!HVX$Fj;OyXF<aJ`?>|h2h08n&@V9#J=VZML0
zL6$M*dCY$nMx}NpZl?E4f*mnGV!9Yv89%b|Gl|8p#3=n`VE)D6*zhmLK8Z2rID_|s
zpE0Uz<|eGJj0{QFlNgh}CE1F^>|#~75{O}qInQ8e$`JEEmSJ}6|4K#%ewi5In8|_+
zzRV1P5`qk&zZjTr3&b$T*fDUk2r@9gW8gj}$iU6Sz;7tX!hV*emOYR`_$Ldui$4Rm
z5Cgx+VYa!iIT@50!`)+qUa@RvHGSjBAjz1@7(0vgupo=K#}lTPjJyGiG2d9fe&OX~
zZsJj7j`_!sY{C}L>B?{afiEyniiQ6Y<9^;m7a@*#Miypoj;GwsEnLj*Nne=!SBUZ~
z=MZMdd>f;|n8eO%KarD>nOTy#lZE3oM+~1X%T$iPy1dE^jl8T|lNiGogga!JGXGu9
zfCo+nO9ls1#&0IpZy6o`Gwd1kk2A0@_?e-~W@*Ce&d89#o$)J!HRJq522~RVO-6PP
zMh16ARTozV@s}*NjCvWu8TSQQe1EcpuI*%rwRoGsD(u3@oz7kwD97F|vY1`)nJb&J
z43n{lH@oHDkPK0lt1j~y)PFH(3uI($VPItmWZ<k~|1I!3;}47QE<q+~KNiJB6B*cr
z7`Pe3J2V-DZ}6EmUC#K&5#5o;qRYx+nz5O;)gkl0e<s6e7GXgrme?ztOsTA<tQks;
z9IKf))(bMuXJ%-89?0Ua&Z;iN+04xRf|c(rW5!#B493iV|JcPiG8rDQD~B^QFs|Jo
z%M|-xE-*~B_wsj@PSY4A5tY_<h0Ik(s<|3!avSferiwE*B>%ge#FO-2sgNP*X_9Ty
zBSwQ2-;>OfWEql7lG>9@lTI-*F#E=|#sn}LY<rUAk;EyGbTTH8fqNAr1AjmgbIb~r
zBxVK&#UqUjqDjw^boF(bUMKC;Oc98&V$fw{NO~Rn-#?b&azN7e7<FASflD#U7D`GC
zF)T?}lK5lZ3nXd8a9J3=W@KP~7yF+vnSoIz>3#CQ&tU@U0<ugDvWzY<HX1u#YBaEz
zFfc2`Y-WftP0C1GofOAt5VtxeE{2mOhF5EkVhocY1Lr4;EGCv1HU{puF-$B;91M)_
zWB)0AV&MAE<nNO7j^X=fhUY5jjE)Qs8+en%lK7Lv8P!$Tiy5*x7-OuNSYO0=n8sXT
zV7ACo;t=&^JTAx~yMl#1nvsQ{ErvJd3qy=j41bJx42M9>`<N#jj;ahvjIsY-#4^eY
zGH}0R<o?8|@s{y&(%V3e>QAiATg2I$85~;}zQ=rI@a!;Q@J(X~bm44|HB3`th~;5O
zY!YKg<%<z#$aXQ96~mhB&G?)>S)Jvmk`)X4OO8mPlNKtB`4<J5r2QF=KXznNl}HM*
zu$#o#=x8Fy+`_}0lwc9I#8SzXuk$`jG#g8@2NQ?5Wr2#A5U2IMNt_(p9eo(Y9g?ob
zcybs|Vs=(O=FG&w%PN}mMv>zxV~oQgkJx{Y*|Z#%F5<ubkvI5-1aGj%2adVC!MdEj
zTls?7ll?@j1>ZAq8w)ZCrZS1IoXEr)!^F*S(nUa(n@L=VLsY<!*HgfjGm^u2l7PKq
zk$~e84jvKnP?pEjMJzomrLPOTb$KSCeo5-75W{<kw-SO3!ai)W%LUmuqu7L5J(YwR
z{xh&olC&0-<ziG}5a(d@WRT@xWMEa2^L{3{&Q!+#b)drO54^uiBzb>_yyyEJ#JHL7
z_iOfK5f$@yo?O>CxH;IX_<je83o-KiUdvuTnWI?I_zUY}RiTZZs>WQ4IbORMsd%TT
z1V`yBN<LL#)Og9v-l@bcpv)uqSw)Ijh~HDdG%-nnbwcdF56bhjx4(G9`*@$LiL{0E
zLnqFKNl!VJJ6SZxTwoCv;`+?7TVhp=%u7|C-wgVKnOTY~&O9nHUoBQkFgqG`KaG)L
z;GL8iW~i;KsKA)?(ZIUV>T=Te*nbQyER0skj0zpG{~p?3f2F{z@H<Ju>9hhz5_b}p
z#qAD-Bq;-CK8vRg9LfJ43Ar=5`M;0hPGZoCc^4y_6qCfTIz~6iFo`pU;ark^%$XRj
zqz0FmqcI9e7A)opu?+7mt~>ELq;tfwxV}hw&ypMF;wj|7?C@O6QR}8`OpJznljV7x
z7(-A+!oYhrYDvdw4{jF*>4qaQ3NaQ8$|{VK1r?kOuO0jt-u-3koWzjt>CX23*Ak|m
zDyl3D?>S?%6uJ1{#4!Bl<dU@J*N*XQSivA~Ehum%#-2&~R3|4Vlj>nkZWShdYhy<%
z`PhFC7=;328Q$^=|DD9a92_dn{cAGUUUyv;oyQW_I|T%}ZoU>4R^fUY8#_r$P@L<#
z6Tgdq<7q)@B_Ym}!m<;EL=Oqe3n{9wYMS`6#QqEEh-G*aE2h3xK{Z6hIJUE7zIk#g
z3o~!hqofYg7!@YL<rT9%ikLVJ+?^amm|~J+O@!CIiDmkqvLc2fmVskx%<65|3S$-i
zS0yv_I|Qio#$-%o3hk`A?U1C^5Z%Gpu$XzfnMdr7#=;{i-b{53GdvwS3zzN87h)9O
zy*Ildi6drCOhnSmxg30q4lzdrS@c!6$UhTQ*uc`E)b0{f#U%fU$)73t%ct0X9~qLE
zV;Ppm<i&P6zj16})Zk*69HS8XUtc4Zk;k=bW#S+AA8k*Pd_5Ev?2Qq0|6?^V^Nx>$
zl;g9GJq}6&{w%Lbc$w9mc6jzCRb2`2%JSv#JYnmhArLR*q38T&_eG~4{Qe^Id6nOl
z_)Dx3SN98gG^szRhQmi?)&Hd$w!O<#JQQ~Ao7kbwQCZ}r)A9Pmx(}{TR5bF}Pnx8e
zomQ35Q{)kolhKjc@<n6zl^Dm54lGGbNfixBH$F0UEKy*LSsCN()yBaTqv&vyDW>B|
zj2sjDOD0~I-8Yy#I43B?c(AC?yv4zEqA!WbC6=+n=QFd|rP&YG9l5LO5vh7+iATET
z%{%K3Oo}=9BlYsd29ISa>lwMHM6z(-S)=k|T_&p%<BKvSX4yiI{TvM}lhz)~Fk=&+
z({uDCkID^xMGnua=OjPg-Bhj~k;<9c{`!?^%*5N9{55vJY*CPi3967$3gBK6^Yw{G
zLd<U#!J>sqKW=eoofq7BOqNNKbxsoN#I+hvSys*xkGaP9qsk!ZeaweML+#{$GvZW!
z^!)h3!4&htK$$6KA|o%yju(HHvHV={KZa@L=@)-wv?r!beX*(L_8Aq+$dfFKn>n68
zQs>#Qqw~={DP`6-kF<*0{f!u=H+33WJa6!wkR%cFb6FdoVWVpj!%q2zYblm%8{8R^
z)MH*GDHw9}b2R!TB>(#o)6&**;8@HnhNmuy!U_y;PqDZ+%srqK!!e_BW_zzn%jsLk
zlpE%4xL^=-ES7Qhk0vIO<bRKsmfxPS`{3QAAIs(*wQ5>zv9OzCd5rss4!4-L_J*ye
z8h<D-#;odzV7MPs9m5#=Kh)F7o}-?D$Ah80zn_KE<+Z~)WrrjsM$=dZ_Cr@stk#L?
zknm&>K9DHHAlZFe$CG)N@EVRgDlCty87!4HF<sFRI+C(Iru*FDL=QcOJDs1NI5OyW
zxUsPOc@lG*CB~US@Lvq4;Dmn0M~jkG{(bt;u3^;4I{(1N+X7D*ekA=*vP|N;(%>r+
z<KEq8%ydw|CFVBMNp1Fy9gMLIN^_+gS4z1ny*ltlfr+z|<0ga3l?#XFG*mJa%vtz?
z;Tcay!vnsU1))hiEHQshNW4mtkcj<n-m%s5go?&#CTFF)HqtzLO4oMes87h9^qQIf
zMchv>j`JLk<&&IVTzL0B$z!6x+gJvM>X>7~6F56&ANUf>I6-Q{zgG=CM<z1Pe84mz
zN#R7_3OVH@#%@Q>B90iP3155IJL@^b4!n!~_qjDnqGN|A$1H_>4iBD99e2+#GQ5ge
zo%A-Qsqn_n)k$GDY87IWxH<NA{7w3CqnXFBhb1sA=|PN=EyD?R1vRF(9GMSd43ime
zzK>=28~guYfSqvC?Z)?oF<muH0+*5*c|U2W+*l;F#=<)0bH|-W=?drKCJG3|h+R+>
z?>vxic<C*}JQc}{pCg}l9_w`Ac)@X=F{zVdHiOiq4i#Zb5k}4#GL|fiNrzS_KS<iz
zVJKM0!p+9s@nm&UsX)w^q^}$ccnc*u7$;aLX$rAR<gj=A`;^S^?tPC_V9Y1hxl6>E
z=ki#IJ^0J0l*Cz-#;~cACl7RO#;*d#q)urIzNAJ4Po_eFCZ07yg69S1TkT~@XOZ=I
z!^O_eIq7FI(_0Da2c|J6C)Av{81boVXQ#xX$4uNu1m$!m6fiO-9gJmYTF7zYWlS2A
ziUMQIau)WEgqRZ&J%-Gp6Oz7gJYZI|VBXNG#Q5L|6Neq!i&-jvVvMd!Y@1;|NoaCv
zwdh8LJxQK|rHq_8$^SmYG6+hZa$=gm=R8B<6Voi0xf0*xC4Q;63zjmjVMuxy`(HGf
z;TQ7^4aOv)hU9;*Vi^^ZI6f$Rjb(7`RGttbqUI<VViWU`w=GbDug$W-Z7y$H<HJDS
zwoZoW)-0)UM!{7gUCNz7qFqj@3^y5*6vQWSEdOY-$yu0VGq)s@_)JC##w6Yu3C<H2
zv3;1)v|)bozmGDTFR?z}FOsvulJ&W(NY87Lyh-8(fs9%PPXwAf-nj@pyQnmOlD3j%
z@&;KKnY`u7JCzu;x5&0|axptqC%tx_@uHMTQ{YR|XQ@6ZMHX|8B%#2G1`4d4@|@;N
z6#mN2TK+*)c!i{xaL>dKn!HzJW(6_s788EWk{Ti=?A9nRBD{AYN1(XyYu3m9LU&uN
z0vJtW^jlPTyjAARRjpevK~SkJNy&)kXNm};pl(aFh#=cU5lJo<b_re=DN!Ct{z)Rz
z65f)UOB5VsghP2B$1XBSv*3L$Zqo7Uq}*@$znwQdeDoMpd!DX%E&bbrk^8&CeFlk`
zT}h0|43j1r$yqK|W0HtDF+(LrhU1@x9H$72G8<#eq(cHC27FA$E{TgyXlVGYm^Epa
z%Mt+tKPE{5#uzyU@tG3^91>ah1zkMY4b~}7cru&8bmbie&*Xp4V*XgfGAefb?KE%_
zDBx$fG-qPK#Z7a1&P~;e&d`&QXh<_T-jK(=AxDt&#v3X1R@+LpSr2`s-aGuY(AwaA
zyt8xlhLwVb0m0|kC$OGL;u45)NjmD0W#Ji+no;EV{}Bi8yA|0d_!J&Y$y9auSn@lD
zwY<nMr)S13*RKnM3%Mq=%wgQ%HKBE~Mu+Dm1)U^A2F`~J#Ytx;bUt8AIz3@|hYN%B
zkp#CnGdLXU3u4$Ov;}Fqu=OtD$hYBTu5#3Tm;CPm6Wa=gw><1iRm%7{CtFtZaZl#v
zb^EEJSjE9C!<jVkGUJ@2H#>T*OO9AKXwMM#4Ac%RO<+tqlXUTe1>=s{>N6ybx+Wdw
zlxN~(QoInu#;0r_BN(yiAp;9Tt+=|nkP^$k`^NIg3~%;|XnQrSu#{V`s<=;Bf6_fx
zE@4BLU21Pk+Jra+O@-KBs~=b?VBRStoNB2hXsyB|Xu3`4w4gnc^2tugc#|`Sgq=-T
zG-GzLin=#FiSb>K+q^ePP`&uylaGIPNV+85-J{SMBA{NqoR5E!aHx9yJD$cFj4Daq
zLZ-^Ci*_?{^Q(7T-xYD5>yq!%5p~zO%1J1U;g#xQT_2|`bGL9#<>lTvvHzm(u<#i_
z-12hDY>z1&k390uo|vwz$kN2LmW7=onPI9Yi;&U|25yz-Ec}xuvLyL4%O?LXcfTQB
z&t6`nBX@_vBT1hnoYiHL%3lT>rN1l;E~jJSds%FgZn1PKoJ<OGXyw?R<iaxZP*UfN
zy-LEnHk_OsY{23y5X0#?mGPI9ZED_2$85jeUzhU!UR8}=DRj^IP9^W_y}q##-YYD8
zqRko;Rqpy`T)n3{?MTFQ#TPzF7g#DdO9Ug<zK*fbjrnlHv~%mb=_fUd#Z{s%PCd+7
zBFP|pGDb<8LGqq2FH6jC26fgXB|(<>IVY4D4^KLA>BIbqEIU{x?>)i7sCr|`MAldL
z3TzqPC$Yr*PoBakHErcuwpHF7yLWAWr4=Z#&~n=gZ^@_E9_24Qqaw5O(5*^|qZ12M
zD$dHBKfxHYET{jZaX=&s_aupnlAmAr3GX)ga#EG~g-1h!TGr7ctV!yO_b2o@^C(FQ
z2*$8(IGnVBC6-aLVVNLn5nt@0e;QITPyfA|EcO43m*0_pRev*$o_}JSth+%zX>-E{
z$&N<0c?}cZ#F!P$V2N0r6w;y95&z))w+ELa_&OwyXg=U<NH1XN_$(uOLGFg4fS78`
zf*?nunQvlPF1&1F<Vt4b`Ou_zV~0wgK*yu+Jl{GVF|4v_wfiZ~Az*#E)z*kZr}Y4@
zY0Tx59A1_VP5UOqy!+jtEBr-0#wkk1ficEH$0nx3(XYY4lFzN7SwdkBdjsRon?D{-
zQ03qg`Ny0r^Y6t*3+agh|CmMo%{)BArbkem<IKD5{qfAx3t}!ei2F_0&XN7-gj!5@
zjZH`0BZ*mxotp$Xnwff%RB!2b=pX-Ke}FOR-HRfLpK%iJW0)GwAMfq@Hh+?sSW1kw
z#Df{##Z#6_PAEV3Z^F`!<w_fC=NQLaV0Epx>9~=@I#Z?X$8^SyHOx#&zmh7LVpewC
zW%!fCoXoJ;l7Z)^9tUGmG{evTQ8F<B6NI0hNM`6@vs?6_L%`^@!_*Ezf&H%p{2t7U
ziR<|Cn5E;G#Myn)g{<m#IvfpHl2{v7tNgss%W#U}Q7pr!7`2#df($jECM6}?{p-Be
z_UJ~22}4f`=ZwZL3PMRTKl&t@<~1Zu_@B(MjB%FWY8U2NVGOe-JdM%me9Sgmh<)a2
z?g=yFop*RX5_qKI$F`7@r$;nqoj;2$3)Ax0|EUTw!pRK1%xfJPc#|3CN=P*PPf7k?
z6{Eqypb&E|MlF`%-@90b7ct9Xk1+ITh<}<O_iUmM$FE)Gj7fH?4a|M_fBgKzF{MMp
zqg2YH@`SXGb(ic3jy2O&ws)-d<v5b#KT$0uX;$O}!Dh~wt&3P%qb6$dCT%zpBj(Y?
zxM0f$rUolVrkI5jZz?e?kLNnku|MW6gKD(LniHxF{L>BH+5&8kJYX`{n2?%2)uedl
zR2S|CvG*P%3P{BK<8J-Gjbn;Mo~6RoS+jpC+?{Uz$|+_QN2!XRU^~O-`BN&Un6KY$
zHtD6?o*5FR$7W|N%-zU1i~Xdg_cV*J+UX}4HZFAiIn{jMoI5qs%~I#7Pc?b>!*F@g
zy4Oz+xKGi1`A+E0#_;6%=YIZw!o++1`^RZoA@Q4jT)m`!^3mqTd&yI^Ui!bv<$Jh^
zBgub?R{F(~&l9u~7+U*Ha%QMnFtqhsM^DxJZ1*(vZ1RQ)&yTLy+}XF0ae?KJiyaoK
z4O1AF$N0p&i7|*_P>=mz9Ago4eEtOEhWW)^M>Aq<St?j!4k@g?7nAgqRk(MX%*sdG
zpI<z4@&4zj_8WsIzf6oNyK*zo$0sG`bIR=0&r1t9*?eMJQet=*7`Ln~o**bNC8n6U
zEa_a|bk3<fhgdo%t20gQUOI6i<L1rF9cIVmF|4;?da&gHQ_Remii;^}6L!?hDLprV
zb+T9?_XO@a%nM96Zejfw(>Jfj+u@GG?GDXE#-z#a&2~w<Cd@oO(c_r$gnJPaS`=a#
zHt>tgUf8`cvv}hwzDepaFXcO4d}f~T`B%)8R-T;<JATF*c7)n6-O%Y-H%Wazqq<nk
z4Hdb7i4%S-Px>~)@&jYcjAe>Rg$#AloeN(5<Xkp!x<%K5Mg8rn6WMyF-<kNiS4%*P
zLCc!`M-O9+{zs0z^Sb7GuoyD9@G#D}!*cxADy9x;WoAz2k1o#`gjwtu#Cwu_CdF{w
zW(#dlI6Hyalg*Jqc|t<cLI%wZM_n1TryORfz3{6)X{UMb2?qC`8MO--FS3~0Fi!Ym
zBRJvj2F4`83CRWTr-X@JJZ)o>G;xa9lsx_!+^at_Esr^2vypM;{~I^#Z5Dm&oACbA
zgqqK%q6}kZF4p0k*t+dZq|TILbq=xg88#D3CUPn){OT(3PA6%?qjL-FAACxmq?O1w
zL#l%DK=lb(4u+0D?n#^$I`3|pH!-eD{`dDs)MLhuXPX-`8Qv!eCB65*pCmS+_()Q^
zlmO$6h0bxzoIjG-c0?$AiIHO1$33xtWA==NH=SD<g{FOAjG0>b<q(%p(zdQ?Zk!B%
z#3q)By}UL3hD4Iugp)c8^fw7~pKh+W*Il%9Wk*uF0N<(`%Z^THOl}C;II}KtT87T#
zRe8&_yQh{<2<Tre;B_LFG5^Md$2TT!ytz)|=hO7rYggQ9VSLCKGpWRtlha9{Vr3Es
zyI4a`cZ2ez;Ik{U*cf9Zm7ly2u9zrk#K>_?S@H*Cx9bz(4HM!XtG$>$SyA<NRQpSg
z?iL39*nc<Ve5IZ?afBo@O5d1vbYYC-Yvt}XuNmRTr*wSYoYZmRLCmTt?K6`ar}zJy
zVCv2&xq&t5_yV2}b`A43zB%>q=fpo9PAUu77N{2=>H5TRZ|9>z!37344kpcrPf<8A
z$Cxo`TJryM(F?05-v8*>aQox?8xIX<AE@M!IZ*8-k#sp}#<!%Gnw@MXellk!|9ftc
z%<ydX)1v<jA2v5@@$BiJR?55Q)RdORynAdWO^D*(qYxuEsZp1I7sJ<2+zM3_KAmJ@
zol>+|?9k^a?JY5>4-EY^U#P?gS+UiAWDHE6-nfMIarH^VAPtvEjmpw%BpI)-xa%pk
zj_EP?Ng;;!8aZF)cjPxY`7%kSa0t{qFq$m$=qanO#-exoR;UUXD_%W4y|+l=;g_66
zic*_ot|>`LUyD@sn$Yq^_Lkp?Nj#RR6PJIS6}M9MR?Z4*yP3JEqOy}F2a3viGNp1E
zddb`nlQnx-**&dPO!nNAu1R9Dlh|q*Q>Tc@vOfNo(~~B|@|5ZM3QxrYj2|^lBpJFe
z?udB8%s#_(B9r*EB3I=Vn;3+r^wxO9q)19Kr7wxr3S;@3!YDeuC6f1XsICfg_oNmz
zW<Q?SpDa$SoG9St;m)oSbI#(L!M5}r3Nv<26x81#BP=K`*}r14#?PJ|KNwkSUa%%<
zTxDFi@8b&Q5C1w+PqOnhTRrbfo6Plh+O(%CDrz3bxTjC~<-X&|QHMkw_X*58oE_gC
zn35c0_E|{t9n|%WIksuTnHB5Wlj58GTooEz9tAjNvhnszJ8{UU>_N=*m=oEDwUbX2
z9jltec=-8=^B!LF5)^d!U#y+v!OyT@g3$3P{hyER;(4(_nTz9RAlFHc3dRN-f&cav
zoJ<wT4Ci0W;kf6pP%XydSCaezJF!obj?ABPnaibxmHR@>3Yp_~|5m&_@FZZ{l)0Ws
z3v_hvFB0M~3tVydq{COw@Skz+P7L>t?B{5Z{;}lQ#KnpeIePj}+?%qb{Uw9w1O6nw
z1AC8fDziN|k~ozoW<B-DR+fvLi&_}PWB*(JpTLsDc>UGq_PYm+71bD)C;eo+opkbq
zvNXfi{s{+PJU;&DYVy<66pp1UxF#BKOxvU`zhEw-Ski<|j7~v}Np?v`8rjb$IVBxn
zK3kDAWwSui1csYM{FAa@on$#1@Mp@mPg#63gP1&BcxQVGJ`tMq<uYG?+a=DGvzXj9
z#pLcB?c|&)C31-UxJQhKnn2~2eS9+oe?%ltJ>~I&`MiY74aTGmF)jitS$P(AGv!+G
zEso<_DmH0vN9=!FtLMx-D_x|L?r^SFlFIqPy>_Bhg_J-l-+C3s%X}NpPrL0cF_}SE
zZsM&=oJvBxJ5LH(Pk!Xw&$IXIB!;U(jWUsO5~gxf^5$<iD;xMKiRnVe7ujHY#+58b
zRX%=|3GJ2&Um+d-L@If=aWiAgX~mCEgs!dFdQmoZkyPPc`S?`n#CZ~m&t;Phne<st
z+$+*-zj0LVt?Wrj6RGR-q!;V(e(!wjA)zgjJ|XtM4T}ZG@h2J|Z<MxpX~f)?E_5+^
z@Ljf8$>^P=z|y5MrLyHJb`lc3-g9-mtpp|3igmu3D_?J!;NrKZPsj1=5*7|7v%AwI
zTK`UNWQ@6Jdh^w^!kwnK|4nc7bFGXryBq7x`dl(UNaL&7{qReHa-r6*O&?ahWW5|}
zUi~s^hih$!=~Hiq<r9UJn3)%wzJJOp+OMdulJq(|fI+p>j@M)J!ARo?Ngf9Odsexm
zd|k20O2wHa*q~S?X;F-Spd9mx(4>1w3YtlOlX@&H<%(y|uuE=~QDos_cxIr@U=njX
z_CI?vqa1sa<|M`$t4@V{i2wZMrpMy1%-c6*oSJvwg{`IToXtVpUhJ%P2Ru&lvjp$I
zx?7!3zrQ2k<<E(hsdmXK1#VW!Dlu;&>`!w<^2G1>m%^02;@(6?!H>(?uf}i~C4J?{
zW{FX`7sJ8$wPXGU!@vAT=ROKPopJ2qt0v~8gSJN;g9<+;e=J)iexhXe3fZI;6)sgm
zZY=+~51jsA!|C7`YgZYgbe4gavqHFILsCvf;A{Z_1;-fv3_HP<$-6mL#JI!=L@Zm!
zu_?!V39HzS%a%K){!pLDajdYS)!{<LoddmV8Ve4x#QffT<<U>m&+C4#%#&IgVO_Rm
za@E>5(Yk>G8_wI_yE|#aBCmN8DJ7*l<XPr#ywwuoXLl>soB65TjlbR!7E^`PHGajG
z?oegE_}BKzTHfi`WkT1N?pXhn_vp%*lO3AMcI=z{!gk#>FOLShx#gv$JJgx4)~=nR
zKa*|#0Y9_5|0c6OFWa#$OfD7_v7QUB2~I8D@&7gN?5`o#-h~Sim{pdSZ(!i<{-(kp
zsd7P)NqJ)N6s>{_2_IYvuJsl5@byT<Xnst3&UJ&M<Kmv_XP)huz};!o_$E`s=EkZI
z95L@MuyB;jWLP47AmV>bMDmUVrMnAc7&@~j3Ve7U^L;`v`@iIW6P_e9s+==>Earbx
zriXFHr!%qsYcJ@poH6^E>;vQT4}H{&9B1FuNitVq?pS|qV{uW;jF*W?1`|Sh@8tBK
z(5yJpsO_0?lyTR!j>0)Jw@%ftb?<Z+V7y-QWp~ZKwQA=&f@ZzvvH8#<_8_N2FzH-_
ziQNiOUd|Y^3%e5hOlDL)<b$4K58ms~z;J-!{~!4$u(|)D0`sm70<1C84A(vSFJEPv
z$iSF%KS?dQ?_bBZ6*F8~GZxDER(MZ{Wq8c+e~vGA?7v%IOKe;1{&sTsTQAHz-?x8i
z>xS3Ao+wDKQY>y=|LD}WW!+0%WSUu&Z}@dDpTN9r4fmuIYbGB#qAhr1!iRY?jvSwq
zGw*@`JEMH&yERu#wmn;8a6HNT!56i8C4v*2BNoWL?@5wdv0>t8b3gGF?w!~DR(L5~
z|JU&#MZ4^x7W4a9h7ZSL7L?CErugrs!uRe+sdJC5&iZKJZ1ph3qWkd975}Df-!nnw
z<ick+Jk$+x>!%j7aIM(F7^6{>^lZiSn)ffZJy9{}?09$f#S12;B+diL|6a#3%H9$@
z9`kx{Qu98SM-|Us#a){`FK3NW=St6&6TXY9cZdmf<gAWaV<NV|Glw&2rO6R)0S1l*
z>z~bV6MXUP`b04u&IJV<ojxu&yYa(<^A;;yYThR;eINZI@Xp$udo9{(Rv9i^mY%at
zA#$cj;mR38D?DU^Uc8m{dp~>QI_uO8U*}A6ThXKuEo&DgDsLxgb>*XsmEWexJ6_7H
z+U%4hzh3S6M~5J;6>2{}Hm7XdJ0tn{B(H8~t(-S6KRJ}`(7&<HQf9N~kBtsJ0xM=u
zoaI^bX;%M1CQgoT8*b;se3%yF88h<$8)H(_wb+i#o{2$=9E=ZGP1>WvV7A93A@+a5
z%ifI(oe%k~SpH~69)C>l%^zBG940fw9d_J2+bMmcTQg(KLxvKG9~%yTl4Gb@Y^5yN
zJJG}0l&N=5`-WJC$&=jqSVT@Utb1SW{M9q?6r1d-n8znM40@8!a6OLw|KX&t!lJty
zVoYMfKA1AjiDhVvxwde^WCov@r!g9_bN)LXd@ZoPC1U5?q=Syk%rP5d>WXGO;&{D7
z@n>ueL&Jw8mYATKu?*9H#QqPuapuU)Qny9!8)H8-d1^6on5<ddP->vrF}LR73j0G6
ztugWq(jS;sd_BOF^kL47*(-XF?#Qc{Fz@k~8Qad!YM-|@qF+y9{sWn$Srex89-ok?
zcwQpp9^;HY35^L;j{Rt`n~~HX;K;$aW1~h=ANQ7$AkF9_4A&%gvY%kww?gCCpN|Dh
z$qY+bV%Bh5Bt^w?ujn?lUeFWQIbDG<DT3pZ+?yXQ`IEQuSnp_85|micci>8`^oO<C
zE-|VMyoVW9|7l(@xr2f0Ed$>Hj@bWs9dr0u#9~*>X5aDnODsdf$JqaTu?#Z|3U07W
zIKe0z^C@-@L-VX9j1yzN$8a;x%m|NVxVCymwa3$duO}-EEMgute9_^2@l)VhlIVqu
z*WEvQKb+!^QTxb{EBwRS;Z@Av1KoDNyZe$iJg{9cqt{g7slw_czNiawelO~~AM|k?
ziU|C%v4JJ#SJMC0v1dQbPMfipKlVRg?W6}RF${_^cay#(GxR(@WU;d2ux`wn$g~EX
zoV8&(G9PZ`JYN$&Nl@ydRK$TJ5er|;IehPQ#O|MpY8RdEulKDz*12`j#E1_uQ{*O3
zDH6?}we!)<G^Pm+zBm1hj2b)VJYvx2Tybji{KIA8MFnr4x=v<P5PzAprb+#Tfc<*k
zvag<o+!JmaIfgeZ6q@jK?tQM4E)AaSa}LMF#`paYW}I_)>%9)qzD{ZT$i&LIhj-_!
z@r(T~{i^DZMofq0$)4&td;G4>U0}R<b(;F>-jjbj*Z0of;}veXLbrNvMdz#e@e{<%
zD|b(vv*)G0`-(F$M^?*yP>B3+d)}UxpPSa-n91;v<3ruYg`1M+?Rj3*@Y8H&O7wc)
zv&n0GzvV8tcDy6ZvO$DTxMTCDq#u7`EZ6#P+j!`CtFp|7-or9U-#cs=?P4bODo<f#
z5RGKv{Mfo7_W$f-&)4`IPAdCQrOvFO+?B&~fb(h%s|91y?X_N&FW#y!XI$N&KjZi(
zmZCMDCC3_aW?9W{XiL1-(qXgCvvP(-GiMCfB31U}|I-xKdLN6~y<l(JT5rRd=N>S|
zGHh(!ptHu?Y=U_8%$yvi1()8uny~Wc0Y2l7%X5BCxH4^;@^(($Z%H#A^GqlXibz@?
zGvQTX!=-6!d_FBGJN$pf9{FVc54nr@C#+?Bkffayn6$>LF`1#WBek9XTca><$F#?5
z>^>cOBCutJ_r^sp1PvIH=2p)t@%wh3q2x#ggCOV1nC2MfNxzRzGMjfGSbp}z97gpM
z-3;Pip69Oc78GDu(8`)@nY6;?=!BgDhXkZTPI4%+>mN|=UgdN2fEQoVr@ai*e{eG<
z|JR6Ru-+LN#S+S>F1Es#dvWW(PnQIe*7>B&JbCn2<<FU~ix-5I&ARe{HRjVg@0ToG
zm2YAS)4L|E_1Wpqu-9h$TJNvV7kqyBa=rINNzVzLiLo<Z^(IaHBzu01&tG+gN!E*F
z_HA|0UE>{k-6_yI=2}E6--C%ssq>Q7d#`)9F=qLJeU&$(QVtrg_g=aFRM&-#j4xyk
z8LsgOiqBuccq3|Q^``aSf3|Nr_4xII$&(izIH@k~zsCE%<%R{PVr1>sdKZ0+Y4Ccq
zVBgu*yvO|x=xpR@*~=Ulvy}hBJEr=L+CP%EH!LqaY-AI?VwK&>opxLco}X*jF{63W
z?86R+zr`Ntia2fld3D&qScb(5jFT^|d=UFTY~c>W(-QZtCcjy^KVqFlm)YJ|pBpDV
zT5Z1ZV1M$_qMn1*C*O(dH*eH=x8QWxM}7;Q!}1mXt|z^GS@VLaAeQ0gQNE8c7rsTj
zut>DLq4W6LlGBMFS8E)M`T0{!J}K<~2c<c?&u{RRwf}7Ex50^#Tj%ozo;@7ro}XZp
zJ@jt=hQQ*3W=0IgJJQ9@S@17;#HfGrjzx_8hUD2RChof3`|yOZZT9X?u^op#s3$YL
z*!^H*%!<ir4ZDx7U{GdF%w92l5@S1K^vV!XxeE(e?9^ULRbOB&+p)aj^U3R*o986&
znEiQurdd*%P5a+NF_TvW9lv)V>gVm*Jm((G(f(lmM8@Obx`l=_3qHjD&usqw_@<}f
zX`Qf7LM~qKCri$2+jWnzaf9qZ?u6w3zAKJ1J&MuRzon|g$+v{#Ur`EY?THAP{zE%{
z%dha(s*AZSS+l^LBk3{6>~k^NCtgnCTFiHDgEu=<%s1tRZ4q*379>7i@h*|+#{7>T
z6VpFLoj>((*4mc0lNg#;_?hlYTHn0(V|L_&9Ofj&nDsR=mN%MJ{`2hOC=ukC^-Y5H
z--ej~NkTtF1lT16KUX*WKf=Sn%-gV-@2emKHy4M^zc(@W58T-(`NNz^D(30qGYKmL
zdZh$d5?GRw*G?}yQ1&OXq2ypP!@3QshkKqR_FZzbpS@wls?`3>71zyk7-p|Z7KmkN
zW!T6QDcQWT?}&uQw^NaVj0_9KxF5(r&i>$7!4$Jm?T2bZL-YR_5k}SzNn*`a$Nqg%
zpZBd|>$ej>-T9(+-CJw>gRO&MBIo8cJ-jh~YmzpuDHRNp_$(g3uIJn8wi6E|A}^RL
zD+ot0#)w|L^YF#m39~&IHtu;*XL;(>k64Cf3${ckJYb!m5W}H)=5r$Z2l2Dt8l#?n
zGAg{qI*C)2L-OQ7(duvFxnCOJpI?`H&xphK<k@{|t2aOBaA1r{R_y-7aePfp=zJdj
zKM$^*6uA4ij*B~z>-P7i)m{?k^PVn=USC^(Qt0|ClUW)k=X^H$-njquB#WKf%K4KP
zDOw(nNer19zoz!?+_u<$^~uKmChn16npeO3JfS6RP5o}0hKg<L>nAG|erejjX-$1;
z`RPfVZ$9_Czy6mUnecpF`tB!A>(|t+e*fgm#7{Qv>!!!+cd%N2tC`+mud-&kQ|9gU
z^|6z@Qa8-M+xSm>2ESFL%=xc1mi9A~{F*Ih*nT@9b7Y2d;@Z0Ky+;h^G_JXzY-&I0
zOWle6I*Xp|`u;Ozf&1H%$&9hhzwho@DgU$a+}W2CxEb?TSh;mEEhwyeF=5TA{T7Y)
zqt`b)|K*b$`)X>tSTEOqsic?sKPtbi@ZR&e=Emy0Hw}}YeJVBVSiOe%S5d{sk~d#!
zzEn4OOy<x0TqF7~>4EQ?=F}4m|5wO3wXrJ5{Vd3N9P>f?5vxG#1NO5&tCE-em@E~=
z!as?_j+J*3YY1c5pEY_s$^WhP#4;?dI>GGuW5VpFQrqWKEM_>I?-I6CVwobrp|+8|
zkYiy{Qp3ABlVld$XlMV(VIC3Yr_eFE_unOXd;N8a-VA3s+CDK{Uh?hg?N8CdjD4RY
zIn=(I9p*~vIBQq7MsZ(flH~r_-HspEC>G`Z_?*0B`M1~8#WPR*Hm`4Bzt+&ZW}Q;W
z+eJeCFV-r_Zj|s$T>AYe^Mn~E6vEahovY_oToDtkzeefn`wcQ1*UY)TZ~6DvMOVVs
zM6OY~6938L-VLwEU$XgsB|TbWFzM)uX=~Og{fR%>wBX^_S?iVNuFjgj;K$4L51A%R
zaozoO-?E>z7q0&M$!l{%bkl(q6AYIfNvn)qr}Xpp^w$9vA`$8h9`5A_V#AiU#2uL&
zcVy3;2A!?H_O44|zPeWV`TA&s+1F-pZd{{$>8tf5$GE7o{_k#eOgm(`wx&Py>zf~|
zBF<bWoXL4^ozWVV%0KSKE9K(O^gdxwof~v?jq<sv6DI9hy6f%opRZ3(u{kNtdrhUA
zbK;+CB7aT`u&+_}`ugue{GT6tBsNZ}{^1<9MtPU<qWRx0d+q6ZnZCg9^9H{3A2W_#
zO6%YGS@b4@;yUG*n{Q~PuM|AK@Z~YT1+wnDSKQxYJi(=DZqkCirh8)c{K&m|@(^=G
z(wdlv%oxFD{__j=%q#Hx(<xE0;OU7@hSf8+=lAW3{kK9*zGyy!;qGT|A~uM8TsU(H
zCqrOyj9LGJKXRN4CP}Yk{*}MrquJ7P(o28J^e>#ZaH_fX!av_yGS}Q>`?+*$JLAsh
z_9vNrnOOUt=|`VPYD{Lh!LHB{`|o#*<$_bKd{ql-7DZ26{xF(DGVGA43x^_q&9{`>
z94nVSn8;zd?7`6+vfTgwsdY~MyyU@wB(a!f_mvrrN;2E;Ulhi8;ozRBddD8E5_f*d
z5Gl7#`2T{_9L%yY6Fdbk@Fwx`<%lhLqR#2Nv0>hwrBA;)#4yD&%;d|kTl91zN7;lG
zIX`A6v@^~L`)jk{Mo#R)r~g)P<}7)-iDT}<r-DD37G-|qUY)e)nPN;JlW_jex(V7b
zVSGmgn2&JSU;FW*i~GZ$&iQGLSI<t!s{bUAJy}rh9Yf5a*#AbcjJ&^>#z$Um+B~tp
zZ)yD3O+Oz$UuU-7lJU&p{NE?`$Q<$haDT!b{%5-vT)eSDV)KFtKbPL0C&i%rK{Dp*
zt@O?=)89*rZ!Y_OMBpvspCj@~ah;0_CJNN8*=d>*)4}wAmGs#Osa8)|CEO1<oj(}e
z#qj^nYR`|pD_oe@$1<3$TN(Y6Lu7)6tI(U8Eep4OIyvFz5w@O~j3+V6n8YRr+lOWR
zc>Cdh#*cSQ40B@Ye_UJh`G&@g6>iLvW2%xqw8t_`SmAz{ZH=3JGyl?Gv48%@H%L93
zplW-1*`Lp%H$;yKH(cTq&2E17=HHT1Bf;0Ra}$#S8t$~SoLFmkBd2V&{e+}e1*;Wy
z_Bu(iYb1Z1O8Wci;hm0cqH)p_{F`4UNvsug<@oV$p~=Q&6@F$bxAm`JzhrLSy^?p)
zq|%6GD}oyT#uN%@=`4EqA@+aGihmI+Z#?|ez$#y<T-WqjF`0vH6E}m@O&Mo71|=0y
z1~umVu4LAOag%tizFE>3Jc+YVV8YeUHzzo0eG*u5YwP1qOMAJPl~dO+CM~LdyzFJ9
zQBF$20>P!PEVb7dU)`!D^k()}CCLZYk6BW<rM|8=e*SB+!!x;;-<Hhk_mKFr#^_3{
zFJr~uc}t#YS2lDndG>4FlMNzkIOng@id0}-_Drwxmh!UlwXe=Cd$!3)@khcMqn&x`
zO)d`?ub#qqB4Yl}SzXJ@@BjPs(&EOSTbVZ#<}UfW`TwoU)eCNKUSKO9bIfeQs>ZD!
z4OVHe{f@DSi~W83&4*~q3%YTu))^l<lysuMf35M{M~oNwT4UE3i~n9d_vU)zhm7AM
zKfgTob8E<CmJ=POAJ-ax+S7Nk_vR;sKi8suEUI<oVz2viW0vivBvI|ZHzgZxSYLd-
ziPL<oNm$*A*^fEb824+gHQt}R=Yz-RKR1&msM)44Y!aTa#`x@pE-f487nhDrn6tuY
z{z?=6-!X@#vM4a@T=6XJqjh6o(%Kc%&;PydeK?t6#iP^x&8%1V{M;p2cw^qq{53|!
zJ*x|am@63Tl2*@+{kh5`<;K~yM!o0O8EzL$Dq*nRynDm`9}^Cp@96otGGxt)S!uIZ
z$*)Q3`hWdM(oLO*G4oe%ky*3da!-=_&+8Ry42wH9%dJ@bdPdg?Hsv)&x6U$Omy?dM
z{&!QIBjH}`E5V#K`B%gK-CQNkHI4CG(&C_xe{Tj|-?ujJs8(vi8smG{^)0W?mASIU
zc>AjC%7Fak6VLy@=@)+g)1~QabN6o8Ub8+o^!le?D;r|xbp?jM=@SV1bV<Co_D0K%
zwddF6d<%WMsCmzyn^)p*cI>N&Tw}cY-JS{S4!v3OH~ZgC|3t=)CegUnOa47JoKRsJ
z7r%r3;ieUA{SFHjoB!CE6xwl$eM`)>@Y55GKS;cJz36pCPl#&rj!A#+FO{6KX+>S}
z-}`9{6Ss=5pFCk>=84I2$DB9qddR?fA~K1Q`}+5Ji(QURn3MO3p>UO5-~T6b&##G{
zzTo89g;s|dCp@V8)?j3_(9&*l$A)jo3|%|@=dI8yO^9Wfzv;aBwI2(PSjg|FTi?K1
zIc3`U3D2@Vaj>p+>}p$SKYzi+Q&a!;oT`}k;_i(-i*8q}m@vEFW0!Mj&(4z{+f3^h
z%<kK<taJB@&kMo}D|f8bdDk>?&8-E$BUi{C{qdGBb`Hb#9Xk$B4NYK7D%y10Jbll>
z6U)qBPdxFXq5S-_n-60d*5_?r?($>3)<eNlbIh~<^h*4Dxbs)~j^``PSz{U8ZtZ@<
z@Zs776~zl2clV!=+L*K}SuTd{^Nv{Iq-%G79J|4?;qLhz9(JENRxkeYb7$iD-G?^Z
zU7_ow#w2@f!FCm{)1u<iE0aHqC9Oz%ym$44`x{$V8DD#nWX2-hy6E^CEpLXT^GTes
z{{uXd87?sPGC4auOfpG&!{Ymdk12GGmMcSI5>si+r2VF=H%3%WkZF}Y5RuHWGFY~Z
zafQyGB`*cf3weL8U%~u|!M?ebyW{-&2D_CtzxUQ}=wD}aIrnVo5sCPTtC!6_ykjy0
z|6;A9D|F<O-~9JEarV)VH^&d^)SWxkyT-Wh<mAt*>n%=1{$oC&xp95nfk!dtSJx_W
zw0W<s{lt*5@jm~%{}W9rSB8E5@!-*h8rk)y_eC^`@+LD{|9)53u;}xN&aU;fPd6m}
zo^XABhotE56E|)&aIq$d?w%p{bA{x`H9Cj6SLyI6Xs^;)Be8<LVomw0H#=?|v-okR
zYh{D&@ryIQ96FiDBXlC<`$VS80uoUx_1eGf;0&+eoM7AgqhsE8oeculZZ4=<<7T&7
z>zmY$^v`RpC!Z))<P=yF=eOEMAZ9lMcc4H_PmDB!|KFOJ?<>OIq@SFbyh78RA>qh7
zCb3U{-*d;VUJ+HXL2=EB!jDWhdJZ$K@a|@8%~|R3<3h~(Ml}<Lg>h%r*dNk~nfSW;
z+=YNCclAyS2&~ByOHx>sBpBl>pt5^H$;2du1c{g%D^^4$@^?+P{}d)*vr7HZjs534
zSJYJ~#x%Uz*kChz=bX|#2e_LUPOj~Io3kc2vZ<h*Ph!iTM)t=iD{cfHnE05fAw+&s
zA^TU(Ft*QoR=WOJ({yjj535fMQ`c3-7AaVPdIA;6NgMZWTs!&k8pEe{$`|Ky%-p{t
zuClN8<Jp_%r!(a4X^>Wye0bBSXNA=hhWfKNr|aKj%3M<!$?`b#6OZK-rpXOj<$Dgx
zJ)bbyw$tzsN4Srk#+&|GIsZQ_)weV=dLj3e@9(*r^M9vEL_V77Dv?<Bc;BD%H-n~1
zU41j%Hs|b*xvNujq<iJP6*h-W6x-80;V@%Eo7Si3q;og@C-Hud%rj!&v+C0cjqE=k
z{9@H@n)PR0NYax$bLQ6ERZ#}!ai@MytlDPk_-BnlNbIMsQ>T9Ss~i7{&RKlz{4GoU
zSG{H@U(Me=<9+Ykh}g5Y)V2SA)K{wA6jz{l;>!HCY5k0A45$8!t`^+1sbdq*Vz-a4
zs&39OTvu!5nQ!>))x%q5TdqIZ@b=8Ly}u?OVGJ<7vUY0eX@TYI487F_?%V(A+N31C
z#xQvLHN`hO!k67?{ykk`^IF6C-U?51lGfLJ{kKxUxWgju#L7RXQqt~Uoclzh{${J}
z(Z7K)3-)T)tu?5!UL*JOjhUauQ?XYIexG<`+32Ia&agdc`K4Q-e@<rnSZ}cNi0IR#
z3x=~8{_a_4c&GBu$CaN>oKv@u{dwy3YQxPdOy-rIcyj8=$?M{;*Z6#~TVK6?!RHMu
zC&C`B^pUk+DY0)&&CkU@FZ@~XU!A4!r_<>b=DtkqHSBBaj<T!}=4W|*LE+}Qx*JR@
zeA@rrsQ<p_qSE8O%TE}*{@$C&7V}|pJ5Sf`M7{?s_Ul)9-}s>3(;0pCrFe3~jZ+&;
zD;W*fSIzU}kxbx@QCxJz+>dEy6qnr^-ZkFG*gHZa)_AYqG(kg(_4lc}8;w60Zctm}
zeQ%@b3N9&T?FPOc?hgNxzOj2&Mtu1Fpt}3Z8rEcnZ(C+;o%pj__^{5J`mzb;4_P~=
zu9+Oo$<F#R_Rs&I6}c5_>OV|RT3ve}D(35tS5mJPl2*ksbTe?&F|D+p+<K&Ge&J$O
zAr>Ewc<nba))Vd?J9l!6UDq5l#sk~Gb|^5}Z~Y+9`ksmN2KR%`R;C{xMXy<|@Qz?w
zQ{Tt5wl01Bj-s1uz2Bbu^Wogw3*GmhC|RC(b9Ci}CdMRzr+?1AN!xMRUVm+AsoU&>
z0#B~(Ix|_|%^L6j@7}H}`!QihYpKNiJrlPdmRRduy_N0%pA%_GtEL`*$Z2<N9bcx<
zM~1^63)6m|`&M!4&Czz&)1Q*wukntHTVen4qWt4VAMxLhr&`Xe|G&mN_WefH{-iaf
z@`q>iB(1F$W@<~CxQKOa>Gc1pT8!&l_U>8v`^WlpcdJ>~4)ATBClYn@qQK@gT5<X7
ze75pT_!4X1^dzjc`P~!Qx{e0h-4k9$E|5OH_EhxAsyS<X@=8xCsI-_>z5V}jx!p#g
z>Ct@}n<t&G@7d&hQ7QD#it6Wco%h@S?AmbZ&GD&U@^u*$f1iCZXSUe)Ck&_8dT&3y
zpy7n{<aOTF_bqq&++=v!&Af4a*1hRo#%pHQrmxGg|0vYBe6Hzi0d|?g2N~bbH|^wD
z>c+A1&wB6cx&kV{XBt=h{iwLwVA7|f87J43eu_V7xMlIoyc03!I)dBYg?%yzTjza0
zR@Gz8{x!{|MpDafH~e5sTIaoXchTJKn=(X}a9w+8@-%*>OxW5F)h9E|WUj8=RTq1j
z_3~PuymE6E`?aO29Z~OB{fk`hv-Z`c@;zHmu$%tgnmfn#%DU;y7nk1s|L+>_bnk`V
zS1DfGo@@DYm*ZCRr+Pnmk9G#6?3ldPXRq~2TfXU5p{0BB*VgX*e^mN#(w?1h%S(4;
z`ELt-ZTh(C7~@2>RXTZ(9<0B+@qp~j2iv#aUTz=R6tw2U+EWYFBsMU8TfW_VA_MQ-
ztk2s`&y}t9d2JQR(fs1+`87US<+`7qmbY&XJ=c_We!u^^{^hs2R#dJj-RZ|L^Vp8&
z4=3yX$gTIj{<h0<&Az?U<{jG|rLp|n<hOe-=cx)Qzh2{W_3dGyBLdrA{P}!3Zbkma
zmAZ%gSF>BKiCH0(yxP}RE@_3Q{g32183+1T%9d7$Z`jNFzv^Q^bxd2-;f{y8);bMq
zE2CHYonIkq_lEPwrj6ozUiCd#xMs(z!=D})*8e-ex_PB^*UKk&CnWhXbncY<dtw*E
z#1-OwKd#DW|6Ka-faS&?>(f3gl|2#rzuJOzrcGVgii8tg4ihf-R&9u7n71ZfCb{N6
z>%z}-lGeP~@jEnP^^1pRUp&lCh}oMIuww5+mR~VPSKhq2B5mUVC$6sa!!uV%$lt4c
zvMw?9poQu02Wu@3bIqP5!qOnKK`nO9f3p>Hk4S7>6Kf;<`(OM<!H-}1*2o=QaC4T2
z&j#z573s~f3>Vgwr?8YQNK5>+D!pCsfI<DAAJ=aFIr@2B_>UD_##1iGUEKJ2m7NEN
zZ0xy+7=bm;b2eG5$cuf#nY+O~A@;xeO8&EJ6Zu8ESNb}5ty!H}vC2n<(a|ABe?{lN
z<^z9jcvkLi?|-qbG4=hVHxv07DmPra{$@qjkA;mVL>Ss1W@Z2T`C`t-@`a1et}Nyf
zd;jFpgjkN|kE~y9)<%lP*#A7G@|{<Ng*|(1t}X}Lzq@O5s&=oiJ;@fmI!AhH_V4?j
zM0pPc$^ZZV^0$M3%)@}s-`3{*So-gt@`R?Pdjet_uYP3uP(S<luB#2#W9*VnH*ka>
z5!}VN<B-Ma$eWBQjl4%!wP!WN{`->5@NvbVvnzg8Z<5gdqd4oA%+)n(GD9D6d|}#f
zQMP||(t){?89LV_?src5CzbYZZDK(T-)e2M4U&}%yH_QCUhAzh^Yl$-!xaY%l0Kba
ztZP)>*r?LAHel_yE$JNsGbMK&xPD|pV$6a!&n_Nv;Va|}I5x-c<jfoU8e<vOGW4ZL
zy#8e=TGJh~E+ni;XXCH^Nvr+NuL*s=)-N|Mc13>9n((`8k`EX=+cJC)TOGb}P3|>S
z!PH8Qyme8(T_hgzNj!ZLB=K^+4R_L#R+h*`G3#t|e`+pYF?q7eywxXdXRp|=@x0>W
zw%`4&8q5`Pw<27X4^7fgO-N$a*4a?DNxHs4n!8zh<>i#dgxVbp;_nZ%B>b;mktM(W
z!qEu@lB|p>Kay8Aod5AaF4lnIRm|Q4YWLPQylLiUPX5m}e*^blo!C{ASDks5Huu#N
zrju(te?MXRKDU1U-t}IW<0nbpHsF}VW&V?aeeTXRvo0wyi2q*u`Nn^p<*!vZzAHTb
zD8jg?*}Ho})Sfk7!R6{+n$}--gobX)GF<2R_hi=cHA&I;r_SO0)Ad0;$2@vZ+gH_!
z;Q#;s#mwELxaZHcJK>vV%swRaa^2+DsVgG?TzkI%$*soiuD4r@*2OG+Gk4FwYcc8q
zuh;k7syQiP+v;;;z2~_L;`V<S|6DCO9ea1JXZiFM-mxivJ7z9>^GEB`zO|m`A6~Rt
z!*yx?<8_|1mn?dG6m|ap0m}UU1W|jx))xw2Q+EEcT<e+r{zXj05A(AftckJzf9uPB
zoM=$KBYXD7k9Xg^j7wkR_4)`y65oYBt+K20J~k|<-^BE7ZCK?hZ&xmkZEL;HuT1It
zIPv_3^*7ggTcyP8X85+&`}8_*tLT-<8z-nqvAq7!U%4h(^G7nn)(Psz|7O(Nt@R9x
zoDj8fy|-UN(yQ42Cw`t?o5DZsThh+s%sZ2!W9+`H@ci~_=c~lz6<&`SS9luF;$Z9B
z#+Y<_o!1(z6MBcwulL;k=<eSH=A<njrhNQ(l#hA-48{*DzSpeq{9`rI^H9<{FMH|E
zS7HmUYkV~Pk#u49?DbypR@Q5hr!RbZbWQT9shvf|9qZq`TI0Q0;B3vy({-)9FF*a(
zIU!-V);m14oo{Y_@`1qBA9B|COmE;9oxSn)TJN>lvwuBn<&FQes;2Ma`>Q$Yd_qrh
z99X9_ecOfC(`6;r8RgzIymx*6+x$q2gm%8C?~iCiMBRONF81`C7uWXIeTqt2<6W!E
zv>^GQ>KdQV2leM|kUpFkv(EQh{mBZ+YxArA&#lYd^W7!3vSHGjd%WRA6~=d8#a)~K
z`}L=_K3iwLcyoPzc&WOT!2kB2u|L=PzN`Pbe%|l3;&1N#ytH+#&-!1}Uu|#Ut>2~p
z^VjRCDo@{~a1^%jO?_v);N`Y;#!n>W+j-}&f77gExk-BdI-dqs)72|{Z>$uqnenRb
z=l7bG`rlsqe!jdwZsYD1<{QE$C~KGfd3i)3A$Wa2S|?}toSpL<LS*0HWr?`5XuUwp
zTqfm|IWdcOZN1PO{)EAGg5TeqWj9k;82DEux5m6kz53@z^3P?5J~y!RO;o?XaixFK
z;(xu29rH>z|2+A8Vc*#`e*T*n{C_^0^|C46V*856`fGf{F8da)crCUvcK#}x^*-}A
zt+CnqV#U=ztF&%DsOe2!Z+-ni^1ojPr2jPT=Hj(p<sG-eYV#VKub(!l-#zqmwc(qU
z(=1L(?O{x6We`&DUNCE|#rHQC${($AJ$@kcBi|~w$7@`VABg?Aa?uaQm985XKB;J9
z?)<dcS$_R0`=1X~&#$p9ySZxK6Q<?s15O`|uDaGE#2(WT$+cxgKyuRJ7ateCw%o8V
z`GDAZU(@6RRS(!cF8=(Xf1UqzeTJEGQ?{^F3hFHGxWTy2&zoW2w{?Cw3s(MH?eOr|
zk2kDZG2QE2FSLc<nBB~Ae8I-_m7<#u7xpzPhS$8-eDk(Lyl(?<KjYfCzk3=ak|x^K
z-biX=eZFRu&quB63%vSH_#~~f58u<s_;|h3Po1^?b{nPhdtK{RE?RT2JbkUl?zf<c
zPo?XTiaQ%;K4yG5!QLh5B*%6Wffd*OeAKEGIJcrpnB#fiPmb5?O=d5-B>Z{NcXb9$
zj)gI)EV)cc_8YmwIv8aR$S>1h@xgAT_WRc_eyr5_voiMP+?t~+bka94ADvlirBWvu
ztJ%8$2E&c!K=IuxvOC#?Uo&+6XucK8$`W(0Y=$f2;+VTJ4qsv!T4Vn)N<I=kQ@x>=
zG4_95jGgX=!qp8j5i7nWirzSNerA*XzY7-_wPG12|6dV)fIB8@htJ{TEBv(fyt{Cg
z`9_jcPR#KYzHcscy3gP2b$;WFV@XqOU;S9$Te0H8p7y+zDW*4)|JPpFdv;|%SKa?B
zJ5Dl6t?)RUw4$NE!Snl{%lq16|7-1Y;jsI_;iSZwlg8ndw8}_~Z$-5E?`FvlyC;U$
z$!&OWf-$CmBV$!yolf$`BXeR;{#{osy1!B4#?P3Ijc>E!R;;$!Qu1%2&)MnryJA0a
z+*$7toO(-l&zjVllOknruBEK;T)O|M#Etn&_O6{~f3NnF!m;%pMN@Tp_N;3SQ8$UO
ze|tmnMUeJdPw^PBg`2NEzLCAgedX((Pu0s0O<g@X;D)>In<;toX1u=o=b=<uqxQyW
z_H`#C*w%P>*QPdkZ(Mc$M26n<g#BOVy0q%7^Qix%RKLcfINY=2p3B>>p_^=2*Pkyg
z6`RFmzt-dW^7T_!Prnheu66FKC-?s>;#Pci<)!w%-<4Br=TCbOw#H-rYZb=n4?eyA
z_~ym}+lMj7c09MbS#o$y>d#G!dLo`4`!>baE_H`mE8}|GyH7sEtoK-cz9IHQY}ar5
zxH)F)H?P&5^@Hz;p!kbJ8z=0vI@Y;;B6t10y~f4OFY0F6o}Fd3T5^J7{Y3jKF|4U_
zi*B4=<MDir$MV}VT~=4FwOMaA!RB0-NCQj7s?{5>%#FKR#L(Rvcdt{}zjI0vD|3fO
z-8zq|pA7dKTTRce@tC}rA?)S8<7+*IlJwVjnlfgul$BfWd7>ieABV?E&&Mmn&h{Mq
zu_EfvI?pXLy2CgBc*0jadqv75%j=Ub?E3Se^wS#4igxDrYu9*9S?96*S<;N=6vp+F
z9LsMQuk)&$zhc75(61F-C+2-#@0HxbVz0l#>ybbp%c{Q{7rsfpu+^No%V=#<L?n~=
zdY^AAqAS<<Y<pEFzq5bEkA|<;H?QzMJhf@&368%%#nXOB1d9sKTjz5iCM{;pdY?@b
zobG>~v{hNaF>cE$?<1_dTr;Nc-l(v`cSFy$c~O6o{(YD<aj*DKLyON3|6EeP@$1a_
zKOeM1`xdyxt?^iXex+2mq~n{lUOEyM{%bwv&&Ub?Jn3wofWVtIo-viLV$NTin;rKe
z_VYT=qLW<Q7d}<Ty;}VF?+0&n&S}3Veg3h=^Jj{L(8+Jd*Lt45ZuW6OrAF#H_g9;y
z3RkYv_|_J2d4EIY#<tSpb93&j^YZ$7(&@>1j>+v0=4Rcrn6TFCrQPERE<KUUts-Uq
z{{6T#JAJ~#HD0Qn9!f#(N$WhG{@=Vf<3v>Gl8nnIBZSv^pRS$TaeB|MhRFF3vj3Xg
z|GnPp<-W<6_?G9**VA6-UHf%c`HpSd6t?_0^>MFa`P|K+NB@4*58dCe{pcF6^M5Uu
zv|6v>ySlS|`|9$JRds8;cAZ~%azo5I%_s9ttouBp>BbL_$9sNUJ^t~wT*2$hHXmw!
z`953ZkPyo-x5pv0X4a*1la5@8?&eaiSg}febEnP+#wM%7j4#UT>{hTHpKxQ@3ht^N
zpF^j9eJ<6RX!Grd&6*#dnPdM~9b^#8j+wupFoB_IM)UO#kCI+i&R=v>mV4&5<hp-<
zKA)Vu{nw9`=9_;$NnUMxcF7?%ruy9%+a55+{P{B7e4-}XB<&Y5zklv53^*xpZHEuX
zYDV59qumWWX$>6azZ<wJSDA0TA$gqX1{c?t4>22)qE=Xa5SWl2xsY?u#rDIW7r4GE
zVB7E^uG6`fX~veT$v-}9vfaNX_+d=rgu-Udq`I}i;{Q7=(iYA>yO8m9#Y*0X-xjcM
zoUq9{XZotbH!&|-(-&R{KXHR;+QlTRJ&X%3Z+tkRDWZ|#=-=CROpGt(V*g9sWKy}X
zf8+1lU5mHc-V<$D9wEF&{QS?y)_ZJL+E%Uza^>o1+x0Ydh5h-JLE87$*#7%-pkrg~
zTHD+S8$PbL4gbWEw=yMd=6rkopTFkt9q3tO{dW5boAgsJ_N**cX1I9#bWT?@Yu&vI
zXCf>btnKqJ@E<#{t%)T&?v~J+)#2%D9d`a*k&`s(_L>>HyN|U^=Bim6@i$J$eb4Q+
z5n&&hfBok=et754*dKT6H?gKKdeNRV@z9@-i(~A~)?VJ<;GR7Db8Uiu(w_x&dsbBc
zn8CegZRD|~Kkimd_!*NesQ7Yz{hk$W>XHTfJ0slZFbNwmom((dM`OLuzX_|39{YNN
zQF(^^vy}n;wi6cKZN89X*l^X{&UJ?JM&TK+etez9sGY(%r}1a$jmtB%_AL5)Aof4k
zf~eOCNzdE%hTph4;gxA5Yv|IynPuz?l3M@GI1@gZrKEXB?B+GWW!+Ni8P^Bz6_beh
zZ<n-q&;JE)l77CEJt@h^@NRXmeEUxkw}~MYFD|U=y?9~v_ca%eCauu?)8gN~GWP$T
zRl%N;Zp_AZt1diVVYQO;<HAMNPk6=a)<&$~-pTcN&E>Nfr&%irU9{qCIDSD${Nkak
zP0WvGG)tWPTgkxOpuzqpM$B(U`}#(qV;fIh{W)=`Z6$-?hAki4n5+LSymz!~_PWSf
zhUaHGuWO8X9LvD?a?Qd-{$Ixzx+JYk;oec-A+Y9eSAQSx-)EC291N9tsK>Z^M$fT*
zD>CYG7-uY9!?Zw^oBwsgnWUAAOzRkD=zd(esDqJPu%jS8`Sy&}$$hIb*+0c3)i81U
zZYbQi_WEJQ8GWxNGBTW*c<VYdOU(P^u>TWo^~%iHetxArH_Q9xbBAMB<YpgHVdyDo
zIloKrC4*fvOYDDv!?BDEuNM>_+PWgA+KEMzVKu`RnM*5vcz<MIKQilNMT6-133pcC
zSew`D+hAFnc%zBE{>I~#6OUe6YyC%UMd9<sU;jQx{x8{}VtaeN|B*EkCRr=i=!LD!
zYZgjsYz&B3(KIvI{I8}VV&jUg?xx7l9|t>h?BgCa1vP3U-J3BfJW*;@0rQO*gQWkL
zcU7#LxOoPvRRm+qy3jcr{8v0ReLeBavqv_)dp3kW_TLb>=3&^ee+|)kH&|j0?l`_C
z{7_wkwAC7x1AXZ$XK%c|ejc;dn~R5!%Un2IpTt-tcHxM9_Ud()I09HMMXqhBOO@f8
z9b;Pm*v)Rmne+`CW_$>pb0gM~-)5$~*rbWiFKk^kPuwPH=>qL11wwl#&8c0p!RUnL
z;T4@qLKjyrd1I7(gkd(%W`!H>T#~a_Z&_7+b<ff_r@}vR$S%MAynB89p7pWi<tLTS
z&%Ky^+R|!GWYpcc9^Pw~oDU0Cb@DvFE^~fY`kyti*Y3_e&HLuzL-otbH#c;Cz5Vge
z-|OL<cC4S+b7PmN`;Og{HuUZ|xOQgf%HK~e#4daF-R>uY^{VNURn)IfFMoGQ=Kam}
z5v#pT6yyGV-)R-evwBU|s_hS-&7b8nS<rD!?Eh77R~XLk>~3U=5&HQ({)y1^53@I~
zj+;GWZ}{hqhqF5bzkXb?Mo-B3<R$NguO~F8cP{mCV->!XXty>tj_Kp#8?kF5x*7lc
z2u)(z(PQqtE+g{qk96)29#iU)PJNAtdE(5Qv^IH@=EUyunw!G+)<jK`37E39T$}&H
z6~mtrd28a9yWEnv#&RU=&rePMH|w<4PmKTBd%vf1#nh$uKVMKj%(6D;aMGV2_D?oX
zT>Jlr>^a7X{TD>|U++J#z2MWtsn>SwQ=Y-3^o`-ykBvVssxe&p{r|_556|2GcP#k$
z=f|l%1uLSC^X}@%l6$fLUh;}4ozKsm_@ZO@7!-1vrt%hXnNGBdyQEUn5LS25_0P+U
zlT6GD6hCH7u;b@wNxI0imN9AJ=f8?}EZjdjW2!&i7h&;CT*#~%Gmk}>XX3lq_X{RJ
zTN^)r&I0>&@$p%YCmQ_wF+ckzkIdTW(`)lC?cd4Rn)LT)>8@KVHbh?A?JBHQx1hBD
z1cT@ih0vsbKdr*QIt5ONx!N%CTPs5|f6UPcjWySHf38=}*y6urqI%c4-E9nCLqBzh
zY&X6B^#A|0zc>H?>0SH3Z^k!`4Zq?a|M(%%dTz#_%~O_Dm6rF-_!jm5XX(FB>-?v5
znrytVyKhZ^YVwKZO;+J=CeP{nc})KKg6S*G689`<IC{X@{@ezQKbQQ)X5O;@d1+4l
ziFvE7zaEZdxcGb4I;Znf7Ok(G)!wsU&%|rxA7@@Xl(s6*P+-I3H$F2KOYc3r;ko|`
z^LaDpv<pr&_hs<i6Y+D_p_m_+XYCX)+>m_j*X2JeERQGuxIAmZo3A~)q&Jj>PG*wb
zVetQ8^8cCcyDYafRsXtlY?sa|SE;1@A0BlxJdQ5m+BapV=tPF>CeB!in7vN3S9Gs<
zRr+y3+DV4i-}j|<ufEb;G4s3l@1F}kFs?M|WU^*k;d^!?_wE}LCW-dd?eI)r6(XO#
zU|!sW_I{Nefz5p}l{-Q{uTbrt(D8lq`W}W@hV8NcuX#=EJiE##tL*2G4YB_h#Z)d7
zH9dEJNA8ZGT|akZ*w+92YqoHQ*@qQv^)prL4m@46;PMCd9hrGH-{-8Eb8mMFv*CwW
zhJ_9{R`Q*l)RFY#x#>X;H-)72KW1?slU{J}gY+T?#@8F_9#821FSBFU9i1fE1y*%C
zwjKZb$g1vO=f+Kb+Hy0meY(e}yTZ%r4flqGuA^V%&;8!9Ym?6Y6AZR{%ujM$I{t&3
z?<QBzk4Hy$oU2@s)SvX{Q7oTkGXL6)nAJbFcQP0na=g)5apK<Li4z5sew_UKW`=Zf
z<Dz!KAE)l_xoKEA<BfeKL*$Gwd!CrrJ0?A<{&AwLQAF{Bz;^wW>Tl%tIm})tes%N8
zB00<BJEk(O3-{7xsO4VMoHTp!W#Rfa4GPa!C7zvl_xb9I&p(eU2`cEXiAh}>bNu2d
zySSAh{tP`y?B?xj!sdV5npM4L!n&9%*0XePaz6U;-k**8+e#~*Lywd9{P$k)cH@H;
zu37h1eK~tz^UqbGt}G_se!t(mV%np>|8=ETW-_jxu;SU8*yD%xe`J|eWOc23g0H~-
zP0aQSuXV6-zL;5f^<dJ2AHS@xP26^LMOM`&L6#l7R@WAY9^KjS`r!X$#-7gqH<~1m
zw=h*rRkp}klT`j$cooCXjbeW`y3OAm7Jg77Y4N=DHBMWfS*%(hDyVQJCh!yElcZpd
zrd2mSUf9F`(^5k1<jXSt6%n5|=v&oom~(b!y*snSg*A*b*t<T;hyVE7VAs!b<A!~i
z=!&BA9~HF!e7bP#5XS<^{~KOiIL^(p|HuCgEE}xtH?YJ!oUteC59fq6Tz}WZ+*lEH
z+;oA|{|V0(ZZ>>0tzQvecje*1|I8<*O^{L$TbKAfjd4Y+`46TfpEZfSdsh5z_n)-p
z|HB6xx*vbraqVsdcLTHRy~ve8pD&!~W6b=)KVglj{EFCrk3Jh@$24=xUt^iL$(5mH
z4bzIGLk25i51m_+sDIRSMe?DOYZLW1zM5e;dk*vc!!b8kWZ3PIX{dd^#<Ta|M(*=7
zbG7fS@%*$V$uDwc{)sGsi#z20c?hiLm{gI}us%v4rbgiPyFW4i8?>IUe9?Mfee}{j
zm-jtdRrGP<%e=ZKk3^ptUG;xDr}T3P?0NA>P4W0fF0SMT7CBD-l|D)OtCJ>KY8!rD
z6Y_lTiewMLOA580G$*WgN?o8`|7L^iNhZZz(eEZC87<Y&Skox*yfNAIccWO^u9o_Q
z<p0$hk~cRB^cyUGB5`u9)6E89tFjFbTA9`s?ax_L{BzaICz`%13q-%|=~#Gv2XA{)
z!Ri{>{uMVbhHPGFuT|E_d465~?P+TkZT`wXo1y*96!zM<Ic=R1E6eNu+|+))#3^=~
zVj;tIpEvjat&6(Ve<UvM|G#~6ZijPBe=}$2=AAre+XPl^-9NQ{cZvY(`dRt=_fGw;
zDzxf#i}*H{$E#9={%u*kan1SphMiBm_p~~SPg=qJmPy;|!V?MRRZ{*E+-3j%{dyv@
zcuoKOP?rCy5@&Ze=l<LnZ`yxUVvCnM^Vdxh?|0W^i)~<jDe;^4vDHl;>!nueCppe0
zdQ4jpeeZ_e=L5wjSoGIU(7t)-O*`YV+R)EyqQdJZd2LPpGBbP4EyJdmv-|x*S8m=d
z#BKk7&)jeNN{p&1x)$88_e=}hWTCiYUfm@72!{6k)2{CJ)6$o_c;c=Yx2h3;rMvO^
zXl+eVQB&97e_n-7)ydxT&}6Zy@p?a(=xJ+w^|g1rto!%MdZJQo97FYv$*~g`eQK`k
zKW4M_gbl;glZVt<`TwbJ_<MZs)ZJIs+rGEsjF&C=$`!Nz#H5N16VLCsy6<EK^A4YR
zJSW%eR9)o$_LcU}4f^W>mru&qomHx{);rv@mw%DzlL!vhg<`H7H}0CV)$S#S@%ovo
zo=8Zp^IiEw!vA5<##!4>GI0NWRUK>haK_J_UpKgj-{V}N5O~GflcD{&e&t#N)#^3T
zbFa^j+P75e&XM;AS=1Ti-|kub_uunPk1lOw+%P477yIMMr((}`{`vFjdScRwhDTTD
zbZR!b37($L-2LeEf~&TI6{)gSnXIe3nUol~lRLldnG(NiikSG?=)KzY3y)q~6TK_^
zjrX^IuYONDb99G8=${T=DW&%-(l)TKP}6$DF>CGY*IRrgWN+D&K6sNAHbHvx8viPN
ziNHuD>oq>>{ysQ;m}Q06`wcRa{rgw>m+!f>F?ofr;v&J;#f@EOR5`XQMKZ-qd$z`Z
z`@%Y_uodd(U(D%S>v#O*KdG{wq`wVCjWYK?K7Mvmn_+E`-O~x_wqZYh+`J+1;3|J&
zlH7&`pZwQ(nyuhbo+%<}_kX?LrGIOpym<QJ)&}idyE5SMw53;-Hu!6Muk-r0!cl@{
zhUV!t-eDIvZJs14pS*@)bBD<6iHnq%@t%#8&|Tp-At{_$d`)mI*Na%4|G_^~1eV40
zy*R7=Cn<7gi!y`hqgM=;hK&=xuUTO<d!>5(1E!=8D}p!vsyV?r`^22SRc_}ONt|FT
zy}H@%`3$G~KO|Q9Mlip;ux3TXalR9CxmdI>==@+zIv^_gB*}qc>GjRiPbO<TzPj1^
z=c-_S&Lj`tn8VD4Oyy=Pox+4qoW8+3sos^nzpRn<_&SfWf9u81wlGxHep_LCeCOQX
zKNgA~UAVmR<hqZ4|Cg!$sM+7j7Ocu4_Iqv6S5?IwyEk;I3pUu#_<3*F%=2r4vj11V
zkUhF4D7&&j@bj9WsQ7#9^G;5zzOl}3yV*A9M?1>nR%zJpUgLl5=E_6G9ZGTQ@+LBH
z|J-tRo&UbtDE3;z>)-D1#IZj9yUB!OU6gRr?;T4#MAs<p{>1S8u-5z^AN&K(zxlG(
z|Nhn)OFpmb@87u~_T!rV{%K3U@0{cmKl%69HS7JOrw07I5xX|%Z9MnYH8Jb7Q`YWE
zf8NBnF6y~A%U@k-aiI?(>KXnv{}=nKCn*14BB3NP{r}E4j~dm^u8I2<8x-Ws|2XuJ
z>&KXgwdL8_d@paRu&?#s{>!yM;Z{Y|z4bw>?Qa^D%~95>wCGy-wtvEc@cNGj8~Kud
zPq^`swWCNYY~Qk_Zx$)eS{qZUy?>+F&7~*SK5gh)Wox>7fz@&2ncVt4k4`i1{!#mR
z&w4BCgR6J1_y0cCLuSpI*f>?L`|H;R-JR}p=*^OiA-`lopD~_LxGW#8@6@q+Y5JX`
zOzX1tcRCf_UML!S#HZ%_8vlKFU(3`_(@I<$^nITnXn5oHr<qIo&F`+7#`=7%|J~K$
z=J)rn3HrJ&YTd1WOBz;wF?pK5E@%6-5PSWt>prruFJ7MB#Ug3+F!IxOo0Y}=>-_(|
z&dWYj{%wu_`&3o$_5R;q`PtvQ`-f$rdh#0o`~UpxZ~d)X6ZtE;eAo4|wY_n+m$qi)
z?O79ay?$5uuJ3DtZiTKc-xbe0cfCw&e8Z<-Yi4*)Pz$m;TDI$dmR#)5gcn<FpIb#L
z8?MRPpVxVN@vLbY?RwuULreFpmPx+VqVjWX(A)JX4Q3~oe9c+wb$8|e_PolDOXng^
zwr?%nI%CJ0Y1-4;H%FhSySFB2w^s$@pV%o&y|>Ogw)^{ns)>H5LVho}v1^8g%ub%A
z&nM*lWIem@Ojg(mt2Yb2C_i%By>(IY3PI8Ni!ZLwEC0M&zH9H9>qS3Kip`9u<6Lkz
zG2nva{TobIC-v5@m~AtG|3^~&!OQm97ZcumJp63-I-7j`KhoC@-a5b1?YHEt2eVh$
zY}ioxafR-zhP;kF4MqMdo>#0~n6Ys|h5G7?n<5%IHn(V(t>QMEaA^WlOeMpE)#1I#
z7bb@0tg%0C(PAsbcz?a!&m|W=9@t!eZI#pJH7Swnob)%XVenfp@!5uh@g0pUZ>ujZ
z3~h*+9{WFfrQ`QKD}T&e8EYTMwNn4+#1mbMzm-2>s9mj}D7@&0>9yAjCvS-1SY3Sl
z-zDv=M;xoH*4W#h>?q`1x!?hJ?4N%>*V!Ncvx2?r=jZq}2QNr7{od4*bYst(iwhq&
z-Q9DO<>!RY#~Y%$R@mMB5woIO{EtS`iCNOGesZX;s(7-)^d{H&9W7i*^H)xsu<z)_
z_&TvQ-uXXQ+b!Ii!x*z*)+Pa?H6BxboVlvAaP<MEq&rE{3l2#CE=yWz_j%*Xe#Yh_
zjMg7dJYFZU)8O^S*A=_e{5j@d+qlD*k^kO=#-o$AP7q~zzfL**n(&G<iHsX|{Ey&X
zov$KTGNHtKy^MX#|CKh8D;p;Bw60{{e4&xwX7`lY!QT!x9!|Qu-p$^2+Wu8;6)XR=
zaD9#azfz(#V1@0~M#dPOi*v45#H^G)KO-l3l`lVI()<m~64xS_Pdpayln`W^#dtyK
z`^Oav^%9a!#az4*e17x!e~vF>)Hpt0yBL3dhUKF}d+OJ$b1Sa=^J?|l6-z$;Ut<xJ
zy4F*?{{O3!ipSPZf4;)jolW!Dnv2)h-&oIns-th$2BsAUH!xke(|vI3W99?5;(stT
zNQsuQoDe;_LNUFAxtC>wU@Hq(^#j2e?gr^n3GoHIVXqH}_HwU?EKR<$Ksez?jK+!t
z@%NV&Rc<(AS|OHn@r1N&r@&{Coh*y~%4V;aC$z#u@uJX8iSkCBvnx2}pQ?WNca!M<
zJu7^qk~TeLaR2*L?ke{NJ%!gRN)9QkkVs#9x&7LT$oPlv4@g`;@mg7Vg-8Ci6MOs>
zKEIJXC@}A4r|Gwtbs@J`$v$5xadt)6`^bea)^u<@h}pfu@a!7Nc^gDqr5lv4Dhe}P
z&0Zsw7}LJOivM9u9RJ#o%;@z>XGK>kKD%YhE}8WH_1PaAK8xC~v)-!sq-KLjL@dJ^
zo0U(rteg1m|7p>cHonOuIRD?9RkkOXRA>Kxc5u^Q6@wfL%}L8l@;Ky}<oB#oHK<*|
zZ?;nT^m@*36PF)ftNFcbjs34wi{tC^Pe0U}FTl5_jiLPczIGL*<qpx?o~;w!HmB0>
z=6aW<`sqjHCn&gjwC`IsOS_UG_vVq$4>=$GIlb$N6#xH7KPl5WF-K;t7hdnr^7sEF
zj=jo(YlPO%IV0GA>-+8N)AZv{TCQ6oeEshx1@7w>`Tsp7O_g-bR)pMSVqg7e&9&*5
z{yzVZ^=JC@xXy@`+Se_@Z(o_N6~48AdCjU#N@d*t@2Q5|J-I;f>NNdw)j3-$KL)Kg
zSn0i?@z=VU)|Cew*BQK2;r+g4`Ti->!>8{xRbiNWbm{9S{xS18F6OKio;yjc_Rs$(
zUr(NvUo-RhI^o^b>sPo~On14zMp%|v`-JBn?llH;u1t?T*HC?9t+3w#*RUO5&tDMd
zW@&Gz{2zKK;)eN&HHl>tri9O#fHMEj$iVRbH@7VV0|WRxfTOcCzHeM35_)c??gftS
zRR-db7k7PVS740E(6D(vv3rfa>P;5GwW4~}Cz6iMUNC_(>)+MsE9W-eUm<V)dcDW@
z9>$qPFV~-KVE+AcjmWcUv&`0P$dlS~xJ#1p!4I`RyI<dMKfeCL46Cw6&Sb`GGv+^9
zwqv^NH|+~rYaZS{%y=UD_r_H_erX?@vEtwfL*5x{%ob#v<P_v8ZQ!a{FZ4)zhvokh
z=NM-<epO>;KKQ~QDoJw3n^zM)FuG4r?fr7W-}KN;CgrC|s}1?OcCYuCe!=PU|L^ht
zc6>Ox#^ar=#QPnaHp*U*$hx-PWBunfqJO@BJMm)8wHcq{k9BY#&Wt!J|Ga0G;lHQb
zUrpv)zyD+XPA`Vv)7F*L%yy~U_i=f3r*o~-+K4OL!dFeJneg}7*55}xlv38|pZ>2~
z)R+ICBUWs2{_4G-KHon*p?1k(Z}mxLudmJc61!=|!}DvR=dTFgb4}{n^p`g`UtHR^
z_fzT4*E;J&RZs0$eRal_?{6oG*(_N*l}UZgoloCVCvjdW+cSTS_|tnc<bE9AxmByO
zs%g*CRXuB7UYSw4ZugvppIbJszISMD#hz%@^i>giK83FmGN_HwUT^Sy6Bp;-6W332
zc%Ri@_2g2qdHTvft0fb6Pbm4WGn4(^kK+@P@|>=o(T`1xU7&k*vh}YUH@IwXa!gn+
zwdd#B$~8)skzOL_4ECm2Y*?%Oe3EC>f`|6{Yn7j`VgISS<aOQ8#64FQ%@T;XvFZoU
z$3N5h*O=DcSUsU$d{dS{(PEL~4Ks8iX0B4M7HElh7c*l8XGF{jr61dWP5rozbA!di
ziStfgI2g;Ymh;fNAL4x{v+pMhUTYGcKkM9#;EzA5(pH$qr!ZbS`7$DT1;-D@L;u9D
z@vbu7xR!HDctS<Y!m7>J8@=9WOl;{}$L-3btHZZIT5c7$<kQ_VCe|-X{x@Ys?)+IN
zSGtI2uj2l;uqK5ue#a7>nMcFchP`<imb`QE6IS*$!ADo?&1T4cv&#F?0<({+*&`-5
ziay@Cd#$qN?g!_NF*f+mx*>Oc^MXI!7m`Z%G;k(eZJ)mF!ke@u0g5aPSF2Yk#H}^`
z{NtiB!@k*TBv+dK-@MLZ9@Et~f3DxDTPw9{636)qy`ep`Uj4pT`b1*UT1oYv93OsM
zIvA6(>q6v8`wfgqt0j}yNo;<>lkdP7Gdm`TNpTL}f@wl?o-p!WUTzu|v)20c=LYfF
zYsJ><t=`b6toS=p!lLQv$CYB0EBHQ7Iw${c_6o6uKgH*-kXoP_n#j9AGxi7fN}&`c
z_LWUXf41>S{+_Vp@XIT+?5<rcnk*5fz_Dhf%0{LOr{*&_zL~IS)<L1G%Ipm6NpcL_
zVrybQuT?sKZ;i*}q>Uk)*C>?!zC7Xl8rl2z?=^^ip1imE-yvC7fot2=GJQIclU25c
z<=)Ax^&7L6{I`5K^(Tkjh1dN5>*sHZG<<%8?dJ<Y3H`U)s}!3UHDej}uUDLFeNyqd
z#DR6zw<a$aXtSKKW{uPH32STr9JnUMR2TE-#<r7oS!<Zq%6+lEbSC}cvL~JT`fCO6
zp4L!Z6Y}oOvMW!mPI4|a;9aA4YPwXwo2hYEmhwM+m1^;Ejowplx%+Wz1%G*atS(!2
ze&3{kP8Ib@0n^tA%-=Uzu6SMW)b(@jOg`l1p0GS_jo?0i74xfmD?YAS7hI#NC$7I<
zFm$QQ`&ZEmR)4#}HhICugWHd9EAX#h<?{CZv=aya-TJN-dE)z~UlR-6FEFq9yFFHQ
z*Q(I<Q`LDEZ;CMad9Ccu$p`X_E_FXp|Fi!{jOT-;{4Ya2Uv#gX8M^7k!+$%%Pks<z
zC+I!*L+Fp#$BSh3k4K#TFnf)l*Ia4)HEZSe{P|Kd^LN}@!CfJ2PxXH+d_F;Ijn&hq
zD$Z*Km+Jk0dHvB^{pr(0ME~6S`)RdWmz?dVHD+GR+0w&AMDKNQpKX{t(fE_h!c*q?
zC%4vZ2s_^}H}a^q=KfR4$urj<KXmTDMZ?B#94Azc-fm6qYJAhl(*NL9>%W(~Tt9CO
zyCi@9)!p9}YXyIvU(0_fzv0r^O=0UJ*9gp8)DiHQr6YaMS~KJAmYiD~=31{4df)k3
zRQiKoXyUFg%cK=T$2)pHd_8s8DsGLC)!~lC-&YFp$HXPC5PEaLvd?MGpS5O_oYuWr
zClqq5<HE!Rm49!)|8}D1*?Rt&8xEU3IcU9JNVSqf?PEs-_l@7Cb%qbt3vy=tTtC78
z$6BGn3Buo2`aER3dAI%vv+D%*HLHYAut}~r*RGy%TK@Ngla;H5ANKEf75=C5%d@q@
z4-F@%zgZ!7l8JSV@cFlEMYR9F<WuAPZM{nP*ulEh!rW}DAKW^`_~Wj9+$!NU>nx_N
z7oK~Q@x*<5`(Gc<O)8$b>3!uIp`G_$)jvBaa(<2Xzq``~wybIP{duk9d0q0v#s(E}
z`+wW6O_=oY%4Ge!ksYe0&o_#{==`{A#_WZYW%btz)qc|Wx-O{Sfbq>bp%}|WJ@0Qk
zQ8p-Fyt1C<sr65vqw9q{ncr?=sqBujDqAn~YLcbgvaj>YR}}oQKHK57-GXz^I-x5k
zEee-?I(@Q>d!5j^+H;$1b}IikpmlcHSO3-gFRLPRPF|fd^;c?u-g=?syPqV@Ub0~I
z6C18|LQ7vy@;I};cB)oorTOxOKcAkOGS}+8p7k1`t9x^N{w!Y>@^k6ejn_9=@3oly
zW{&mW=ZkNAUN7|d>nro8+txbXyncFW^lp|-EUfE=F8^I!zH2tiTA}H`{p_#Yty}9~
zUHM`4?1YbhZ~K>?taz{|GFp9+)c%&_Z_k%4Tbg=_^Zomy>%CvyRX?v=m$ZD@sx?c$
zy(rsLufO!}r$>!<9O~nD$)rA=k++ubx7Nx--_|cWH!&~b`ugedPaMPk-ubD0lcRC1
z&`vL_#JXi){T>LcYu~!+iGuvHug^pHpYHvVd3Fu|wfQ%aZp5w;S}87dp6~a+ZH~K>
z0y%z$UFeTUTDbV^t^MnNEO_^4iF3uRd-l73lvOT?eH^spN&fB~A1i-sIsf|fu8Ijo
zre-T<tcuIqv&mh+t8NX`np4@8Ynd!7ADOQa|8&YXJ8TuRO4kbKC$C?I{am>7_>GsV
zek?Kld~wSL#$EsC-#-|y-0`s4d6Qn{quy(GU$Q1Mgl#(+d3>>+5{vTcA5!TX)`UNa
zHeAp0G-uw7J;^sF&tI>+_d`<Zg4?ryHN~&_b@b61)~83f3Z|`L_5Zzw@zljbUF%q<
zKjGN0R#~=^>DJ<78`rZ2oj%d{qsm%tL7DX$*0~)1Z&oT-OsstKvoUGI^qS>==Kryo
zANg^e+{O#_S^pMrv@&*ygns@gZe6yLH$r~Ff|{jY>K13`tQ6a}j_cW{9p|qq+)I)Y
zV7(`FHSy*Lm-m%xG}C_ysI6!E^>&?;_tbyqV!y5D+a{$^;s5*m!<f}t^4V+oD=*Ek
z{k`bf&jnj5v`#$xxJp#nK>hzji<>ti?2}F@cwbm6aQ2{eUfn8<jXxJxe_JiEA(mmK
zB%kU{g~FASKK{{twAQgaZ}tj>|K~%`?Y#H@$7<HkYwYd+{W-TXMU#Cs&-ou0=l$3p
zzGu;oAD6W@{<@~7p}u;p>J~PuKP#QOmGai~oBUWWXr0|Ke+}OQK`EuzlU64E%xnL4
z;pp|oe=+|SX#P)T-SMLQ2kQ%8^RRy}BKrS*_;o#*bA_LI#J?Es9q;VJ{_VQmD$~Gp
zw^=;qc{6|Mn?GCC7`FY?zW8IO{rZc`p8Z|*{t1KX-PLba89iDf`FhRLTPL|>)`*w4
z$NoRXyt#pO)<cb6iGwV6Co&vo7GASsRX4-sHE$-LxRdkqbaji5=B?QOlgervV;R<H
zy@=SgQf{)=rv*RdtJlh%HsV<Fi#z6D+d4TFV|ga8<jY4J8S4N1-|+X|8u?#)E*y~i
z_kV>~@*4T|K|Ghjt~E~<;L%)o!iRx<;X(O5KN<Mf=|1f@Z(s-w4Gk4#`2SaAIs-$&
z6b1$c&;?rz3<(UIW0;cak{Uqw2qiPDVBqdxXW*A(U}g}DVG2_hXaHS`#1g~E$mo#7
z7-PxC>F_MZH0BZ$uZuAgs|15Aiw~Rn@)(e05?_oTn;;7-ljE+KKu0F!FG(920$B=}
zxOrp585!A=|5wE@cEmDtGYP+ClI*y}AkWDV&Y+sa82j&;B9jWkY(^EOW`>-1j3P{*
z7+4sV#Bd74D8v{ttx0D1_cqBk=|hq!LsB~EGNU9;h9ur3$t3<Hag`(vrbkRFE-~*J
z*4Qv?P-0{^Vc4OR#;|7<!vUpOh9evdCxmzy&M;o!bBPgWxW>-FEX112WS_L^9ZPa6
zCllx<Bae4X+#DK848EyM;z}!-WMi0=mDrgyxta7mIk*@KxrCG$D%qHvJ2`kb89p)w
zEMcx^dA!br>8TaxiAfxqT-!JdJB(QPQ&_}3RxrwQ@vB6!XfoUs<dWxNu@sxg!p<Yi
z!%@m2Y`_@v#*tYu_TL9)twr37Z+M^n7UNlN(aC*M>fTd<haDyy`!BEvt8jf4_&P-*
zMwLT~-6NV=SwX~Q5y!_Q7FQvzzY^+S1R3}hS%i5e#C(lmQWlbMRDfKn!_1<u<RTHS
z@G*viL9~fYVFl<`oi-LmKj^JG3cLSHD|5V3VE>(@Adw`SWFqmKgFA^!fm<O-NlJm6
zPeF77=x!Y*byaSLB+%tLS`5(3byhP#FV{K8kOaA0$Hf75xeh}t!}}Qi7(PXXQqb)>
zB}wmDa<f%L9TlRvVzi{pC&<RcFz_liD}Zm;Y2skuJsV@sAlz^|hAYN}LAv9J!ea{-
zNfm{O46iRL{(i^Ssn3wVQiGZ8d%PCEmx?CCdjZZEEiKhIlVTYDYw2r?D`>|UGVwZU
zOM<V~Q8~rQ$)q~zFef*YKC>c=mU`^J2ab$Fu?%l{g}-`pFq<d_aqx<Bmrvr_>+YxX
zR7K*tfZ#+f(Cs>0PgP9pxjs(P5R~TnZR+fCT2NkySLLLzA`^GeAz@`!%_fuJ*njtx
z&n<{$cq690dYXc!iuo$-i7uVyF8T?xSR6thC7HT_Z`Vnfp;E-8Z0Ie-#K0L;95YGS
zp*nF28?!*{f0eoz298*UsWGc#UNO2f7RLUsN^W83XK?O~iCCz@6spwdDA2AI6UPuO
zz>>tw?i<r|j7gC(#)d&sg)yI%pFur|1AMuT6EA}yUkrmWN6Zl>Z^jrQRR`P5pl6&3
z+Zn~%W0b&G>|}EqoMlM<6wC1MV-on19icpz$ByNU3^9f=lVcQO{|D%UF4<`;dF}rG
zZ@0mBO^Np|N)Ka{L@!xzy1)Id9`IMSx76XK(nY7qjJdju4ijG|>BfBUbX^;vzoJ1Y
zOHfPMzB5a<$2LaA(Z%8TmxxG#D>2?q7nzijD!gMlGaWsRSH?Iybqg@XC_2n!5{QA^
zkJHJ-x><n9;jRMsejKJZ46aPE|2_#MF~u@=h=2BEw(IV8oc(IuWW7$#Wf}1iEbL26
zQ`b%3J*h(W%(O`r+6OO+teYI;dGM^{^Rp*Zmhrq_Gu^Yx_RO;m=cd!|zQia=9Z|_J
zRmgN=UN@OB=DGmOYgWbBfA2e4x#T~8JlnoV;%vL}2bQf{&$ORxymGGHiaptr>GtV%
zhDAXQ-sjpUG0AV3-;nCE*q58haMFQ^4drJ#v#zo{Rb_h4*sK0B#x&_<XNB<XMgAK5
zSt`{fVuBnu+-8jNmw78RBfKLzQ6%QK>|f?ij<+{Id|?pf0Nu2cG>NtFJ%egMt3#4_
z%(VwfE(SXcKN#u@B>(%rvY5#wMtR5N7Y6DRm}1`Un7e183M03Hs7uWMC%#D+cZ+<w
zJ6~K@RQ#i-$k|)-MK?~Gz`IlS=3)=A36l2~uVqgU7LitYA*3!W-Dw$r@TP>2^^_xL
zCv<wmRxOqkRyx?rBM{3er9NRXN6aMFho>g2<y7@x<X~k`om6pDu;Ko8j?WKg$E+6Q
z@Q-~oSIm_4Z<5l>hRattj&n%F_;b{*igAi#O6HBR+^Qnq&}g}yiQ~a((49R>3LL#0
z5=#|d9QzV;hEegr<d|0~{6Y#doEcnWgjVspo)A^K5W|tg{!Utm`9AB@Bo?t43G0|+
zu__F$>=Fknh1xn9nT3*7{ylEE^hueK&FpT{j~F|Xm|ZMB$(|jlXHO<y_LvjHc>l#c
zkC^2h`#Y@#n37l&V!1d^eC^^+`o+jlVgWjKT;P8)!;;R@7@?Q!K@5#M9g>)0p2bKq
zuwGz{abuC3#InDmpWz`($J*Hcp`1)|6U>szJCgp#2;O02GE<Rw#~L&#=}H1CKLfkM
zgcu$#1;&qOzr{?6DfVQNezk1DG$mGj7VaOO3s(5f`f1qIeFAjyxIYJzBxB4>ml#i$
zXl{=Pr_4)CF-lXE7Cho*;AG5v!XEQIW}c&ve)4~Z4`+m?ZCJs^$dYvX!jB(Gb}TV_
z1VUMoEE$rnBpD|$C8;<vO2;y6VvZ5=Y!zH!EXc8lX@w1|OA^b4f3GiG67rb4!sjPT
z%nU}2WQMJtKVJR3wJV;9<9txk`lO&p7UB8&3ZSheKlU;tGc1egOVUYFiH;FyXE1Jh
zC3rfSp@V_TWu^!(bMd4fO$?QcQ(gF?rwSSfu`)C4)tY!C;XK1`*85H|Q8yMf&0NG3
z^MUJ~f<V$ohWiYUlAa_vC3Pib_{3~yaScdHiJ8wD>R_3qp0sd-o`74@p$#Unfl?y7
zS;`&6lSGqbZmdz+%h3Jfi30c-w1>x7d6JVflbSfVYGeOh+E5{2le9oAN%6t6Bn6Hb
zH~$7L$zKADG0_Zr89gn-9>hdhH3?XMN>UZ*>tK5@>+Ob36F8G1*q%%<YLJ@5F;8O#
z$4`z;8uQM?vM|g&crj^ZlE>SaLMF~g6D5g-NeammIX3*`c%&Gw@*r~uV-v@NnT$!-
zIlgh|b3A<)!>J)H$)Ehs;cpCMGNZ5npA36Lw$S#LqEq?`)-j(Ma+K1g*CuIxRBtE}
z)MH6vTQKuWj8x|f*_F;58joEpvl$yRn|2hwjr|vKctR4R`c6hwj~7gq0uf3Ab(a)Q
zIy#0kCix05yX;W7UdSOL^R{7ksiVYF&KTYowJK@~i58_N1tz{WZ8<C&BlL-JzQE2^
zLP;y4R~R!2C259Q*7H3y$YzXb+ONXU%i68T<&pGJf<cjET1*=MB*_*0ooeU!8*Vjx
z<Kz(g_sR3kV@dHtu0l-NLeo~NcknS@Jl^mwso}d|l4dAlr-J8Zc^08HDnf@;MLY%e
zIdlsgVPX=ExgMh?sqwzE$5C-RW6Vp1xrZ1eWB+x>ax#e|Gdf7eyh~zAW@(?3)EFgf
zcSMlwWXD2*i;@g6j+vG2F^`$Jl{U8ODv1ajjAdA8xh|>WMN*o?ja5od6cS@jNc>bX
zl=%Boz=DH0DOutZYf|6wj+=H0f+ya5+S2hrF~&)mOC^bILxj~HL53Zynhr@#f*Z09
zCOI>lP+*REEz{31E%|>m=wgYaRX@TUJC=34S2#agql-gEqt7eJ;c}8%?7x>Ax|jrv
zIAb!C75+U>W_Tv8EcBm)XTy(=b9oMRrUmjHvTk_cDH+LmNMQ#{?1po^F%pt5_H<p-
z<=uCIX^C|VQ!3vcR}FS<#q=Xdjy#MV-(QFy;qCm-XsKa7G0Q7e%#N+Ti7`0&-$xM#
zmkUic%=MSJIz?9OVtG1AbKiwG9+s>xrMFD7kg@nBb3ldrG{Z;78zNs~WF(FV32oqD
z<?ytSi_l>)_KH~~bz%~8RQxPf)1C>dmF{|66#8IjD8QH`!4d4!DH+BQy-nsCmsHY8
zscXy=JI=h2z4h{g%&jGi@p8AOMJ|)MrDAYFSX@g|Ogxh*Rql$Xn7Gmv5%IY*G$#u9
zD&6sj5fZoXQ;89p%2qp7M3nXMzZOH47^{wZJu|bE?L1Z}?h*Rx!X_flB_b~rA;cuk
zbJF9Qa@MpZ9wO|zZj;n{A|^3u3M3f`C&x@m(GJpLn)zpO1Rt}jwDboV>D9b1ce$uA
zTJXPB61u*e`@JXEP2ICxA184v4m=t7OM-nu_#{S;mlg9kcD59qO8U%o(L!>;i-{hy
zW3>JU*sCz8GNd>CVa$&GcTeDxO65-`K2<e&rfQ+55{H##899X&1>z-Q9Ke?&O|+|a
zxgk&@BVgc=n)EAajlmR`qXIVW0uch7Nv901I=aN{i`dQ1z$xGxv#D4*i78$*F6mLy
zE|;WCHr{CgYn+VAVx~WQ-l3iRg0X7C=H_IE=K;q~2qrN%-tr8{NZ4ECBs=w~a?Ec7
zmpQUA6Mk{{bTB#jGdezIaef}d8O!qDzTg~FGK13j1eSX*oEy|)ekI8#@jJAuFdhuz
zn#j?{BK(i5Zo-8a4GGrNISc=BEPL=iiF?A`f_F(%@66Giew%~4!;ZoBFw^`F#vlzR
z2H%eT91YSA#tjxRoJkWodOC^>A3Zd9F2L}TL6l!5iH}2*Q(1_O{k+sK>#b}Tl~@`$
zVi;rpTmI)@amZmfuauN{=PpC4lA3_uPsZCyNgpGn1!6oIG&7337$>ovublYPRc2)n
zm-~!HMg@Vs*-eZQ&t+yeGfn^<pU!?hqQ~QafFHxzIY|pRxRN_2FdQ!8TJG_R<!l7Q
zFW#A+Oc_sjXX{GHu&+GI7;||qUq6?r$I2aoo@zn@icI`xI60>>N+uoB<(bYTsI-sy
zbPV52LFESznAjL5z2KgksqNwC5-1EhK%Hwb<N)<1rrojsZKWQ2F!QXGx~Fo7b2SsE
z&=2mlOrlbr3Os!48FvPWHR|p<WUz@dX`#|V#-uk3$}AVeO%xK=&Um*lA((Hcr;s(%
z)D=nnJbU99u3iG2rtZyf-ejHI4q4g2(uqt8`vm*H$Oi9icv-?beUd<`&`kNzI4O6P
z71H5M+@9YiD}D^#laX{#HufU;G<7BE#QV-$JvDA?GaAiNVazD(+@5K$Og24`soqjP
z(_84Oj~M72^~hib3l)yz8Xr3|pGp;i4pNt@1s$Z$#4E{Qpej?!SZpa*-nr4!L|!7>
z+eC#!vRbN8=(TLEPnYIZnMNV0)xJmiuFAAdW--`hc0Y<kNT@`JL+0XD)7!ZdcYcxW
z+;3=ig?IKPfmJe{ssh{pn%q}qeZI0M-0Y$DOGO6R*izHSds#1kF@3t7Z+4VS?0#b-
z7dF<#rXRal#f4JkTiDoN$7DIX7!;gNWE3)~u;Nde<dIQRwJI&NW5p&1XO`?1gVLl$
zF@Y|D3d{ztS?+D<`kT}vn6a%mxjR|q-@Vi+Ga48Tv`s)qsu!>)GqN|`o|Jiw!Q4VM
zgW1l!(~^Z@vbnu=9Q##IqxZSo9<l#V*z-zEO3psZ^hi*UDgCud(x05$cBz~k{8p(k
zZ#)-FI4#Da?~(MCMSFsXkm7%f$5#bnjFP@GY-DkWxfi3E^!I!2BD?mNXT)3?Za*qm
z<Z^bx3dNkwE#Ql*R=iPUxe%kk7{i?Oj77$wFoq@hf0_MiC5A$+NNvvxl^2|Ou6AdJ
z)-VLIr2eXmIcv#~@X+JLhNPSXz6Y+2F^)0(9ri9OQ+IQ$5QvEpU^uW&kb%>jrRyO}
z?Ef9JlNlBZGRPJtII%D!|GN{*C?ESz=`ln8@5Ql!6U%Mfu6c9pE82Fc<4xJNlTHV=
z`0_BuoWE*&Pj|r$Pp<_6t4eo$pUBcF(h`Pp#Cn3#tFm2D%ol&zUWw+NzFsCYzG&U9
z(p}!XM<*EuTAV1|_0Oefl1BNSzn2oMWZONf7Ckv1<Zz{QPxvL~tFZ~+7tQ2h<eeR4
z_VD^8*5_q=u4c)_dM2nn@n5?j)_dU{!KuGW_r&wgUT^v`YSk>2<>gxndNOmDl+SSf
zxZQ2h50$>lD+;v)3-mOTUURv`C>L2xKl4V#Gx=)9G35<nH=-VJ#Jo%Xx8hz(;+q*4
z7-Cps|3@TyFuY2-yX=8b(aK9Rau~iRX~g_X{wDxBW&PT{my#^m3zx03D4C^NAfxwt
zPVE1M-rdVwxy>g{%(7CpQ&g#FTXE-6Or@zo2Y<(%oXMIDmwP67E!Z!(`pku%819Z|
zTk0Psb$WFOFkb&MxprgYB##;v4(^nv48a>VOh~%G!@1^P3q$7Xm_r8*r@0?Huy;YD
zqhQiG4o3mIq}Lp=7aSk;m?WL8`eozTpeZ08Gke1u>BB45d(80SWJ^uDZ;_;y+_|G^
z#e-=X$^VLWCB!m3UU683E0QCgdBLC9e_z$R{fh0sw{4AoB#|D~XFBcR1c~@NQykZ|
zZvJ&dLE5rqlkTZMe#=@nU*z&zF-v+PU)z=>B})~@o^`G|k#8p2NhIAkFz@+{sgqV2
z&q$D9ly8$dd&TP6k1&gt6(=XWlKwie)6je6(nl9tl2+<9yibygnLFV^FXxJ{j{`fN
zvB><4{h#IBxOXl?wa^4}l~zWF<bR+O*A)XDV*l5~a0?j<#QqOrP_b#A9~~pO;7V~r
zYm>&MJxKu?H%=^naA$*`LDHmSNsJbZhyI<YXN;a9CAsl)@<!f9sT1-B>@!L@nIe+^
ztFR<3SfZu*d_~Xf`y5FVe#ZC+emJq$z|fz0hY3s4Kb084hiVKfl_Hd0cQNxCz6h*5
zAjib8`BO5(4&@d8+O5hj3bcX`a3ygj|2JP0YO!NeM=Zlx7H3f=Z=RJUZ#|9UwON^8
zo!jBC^Q6ThL6?~HM{`y()Ta0{advk)?v7#jRK#JraPgBYCdDZ?8)N_1Gi<7vsKipu
zWbrm;22bq&Q$4Xc4BI9s%P<~l=Q<bDA#-T`i3MUEvWAQseohdMdB>2lve-iK!~~)D
z+%hw6+H%h7;JB2`u;~^D?+ky(<bOZ8ZU`+9;}GIGXrUmS^rt~%qM(6W=aYv_tBO20
z3_?y?`0ecT?I>bY+_dEphu6bN2E_+$`x?G$>^xMw<Go4}w-A%);Tgq@i$8f7&f};N
z%F^PP@J5oct>fnW29eb-idT4>HR{NHoKd30$FV?wfmw3H#T~Y9dA4<ksW7>-vft!c
z)RCrQZNWY11Y?pY%g+uKPmT|k4t<?mywLf7$Pgu?0&M?3n9uZ7;|I%A<%yAukB#IR
zLT8+wB(l`1f}vT$YC*M`Wuu!TLor{Hu!W5RW0Fvk@aaj(0;f4bxxzc1b1gMvVzp4%
z5%It>=~#^CjGDvzNt5fEW4eUCd3<8LC0O6KxT-^FlgCE}0jAkbQi?HMTpxO@JN`0M
z$ZXZ`?A#d3VDX|OSJ>iNjP!)qB=t?jf~yqS0%F>wViZ2dSSIl?Cw4NJbELjxIC+Hg
z>4h1mnIw|FF>j5U5c7jmn3IiFEJ@Ag_-dJ$$rFO^c_cG*?!Lfmn8YD9=fcqhnHcq}
zds2j!b^Nr*?1-3fJeFZLTcm&u3zPJen4(TjEe1<IR%wRh|K2fyNlFhKmUy#BDJ}Vt
zo5IX}B&nZC_~(JW54B<*v8pfyN#x9lnSDX>(6!G^8$E?yv)Bt&v@vzO=}G=K!9pO0
zgOO+Tzek1~j*m7N8|Wn|?bx0(Yr;8};~d`SV?quxSUyS8nBdmQ$@5$2t%nxRLzT5c
z0{H=ANz4Z?E5@wv<Z$M_n#4J6!sjFb1@2uZI=NUbzb<^yaYyAMXFjJyXZ9BEFYO$s
zEIu8W<jKLxVyY=v#IXOOj@iV^O1#1{U99SzjJKFIJ2)AiFuc6JLct>Gm;^5;=WWkR
zj7dtt46=`zR68VMesWCu#Z)UZ@sZ%+4ykV^k`Mk(656m$fSrN!)5m}$-iFtO3MVSe
z7HTU<$B4x|*IL?<{GUxA|0Da26Wt6Y3)PcucBKeb%`Ayv?BG!lj`_jRXDPX4<{wE7
z)f2x?NF+%zyDYL*W^(CN_{ylsr13_AX@a&J(}Y`!F$PRAawn$#5KCH8GKt~No$g4^
zqPE?81q9+fgajA__=GeM8{PcNcuI~XMltE3K#WGrgHt*hJV{q~#40e)c%Tp?bx@Dv
zT8z<x2&QjIuLW7|F-iDI9p15#WdV1<8d>HeoEi*1J8VGbE1yVbWJ>anS;5F*@*qY!
z<{L|lFoyw4Qe^W@-k1&!FNTLP{K*VGeK8mEKOdZ}{DNVRk`hNEE0dHW!;2ULj(nAK
zF%tPwGHm=i*g0dA9y81{<!Em@$z`RQ#C+n=L!Aqq9L%N;F*oHqT4m-hXkN05{oli<
zJT(s&=PYQGNLsaHrjRd#xZ=(|M`8jr1Xp(ids?(|Oc7Gt{gAUk{i1_Z5eHjL4TJN$
z7>WO}|4vM>h-El1;Vs{zOa2;3Zud?oacZ!LI%pUqJx%4^wO~#0$t5i@8l6c#2|7I|
z1GxlLtlW6G9wi&B;@oln#=j+RCd4vu7;-E=BA6tz<8>!vf*7NGinZv{cfThlEoXeg
zy)=b`Yw_F3R+b9I63aTyAM<&`wP=O1!y}dd)doj7PNc-taosvxcj6AmvzcX<7v6L)
zd3WJc3a97|nK?fMCOAD&sQzKj!Q}9WDalEkoBcnlM!DJ2ca{z-Z}eI0O$s;_(O2+L
zAlc!@kqI^3YqTDpm^<f1h@`iIWQ+v!yPFa$9S@&y99;U<Td+upK{%TG;J%n;-?S_l
z8c#lWzSQQmdd|{s@r#UvVptwmTN<Uk)wsX(n<r?s{kgg9|K$F5ifxGEdAcu3MseTL
zZ~nYL4+*I+j9FE!rtx5Y6Q6+foQ+OPzOH?x!V^DH;ADcgg6#5dWpgz|YgU+TQVL%D
z_UH1i?<Q%5uCVYm>EE`l^4}At`*W@dT5!Jks1nPuvg#z$@1@_SiHbhq65Xbrv;50{
z?@~1fZ?hFPFKw=?n*W=mqPfIyCSySi$cdYbrZ4?^b*|3G5SRDysvVZISJ=3|-IsTB
zf%>v<S|{@ji#+?@dSn}C<ZfH?_5YG7`RgaH7UFps@lxmFp6Yi~`rI$Xe{9&|$QW~>
zMKZ;xMREBz@58l|_6h!qWzbGpKXu91)t?V|OLq3z#Vj(|Cb6_&^OA2zla?5$osgY>
zGraTZ@#SC3+qybpS(Br<6mLB_wB(yulG)0GSvOB~UgoG-_GK>P;}pi^&f{|$*04YQ
zmBRFV>9^N4Gb($MZ)hxzJp21nA#+!f4&x%b6j`mtrC(PE^K9I=<g1^U=*=Zxtd{ak
zXGsqdk=(cBD_7F;*@wPz>*g%~I`x^%*(G1fKgL}A{qvE`jhJO$|9xwS|Itv^!SrII
zOvlah6Z0(_rkTYo{U)}k;pX!bMN7ZkUQ%_KY3cXtZB5>+%$6Hs|1bXj(PF{3kAGLN
z7<_s3Y}=A=r)Ja|Ff~ZoE%<sY>E*$RYbD-f%wKTkQL^icGy9f)Io-kYY@=S!#LG*+
ztUsKzt7(7o@~_<+wsOyT;r`P$g<;~-Z^_Iv6nPGYakX#IH%!_Q=g+X#;AhO#&+HqL
z88)wQmz>Nwe^a$}?~SB|KTm|SN}fNueS!4x2Y-$SE{Jac4ew20=#AtGIHLLX)eH`0
zX8)Uvp$mO07(3i6{&#p(eCY6;Gs}D1cAF)?r*BwxwyEsVg5MJvy;npYpRo22(}t1{
zcbEM65|Na!;Ex68f^5ITGecOIH;5<QJ#klZLTxLHuvXHtug~YjEd8o}xI>9?>DSBs
zF)QXY>vwP9Sn_pgv}Hn0(lUm&4*$|+-<D2e`n@o7<Hnm33swD>eplCcV-~ll?VR||
z=`;E*cHHS+dS&5BcN-b8W#2q4esE+;u-h<hFgrIPeL+IS(r>Ghla_xw7_)K(&qu~3
z-%9i?mY)<pz<XfH-p?ob4lMb0`C-z^RTd{0J&IR0{hV|rB5C;#D_!<_L7DSQzO80`
z8lS^CZ`rq=3ELT;&gr<#^0nu2?g|rEfde*QmVUo}ZieZaH`nGZ`=))9v-N~d%?3`L
znC0I?H*xf@6I&u8`f0s>%_Q@Bj{nOps-IrFW0T6URX!fV+`3mRH@`c*<mYrA<|uB~
zc}u=kU*&(P%kg+#^xE#Ne2;thZI*uj+AXl{mO_`!l5b5}camd{9^l%z?E9bjk}o2X
zmUL>J3}E)$yzF~$coN4%on_x&R&^HVNf>IbkAM1ACS13&^Yn5t{VZSqB|rYZlxdu%
zmAp5jw{Ojj<?~oB&e}ZT)XyoJab8({|0c#P|Nhjj)ckJs((kL{%pd;Ubn)_%?_aim
z;(W-s!S>_6wm&}?FA6bvs{6*}<b<S(8OwiM3f~l~z5M%?x%PK<E%m7Kt(Y|9?xvMF
z$CibjW`4T#d+>Vu8+G1GzSn!d)$DjTZ%Lh3$)5jJyz~FcM1Ok3b#(dN)MY<kUN7IX
zcXpP0*`C_yxeHPZ*te`-8C1S!KkwW)vxl+OOTWJ>E#I?NE_NEn!6o09t|}?tvtA}X
z>-eI(j0a4UZp@ind~C*-Imeh5FzCE!+Qg)McwXevAG^Fb8t+ZqQt@L#gwE3MUr%n5
zcxOE6>s+x7R@VJb=L#g(d~rYNVEFpR<99(np9pMj+xE*vLO$wWtNsp^^Y4PEv;4o+
zy5;Nk6I?n=emtGw`f<W1%hlY15u7Y6oV#XEn6)_e!Izkg3v3=2tdY@K_Ul3H|H{}I
z@{<=EEcv~ZF_vLgGDGYArN4DG&di<}J?W3D#tj|A2}h2+7TB|O#hnBHW5SpGHLJVH
zAlGqCXTrZ4#)WyCSPw4$_hW+7=EbWjm%REm<Ga!Yq510=W<8qBRFJ^x^7GoB1l|;X
z$+t6kKh7vPu{yDXarSDK{+L-e&n{?SXNsxZcp>WDD%JzZ4BMBy{q)3&dCKxPmfUj|
zCeNM`y+%--`-kP~hg@?xV<!AP9JA&@+@Y*l_0EkfKXs~C@<P_K$FAUQ|H`nnr@?0?
zLx1cA)w7rEl1{wYxa`@@pQ#bAXDlrcjam1*ZI!6?j8(@M@XUX)#`TtV(+0-ae?J!%
z9$g{&Xz3GcOK#mwQ~4KKJ<e_@uWMjTi2bjzu{t7l!=4+Aiyyjhc=B`T%H3SmztXNV
z%e4E%_c@j47rLe`{Py{`{EbyiIkS}aExDJ~|6?xCbDIT=KQWzLes}Ad!qn#vohD52
z<#=Ahx9slE6%(Ea$ZmX-v*@|p(p_TRmlGldES5fA=N2>Jh1!zujMrJ1ZI(QK|8md4
zq`S+X1aUH?PK%lS;@8DCSLQ;2*#F)ymIlZ#2w<#;(TV9?|8eHk$O-2Sk|xgFXSTr6
ze&zui=8n^1%O1T+y4tXkkum91%*7`$X$;#s^jMQ#O7GBN6pLArAiW@gk^j}*k2OhZ
zJH$5$<SnRt_Pg@)!jQ+yA6nWZ{a$fv+OmgPaxtqtXRl7@VBFLA`^Tc~H)2|RHZS}U
zvEa1|n>y!$n;e?`QX7{)nDU|Uc;jCFfA$@mVk`SB7?ZvqST6T}!Gr3C^(T_J7`Ycb
zJoJA7FOx#deeDHpatnCRFMDup!88@-Znh=aox%Ioa6S?IzTnly4L%(gE%_F#JiGMC
z_iIg#DGdAX1bka*x`DCbl$#)v<-TPvwr`58Y?%Lf#q^UMo0dE&KO5U@He2G|2}z}f
z6Em7(k{DKMtd<qxURdgr-SD^O|BNdYu?#mt3SxGj@Q>@{xUqccOShOvhNpGi1`+Km
zY;zX8nq;BLAf)hfP1D7Lu?%xMM5GwG7xb~n-&n&E7qh@5XNA+grLSj;E_`+V)Pw}d
zq%Bdmd-G>+*ygV>)ofvp-I@)dkp`A4PuFbV&uLu#>_k$Hf#b<A%Tpm6tt<~Ne?GOf
zQvUhL-053BFTWr3iGy!raOLuZ&$mxRHe6JUvCQsZj9F%t>$AYi`~*u(q*D8`%ZF=M
zbS7;)H`(%CmxpYn!m=M3IXC*(FgC1;*f7^-;e~ID9!kWXIIqDHQ_7REDVafV4U@09
zZAQg^<|Wt8HJ;_m>tB40A@7O8lO@*_=PyuF;xzu0<ls}^=xDb_I8sn^$@9#UOz#9(
zS9mnX>=EESBCN{Pp)=pZ!)AqNQi4V7O0LZ-4lpt$eTil0>So<Kcg68m&JAAeoGYgH
z{%^P>s`Ec-@t3%zKd13OXuKhQehrKICK3H5I-8idyK5dV`{2$bU)R5X+p>>E^Ceb$
z#2EgP*gS9fYrC5a)$4S3PG)&O<DlqGhOdj;3_o+!t<_yOiRE-_pX$#Ymv%n%`N#XO
zVaJ-KA60mNRtkMw^7@yTgk;?E5C0|$DbHdTuaq-f{`%b|G2ZW+l(?6?uKA>7xyo2c
z$=QF&>)4el#;;OMX0I}FS2zEw%k%pFALePxUTamVG%oqD^IwR|`}?{Xvev6iUb(-m
z|5?Gl?88fy3i}+xpH915mwsIG;Zpb|ugB{rhMp|ZZ8WR6cIw>{iHTck^)*YrUs0GS
z@zq4)=knK2Rg%u{>aVP^Uiy01o@0s?OI}&oFKqe5uy=y$jY<1HE_q#(#g>|xwet<P
z>e+VA$(dQV_sq3k`XT;P%i1l9IWt?&ujf6jTC~u9>4)h8niWY)UjNdPNM>l;oc_2o
zb;*aP=NdU~cAnh0<m0EF#ghw{eVlt@2ZQL+*F~E;ws+oo-01YV;pXF|uNlpgDw2x+
zX{b$Hd9CyMn<XDh+M4!#Tk=tM{)?wvOly{We6qMEIcDkGopTy=7C6bL@8VnfajE)l
ztsUOW-~Kyzd(J1lWgn-nZB)N8apuRRZ+vEO9Xi3V#lU#swPwbcO%|e+6W=a+t+VmM
zCuZ^GpEiGxIlA;SSCY=Iq=yb+i$8yun!M;!(&DR|7bZVi^6GcZho$#s#>`pV-Zb^|
zf=|wpocHWj2um;h#m~P=nvEyv&IIc&`;OO>*mMPCmVcUm@`MG?;!oTmVKGZSeQT6^
zyyWB3?C2$L%b!nh%USaF<_?)RGY>~D`?S(qE3|G!jLoXJxaFT#%~^16ar*hCpH9t<
zSoDK&$$}8p$D3lNE%_8Y{lLG7?aM#?ytU_4W#ii$5lf?{ah_afI)Bev{y!&UUagYp
zc53~>xb)4xsUjQK2u)966m2`YwE8B~^JSMOF+W{fG&h9rv8oEA_0mtV(mQfD-Q>_&
z{<d`LhyOK8>Zblsty%Wwt7^%VdyE^p*R0o?qxh_5=elzZrOQ5@FMU2iwq}d<RPPgi
zHfLE*FuT3<?On?W??TP*My}kodHuP+n*!#nIrn)w>+>~pzn69!ZP!|VZhqDLn~Roz
zn!Y;Tc4gV}PpkAQ%l0g3dYK}zr}KY5<Car<>+UaYJH3i`u4&m+=8JE(p8C6%cl!5+
zYbS-6x1GB8U5NK+u~E#o+gneqD=pviewk=?rBw6LIqNnr_Kw_Z{rle1PkyDZ%lF({
z^7i`wRnw)WH-&Anny&S{MQQ1$r|)Yf{62Qz$dXUzV!K_gN40EO{jPRl#;1-23*#!6
zo@i!FD(Kj>XUU0;)l>dQE;;dV&g?s97naZOxTf>recAbaZ<ZZP`M9_Fe@y=38{(g8
z1HxYJ{!l;RO~k^ZhhrH&v?U$7aCAX?_f1Lp*;ALy{^%aiGHu!Kd7C$+Cg)Aj)>-oV
zB*T#f&GQy<9AET%)&f@1HJmZae^<^hNl0dBUNQ6N#D_^UF8H%Nwvk!v`pC)q*|I-N
zYZu5J;b&6yp8a>$0;PU~84qp0tzO`E!{)%j$2%8@@Q21|FL>FvDK&hVsQIz(O&lv<
zOsrt|u;l-IwKdF&0x=me^O&`h<^Hd+)?V`R-vYlB!z&A_&n{-^oBhmI`{cPdF&A7U
z5Bzx}7_&t7<^rRQ3uMz52=Oz;R4<kdJGt^k!vfzmi=LijtY7j(K6d^J=B1By^Oro?
zz98XjgC2MCIfl;AOG(cbJn^0J>)Z0<YnDElyFlSdas18=XD^x0n$Th&#<?I#=R%3u
z!lySawl8@q#MRF?f9X@MxjHwV+AXNJzF_*v;?5Q0w96Y?V=nT@>n}QZZK2_fm?e)^
zPZr`|@>jS1g6|)}MYlgPt&rKsc%fE}nK^sOV^x7`^H=6Ad$fJ>3Y}%|OtTkxsl*7V
z32IcII99jh_nJk%KQ1g+v@Kcbz2t3TlIM#1t^9Y`WwV#R__p-+ngvTb7}7s-&()c^
z=o541(hW%q-ukm>o)r4O{CV`7q(@8@S1LX(d0w_%!TrY6h0lc*{Q0}rax8tm^@u{o
z(#6l_A87ixQt%;T($Z&ddk#!)2(Z;}D*U(7X3d4wom};A7d*AkU-<M1kFx2Kr)$42
zdwC`Q#F4X$;?q}zo?l}#PvGF2g=`<W7CmN{h>`rsBYtwy=Vgzs+pXo*U-<pslIPPj
zRV0q7Fs2yh<uJx9x*K<K&l8S|%idJaUM#cdqj>#-oBx))u)1kb`IB?w8m@|!DjQcW
zOk423m2m}=c=f6U|CT>r^=aYLlbmIXJCiSR`KNr`x8%&}W#`UUUcB_sW7)am4<}Tt
z6i#GZ@bLVScc(XHCfzvyXr)o|y#)`?u8cg!vm@>U%aYSa_wPuX5X>n3;izc!$`^lD
zEdNyT!$nb8rf>Gjv&%2cKWZ6~bL%QsLw4h1oAxEATowxCa0(R2eLT^><kZGaUmo_l
zn+|{e`>1lNTW_e}B+0yysbbL?7bampha++;!;W9<5Ln?pd*Mvm=0|R^|5vf{#{5%A
z`WDO3Y5h}rRao*LhWRVJHvU`TeOzI~9PS^S4&I(JQc3qjZwTtFzG{=a<lo;-OpBLZ
zn&Z(>v&QC=N7v^~&(>H^_L#N7;^;~yWhO`cb?;KD1WZ;s^nO{v?9LSZ$*T9x)8ol2
zdX96ScqIMpqldiW3c&=aq&2KNCkN>9O!s1XnD&M}f91Y!{~PowxlErLZC>)?`}7Ex
zGjD#bV);8MVw#xYtp}R(#B}#O&Wl|7WS>fBJJ-beH7xO;*us}St=W{Y<GEMbk{9dW
zt7JUt+@HPVMd)0u&_Bz#YgLU*&o6nZ-tZ@TmG&m1>?JS4%U7uwze_#S`s0M}0=-R<
z<?Hgxr?Nc$bLLCVvKQ}nUvYaMs%zj}zfLpW^4}Tz<{j5&PVsp9vb6i@wF5;pFZY!-
z?%DG{SvB7<a@PE%PuERa6h43Ls!1NK>nE-|HK)IFrsb2&>1$ZlpPsYp=bhY15y4BI
zE}tAxy=H2PRQl4VFF!52{cTdj|ECd*n<LU@++DNmMXA`ViQi(DzxbuQfO}8uT9(?=
z+%K1Yxqn)O^KWu=`tld+@AqYAU;C4+=P9_hKv&lC+maVnTiKHDy!`Yh>Doz|^cxqI
zWD2wYCr@4aV()*QrBDC%eZ0^3!eme9wTM3{)pm;(?YsGt>00*3MH4=3UvwmL`OEC=
zg?lzJSTA|bkj$_?>F@JN;!9sH75jMgTV2wkmo-Zs)-8FtY1y-{VJ`}DmOY!h;HA8M
z*YCI=@qhlMq%3>&^vwjOh>xW<D=fAxc~QJjd=f`X$DD^Bk56WwzwoiF{^D1g82>GN
ze3<c5SMtJV?(EEEC&XkLT>pm!E_$7_;FZ}6-^z|hzcV&Y%!+)as<TEvY{il%3=I#?
ze}2)Qw*2*Tn<cMv8|6i_U%sqc^y&z6JKvJmT#Wup-sm#?E8{#Rc!c%vmnE;f;`hXK
z6s0eHwKP9cqj-Yf#^tY+G@7-Sy_(DLd(FSp*iRmU%?8hxynOm;h4YO@_OnZ0`dt^&
zR9In^V87($?_cSk|D=S?U*%|fQ;_53+&@cS{yABovGPpcDn;{~1t!a0?iA8gPMZH>
z*1uh{)@#^mKgHen)u*mwT*tWL$C_34lB<iVZ>Vlk-2J0jU4Ykm*~|Z)#^y#-r!717
zX6XbS21WhWJ^xQ;Tx+{|>SUKx+n!UkpST_`J5#)h|8ZQ+owB7bS5^HqDOz5)@)7fw
zU+cXL%<lb9-8X56=bDh^lbp`<n7%)~;ZgU}%BhnV?Cv#vuXU68;-A#l)3}P)hs@RL
zKX_)@%ek+jq}rz~Df4<T`BUM!7KNz!OP?)$we*9g`F*|0%K9ZQU)HbWm~Z~rk8keV
zsqa^-zD#9fwqE}7?*4VQf0oyU6tcWL^zTpVKR^3Bf2S?2pT4Pe`O~NNU(5GYFMYZ$
zUZ!@t5ci)g2mV$rtIdqO#JS|@Dz7KptIGFW=AB=?=6K!B8yAmE{Wn>-eciFC`)XgE
zeYI|$H|ynJYZt`x&CT69f2D4h>Aa;+_fKlDnR!0+&C-`&o^~5V#4I~j)V}5a`^BeS
zGbW2IR*&1g@YInRa?4hz{g}Dn^E6KB*ggNN7M(m{ad)bj-MtSxbe5mmlw`f2T`A-4
z>W-hB434Qu)iXZNTXNdl^H<yi?u|>&%y9_%Vbguooncnas^y=5o?O5AkCS-qiCBg%
zhnB_rrDESO%v+#w<CC5+%NpkVPp`Hu`T8X3+oElUW4tHiKmQQn%fYb5@4~i^EECp$
z_`fh%a?<&-h@YW03ndRV)iEXgb>fPRW0*Lxc%#y$D7^*givPV>lzuXZEZC6Zbz#Ax
z$qej2)ora8TAM8l^y654lOreLRqVeXi`73)J2~&eVbl5VUdZhFv1|>)>W-}p**V>E
zYbH3UF&F>t*mjab@YCUW4B0lT+4kK2u;2h+jKr7NSqm@NZkU(M(71~I!-@~*1r&I+
zq)xAA_vSF-cwV-sHNxk^J)M;d8w_LQE>yR3#!T!BPxzk{JfY2AOmMMCN=y^Oo0o6f
zt2pPSuq@kH5iw=Klg4C*4~w457ER|6SYQwl`~OGcL=Nc%rT-(&PcS<>+o~=0pJ3t-
zn}rV#CNnH~=)ZlF_{OD=f=)K<U$XwuBI&bB9{Nq6qjmg8boYW%Q~xEmau?^G-ywdZ
zQNp()IBv=36h`+2wdel^o(uaUy60!;k0lR1Sx?+vd^~5>giR6xOP+tez2xCO=a>nC
zPMf%*C$i7mwN8*(Fh+mrb6r-0H%YgazWCx0!xYOflO?+CaOI>Qn)3F`UT`zT`o}0e
zU`o}Nu*qJS9l;dybM5Azdz>aJc3)eZm!dGWC5tsiyZ%q~#H*6Rv!*vP#+;In|8ZgI
z>y1sbomC|aul<;_X5kx#m<_T2jcQ^UR@lc)I=69w`|Jf$#}DdFe4_CF(;f5r6$;-v
zWG6~@&3blzbxeBaV$<w@iRleavey{zls0I`TuU-t9B}sc_gM<B-~3HXU3lA7;;7Bv
z)y4n*B)(aGE%Ha$WaiTv&1?Q9wZ?p5H(ayeO~u0NlQoyEE;#;cM!&|^KTAGti20Ya
zZOLcz?3Im0T)(a_tXux^+{GEvF=GGL&(B`+T#3E9!$8-2$@x#L)yv+fu~ysv-LlD0
zeB*@5C0}mDtPb!<V$<jPux-hYbjc-M=hvim87zCV?Dvgjzxu?NuGsr#(f^YxikE$i
z_LVmJbnjO(L(JEtH?jXCgpwH-XR2s)3cr@Luz41?e!}+&tQs%78|`0DURLq*!p9%W
zk{ZO!AD_%wu-#YV(x3G=?o41}5&YR~-)U&iD7#7f-HBxu{mqZ%cIhwt{)s7V!Fe?a
z<8RUnF8)~lJ7;3R3C4g96(-r%AJgou7yWqB%cQeNXXB#O4#OL>nnb?7nfQxoVp0|R
zt}QLe3~>`Wf*<$&nA^*`;)LzJ%n8pPzU~My-PFpE+aSXqUz5bLac%@l%%9@ydu9u!
zZvHvz@$aI3$$uT9?;CO>HtDSCkovjwTI7Z|$97KNCEJ#?cEhg}$)~$yos$|J%O@l=
zv@mR5m0<dRf}UeC!>k>$HtZY2A2G2jEO;RLyQwpB#omdmNu3e3Z~nMVaX7MO$*rwF
z>g2N*+}h+I^uPX5qq432k2S|m99*zbS<*}UZ~ca3hJ_93$v@VgJ#lX1w}sCvPF(u*
z-=g}*CUuUE2;rogD~>73uspkWY02kv>twEVGkjv)u)*KcG36Z-_iSC$-AkV5ZZMnZ
zv2;bh=ueN;izlD&keJ87?cv9yFDPraPT?k#<FYqDYE}m4tWn&=B)RmBZlHAhI;H6h
zJO3>CeZ6jtz`n^`-s_p(sz{b_Ojm!jlw-d2@-JUc3Ur?ojhN=R(o4#?ZrNw8pFBSo
zXZcF*|JFNe&#WEl5}oTO&YPfUtTDqg_RW${VRuz6F27zPuW4etdda8I?~x4?dl_^7
z{+Yi|Jof+6kF}p1W-s{|e}AgW%T=$QE;zjG<96>wx8qisr_3o{%edQ%;qSGr-mk4+
z3dFAKT>pe)@3McZRn{clNUG%c+c&{qfU|7L=U0;$I=6KF-L~Xfuqwmt`L|17M{l`q
zxPRui<sYMClP>*F_@BA<-apUT>lojzR*Yq6yL0m9k5x-PuiW;+@Bnj+(1s29YYcwu
zIA|REf6>P*ndLLuR{d_X?^ND+=z`*hdr1rB<lLUBo?LWjGV|o0LTmn}{hS@sU)KG$
z{0YmZe`)VKTQ1b_bZskabXvo_>?1p4Qs10dh7QL|3;!(nsCs3i{{M`#6|2r={af@w
z@Y3UJH!r{Zus>O?q4~sn)cJo0l=*)~*^U$XPv-qLTJ~}3r-g2_mVT_2>39&khhfW!
zLqC6~9ZWKrpqjTwc)_k?ObZ07c|Jd?`SpI`hZ_?$dKnjl9$gvE6qvMb{>(MY-{&X9
zypH`JaPkc6@+7uz3(^^5@=q45DO~WbFkr^{PYkvTzZ&#EUG|78efknvn?)BM{qQtj
z6d^BvK{hE&eUj?qd5a@eSXF;ypDSOG*KsB*ZifAzlb0tvGbmp8*naiGQy+U4yyw$5
ziRoYoOiD^Lm@Ig5rayZ+L+pR=ScZ2=W$Md6Xy%`|+P7e_%#5;+%RYE*W<K%g*@{WV
zEz^&_YT%AM(D$+9j5Oo>6`GcdZftxoJ$hE}tO-Kb*G%9^U;c6Ky`>*+f8w0E<kywb
zNs{(I_?P@r&;Q{bcJhhMl3!m>3hY{wwQcFg&{xF`M&E9;?pgZ&u4O~&?A43ca_^s{
z<+{^7)@JF?$Q$vWmw)h|{%>mH=H(x!zc26De{cDvNd?jqc7*;}@*(&uryyU<^~wf=
zKWT@P`2VLxU6o$ZR=w=~{nQP~YnIfP9@|j9^ha_0D*52@pUu;j{&;Qn=*GP-N3DLc
zX!dyid&2SdPwM*rA?CO9m%d-S>#F&E{e`#pFaJ<dE_U+d{$(GgG=v}DRP|{2hv}>I
zA~VFcM7>N|`Dx3s=l8$;j6S_7Wyz1A&{yR<w*A%pcJ9N4*^}iiuV43dZxi$R<=;Qe
zl`_4ueunBoruS=kwn#<qS$is0mG$!btzLiE^3A>`6Z?MB!8IpVRxJJS^nI$7_r$-p
z{!4$9pX>A4%WfC{v~@#X{gR7+B@c+kzr7*1c-GIS(HG<u1EfUcRxH?*z2N*K265g6
zcg@pREM0IzbWJS7;+1LZSvP-De*SUl*)=s03+_CzGW)^h&Jbv}j=j>#YuR0^N=D07
z+&ateRnE9vygFdsvb&pRh~8LX@Mew1_ptS>Mk_^9ayZ!6u(sB35TD4feXUsgf*Chu
z|1XY-nDfz_!?bK2+pM*duJ&fn2s^u&sedNp@s3Y=5i!*(+<6(3ZcL9!Ur@ms%TWDs
zI@^lfvH$(NR-HardhLh9jq?-O+XX+oFnxbA<pf9V3aR9?iL$$o@kXrX`?K)L^X|J7
zIZ{{fwZ<%XdiMz%|6SAmT??Py*Xeq`=F84i$75!wDKqh|KGSQl!7=GS!~3=kYT^%B
zVq@;Fus4n4S<-xibz#x*8D7rwIsE@roLG67b;1AaxE)*@Cp?kd5Ld$}HHS0j+<Jk!
zg+Cs|GTiBUYX5t|DT8x!e0$7h2kwly`ez5v;}2)fPAJeWTX0q&<}bs{zx*rwL?n{9
zV>Z;p#Vov$$h_jR1m||gi5!_MEVesEKc1}R)>^&6;>Uv78+UA;)y!ZX_iEAoiClJ#
zlBOTDk{MpF@RBmJU%>0OF`Pxb>_kc8`zGGAtJ9LV2Fd?SUA2h8okM-klO4j378r=%
zOJ41}xk0&!QJ(4PhS+}_%;xQCy}D<~hnkZDp37cpPnA0TX3?id6W@Pa&y>34rTj_3
zrBYLu{Ixe*9QLVOKyS(SXG`v#UHGAorF|NUy3o`gj7z^xWaeF5ael#TJ+W1L-Y~{2
z`8G#N_RW&_mp8HI|IkvFaI|0Y%_eDaS=rL}ku15t7hdv}<cwSLUekW*w|fiyZ`dsO
zaDK@r`^rUMk1q7%irL8c_rjtZ{NK*9g!L_ZZ+*3FQDutYj+y%oPfA+JrOe9viAQ?R
z1c`YH3=Ba@_ha;ux@YW{n)_zqisU8P@~;<s`0=aj&yIq$2}i|WFE}T8{jb=}O|m_%
zF&BE4eyC4Tn0``LUjL?8*@E9HOx_he8<~RN?AR{F_$20$g7yJk(QnD8Uw>Z3R<XFx
znUPcbWZCjhmHSsTSFUAy6(^lHN$8Nq$)*4EzkOeD@XdnX$qV}0nHKc)axVD#y+U&7
z$)hWl@Y&Y?FWC6wm&N0qS8VV7TXaU^-+`B_SNi|_tABb0gO%Ba?ED`Jf0%x3HPkrp
z>)L9kjSJ>_3QscdkL#JdX$24Wc}t<Gr%%3Kss7>fDgn3O?6d2Z{yDuuE&brd8!<nl
z9tt+-oL%LnED~$>aKr34sR@%eF8_Y}+UmGu?;ZC|zr`;2uDp+-u+DE~l(5EYnf)vL
zJ_yg?;A7!maf09eXZnp8p_PfPyeoLFGdzfqie+eAe@S@4Ka1cF8F8B!<;8#GR^0F4
zjxl85KCH#~gK@)ze(@Ez#P7Xap%=l@pxVl`;Lr6I3Hun;4O8nMxW-&Kpkew)E$RGq
zCC8)Q%ZmOj{`PSvU&VrN?dv%Be`Kxn6-a7I^oZqN@Z<ge9cMNquhj25F=?{ptBLz+
zZ5Dr<BYMJmO~lu4i=NC|^7U)i8b_0gqy;}GGCo=%b@fNXO07K&FB-liGqfMbja~Dj
z<;KoW2R<e<EL!rRtnz@;*+u1#mOftEbHHoGEAjqC^^0z^C+%LpI^$=fMQe;F|C%4w
zktg4~Iy6fBue%VI!qCp7z4ZFcKSgno>v%u@Ntu4pXEKN8q95!@-ufH44>5Wt`K=Po
z4qL<h=0-~+f0B4CL-w8(EXg}kAAj4B_IZt@vS+jZvJanQS8zUF?|piWke2I8f#aJ3
zZ$HvJp1eZ5zrp<KnziC@HVU_MYPkMsoFBPHJuu{97VobA7d;dIC;h7T7o2IfChlMI
zkIp?S6%QrvUY`7?bM2o$J5F#&F8}EFFlogBLHm<l_c>g@%%0!jDXM(o59ef;q@@z`
z3nUkR;z+)x#h3i#e<kPEweNhL9$xFPU2|3Y(W-@?*KzrI@oi*^K6YXLifa2elB;|+
zavZTaKgrRJ<MJBb*iG|-z3bM9Sm{sUUCEOCMQs1QIVGCef77Q6Pv3JvH2F&8RDagT
zS0_1hNXRe!GXHeL=~*BBOgAQ}CatJD9CNd#+cD?Op-;*V-2O|R#ZThQ6<Ipf<9dbt
zHJztX9J~MRxp4BDj@|ult3p10wOPUX-AhW_OT}FyKkmBD$r&1X|C)YI)ad?w);|A#
zdbyHxZOq=RtD@X<g?QgA`5N<W#?FmB(%t5<5iw`xF10(UY$~wv?2mbB#_zO$+RR=4
z#j^gv#juykYp&>A{&}IJ=f@eR$2STu%uq;X=yza#eNE?tQuV*I`=OIuUt3N6y20Q5
zZFv2yzTzcc{B=7@U-!J5v;1B7FV+6=70!33pFH_!`jyI}PYsnbo0I-)tqq>D_U@$)
z+x6=!7teOD@vpqD{d{sQ`;z^&SJqCf-^8(d>6g!mNz0b*mW`a%yY$P;$yvUuzgI*q
zd-pt_|HZYK|I7c}In8zYZ+hJG<?p6upS&c<ZMgKEb@(HWsj;ifk1ly<_f^wW_Ww2Q
zJ0~v-FAzVhbi%_r?wa<`lrxe`p6wJesAbvs!~D&L7u;VWVvJ)KKfkf?CCBE!DdsVo
zwsp;2*z$pC(e4lTF6ebFeX0Ju!M5SZ`DM>1?QpuD^K#C)uDlML8-HUbv+$pIabrTq
z9`=Z&8<S$v7p2VUZ2FUEzy5;!jRmv(Ckd4;d9#yehJd2{qFYSbC*OaX<a0%{Yr)d9
zS2VS!E_i3Y{)S)WHO-@o9;7XQGc|YNqof~&EDLU#hrK*umT0)>*ki^EcIKO=FcqHo
zDwVv4Vbg&{%#2A()#rLzJd|JhJS>t?a>*O*3D0Byhy1i(_~Pt`eaDg(K4*)F`F>O3
z`pI|wmUHq>PZpUljXjz%c0-c+(iab6&MkX(b4PCWAKnwP%ASQ~k2{VEdR8*mFL)8b
zn6%*Kh9s8{y+0TFY+m^C!giKmxkWGjU(nEG5&n^ov}l4dt51x2!!$O{{tX2?{OThZ
zFI2bkHtZ{&y>$~S>yn2tj~q6~ExEt*+liEU*EPTHS+@T;*A4~yy33Nud<_@g>@0Mb
zWq8MPeL|c5#s_)tu4&%W<*KRP5V?to{fg%8sVgl0uUWQE{lmZg%U;JEoACAEmHA7z
ziq77+?;3CHt(n_?tZ>^iLCR^h!=k!{Yd<e}eM+5|{qYRF3({w=YUW*yoS5qRgIDIn
zjCRB0Gdh2+2(bFL@xjI=Z?8|~+qY@y>+@3=aBkkXDQWrJwO*``@1AQ>T=H5^RrzTp
zL;0#1lcf$kcKf*OZTM2zYn!fW-cDc7J9o{_8_VTxmF;u+q?x;HpV#Sv-X(9MudU?0
zC?Z{XP4n#~_S#LF7VCJuz8zr-W_`T>)Av0~-#nc#_etZ#RnoF2bxtw!@7!;9Y{l7&
zQCXju#II|{Eob>VjrsqQ2TQ;5JidQ!iqf*zS~pi}AK&f$v(e3;h4a`Kx1Z7KO0vJN
zYsPkW9J(zaqO<hDp4Ar)IUQwK7It0pwU^M3drw-tC6tcXajZCe=4SqBjvZGut7;_|
zB&~lsGxDnDTKh^9wr9QiCpo8UdR^1Zt6$Btb9xfvk_XTAuF3?yU%_^MmEG(wpI3+T
z%+wD^vfdb4b|vn0@z2nCOCD7HbSwL^*GoF~lT`ey39}<KdUjY{{urNPu<g3${&giX
z_1-nj-aFRxEqPtMRi^#b_8qy4WZVDSEO~HumFeAEYo(LtiY|{bzyJ5sLj5Zm@q0}k
z?wZDW)%5YcaK72n2QJ*7!l}Az|H(anJ6?5~+fUl0((&y=q-`#%?1A5Vu4$J2?dvFD
zIpmlksD543K2p{Dy5?KGt1%hxXM}IwP_igCZG9xmk~gb^OLtujVt#3N>-)DQZ+2ZR
z*|l{wn|*ERuKcy~??Y{`oL*r*kwJZ@WNhg!b>5TGJsP*||Hj|lRlaNcvaNonC$5{h
zGy4+{<N9m2SC;I`e!uw83)%MU%9(6S3!-9T_6zJ?^U-@DW73)#@0YN?F59(l?UL7*
z)|Tvg_f<A}e^W?Ei1pLiTc<qHZ^@fFdF`@-3vZUbz7%_IM`mNnu^$UM<kM1;&NWnB
z)7+{()9CAzg?HOFm)@SJ`-Ag`Kw?10S@|D~F$Gsx&z_iJcW+_Jlbfq9#M|zEu;xeC
z+dWH@cJ^4WU6`G|fWx%@RK3fd32XcX%FVhzY|5Xo>neLUgGbH8!YB0%0ZW@EMu`2l
zog0z-QF8KxkN-SOPYPUOU+}Rw`%m6w{^q*m1=mdLfB2L=nEp8Slk)lHSLaXAKi(BR
zqyL=kqH|&se3UzbAJ^x96c=Dj^7DGMl7GUkfBh3a-i`m-5phbDck$cf|5DQyT(Z5%
z6ubP_$6Y@2I5gfYyZO=QcTL2yE3@A3jQ?&kr{m3og~v`X1TQ#JwTU5e4dY_g?;UG6
z+Hx4be~4+A5Z6EH`S}Y9)q6fZSe&-}^5<D!p6~4!|MZw+`?CMaLH%2KmQFs=p3QJB
zf^W)61;&^Lj*ssza!sg?ct7*B?Cb^CKiw3463f8Yvf%pX4^Pw?q~>4zvgCU1O_ozL
z?o8&8`BN|de%U>jbqs;`7T<f=bY;2krPe*KpLHj#d}Xun*c#4@66uSMZd@EudExS8
z<AepWtx_xhDBob=d%R)l3Wnp$?&cl&$1z=KM@pUz(~N{~3ws}OF4!!3<4e+$ANkA*
zvHvxz7mCC!e6)FDhm_#%hMJ!bxA%U&$njTaM$Wa}i<^`GU0nKox`f3BfymX3OYVG{
zP$173m$ab3a1+1I?-g$M7A&90p><LA{+f$&&wl>>{Gs^SMHbWU8M&KY9shmp*^;o2
zAC~lS&02F!a@&a~f8U(Ep(Gf2QljW(^F})Z&aVC6ek8a^w8=4XAKR#OO(OgKf(`ON
znJO=;^>Z(Lb9F+Jq|B}lt861B&i#p>EO>bF{Id&pYHcc<`!{^@l5OkXO^E5^FkklM
z`mUSP7d$zCAylhK@Z*l6e=C#z{19=w&#_~VZbRY6<-dXsO*(N|>)*oZ%5Mea?y-Eh
z{X=8PQg_ayW-}h{zQ8uYPQu`o#`iT39v}BQ@ilu*@CC(3KR>mezMy))Li|Gj@4rVC
z$qXMCJ~{iIMf=|`zK_dq6it8S5&C4u-1W&HuSwnVR@}j&t#2e{|M_`Yqrk_1LC^k1
ztbHmm;jfqePsYdveV;GN$}!siy0l3-V)3fT(;tp5-c*0@fB3znx8_;#rRW$=H+cMP
z`NG>jxA*;v+J0{7y?c+7|NYiEzw~W>^v{1ER~|`vAu79(={?(mgVNluU$lPUToHWp
zrC7VhADQ__jlSJDv6JzA%$L}It6wBdc&~78dE?P#yXPH{y}srp)3cjN?MWQ2YgVrD
zyytVHrEF#B#ubuMvH$-6(EX9TscTQ8xRW18ev#$3lNV~fF4!Qp;NYhui*GA*)iibX
z-?;x{;hFPGj<qE-EI2QJP(qb4=HKt#eJ_f>=PW(`e1r54^Hr=l%Z^=pk*IvZ`osPg
zB0v9oA6<B^ev;&N*S=l6y-5oXng4H;h?scy=uEBr6O2ig({J$Gr?dzuEb2Afz?#I!
zle{wH`0|s}8lyI@;y$7M``TpfPZydl^vq^t{nJ~o$`D%r*XsQXC3)?Ik2X1dUCHSw
zFvF8kZs9cj<&Qpj3tuvr?<tp%%&=hj<E4Hw{`amadNPRCEqVBaL4F6v=2xZijGJOO
z|NbfbJU1xg&0M!<|Gbv({Zn)zXvWg2dY4@s-2O7(>l%0_2HaS_MgRYbz8h;@|NJUG
z5p-kuHnZ7315=mWKDFd`c4-yQ#+y+|PY(T@Bq057_SA3xLxNQW*6Kg`9Y3kFGil!c
zkhy(HOZTtUs@|~l-~Z^BllYR)`o!+D`)LunWS`#a16>~(SFD@=Kq%O>``?!{E46;w
zbpD(9)61jU%Vo7kXWXCpnw1{&f6bW4z`o|pTCG@f=hGaVZG77CC+(E~hn)MlWZ$n;
zmFlIBx9^(l{95aD&k84ry-zZ2udJQAOYfz{YuC5CCk5PGc4e+tEdQqz*`=3@ruOmv
zX}R`EH9<3U{#w-x{;O;5*ZmTCl3{(ne6ICNhWa%VcQ4`Cd8aak<M>b8<5%RYVoxr;
zc;iNPS!31lYjWE0k_{{BV*ZBg<88PVtNpi7f0p?ArH@OuE!qF>{Ey)7=@ULLxpX<V
zV~Tkg%K@RBrTafG`k0@-Y=8ImEo_gOmh7wkxIvujV_DkL%f~xIs{fR|*nj-nsYPAO
z_P^Z_<GtZ0-^BR~_Fs?*U-MH&{ZvQwk_#s-6c(O;w)DX6o{p7WzwbUdac${=*B@eb
zzc|Lve1GYIf6E@+UUc9X^MdEcd6r#_@0r?l;RVnCADe$>@Fp#LJn`M+sN#+f_ZD8h
z5&kRd?Egsn<`=R5gJ(|JxMcs?$cKhn{}x_e^B^F7R>Tt?j*09!OZQK`AX<NeXYu|&
zZ*DcSBuxlEzHncAjO&SIriW*0^elT|Rk`r;#-;mGXB>NQBF6p0bn&pzr4LRn-S>R)
zMONPAi2s_g|08wdmmc{0bip20rkMX%rBgmEw!OXN;NRyjiZ@@CIaO-e@M>H1vftBU
zwl8~7`)*E$$#K!pNtU<YINjg()Jgwe?pu|vUY6g-JL*^d%*-z05L_G;_j_jX;^1RP
zr_XRY$zXhRlEmt1um5SBaGd{tX7;&`<wun5Vo%0cUX|7_uiliFv;1J~&lT%8e^F8q
zSQWqQKxkQu%jfTp!jCfl^}ScCZdCPuNq5L5i+4*7-A(N%UUTH2y2X_D&!%`Pel^~8
z?|<a)c#l=zzC5)0*{L?==kIjJzhB=!>3J~q=X<N4%vb+RTptZSj(gM6i@{%a$;79o
zDXj6EYBzi4g+GyZe?=>%%I$fp|9x-hr_J?i)&=Vto{eN<{^$2QfA)*YB?s28eI-}X
zwBzGdX}{RH9swccyV92&dVQ^Q*Il#KOx-)D{EDnudZ6}`Jzv+n4R)<Q`no>%s{i`l
zS53HfwS3pjv;Uu`cKp~gb7#tl<9~hE?whP~^M2{|S5GE9T5{<1=WEhlci*;c%F156
z+HYaz-Zl3cmYiR(diJ8C%|H2mo=Ep)lKs}U<LvoAc6|-eZ<a_LonUEqgJIT`go>cg
zUnVbDFf-u%!pVY0d*-B6|23G)*krTlxY)+&$uE1Cou1#*Fnd|z@rB#-!XB*I+^{rn
z(~0Gaug?2puzj`7rUjcgwHht-;!br;Jg{|P@830xYky3b@@G+qGDnEnw^<JR7exG+
zIOWZfhga@(Tt7c!<9yDXo*tnYlf|nqd8+k@#4q`@CuT|1X3j-5OON0Dld2iF_LKgf
zB}e86*+fiRdi3U!O`qaArhV*vSha~Uf6+yY4HniH?XNAneEZ}k{cFoFKW@C(cyvOJ
z)wQM{t&1mx9&gyP>&BvspBFy+arS)si=SGddma=d&sf@i^APLDAHN-w|NRi?Jhq|b
zhpqOtg@-pT-SlK(wz>SuuoyFugTZA<*Ek<)b}p>lbBVt>>Gq8uH*{98-uS%qV@1+T
z!OaWaM?T$>`}~m2!q4{AOV>p&*r>DG_TR#zOPH8NPW+j%#Ph;=A*OpDGUptOU88$+
zA^)sZua8JLKU;P=?s3fB39@fKnnnEN?f>;)Q43=}W75Jaw%tDh54C-MAajB-@`ux5
z&X?NS=O&oW(v(iRrnvCd5r%51AO8Ar7Xx*c?)!E{;OE{2vC1+Zt$)w>w&ZZlpZjn4
zt_WN{H>u>8*Sy(3&VIbouc+|nn#}ZB|Bo-Zk`=|Os}SPFlE!CbwjeQ*(QV0rjaS6{
z+LJsPY;U|@pu6$E|1G&S!evRz_wOp3;TSP3>8JnM6`RyQzOr7rS8_(c|Ap<9Km5*K
z5Yu|Y-LQ`T?f=H}$v<?&*Pp)L$$4<bf(79b<x7vfxe&nCkrb>vBU{pf`(oNh`<2CE
z8-H%R=-t&L@%Qs}(Q}o{w(q>Z<jCc{i*FxYx$4>Sy_=Wr{QmFkpUgEDG0WDcF5Po_
z`QEs<e<hBtl(8ziDF3;k!rE-<d+X+mNdg%zQa|T}>nur4a;T1(Y8txciqZ3B_dhQ=
zA)C~_fl(pg&1%C#4;G%S`;+uzVS2;wggZ$;79W&<-)W_;P{h9U(6uCk^i!;hdKhCq
zCI370-)SSm+l8lQX++vA@2LB2a6@Xz$=~8y5C7&bKbbqprnIJ0Y|V>hr{1p8>34at
zG4uor!^s~Tx_@pdeX~j@a#cc#Tiudvmeor(ec(*myZp?x-WaA1gYO%cp1JNBXvDGk
z-_nLp3#Y1a9M`|;b?<NHLB^QhA+uKO`usDgf5Cdw`d>lU7EbE;RCsg&NBNp%>r<DX
zTzhY_*N+wRCK~@;dNKag@=Z}U4;r0s@Us6T<UP$%e^2rSwe*GOd|49rEnk~ChoAXp
z%>RwrpO>BwTgtQh+(G-Qf7gtJSq^tcN-Vvw<oJ?*_L~^CFFzS}TB>lZ$nDA{dsd5i
zOpbj&E3IOx+U`k;=1Wg*oh}$E61nHELCn7iy?d7K`Kl@)UB7fr_$PtNmdKL=%~M_1
zr7ZY-e);bEbGaWsk&s+^`>mJJ+->vMep<5U+IDgNchfag&sIj*uaW&d-DKsmJ==GE
zQ8(Sn@VjU0M^W`xOE{ucj9+<$Jgi%O!!m!#p76Equirnd(gvC0_BQtGr-aDAhM!J$
zls<_%v59}l&hV-GRJTsgu-!jx>y4O&Laslb#4TC<^UdO(lRFugp1ies{@Ogvg8m%i
zpNB+$>ADsy51aDo=no$INwa1a>|C;Qy+7a6znfMF@2xIh#s4^(>*}_pyUs7$6TSD_
zThaGd1ZzJntG{`EmhAUESD3?9J7(T~!ZGb$(%<btoBtZj*Z(QieP!Xd>w@<~Cn@$H
z$z8T*`|IyZcV0dH(eomg-5;KR1}O_`&R+P~cTM2`rX_pBZ+C2$eZBDD&p+O-F9iOS
zbym)sy#DTFm6WA>w;y9nTDbf9M8CglIuHL`ve$dXy>FdI|18@Z`iaGI>E80qjLUcD
z{`a2y_NRX2r$u`vrhVX9y2o_W%?I{7t@bS0x3}{^{6oei`_{i*c=dSjvVGe>zC8L<
zvwO+@y;?sxf|l%GGeNhzt3Q6@qTN529Qd<n|IOVt$Cn@cHb-iu8VhsHgrol!?pxEa
zwf}SPWLDOtyVw8wwD9zNg*kh!@Nb^^Ml_7EWBS>tF2DY&YuW5yy!7TZ{-@fV%FPA|
zk&9-S+yB)MJ(;!k8o%|YjNNN3A1&Lrc9NyS(wBcfbxK$NTe|PnlY-NYQL^use$QRz
zynD~meP1UTrdD{aVY?c}@^pSn&*m%q{@0rB9&O0f+r$+)*KhvD*0U4eOcZ13Ubb($
z^;Q1Ix=hbk&rnZVCuSSV^YrACz5l%QEhkLcy<SY$`EUNj8~2v(`xh<r<J%r1ZwZcn
zOZWZrUvO#OJ2Mr|sT<$rFWVQcYvZ+lkK0oD;JWmi2Pa<mJoWkKy$na+EPWrosp0dS
zN8cqknEsx)?b#mxt&^l<rQ-ig;*{9@Eq~d*|7%Td{`$LQ-_^Y)cmI96D%-zg-}ZOY
zmrin6Zu6yRWw>QL=f*XR>*j<$U08K?$?osHNsB{$RSwIuvHqUsQvP?_(mkJ+@B22t
zWY??jOZJ8T{Z+E-_KUv}i@r?WwS7u+>CVmDr(Jr#wEOK-rl(7;Zau#(t!`DxuG5Q`
z&0ey9>#J!~MIIS%KAK&k%6s(Y;l!)_OYd)=c53gEecP+Au9@=w#D|TuHvL?y|NGXY
zMT@38_zUd6_uz_0(j>bJy7!)#s3d-wWO41#)NARH0&k~(oURqg`f}T}m(g;ux(OFz
zO&@-`#oS|P_p*FP9P{meYiIA9UQx4T|6c8r<}Zz2w?)p9&YtsV;?jNF{}t^ljho?i
zcG<rB^FPh~Gcoy5>%rKVmMwpyUmi~8T(ai<-<bydpX!&aJ}IU7v8BIl&+SDOvs!*^
zUYjPptMWm~jQOHJv&2t@vnS<mZ#(?J#rCGbyG83KvqUX9lG^=4Zpntd(^)c>A6Ktm
zwoyM=PkPaj`T8^6%Kk~cd2=da>B+OR^(q$Uo&8wSzxcTL<EG+|4|`WF+qgCLMRfPw
zKOd}41Wr0pYZdoj>u@Z?!fDSoyl#zIx?$_Nf134?*SOCwTYsyQXYE4PJAXdN{hZMt
z`o8UR(geZq6ow;94xjAcd%mF6{e#pKAErBV_V|9#x;ArdJ7dSU&pYd-vu`p<&szO)
zVb|k@cE=Y!ng27Uwc2T!&P=W!j7baDubCn<Z_(xdYi8QYCx83D?ChF<vNx7*oX8Zm
z<gD(Vq|}9{9wzx-;xIoE5VJ1g&hkxNANIZZX;QgxL*k;P>y^DNmc5_V{(SPS&pUeO
zEinI|DD`0tJHw4gk!2G~-z?n_Qp31(&9{dSJ}%iXNoaor`yZR*i#GKC@Oi>Hq4S$E
zFNf^>rTxoSz3<s%w^GxU<DA)?NV`XU6X!45_u=o|Hz$8So)Pi)&4L{txEmhp-TT~d
zL-?ZO=b7%-aj5hE2@L;#%a}4ik1SpC>CG=2WtrUgrQ2`L^p>BPA<xgXXp+a(&lAM5
z?tN_j!8qxGeWl=pgP9W<*_Z8{y8XZH`M9M!ZvC;bSLdGdsk10)(eAXR(>*yoKMBSE
z^XTI~bD~S2<d@j|rDyBCxF0BS|L9Pga(daZPfC@4#meksHuZ6t{*rt&S$g9n*@~s-
zo*w0Re`o1Ay}eUy<2z%P?cRQrYfHcnt?W&Lx<8%fFWF<ddXmbaJ+rr`?b>Hry=2ek
zSu5n48DoBw#_Ft?=JKC``M84p9?#`_6k|>+_+L|7!SZ?GRPBEY&P-H@opbj5&sM8z
zr;dJ{<rp#Ru6XwHeeFrV8)D@DX-%_zzIMs!%TND0@11P?m3hmXDfZp}o&Rg~Z{*bZ
zb0g_z{>LTz`eno>Y4rbfEREA#GfA6YLQLzeisVZ}yVXliZ&~y2{C_w5txL{^f0}mm
z#{8m>OLhibn-F&PpS%6rrH7BMS}ULQ@54k-S0$BetC#Hg9UE~`|Lp38^H2V`|7V&5
zUrf`(7s=c)no}MAAB?#=(c%A%1=}|++`y%HAVxarNsRUi9j$ANE^M5^pS9-Af(y;D
zj2F(Db}!!h*E4p{su>kO5|3VZ*tg`&({D?CA1^)m)KAB??ch}jhl47bM=U{CoF_`H
zT71La@8nTt=DJ0vS|pFe{{LZDnv&_aaC<xBq8`zc4krb)C%W(ZvGla3k)Dvv8)nO@
z`WuXESbpeilv;2`nMsy^@$0{lHg6Z6Kf2_s*Ib6mJtwUzmt0-DKgCG!d+4bjx?Ps{
zQmlSW{v;l$Ai8Y*L?*{oH$*2gh%OB}?I;w%viOHDgQncF4gY@z{rF?N@q+xk*!d~e
zRm*OCk4T>J$aD>N%#!QZ!#C-*Y!o}h`13~>NAI40@x@b1q~0t$9(Gb-?u^*{N5bJr
zOLi}P|3u>W@;l%EO%_R?b@{G}!u35SzbERdG4OsnH6f?pMd{(6<#+CfpA_Kzl4mzb
zK>XRc>2_a#$E%oz>MLmP|KAcb*QH%&*`Dx6G5OlB8jn9bKkMJpk4x^<{oUT@`{t6)
zO1HPW;wqTymK}eslCQf+aQ%|wu~Vl!v}v@uww39(e~0C(Ev~AwW_F~%pZ@B)ddK7S
z>t>Xz?~?EC)ZM1=ch#gB@^{)N&Cp%4d#>Lh#Yt->GI94V3*FQH%vtH)^EDhBnjU?r
z_GgHn#G=WNx%5u?>PgJSOmlUX?=9WF;P}@Rh17|9Pd>CR*}b%%xudT32KTb#`u`UH
z;JU&1Pr7r*r@e2M?w<4E_6aUV)8)tOJ}||U&2Y-U)_Cstgvlq>;+E_#u3LDlc*40q
z6K3SinqV6{S)ps<8{eN<pL{Kr+^+wmuw?1pm~$PIXTCr2bKBBAe&_deh~8Yb=j5jA
zR@Y7#|5<)4sbi6C7)!_Z&3|Q#r|~a5n7oH!%PcSc<;n|hb8dayabw}`)`+gT=KGi5
zHh;Oh=}c2B!@}e75i@>CCq`{}BA)-@%Z;Q}4g36=U5uFAnjGFFE#H0i%Y!!UJ?H*O
z<#sgM|Knb|>k;dMUHs2uzPT;hwdcQNZ&J*%U0e4qJ681kW8C>A$E>a&;;@Y=Y}$Eq
zg{ipywUBRrWLDMh|8e2x(%q*!_SFAjTJU++lHKq3eeSA#zHImBA6d`-PCxor=63qB
z7jtWt?3&-%XSydQ_K(b8yBh}YuQ{F22wD8*b>y=8-!g~OV(OQzJ87vg&3ApuKfCGw
zCprB(Ch|9k|LNYI+>cXjtiPV-vif6Z_jCE~)peBtlb0QPx;v$+{`-ZwdbgK`p4Lh^
zE2$O!b8+XJuR-6oFWJ5J_LAMv|4&QR{<E|CKK+mJ$1{t(Rjs%mf6iXI=k2?YaNGRL
z2A`MgzV`LB{p#h%tV5@l?^>6;WY67y8^S*J1WjKMy{3Kr<<ymFv3r&+iMo1k>F#y$
z_Izcpx_{U$+x<H0>iTK7m+ap4wu2{fo~N#)rhM0}`^$FK$2}DPILH0xlsj9!Rvr0O
zvg7lTJ*BHn9@ouY+PQYYzimr)$5t=h{X6^dti?C?eOz+vT&(0G+wj&+KmW)-)%t00
z?3tXZ{jx*P7w%Z|OJ3<ks{N8f536GrZkL@ut7gfe`9J3@UG-`55zAE@-miK2Y150H
zzc`=mD3rIJ(VfH<<F_E}^ZEDpA{W2^v*_s0T_L^}v%dXh%X-5U^Mmi^(j80f*00=^
zwzB$0>;zrg-6!imiY^H3vXtGkboI^Imp(2!bz}Ltxto6SC!K7tUA@dCA*Nx`T7S-C
z*G_aFj%8T3cJ|WM`KxD4fBZv0mVL8wEW@pzT+4r`eLUI0&A5AlL*+%~&5O@k{adzn
z(yM#Tw__`WFHe5+N8MO+|AzL%3`^F{SLxofU_;tsH}S|%5*H5mGR%})^d@~m(vs5F
zn1v6IFFe`3eEnW8#`k7RmRtXD`^4~frOu55hR2s2o4tICZuP9WWoMVq{_sOX_7k7b
zzsc)vEL-~{=JN@6PT_kW$_slY#Vxe{ymXp<iky_m?3Le7h_bY<di46nnk8?R9hkf1
z<o^>tIl7mftl!Kt!CLRnw-wj8?!R8J{rQLe@`}ux|Fdv-zW!(U<r7EKKihe;_KL6m
zZRo+N%Qd%d$(`e~KRlYb&Ydl7lAs^6;o?iB8<!oK&!U|(<@vuQSI;lqz}1z#)-xw&
z>A~ka7)`a8?TEh0>ds*MN#N*2m+phU^?MdBOJBh&%sjijlB;0RRdH1oU7^I?f6S+d
zroCCz|9Hvnt-Fs($W2|iyUIvH?HJpi<R!bdN!YLX7#g`?vh3j<GbYTOu)5-hP%`U^
z-y8qPn9Z0dky^pg^Gn*^e({b+Tw2<{7oFd+^}~maPFlxy>^wC=E_wZKMI~YG*DHS{
zE!(p?zf$<!iuEZn33rx%TDs}p&E<R6>n_+*cjETuh0`Yd(wMyDR_*7>J>3lad)923
z!l1flrA@`64U?7Bmmhk%XGQQw#svpHe3`M-?uaJC&ijjQ{uk6>;JosC;mv1D4{zN0
zt8Yb_ZJ73^aQ3T7zi0eAoHTPK)0dbxf0F<I&}ENhWJpPRqwPDv_WquqOp!}Z?0%x6
zvQcU>WB4E6{cDz=+{$37v!J;m=HcQKlNk<fsJpv)=?Qg)Q0d>Nu0KgKV7R?;LgMEI
z$Iox9JM?bZ@u>YA?QfQ!2%9RH`(^{jjio2vPvZLC|8jqF(xQ{5kwVH#mcLoDZrTag
z^9xVbZ4z=^vP!)6ccX-dL5)!4xBrZ4^8^Z4FWZ~C<kZ&R(-h*L&fdCd>63dmH|`T%
zz2M|Yg_&2R>^>y_uWB^n?~auEe^n|qX|_Ty*Mx5SIE5LzkN!D!t8U4)&8sDE%+G&&
z`!UN&jm)K+iz=6He;w~U``Q-W<4Xmjr;7VNG1$Ij`+Do27Vb-SuK#DV=EuLKw_aPF
z^x_V;{c~gfiirOww0KIFTzQ?csL1~P44zCI>DNnkmFfqyRxiC9zDvzCKQdwR(p$SP
znLGde<kr4)dsyizm)HNET1{ShYyY}nm$$XsJznoQ`{nb^4bMI=*?w()=G#AenfC@<
z{Ig{H)yN5X5wUw^vtJhF&pr8P&6hC!Icx7l?bY4)mF@YZs>toRm5XQjPw2n5Wc#`h
z{-@hH>i29{{H8i(=IN!I)0geqn^!5e@UQUhNt>rCMgEBVtFv^f%-7jd&Y$_BeRIjr
zw|kaukNq|)VTp1v>r3lMiI0C3txt+@%YNKb{Hx^K@+(X4pZ#LBeUbW`9`Wrn!fpT0
z7ERmj68q+ehGnya^}z#W`^%nA5fR>39QrfJhV|OgUAw&w?4Gt{Q-1f4tuI`AnLf-v
zx@=e7?;CIYPiWtJASNjPG^S|V3EN4PVjrgT-+aGh*YzkS^Lu|qE6iWS>{+<|Bh#LM
zJh8<|`AfI=G9@k8eDvhy`bkV_EUJrl-G9PVv2^23n-3cEmTf$@=<@4|o!qj!_ZB@`
zwrl_A1$%BZ<|lLi6Vu%^g)3}>Pgc&R4_^No=Cmhmm~=Pir?S~^749Y5!YY}imu$EG
zz2NfUprzYqFW%l7@!@0pSBJ_UZ~lnAu356}c+7|W?J?ZTcYjS{TDW8LqHSp#@7dp9
zzT;t1!qQ#)SO1xm+rP3^Jbvk>___v>HT#Qh&z1Q1W^w(Ge}-@Kx>s5**>!*4bj3e^
zmA}4v`iu9ElKssG{Eh!NFE8G1`F>B|)wE0Qva4srq)+mYPha|KuVwt6)Bhr-N4Cc;
zUAZ+?^T;x-(|amkRd#RMyd)|8BirWI<mXFHZngUvxBideTC4p_cg5-owQh=LV(m7#
zv3#@D|0TO>btO1|cN{y(^#1M2`hUx}y?Y`tbB);awM##L^>m)Ez<#fB<>%#}!<Vu?
z&znAF-m=g3l3aIBRG;L1&Gq&RZ{M<A_g`86l+t*oJ1JxCgej#dD@4A`TJ@ND&Jy1u
z<GN}0UwvA#vGn&6pS9Vymu%WPW%1NFjW^dCt^O?8RX*LIE9XnMs&w?vT{o6*TdjSQ
z<NO+p^;Y|r?7F%=j;ZF|_LU|NtMiv^|GQo$ewQle${oq8mlpp??fC5Pap&G2k$sU#
z3wHaPf1Y$S?NHv*ZTtVlt2C7UUb1WLx}{x@OLo2g!LmdkxNO(;YfHBO3bnbif62D(
zyQWKpm+o5kdFgw#%a!#@wuP^ciQ4sa#<L~c)~<U}wxjQY`qz>jxl0>;%^t@7URtwe
z|H|9%*DRa<Zts$9SNEH|oVIe(yllnO(&^7PaV^=k{hzm&@4J@Gx0bDpKFOFp<L<Mi
ztM>nUDpj-n+SHU2s>@c}{^WA|d-V9szxv-6t)6UDwo@zo<FeIJpSVhnH`w>IFS)vC
z_5CMOFa9W{uV1i3a#HB(Wost-S=KIJ{ohMbPizTO-Tl9s_m&=wH(OZrd+8coL22z@
z8rPPt@)NKv`=_yI$(nC(mn~nK%2BoC(DCJK>Qp%vzh8Xd=fc(f3s0rp{d1sL+NXMf
zvDtzd+KXBLb#t9xe&qE@t;_}U)0Zw^F7zNewtvywnX^JaFI#>8q=50_ee;$dzJGh!
za({K6hSdv}K3a76>Y63XLyUN=ZW{kPv3VlLf#tKGZvR@fdBMixOLp-8ij+`Xxc~f;
zb=kky<v)>PUb_8hN<hNWJ@)ns*1m~Zz9)Uz=Iu|I{-1j&K7X;`=Xdh!&pkWI{d972
z(vtbR_1`bq8k@c{d-IO1+)Va=*$>W4vO4hn-OrsX&n{RuZ^_ob`}Qtd^Y!gS{rV?n
z3QO0VUAlYzs#tf4uzP<blao$-vyGGvTN%7&ihi8F;k`)dt|dEy*`lA`uh_O^W8CSi
z>;Kg9^OsD1x_4(s--2~_-<&<3e8JLo_rlZ9PyYV9X5rEg@_(76lIE{&Tk`MfM1`Cs
z3(qfE+_ilD`nAh<gq%!Le^dST#2eF(8Y(<1k`jeu{>ZQ0wrppRy5_dR24~3=d}TMq
ze=sh&zIW59PxDqf)W2DBuxzj3<3D{X5AXVUEbkMO;E%;}N$(dNJjuj;?EL@8iI(!+
z8@4PsDtmLz&nf%=G-xU<=ITghm=WN^zBBr73KRQZqy0s1nAW}js`Fyyw-s}qSV)}G
zj7_mnST^6?Lg(7j<(nthYahvB3FJBX!_FpVh0UhI)QRTS|Nff@vlPGiy{k%zxgjoj
zg1NhluDU?-`wMJ0|C+A%7dH8}O88Ar&g><er5`t+j#%*I=q6R^Jrk?6{21O$w~KwP
zRVl-?bj|M+0p3|v@;3z^ELroqx^hy+mGINoIabB$Dp>!XB&Vj}tiNZ{zvZjfm3L3t
zq~Y0jEbIPB1I;DNiaf2Ngd{gfsV-R)9==M&bl+2t+GVcu*Qe--FI%>Al1lZ`EupWx
z6I55ZyndGw^mj+CX{CVpN6(LYHe{F1S215z{nzcSKEuP;|Kvg^afs*WHiiEAD_b_T
zi+9hIGq=8bN{DY+vvtXq&{dNp4o<O){jFEINPpRy)hZgsYbI7MDxAq=t3C7iw<T-#
z=`72+yJ@cG(p{%duL|GNu3x=u*{{6+yEr`$Eqxh$?+W|+Q#UTzi8Xw*ROp|0uYTDY
z<3&44pRg#bUb?I^X~~w_tlcLZ(*JX}S}s^?I{U@-8+Y&j>D#D&BIEp$WtEGzv@$MQ
zestklDaq$&#Fnhl|H&e_Y|ZK?EZ$4E%)Q;Q`sNSm<4acvZG8TA!ID$)dw$9$T~sOG
zbMi;m(zW})P1Mz{n`wA{$yTkmCq1vP`NO^C#4P#x<?AX}#(!SEEau;dH5H6Ym#ta0
z_0a{s@()SR7j5Z(`BMD<vMo8w*Qf7ax}_#}$%>V~-z;2vLgD=t_FYdJKFY4&$o_bW
zZv3vvAC_L3HGS>br<c`#@Sb0~;_A<}b5EUpsx^Pv+PU#IX<==Jn=T!Av|Bi5bNRom
zG4Jhv{<u6{ckcTXkJF9W|M%=GzZYM{|1^EtlBFw8{)x>_{lmSqs(O`TU1N)d%nRGq
z7ul1~Kb^YzPfy>~vn+28pPJfz$Ub?7)$;oJTK$Xj&NSC%Kb}x?#&N25fmGcz-KD?0
zXYao^;lN|VP5;kd3)TylTgd!=$*FJuH#y8+^4d$?;js16wehMuX8k^)d~^T&y;=PW
zS1#XD{?9&AscwDtTCWF_>b~f&S~KU;A6@3B_LKMgh`F<Po$c`po<FYCt_odkf9?K~
zwSM)h?JwP5w#EAD-jW?}_W$ylx^&&tRoBaRY`rF3dh3H$<le;Hr<UGLUb1$1boq|!
zbxU5YEc?m(Dd6uEUG3Vb4WegTH<i|X{jK?Yq0&ya@;B!!9#4@geRuw1a`J~n^Ys_I
zo-JK6eNy4QhTG+b|9xNV^>}L8*`<5Eb5Gt7-M>KKWR=E}167ez<zyo(9F`o&|36JF
zY3Z`5Ppi_F9N0c<?XqRHQB`a9OkK8Y)Auja-!579`8d;(+0z%!7oFd5^}^!X=46JO
zhiVNsB|rJ`gK2r|@g>XjrW;JISv>cR=#piZPdBVxxNF{$Wu?DTer#K^`Sg-quWv4$
zYgyT$y<|<{p&xNe=InaH(EQWcm*0BZlDXT?PCFmAXl+Wz&cEVEKmO`E*<WfiLuS*7
zHA`3LUf{0Hx%RSd>56j;@3qI=J(;}u&^4=XC*FNtB^EJNb-o|h?0d`CX8yD;S*?4b
zgUf&ETKo4)Zy%k&yWaBDhU!I&|LsX!`+4!&z9oydzM8}_eaYHzyKnE8ua%6c?C!X@
zWa0KF9M2X$FrR;M$FjAtcJa#=-@m<h-=BpWl9$gtI#K#n<yFS{HzlWQOn<X%&IyH!
zjV$(ZGavgeU75c-wf@i2)g_;lB$s!mEIu2)riJ0zKi>5QNs4Fuj@(<ZnEOWW?}+AO
z5AQBs>&_zm=F-x2IxV4f4-ZT~I&r$b-2&G=F_-U#_uu0SKl~|X;f6kDhX=6?|CVo9
zKHacfBlZeUeB9DKmOq^e&YC{HzjTlILq^e<+e`M$H+8)hbM5NFy%U*K=gtxR_Hof(
zK}NOYJq&M_?Jd&fx_R%<^1YQeg&1dB{&>E8Z~8_iS?7iOx|q~Ys4(g7O13Y1l7D{l
z1@&-=`3rV3yxFsC$){^e_y5$76zG|%Y^lHa(k6j*tL?h~8r8137}B?3UulZKv1N1G
zYdTrwwO2TQ;(ojEY~RYIrmrtdaGEO+`0bzS&dCxn^Bd|vEqtvcQL?+!K5g+$@%790
zhTNQYI%nzf=}VW*|Cg$8Zu$D@yHgl`F72CcUC9x-=KCa$^gq0{|1OJj|NWHRb3>y3
z*z7k;d-O{`S<PLxEO@%O=DTne(^7o_-qlMl+_|~#?VBa*FV(MfdHrizljhP3q3=Rm
z-rm=Zh__$1>}ux@!`St=%l9o!SiMyC^V*mGe>YXn{;PEEG_(A6e(m>5mo1OteOjt}
zA$k3>y;FFe<}6)4f64mTb<-PM-(=L^Ua~+tbd%ic#p2JVDc{|6uKwoCl#N$UW#3-9
z{;4<1%m15#BJcYBcs6J2>n9VEmo9t$zjo=eug5yYUYaTY)UN->@cZ*YMV1ALx0kMn
zTe3V$xzmZka{t+TKNhaovtgt9f;HAlmQ|iQ=gU~}iJkd3Uted!=0z(WEnR;5C!hVk
zWy|j^T+!7c`Elv`^Z83wXs=$nVNXHYn(n7Rm#w(@he>`@dSlEXe_Q({EA+X{=U+JX
ze9r&b&lxRimao2f^K~oZA6DB<mQ2x0UMm^R*Z#vgeZflc|DAmsx&I6Ju}xIHopkN_
zk_{V|th=fr#oEm9eEo^Uh$S1I+UYM@@pbB&qeq|2d6)BZ#_>P=dS5H2DsSKYR9W)I
z-{i=pE9a+PIQVd_`0OQ47tZgwlNR?UX{uKI^tio$rYOgS-kT6<yzu6mZBOU)O_)>v
z=O1Iuza^KS{#lyy<>ZRs^#<FPZ3x}<WX1WZ%ja7Cj5)hx{d0Xq<;6)+3~TpK+g`Jr
z_i5cv6~<-jpYKaG)Om3(e9gO4-3|2{mu>L+uWs<^^^&I{=|9i@S-Sr7_tUv@H-4>K
zX>wP~e#y#G{RENjN74(neVlXTR&3eQ;wPT+Q#SAXzT7Bk|HG7xXYPCNU1;=uNyZJ6
zr@K;Eug^WQeg9M8;{6t{dr#-Evi@1RzWDyil3jJHmu`spyUOOu{Us~C?w*b{`1<lB
z6Z^c=eLtAjY-zarbjgb6tB>Bjp0uP&@9Ib9nSbVQ71_SOX32`XWs5W}mh6ZxTUvaj
zY{%XuE7nJs?5O>{bp7&m;!de4pp~gU&*qe`d$Z>LshzD`Z!KM@KX=pe;ufz@9-%9F
zyO%5sf8vq6tabk14~rge_;q#7f`!T+^{>xupIEJt^yx==ir19yZ{$BM*QnZgcJ`Sw
zrQ4QhDtqkvy`<$x%%T>%e-k+RITx+H`sU-d{;xk{Cuts9w88fE@&$K3djI15v25P0
zX924t&OVi`Us8LoY2VT1XXY)NHht~#1)o0!y=|MRcQS%;`5vv@Pi6LVlCoP~&Po$~
z-Et*q!Iu9Wb=+H%7Ay>X66rH-<HrTFZZ1-CXB3HBwBh5zJ^aaY{`;*G`u<*4d&$<Y
zg(c@#@!WW~Vf)^WcgI$*a#Z5D{QkkjN6Qz^{l0Yec8jfRmT&H3`nPAs#EPX0mwsM0
z^XIbJr{^5{$hLH$_kM@MJwFA0E}gwPrR(65rKcCf{<2&-+xq*f{Yw{w|5-9S^pgO~
zk|mYPcFlcra!>!$!v7za%*_4zKXlvj6=^G3H!q$1^I5n3%}I-wtzVuZ*tGce@z3YF
zmUJwi%$2cZ{_}MPF@1LwuU+Igy1zG(F=pAGzflUdYi=)DRirO<?wbF?RTG&w7w!q&
z{D-5z`+MlenKS1J^1r!IAF*`V$sG@NTkcfb|FS1}@p8rEO!jdeOIAfP3GPu{zDk)%
zF?voz*y0TzziQ=I{$#NI{f8^$QGz?u53l2o7yZ8ZC)-^kvTVujwKtNM?%uj~Y3GmG
zvNel!>z+;4eScNv=EDAsC%#$NE#Gr~s_^cLGvCE`FWsK@)5V`jeUtXB)lSFTpYgZ8
zj5+f?e&yQVo)Nq9#a}Pk{e0<C@%7WiCoNtq|NkO)#iBi?yO*u-;A&;Qe(v(g2_oxG
zWRx!7y-PQ<tA2I3-KxgOjG1SD?hj*$E)v;vr@ivakIYK;*-MtYvuD>$l|8z2!TD`V
zw|`%<{?bw&(KTOQrYz{Wy5|qam9iyUUTax$`QK^N{~@o!t#V4~*u;v*2`aZfUJ!q)
za%;&J`-g_{vHvI8d|$J0Yx=^U^)e@YcHcB8Upn>t!u(l(rE7(>b&^i{m}^^IXwbg5
zbgQ@F_BBagnFap%StP$<Xr8KmDtf_w(f5C3YBpJjFWGi0^km25uRpZarP}kCtTmFF
z+`MHI=g%L~T~bT`EIk?*f6}F3>GZP5(_-?KGj{G+;p(#K&!Q=kr|;kUKL6$P1rPt}
z*RNimvg7{}-}@;CIF~Hj`pR2Cux`=u$pVr~_HSJNGVc0m7w2X3Z_Rbt{^yT=)cv_d
zXaBUko9F)aU-r+0m&>+o_s&qhwno8!mSo+MZR^)fT4mVK?!U@1?91n0dzMX|eMceG
zYJbO@2aGZQU*CO|488Y|mEr$i=J^Z^v(GXxFt9LyKmx;q7@j1?m?jAZX1*A4238Js
z2F`a$42-e=J|!`RsWS-3G9|rBvQ1+6kYozJcaR}2i4%P9AOnArcoIj_`(&1XpP7_n
z-p2?rGBPr_S_-mpK4S<r5o8Fzz$_ReWW>VGnC&gdBFxAjzbuf&GMYu$g@r|)izQs(
zON<g*BFBUn<`{7{UKULkhV<90!XB~z*!q|cy1ZsM_>uMCMG5wUN(?J)7}g4XVA#mG
zHBFFV=PHK1u@e~%axfg_R9(U;&!>8gJy|e@@x8St6Dz|ahENw{Chk-wL6wy}@|?m-
zkxa_$OqxpEO!{I>rb4`oKN))21eu)QGJ3|U{QJnr#=UygTb7scOzT;!L_}Z4m^vh#
zOfrqR#PnFeKjw*$u7p5LP||7!PJclW>lIAKi7GL_S@;>AIx@I2$8a#2x_n_!m1WXY
zU`=98;#6f}jNxP#kGaMW!<1x@^giYTqktr1^1uJF4h(N&m|~O}m?yl5kz-(G<n7>K
z;ALaxW)Zj@^FL-06TjgnQRx@rqS6^3MWh3{`eQ_7L&W5rt=LmLxgHA3zTkT6A}o86
z;j@bg!*>ZGMi(Es%;gN#F0pL9tPJ8RJRG`GnhP1H#;}TLcYT%=^@!nU=J0okk-9A=
zE7_a$l4C!|6^`Q)F-`sqx~pR1m{JvZW4>@OPmoVyP7+wlv6A6^68yq5MqY*Fe_vw$
zE4wSaPqK}9#p5_hfy125Rl&1C33S_;N^l(Odx0de7!K)}3CCg?T2(w0iW-s_V;R~c
zB~>`u6&e+i|2>XTWtU}SlDL}$z4A;nS%qP$peC!3B7^mu7{;4Sstm5nW6Wb1n3Gsy
zSYo(h7~aGf#C(Y{j&1l~9P>Y!VF|-y1;&_{>>h#)#XC97nHU9IWA4Ss#CW-}N^1+U
za50+3{tp#osyAn7EmukU&k({W<Hg6~#ko(2$$%|x0!Iu_jFwswA45`qOgi+!Go@b)
z@03^#S+sdPIT!>rSe==qtYT)z<OwnqG6}wo;g}iYDWbz2qol~RiAj6gL<UP<22M$@
z2KJ=yG4r&(DkT4R&@k~-=#tEk&f!S9EfMe|iNh{tFGo0Y5`!i5#xqBb{8)y~ntz3i
zj0HSQ7?PM{Sd&<ixL9IdGRPYyeP(2G{uMJLhLIzgVJm|SV-nK}nf**Lfk_<ek|G&}
zV}v!C)L4ofK)0R+#&A4jSe^u(|A%B%#s-G}f8?LQvhKH-=}AQjNxPDwV+3*)l3vHG
zPGay9>SHzFJ26d{#fjr@lQ}~*XUutqRuk5f{sJ*vNwQ4ZUm2MU*^+K1Jr{`aNP2H@
zrPm?JCq_S}gEhK|Nj@=V5eq*XXlK3y!#mK0XdI=W3(+{LV*`ag>}D}lGSEq4yrd+<
z5W1HkmC?W<`M*XigV0l<sqFpCDoKKZN(`(V95L^$3S<8@J?1!Tlk`epe~ZMABn6Jc
z9{v(bENUikoV8fRu#|&IGB1f$A?8z(YLZ|B2UE;j0Y=WG2^_Ki9%}H)6scJ3;`raG
zQN!_Zlf*8EGqDVFwUSv@GJJazQz$5<@L51<6{m!jf_D;^O42_DPDOVPz8Fq{AdVP8
zPPOX{vigbw9`9l_7##)AC;$7)ahiism_eL9CgXjQkSnL7Ko{t8v^<q`?WLS=JsBJ|
zv;~$ZFeb4jDHyB7NO5FIC{E-kV0G}8)NV1k9Q%)9f{JUk;dwzu)fY^b#uJ%XFIk*)
zT(1DS8;!kb2Lo?m5+}nyh1E%=5_20kV|bG!lGGIZRUB9}UMhgE1$DTo>bjOQP9^3O
z<3h&YDoJq+_YIPTk{G{Rrt?iol1%a9WaypZ!_J`Y@sUAWvsWd}gIDsQN=ytxp+i3>
zOEQPpzfYZB=5jGs%$Y5W2V+)xII1w7XNXoxatKV)wC-SxkrX+>!o=>u#Kfy2AjGj;
z(1Wp2_z>uRG>z*nijhp7`AQNA%#4yMvHu*nq+T*Alq54s$Gqc60$q@n^u|;AgaU^k
zhr`Jj)0m5lzAQ1C>)m4>b24!YWX0$*GHy%)-I3O4IVI^uQW}>G3u8uN%n3mbB|{Fi
zpDjr%HLa486v7o)la32yDcNz@yy0kQI%mMZ6ywA+t%c)B42$&=7l8~`O(u>cK?cEt
zNlnfI70fZOSw28-N#jUnSY@%wF{VjDp;bI)wnhzyj7Ec35(DI#v{(kF<pRj}q$x7}
z=TM2Ui2+@drlGh*!<hGw`Un0)f*P{1Tn8jFMOAnYOw<UqW=`eXCnjLT-6=H1@*87>
zh)Sf5^Mn_SF>ghVaJ&~k5|ipFXvfW}v2aTyYcr#|0_dhRH%~=w=4P(`D3LWRFT;g6
zw==x8>|}lGldxQHgNn>CuM(johOZo=UsPgbBu;3BdP>@GJXCxi<UJ*3(j=)f%u(@O
zF07`{xZ6FFE(-BV+!0ck;`qd8p>$NzHkn&WQqs2^nJ32n`yhMI|AUCMI%E7+xqAvG
z9!WCyIC2*WOG}DLPhv_HmG%=7ekCHUl2N44;;HmNSel`Bl5!MVt%#@>>*M++4GG2=
ztB44d%zx2ELMwy?HH_FMf^SHRU{Dd<sc^&7@VDbXr6WoV!f!;_J9V$AO-eG@>cV7f
zB$BU^A}h{O8x$oa%JP4awD<=Z@lf8Uu}U(3E%;w%D!rHgE5zua<n8^1Q$jxQ1AC0p
zIR_sWj-Q<j%uZ7oy*~=XNIG;ddkP3@{m<xOVT=f4jQQgTz9G$`c#@(96Q7#2kXH6n
zP6r2NNya2jAz6WFi5R6_o<AlEs|Ye|xgk&>!{~52HR)H(8b^oANijzqZnC%wgmEUF
zax{4A7_%>NW|P5t-<VAW{4E8nN%6X60!fbo0y8IqZb{o@RKXB49dvmsgLX2*@|ddF
ze-j=hGdyRI^jhMQAjt0!-@=%%mNCXbenIlTM><Owf5%9~$i}#sBo(e_Vq~4<k=kJG
z{IVg2GxooI!43zeWQOwzp)B__KB>k0nvev#Fs+mEu!@e4g&JoJGsFE0F`f!Stf`%y
zObnmjCvnF(X?0AMjVY{kSe2w3V<=Ik)VTPpM4ghI$IZh`^P3nwL>Xh8JYII}kLZ(j
zFz>MNxI8gpmPe7to&Yta2&b10zLWS95(4}jggrnvr~O!BUD3&UakA7ahL-}d{~Z}w
z932W6&L;_qxZGt(Rb$xQ@{{p)(kF(a9?}daIfXs0#1vg*JFnz>_Z>qn2Un67L-E@r
z4%ws_r#hV^CPSwX#w5EWrX%d<lbn(cC^DZ-vM{U=WLOTmJ#8Y_@>eWpBiK~fPby5x
zvSB;k$uOI1CX;~172erG4EqCl8Nb9_=IdADGF{0z(UZ%*LQq3M<qYRkMt+r~L+r;r
zH7-Up@8g@Pr2Oas6PpUd3+}lRZk?V1f#R$@3&og{t@iRQ)|$WqzDCW{>T!U9K%-Jf
z<sHt|ike$uesHh7$iywhvwjj|s#v4$4k3nmPM0Jttxs>bw=dHAr_H}}I{VauTLG*w
zJbR}`vR&cbJBjJO$(jj`A+mwuOr8--7%$2O-=ECLa#ZDGluYP;!R84uE2P7jxLFoU
zGRB<tbSO}$V-(IvIw%`^kx6*3d_3s-G((n&js4GMlbM`7SdEq$tca3LXDYs8DW56i
z^hYE;R^`8qWG=%DPmbd<`9kd~x1|e(CJTL+EoS1C)YVaq?whFh^L1|z!%L4h%)1&^
z2}x9Y6};}0tu;HXA=8}I%d$SHPsjC@Crd+wY`Z?w*Un_OnX(s6@4lMmaMbMns!4_p
zy2?>9-TMU!znDCn&ied{+2j3z5?9QgZfCvx#q>Go!Zg#DTRS^k*q9fae(quw6-wSL
z!ovF6GdqkS-A+)*LfA?$X_7~TzSCb;eHRN)PKJpp&McV*<tj;wVuAyen3yem?<Hv_
zb^J~0VX!P_PE|<$caJrXb4E)<p-D``?b!dI8`DmEs+=%nFu!Qg&TMBn$@t`n&^%c$
z_VbxXSQT@4WB;GD^*j|~@y<(f(pe@J5eHU<*GYeJ&U@OWTPRwkE5y7>Vmr0clU;ZB
zpAUb9xHvEDPg1xFx-pGId=krv^Bjy9SXGz`4&-*`yu86`%U|vSx;N*!%h?SR6?uAF
z*78i{NWPGwz>>6l!B?hdEJB4bEXgYW%j{P>Y!%Xq)UFb9)8%14@I~c+4TDGOFO|xe
zj9Wjd6c}SRB;{0uHqB<JaE#$Ec-FzTB6K&$1BI9v0S^|XbsVqESsFMV#{S<iKbb*k
zF~`5cip~ZW$gODyV-#3oeiv_BIk7ZHX0m{N*)~6?b3&G?<-5*bwY{Ue;F@Qa0LK~d
zb!j*GTf+QeQuf>2_&1&Tsok|-(`Ts2#`+0N4O)|4y6gHS=8L7f?pN_n|0@%Esc=^5
zuDg?Xj~ZUmko{7+OTFUQE6ws9(-X|h9(x(c?ke5k$9(mdY-ij|F29EFX7~SlvOX`{
z5x!aud^_I4TT29|mhSlfRrTj8)90>6FPE2Zn6zM4?t=0uVkh3jB)KJ-_I2E|VC<DX
z5~G>)l54>|j*OQ{(<h#J<(VClacEA1?v1zx$aQH~CTC{PIKv3KF0CLUnc>ysC6jI}
zc_8#KUuH(ucLvCXX-|?<7**C?n)lFUg^k7fEBdRF9xmGw`+uR6O0lSJv?j~kvLdFK
zN<)Jru_UECIsY{Uo~JkJPgujkxFhC5j2m~y^Or0|jITSrj)1O8+q}Er)%^WR67o-1
zylCFwopgaE+k@j|1(Pe!>yA4gBp-0i=oHLq)q249Lm);l>6}EUok7yvB)<(e1@^><
zJnA!1Njl4wTWEc#p&*4}TF3SStTECnmP^j8V|-SgbU#Tg*|~G?iU)HUGLrunCvhjU
z{LkRbjb(Uj$e<&*FpF<?MC`w)uO;69`jr?OeRoWAy!mZUQh>xmm*pI53U;jEYuXq4
zU!Xdcp=ZOMcgH&fl4g7qn8WlXndg7d3L(bBE94m44+$m}+>9ym*<rT8Iw8hCX64NM
zf|&fy>l0%C*KYXVXs=k$Xw*KTB9>uBj_|EidCMJ=JF*nHykq}2UiM&&vHp5bp+IFN
z;{vW*j<;{_P72_%>s#oP$0TmSJKGy{ds-|*m)Ehj-q*1+7A13c+*DAAk!0EC$2@W4
zf!1X$kLT=|+PKBToACfsqKw~)?JPYp|5h;f92ba*U_2Ak)YUVeDXDUSi(tcx<O%;i
z#xihSV=!mwIhg$4+mfq#L04g;5aZ69N)oJs5}c10e4g;SLr_AFX`;hLo@uI#@j^K#
z?0Kfud&DwyFf8Src4UFTBF+hC93*3exfk^OTcpXipl>bL0*`El%YwHAgkl=G7sv2b
z&(fbB<B%Tv?@KI0hkDab*VzAF6HSf?N1bnwJRle&y5YO!j6Bc&Vu=fiJjZ%mR<v?3
z^R9?d5sVQ?;+m;=m48=^V3y?%`;`JJF<Ax?jNEKIYbVD3FJ!piHup^1B>BZVgp@gd
z&Mxv%GCWc_=f%946E-#`Gu&qMP)PpwLor|QLbe$TJ9nW%f$*XkJVFz?nF<-UbIx2N
zq-2o0MX0lI9h2ZgiH<oNss))rTc!oSG`(gxD4KMeIkX@~Yr&EypC=YBSnk5Ol~E!?
zh?)J&$K;dBpQQ5^eiyJ2IB)3^!zU0bz`!gd;QN7_Nm4j`ML`iG6LTZCkqq~YgDQ+n
z^97YX6*{<Od9JJ6m#g?0Uc}3Ev*D}~LpL|WZ9dKoFC>!`g_&dj{ao)U?aNRrCF#P?
z#45<d>hg`@@IS`MlXz->{^V>`Sy0TdvT$Z9<Bn5CjDj=cCom?7vNX1_P3F>_aLk1v
zn1?G-<rf1dXW}GoE=TENiOWkEzVkDBeiy3c<aV3r&0^@B{GWy8vFi`!=q9b=*#AL-
zhAvD;ExDt)c$AYm)wgyq%xHVoV1G`hTSbV^pzHYz-4(}MgdJnLndVOT7{j4BwMw~5
z>2~@;yT;fKUhd=FT*f^Mjkvs3I32bB7j>uvEtZ<Z!^dLG5?yD=HPLE8Vi8m9)cry%
zCne;b3vz8T<JzGhleB)rt7GSqb_j21N#sx3tdycSeZf|bPmCvdcqg}Otz6k2$a40P
zwz~C-wNqHWbD1AuNPN+7lfz(tjKxV#ZArEbLJ}RNo!sn-r8W!#3YJNH#{WAROd0ZC
z3fysF{C$DLqeo%~v(&E^YlXfTj@>HU>seTLh$Yz^=NE{X{6gd2j%0?;{XZI%Vq{{}
z*FI$7VqlE@&yX2o5d*r-O_DWy!@7scxl@ulW~Fec?Yqs9tgt&Mso;K$UefN%1&lFE
zCRDJbaH}NsC-MF2{1&6(7IWemi=UB%!U-?G6SfMwCUhhPGiyryP}y{yL;TqLO|}ym
zEauG3Nd9+Ur1|*FIgeH>Vbn`fN|HH{G%Lv>>3Bzr!}*vH1(pL(k~ES&9Vl~TjQP#L
z%fr-gmfO?GOW>NIfe}aPfjE|=9j-}=F&yh-ERAO*{gvd)+cB+^W2^AAPLXLYk~>~-
z2&-J=tY`e!S?DRg;L`!-i5&ba#wpCHJB3_0AIAtkR7n!}#xTv3<GS*5=6IKOmfu!9
z%q}k(437zjD{;O)$K|LL%;5W&%Nu;LTktQAt~qB^7y~^%GFA$@++wZ1c;7=Hp0#>T
z_oY7!)gCc2(?k~tDNgY0X6!sLLvap|cgYeqeU{a#LbDidhq6Q~@m#bNWEK?Cc_!e0
z{v;Fc;k`GvSlpiJl*BmuLlR%1ktgp>2fvL%3<uqAY&K$<#6Oow+u*Z+aEw8FjG*d6
zE^Xl?BZ(l>T`WZ$ZI_jVw3l;!U{v3gdr(P9NMPO%ZRLqZKbcneIi9v)+QHtzl=Lk?
zPM%5DLgJW`rIz^vhJ%WZf-%A~A}2Dk);6RT&u;bd%N619VmT#Y>B27{$7o@wbn?(o
zBW0og4DNyd{tBLAWD%Ig=o0gQu|FwNOXWVJXvDrg#uI1R9hx~FG0jy>VxOUw^f-x6
zd)LLQNkv8ylV{31e2ICJ{GZ{DLo6dh(wiv9p5z@u4`YN`xBu~EsOK?d+detzgeJee
ziquol8OpoXFzh_3p(OP0=CMv*_dne3DvHXCw^?G?IPAHT<T@Gb4L?6*5<j7#z<0sX
zgEL&=+qnsA_V_wnPcl%@Xj}RF^Non&P8XGT4!$RyPFl@nK6rw~!8S?CqTYceDLH11
za7Ymw>%(3i?;Q(b1sK-F>|^ludlIwC!S<qa$5)P}ybhi!f#F`wf&qtP7zIGL-^DUC
zI;3|n#{BbWO};Q!S=pgbiPfR>fyYZFLx<YKTuYT0FT{u^XeqtCv7JSrSc!?_`%I4g
ze5x0ETx0kyESRE}<j2uB@sgVn$K9O;d{5>ktvbBphi^wI<KCEnq;-Z2FSB-SU}+L$
zj%8?ZiFqIKJy|pD*oEI4<U2Wv*qxFT1d~KH);~YpeBoKof!J5J6TQwkJeiQ>ld%8f
zGG%`bzC?#tNjVGVvj{jP3B@!uh@MMkXb+h0@t<>Qgrh++!=fGQv_$SoGG9IM=Y(*K
zjEwaIKTm<hGS<7FoS%@ilIf!4loqGMNxK8HT?|gGVz{dm>Bz{D<mhxU`G4Jw11by(
zj|4uNKe?E!!Z2ST`bG7`tR8uRbVs9}3qm|^=bS8Kd8eXP=M}1w<jwd=tBS)fW`$og
zOHyCd%R{jY*&Uo-1>X!F%bYr#ti%wx^<TtJC53{fAHtQ#Piz;xaNHyKo{x1F&qhm$
z#VaF{_Hb-vJn(e_V@xLZC!Xfy|Fw))PjpHg-4SN-i9aKmVIKSWK$&W0zFmSVB+ZkQ
z3YhoT@NZY@X4yY4u~bmz?SF=y|8-UF3jg<1@OCI;<vx-0l*4=H?FkoTWEDhROssrZ
z^*xf7bMH3_Qecc(7-O}<lcPDsn4_0%LuS$jPX_&hWm=mGcw%0^Q0&_%Ifp^Cqe`ao
z_Klo}GB0#hKE3BSJgJ5AO@%L0#EFkddtR6{Bz=$l$1s(J(LI)7sZ3J!mD8~<3~Lll
z8S;EE{Cy!@LN;lGRA)q*_8F-U>lOJbwpz+3oRsM_kj^OMUNJfG-Gx&UF%NGU3aMx<
z*)Cuob0*@0%Y>MtF$xZ%s~Zas_qAPk8gql&cyp+e$4%QLmg2cgu_`h=8oQ2hZLm6#
zBe|iVd4qM*ste+ZhQc}mF=u1!HEx}DelWv@fnDVY!+py}$;65kvpN~RSKfao@vnrf
z-81lwr{t6vmfsBdJzE7>zpq-t6>h@d!f=<<W2us5{~N~t6Qz1qax!u?vLs(R6JtM-
ztN#=yJJ(E)!<?L4i;b8SZxu|4{r7-#+s8mh{umy?@I^x0+M?X+rb(sxU+j3J;=my&
z=pxnlT3A>~Ytaf}QAsB8bwQnil1!Q>g{57XOb-dmda-K8d}fJ_{T$2i=B|vnx6-x1
z@Jn~o)l6$uT{6t)L@_d7ur!TPF?5Pq{^E#HQ_u%4B}cC)CQ;%4O|e4%RjMowF`S$F
z;>-^&PA1L5ScU&pprggsdGyA_$Xr*fJ@z5(#g?5@j-EWmEc;^mM8;F1to$768?@%c
zv_*V4&S=mn!Sdsb(h(L-RR(_dnOmMYtZrfyZ;z>B4DR_blTox~RZh&O4ms8&=Jpro
zV)9&G$~-*EXb@u<Gx_5D2l}y$JTJ0R+{<?+UU7LHuj;jM@!58_x4-==R8RFzn(*8C
zqKm&!j&?`X>*pQ(4Ph)U>lu@lpUl<I^6V4$%r<0D73=h{yE4gf63dIIip)diA3IeX
zSH?Jd8457PC_21n;-4WRH<5|`B@^$)Fc-(Sb2@TWJXq8-cQ!Fi+`$yf=rG-;W9BD@
zggJ~Jj&tW#9m>3#6g_v><w?!`t6Y}7iHMnWIHf^-&gGvr6AdboV&`6tYbc)7Q(ct2
zv?D2|bB*B-he<`X8z(d{3l??qcF#P<U}-b2eeIG2o)23&&(Hbn-CfN3`2M9T+kF|6
zc3h|mz3c9=g7Iywf8-+8CmixKj!b0I{CBVCL1MGW#G1uF9;8Huw9Jj>dECkMG>Z4>
zx+t!tUU!5AZp$r<NL{yP(ZY$ZJh`__NLt4IlbN@tu_sH>W=Tu@w7L6jmbA`Y@Oz8Q
z+s=yf%g$XETh#hg=IxSsnw3IFm$sgo5WQUft?tt1>6^7(ctRtEKE7gq87%vG-jY_n
zq!m3e$sIY;H+#CjENfZr&9gOfNvl<=nB<a{mpV)1SF)svh)BQiRC;@Pl4azkgCCZ)
zs#y4YR{qy!UFe&_!(hD1U(mpx<NT7A{Emmpaaxk+mo%$BsH$8Ty6CXVgG|e<{>xhK
z>o6|Tv|(P}x^ZF042csW-pksaY~xzpS+a5F^N)<n+uzK%^^kda+sr=;+<l#Q9qZ<J
zUeFpdVa4nIh>k7%{V_V}NekJGR-Re2*{ow__e|TB_f&i<`q#~yy{xHhMwHtMAECH;
zOPlzTcBMc3*KuR>(q@&LPRF~_V>SyapV-5{u!U*<f~y=ZHp^QlPVsnl!&@PlVH2n4
zM1jXk9e#Hmc4cILG+|@lf)2$nX6b1$%R5g#pU|?bb2Ep6&f+eKB&$v<<vAO;gN&xJ
z3eI`7Z)wM&7@q~Jl9qHHj(I$z*<+_E=SH&yT{20o6Z)B-Ptac2wRk&=|Hh=mrCq#9
z2}yj5R_ynQIr$@ut$b<Qv6Bm&oOqpDC$YR`SiZb@ZPd%>IY~>JIe+YCOp0FGJpV%5
z#0VX(M>$KHzHeYm+CI1EgvO3#P1ijce{xKC62mcNK~&As=DjN}_QuWn*e>2w@_E7R
z$`0|GWlgGFrJG{8*q1h6)it=U#Awldf<sQpkVEO`m*)5-P34mq7Yps-Jy5g6V?N)3
z7ZN-NQWbg^Ue1|y=#zlL%7BU~Zzd!~@*WegIKj=ty|FoIS?lU8?9GgkJsrtStBkwu
z)YvRuY$UL#!)6uh%jq$N%bN6+8x~~7&iwgzi~pR4sE7VFOPlY@t*bmLb4zMzQ@OUd
z^z9|h>T-ADmo%kM5LMyPRPgAY#mA|tq7ag(9lwU_{nBP{_S)4VqB%>PUa>x&?sRc!
zlYaNgZ#J$cqFC6MwuCQd`9F=DaY@tqtGtZ*5>F?eQRI92PE6*n#q8yOmF~_td0+6V
zN3`>M3#BKlE<YFjpX`ymyyfk!9UI(lYqZ!cZIa%-B`JD&^Zg{DUphA!au%=XyC4v=
zta-hbVMUwne{OxB&HE<IF|3MS(!AY->xA|XvCiERb3|`C=*?K0B4M<w<+nG_&b>Z1
zN&RycuKvDY$In^WHESaE9qyfPxw2d)@K^6oz9r4;<9TN8l?mP_$#|nbdg;s40VQi9
z$~`-yT&t9#Dl{ZxCA$jd?K~-+$fP;xpc;d0`i9+~jh`5-THgBFOQv~y(jl9zUvm1E
zG>5O2>8@Koah+`U{@kUW+t#PWNqF;p+`*VsYw|FCY0K^~^T+!)#p+DyD}811G>Y}=
zyq+~xrRL99^UV%5ed*oV#mamlX>m<XL&ahi@uy7O57<?*XRxu}U)EB4Qgr2`*2)ey
z75=j)+-@&x{=X_FBfjC<z9r3eSJmq_v)pvQxxCpfv~-s)^ULU^EnDrc{mPwv_f^@h
zdrO7X4n&IG-Fcw$K;k)eyVCMquX(30J)vK=%d22RiDv1Jt;<^1M#n^KFWvE~cHU~;
zn{T@}T=jfB=j5d0hR?5*?AW@b`F+%Mm#=0^JwwZPyq`Z~@{6fwUO)FUfA}|tapK8c
zWjnlNqyKvsZ40ptJ=d(zC}G*uV_CMLVRHB0w@X{zuhRMOO-A=Xx35gnj~CKuHZglU
zQdk%t2+T|Jm0!@ZH+{y^iA4e?F)web$}ef1q!Uy7<9g2Q4`N~qS|eiiBrnLcd7+#1
z?$iAl=`|~Cbeb2n=ge(Lso;-U(B4s>kpKV3nWGDf9xZP-obmWZQuYF6h4)Fzb`(la
z;E2`vueb2!zFvi##VJNRc6X-99W<EV@Osgz_B}h!OZa!V$aiz)-`sI`iH1c@$JW`M
zJq#rkF-tXd7UWJ_Ui%>VKl9Q_w|`hBFXVa1`C)x?K+LL+8;|okmu&0^IFQWHwQ$a}
zMg58Mm)d;nZi!glSJv`YY_hSQchB#>-IEyoCbE29up)A4#i68#N&Fjm7f;y`vmk<_
z>JC%Pr^-WHmQS^qqS-w`XrjFWWAc9nzu60qFRgn1^ZkQ}n#DRBlK(xJ)3dWr_9^?<
z2ObAwaylO|#WW=MFmy~(|8e^Kf|<t_F0V}gD7Ybsqvwd>;|V!-GjA-OP{p_S(VDJe
z<!sKy$vZE1JZ@x+Y2aQqA*uRA?!@%-3u>SLX!^YH*9pd!1*&OFHl1Ep`@H91@})J5
zl1XXuKb8w}3T_fO5D@cd-HE+fjsE;GP5l$}=Lpoi72njM*|C2E(~HZI527XfCMG|h
zJbS|&mP>M0F;Wv5m2O<r=34QmA!g%`8BQDdmKWtrI{r{}h0={DD|~DoG}mbUDzsr(
zm?PF%_d_t|cEkT0?`LeVnb|D&V;6tVhl{g+`!XctyfqVB(k<Pg&p0n;N#^;Fmw&Dd
zPZ69xWtrk5o-Xd0L4wjh#QsDw99iNzi9v9~uj4lzlvz5bJz8Qtcf-lX+Ov~Hk|x+~
zY;34pmRZF;U*f|>KJ#C!onlk&TT0Z<>UusoWl{0QrryU(S8ZIHWfQyUf5U+f<tNz_
zXZ%W9xM(6v<ob^D6B!<_SfIjrn@L(OX2x3Od2vj351EXTdj4(_W?1>`_L98YGtT8K
z&AZ+k)3;!{;`gmre{Q+EBri_z{Eei$%ku5`8?2|R#1sjBU{0OAV)lY7hXZ47{7>t6
z-|QW;yuq12CCP5m26agT{vGqTF)hh{m~=Vjq1eYJ^|T*W%w91aF-^fzpBa-*FX(F(
zpA^EM%wY2|X@*l-`$L@<X*X<>mS?Q{{Nlllj%iP%3l{#_xFF+W%;HZQV^%p^te7}^
zNx(w0^Gi~aR)t<<(Jazhex<c~nf?>$Q%mZC*&;1h9<7xAwlZJ~YqVgF%!;d)mck_~
zZpAN2H%xlLvxz->LB_-+jYrCHDXhnrm~C7-(^D~yNqv%WpIX-juHq*TqZcLZX{d@^
z7-+LWNNj<9|Ed=adgA=6uS<N?w){7{F=El$nvMHDS@|u^`BJ3gxWVfa+sYZcE0*WQ
zc^S(stm^;3vNGZG@|-y@_9j1EG$B!QLEguOMF%uut~PLUDTu`^$##eVZx9a%{By0t
z{=@qV*BIW!{uhe1VPssAVG;ZP<rDdd%2t&;GnYkw+AzO^Q}@q?^_!RMsaTqaI{&`_
zW&Xb}na8~E&!)w#LQ6B!^*flJa560Xs8pdb=Y*J-<PH6XeGK3;?fxh0FudPTQ6svd
z-)^yTeQVJK#w1Crvny5<#3XI_Q`RZbbL#q?6?;0uB4)hRSuvSyMOQLI_YIb&Wu_Yg
zC08!Z&<Pa(DG;+rzr{oHf3?N34J<JWG98i`8a>UFEI$78GWnL=a8GAxR(b<_zxji{
z$ATSYhlOJnWhOQ3?Y&^rdV^_cK+TWPNuDm8S2uek@7`_mFloV5$D}NV%)V{jNuIlO
z4wxNjVHAm3q@TXSfrXJLnPKKfi&yLoEo#he*AzM$D+Kp9J&`K8As#Gq$ZWO3<S%g@
zyIsB6K1DwNaKTgRU8L2dh}R5B4UVGr4Ug@1DsN)s;$9(jQc+-`rJCne?iJdx^2<X1
z&)*@as9UqpT8Fb^n+^L9>6&i~Z`!TZk6vDJBKcoH<O-v-?wS5U!9SAr?x;Pn#^Jz%
zNl6{E`Ws%h9AD6%+%cOqnPJmHhwDqTw>0FLPGneTBa_(ICfcdA*E`jlLEa_cSxn-I
z35+p*47xX(_+$PRGMEayNIkb<ddHpTKTbZ5T@bo)NkKv^!_x4`#O`^^3a81gWjiO9
z>6K^QxkYTzqW<NrOXe?q!o6wd@rinZOGBSeDzpu{uEKFa=J1?_F`w>AT+I2AZMNf0
z^R3jFr9Ya_?#O0N+8EQnEc}Ai$5nF`V&+8U{^YnjLGlQ*?wtdg=}RIdTVp3NaLiUx
zSrYO5=du@9E50p@tVmuEc|bAgf6|hupr;dJ8g-MNB-U?8+IzsXVnL)p(jA30x^hX2
zqdpccuRaj5q3xsahQ=3fA{x&%?uZHhF`GRm=Ejj2*F|w1u?gnlI(I)UkFWK6xZO(A
z>|k~O@5Rd!-}-Y&v>0+MKbOQIQnO^<{U9fH6VXQ!xtz-qqLqByHk3OVA6v6_d1BdG
zUcqWlg?txZ2F`6uAJ2KSZ^FTe49bedSH!sI2Z=uM-`Y~X`9RkMEf@183Hr?s3>agC
zL{pedmn6RS7gxPL$tU$extQj=X%ea`rmM7nri3m_SeK$wyDV|Hm-_baK?Z+aUe`_y
zGVi&-A1D4U%;oJ@-2&zNOB2+)4xY@>&q{B*aC3R$ui9zz%a-tZRj_N#DW6!R7%lUB
ziPT)ZOoq%o`*W8ao2lly^w1OTqJ^jGC$2cc%&zl5^%KMDIbS}Ro!a`B_30*t-*e7w
zUSc-iN;lWADl>jr!ltUgS!b0Qw3DB1T%PzlgzvF8)7+KTmsUpdJiY75WqIZMNsg}z
zWBQjTte1%CxEmL<geQfodanA$C5fT`qi;^1Gb`!k%{5DkzuatatU0hDhiQ4@#zimg
zop{;*?VGxh=KLAY3=P*TQu(<g;naj^K`v(V*s%YLOCDInly}7SGo9GC@#EYRm%Cm(
zRKG2g%EG!J(K*KZhsqzO3DXnPlNJ^qpYda!ug#|gMamWt{U>iNO?v<7z>A34M+ILp
zzRzBCr*276)v?{Pq?Q$XrEFx~bt`A$hmeIyz05O=Mf)2kFDse4chMrpWQNV0-sdK~
zTw&bx*lFUA*^5g>H-$4xE=d%9u_uXXd8tbzN4edG_c=+gmnH6>@p~f2>j}~F&lk3L
zmmc5A_<Uh$uiJ|i=V$0}C&~3Lnwq|7`lFdS%MyORuuM1+<38a%duUAhf(y@9?O9sP
z%bWcFn&y(?Ro|B+mDYB|tWvm|w7kfE{?DUb3pX!GzFU9pg7lJi-nW+~+x-+cc+jwB
z>C0<Ni?x1QMqJplX5srA%ZpbR%?SAuyJ&0V(&F95zyJ5m=!#;^-ZmpFeLYWV{-Ol8
z#daV5%B{cdX(8(Ln3?_GlHy%gSpFa4oS6J?N%5_{{7+wrarj5?<$L^RYtFJ1&l%gf
zUVaKnTar|&`#|FaW6Z4yVat=hO}AL|W?6Bps!$&H+gXhH%Zkf$Ph8gd>Z`@KJyOYU
zvu@6k<i9Ir0`qQG+AJyFJ*{-wg(V;Fu6iLC*~M7#K4<3UZS$MrPBHvhF?Z_810hS2
zu7@tNluVLo_OrUOZAtR`5Si}n9jA{kDZV$Ct1`s=e(Hp+N7t??{}fTQ&OdxU>vNr{
zxmSP5#P`04G<m$wrpYgC#z~#&dHYSCzM9T@c@F#hyyP#}Tf^MV9+zkF&HpPCf9eSH
z#f)Q%mlwy!9#%*STv{?K@&2Bjk4{>xUYhdl+Qu6{!nX(~RxT~!PnuhKLViif;iPvT
zB!4a|d2Ll{|Lil%lC-Om4;I^RsQVOEbVMXZo%!ikyGOZ8(q4y^9Q(U(NouzGgy(<W
z>#uP4d(3V3pnTubv*EV)bQQ$!mL7S!taNSs6p!ttM_%PFNxQzP<jDOwedW56o%1`M
zlplHZg?H|&vLpAFre0rPex!OY@BUY%I%m$!FFCU7+mh7S)5cFH>{(WRL~k$e{L&*;
zf&u@R7wmarJ^RI{AkVFuhj%qtK3>2%`Ni|jhVz>}x<79Iyr{H2_WuG0d!888AHNy(
zmzCu&<bT*ZdHb6U`Zp$S**wGZW6bh0_E?6Q+B<GuTT;Ht??+&bPRGd_#t$;r7MA~6
z5OZ@;++({Lb1FC|yxq7k<M^EensQ<C3*=O8a#d`wKO7_8!KapRL{4@|me`4op8N#~
zT}M3cFR9qOccFLX!MB1P7h}s97F6hbQd#4BYSzbd9kV_-EpSVl@QM9vtp0)(`<A3{
zp27Wm#)HWM-<DU2a=&!Qi<ID4;(oX`c0u4_#$_qVlXje+Ft=~^SM%;kVhq}U7S8{1
zWc9?XhDCwL8>;y^=XWG_`oCF{T-w&u7`r@q>rJl-A5xg^FHL^?W+v0dm<8M$zRm8w
zIqCD`m?ceXzs+QykW*x|r0{6RlC%Y>zEWm#%k#OH^~dBdNj+JVv8*Y+h{JTH{vV%N
z${!gQ%t~68w*E-bifK#JZtq*-H*E*Y(Li(i%~fn2True%8EjW|9GvLO;M%>oHGsk2
zW}=E*=O6oKu{Vt;U)(q${P|Ssqh*QhUIJ6rOuAD!`OumLNgV=9B^O4t-s~~ixS;Rn
z%%^*1{r|b#|J$r2CBdDWL>Ai2D0?(v$7BZE1@WRkEi5~o*DR=2NV+Zfvwy+DC2{hR
z0zNlS{FzZ%aW;J8($eHZ7tYV9?Bd{gvn;kG?8x~C$^RZMP0!As@P6Bp(9Kg!Pw)mU
z(deHMDem66Qc#Ft+p?g0lUA$C2u<X7{>8z(BzEp3p^Sys<=^r$FOOaNM96AM{OJRq
zXD#gW<=h&!U|I_E%?0mz85c*L@BTM$iObwAEOTa?^!JzuS1>MM{JbDMA%^ehucI^H
z%+LL!vozxAME0Xc{?A|HC9A(6Ic}+~3WGhzAMKOH$8N?iEfMaBluB8Y63C*vNlNr|
z)}v+9KF*H)x!}ddQ_X1$_>RslT*e-q!rHp1d!j_#qGWkh)@aG%l$hsIcb6nDUw>3#
z*0c*o{S&^p3XA_cp!sHbQisC!WhWR!#ZqP%y_uM_JaLY~(;qr37u(FRwfVU6<h=`x
zIV+Z}d3e_C#!Z3E%R{g1U0TrEV{pIc>GK(F^7<1eev;d^*iT8VYNiF}ljDDvL`g2L
zj+B12@a*R$DaD!WW%rjwJzbW(e3GOt!<m|;Hc$U>eO?|Xn`FJC?~z3L{e|(LcWhO@
z*jILMaaxMz_Y<PWm!^3?GU9%;FwLDwHsVUsiFK1L@=vU{|7cPAc~QC&quGyGhW|^_
z{wzz6iQ+%U7rt*vl5>)r(bBrp+8qy^Of{JH-?+0w_XB6l4qk;MDJDhft>>><->?<B
zS>?Uwlm3GACdPNk3~v`?oo{6Qxh#F9(5~{o+RHQE-dcHlA;Ym3%Q8;?oA9}TF~%k4
z0u%cQRgSfDpUhv)=Nme0lVjrQ+{a&RJ}n7Qjxf+(o_#kWhH1syk4v*IYsx&bU)p?9
zVEM_#{Co5l`I;@fSQ(kL#8<ICFzZvhz{%ylP74C$<Cdhanr!-3B0c8swod{3mj`^h
zdBdz(ILR%7(_@oGa7J8`Rb<Zs`{bmXv-;U)ScuATuV7P}WKmFIQ*!O@ihni>yuPiv
z-O*CBrOjf(o&^(5SWep@KL5gFQSB4=>u!WpFf9q<PG&fM@qOizAo=7Y3~M85<=3e2
zC$IT0vtWJYJk#GS3iUcMPiC($ShCf8{tnxP9KMBzBmT!M^!u@JMu4(Xfy}kz7g#F3
z?C5yFnbf|*X5%7%h7~dHF^B4J*fNACZHiHhv93O`Uv5DFL(I9@|02l@uWgdV*PN(a
z60ve}MOlvYhon6#xFZ)ueq3Cx7~`VhroSNa5Q8{l%#MxEHZ9tCqyKR1$~1Kj)BMFz
z+m=*WRa!GGtNuMLBllvp?fjKL7gpKdRLor-v8jW3@w4<j%VX}I7K~gTbMLAV*Xpgi
zwk^GFbyJ9UNrYZ@$EQg`qD;n1Vz#d?5m()x+5SYqcWL!oFBQ|P+eHfZER6}BtG<2T
zG=;5q19P@dj(z3+diB$wtsoUqqF!^Bdqn@rSGKOqQe76a`rL`>$77eu-`gH6y=H0V
zUM}C9r4di(+<RZMG<^C&2l48qIqQ#9JXtn%S;hKkg2GEPw@#0d-}n04vY4>te2?=s
zaxq3N&AhgX|7kSS^KDBb&M%9J-n;D9`7iTNsd8<;y+-QVgI_h5wd)?v`}3kZV)pLO
zw>?k1ob!G4c})@4>XQm@7#}w(#H2EbTX%YFTOYi4X-w=d*2l7Q3K;*z%rwtgE3%5^
zWss|B*_ZixA3L@tEs42y_vg~^S92fzXnOW}dBocfv1gY=UVD?YB=%|z<KpyvOCoh|
zd_6WpXi03eE~8ETqS!~Dv=~?0xLcIzuzU{rwBW<C*zl7q-b-We=WbeYd`aA`^c541
zH<cY{`o7THZb_8grW-%2C!9UkF@H(i?bBT59qWEBiOc@UAiX?h(}!6%m&ewruW)9F
zuKv2@-jeu9oJW_&+izs#=2#v-_s4D(E(XEy>@SP<#2nb=$tEnA|7~g9EB)i0{xM6U
zwl#(%Gk#mJHqTPFbH)R+$XSZ{OXBB#xj8j8;eUf?N(SrC1xJ^~#XX(Hy>a%HlWf%!
zIV+dMRi|ILv4r>blIq*fANtK%5cK)0{8ZM*Iji4%SrDpTv|D@0t+&hK)=n~dwdB_H
zzf0r3o~+<rcB@ZJ{7Gcs(zv(&#(|$Ix_7L2e(3e_mGft@JpYxFv~*d#{z>N7T+v?_
zM$KK`w>OpX@xi)1OX5InuxT9S4Nm1DypMHzR%b7d_Y}U$T^o0~=!rn?vbeSDo+>?f
zWACZ(;os7@fBq87#4d?e8q_R{`>k~{cY#LE9skMl;lK8F{)?FV?ss&h?Y<?ERn{9G
zRWK%fvG7v-{^@YR_l4iKPm!J;?YpmXN!)JlprlnMch4<}Dt|w{eR;G*`@Xp^Clx6C
zso8&i)3Vtn5BF_e8WmeTy?y63-u<UDd%B-@SG=mY^q)Js`ofjAlN%W?WXW1zi`-@Y
zaN4fwx##EZ&zaQlZEe1vO#J@avszeLlNN{iZebPg)R1l6>cyC}EN=ViWW@)EdRaa%
zwEd@ZBjLm9q*cG$leRWEhrVez67iCGBLCG!-lG%h=Cvu*)+~uU$?<DqqZE7f_eJfO
zlRi2NUcCJ<|I?y`ScVlzUl;KwGgPdIDgUx)b-dz~poWJfXG+61)T~*O7&^V=%)d02
zMN>a7Nw|J@lCjbP^Okx0OYC2~U6Q!=-Ba1<Nh~@?d1HSri{4dQe(L>P>FS?{dzU7>
zzFKl>>)fx~|F2z=`1Q5P{ru{sb+61G-s@Z#F(LP3>jVFj89IAK{8g{at!{bpviww>
zOzeHT24OMZ1+vx?4a~NSKDupjRCS@!#`cH&F(>vU)jUv~DR-=Oq4@Kbqtmlic6#uw
zzFK;%Tf=;LpubInoY{;&&(}G&=!jdN&<dNp<W19B<p-~KH`#oMnfZUBPles5WwslV
z|7XQ4W}4tsqyAx;{KgkzTpjP{P536?5&OT=;jZkA$%@hp%wi{Y%H+@Zn54Dt%`2_*
zGp_Q-oL-W&X33Wo3`>&Sk`Av)@|(2l<GGH{vpPhtuesHB;C;;Z7u|g4*2EZmTUqjR
z;T=WQV#Yr)KVE1~yl0U0ZRONw7e6#7s$FGiP5Qoa>gkRT`Eg6qdJf8O2za>n!LjoT
z4?E8@_#oE0B}wR0oym(>M%E<xm=m{?^crq1N^Odnvp})+Oos_8OH%gNRf#c8b1EaE
z7D*T{+`OlBQO1GEF=8_q_?}BQOigC__slXVmf?x@28VkKJdZFL1TV^PUeCm>ldv$8
zBjz}h%72~tGcGVGuIV_I-gI>1luK#Lvs|sRug$jDb3v=~m;N3m;S+uxZ7*H>zD$@q
zAt^$@uDkH>ng@SQFjX!Ho*kjQW@*mPnTtPnE{@!_{Nut}XFulE*Omo$C0$-EJAc+4
zfjM(F{#sObd;**OzvTsc7CJ^O3A+7gdveDL+4}E&W}mj!FAMw>r1E9Q^i{2Y7PXyZ
zdUtp&_wI=j-2ROJCh%V0^U`HPHW$~+1ko_Yla)^z0~faY{yg}knlYfmNj`sOtk{Cc
z{}Z_?7ESo`VO>PypEb)0ZY~MYyvY=@B$qoWHInh>(ooHl3~8KR3;mBTy77UVbAPA9
zNAKq1zAh8?m=_-z7TYWgZcb)c7Cb-B$VY5(SoTZduq7dr82@(cyZ>f!RbukL1tIP{
zeRi{M{p65d9`sU0@c>8s?#`wgOM_STv@Dx2-<@lA+_ID>T=$oREcfH`FI(<$;uk~Y
zvan5SRCC|*aW4yg{zR;GX<_RR=GdI><#9hHQiKYZ#;c#?R_A^*(`Nps>9Vtzn#?$1
zs>?fBSmaZ;ne3;Di&=D4lzkbD?UzQXGcLZ#?7G|~j$M1(lIZnLF?~XOPrli7%?|Hp
z)VNk*^JSuD^$+Q`og42giJF`Jkf|;p=0l6WK<OTx8>v5*M17oiwc-bB&(4<zl0>z?
zPMmm|L9=n+_dSyxosTn^|M6TNeWS~?S8auZy#B-THL(j~J}_>m{@K-c{@kA@6D7^9
zm&djz{k~vl|3)k6+r*ISrA`xtK5%gVnOOCFsmSS%7iWE#CVP@iU2XSd$46f#ES+qW
z{$-iC_P2?4Q_gm;Hsff0^R;ygqm7CRlZvzC#m37W_Dh`2mfPDeNGe<Izl8NNYvgqH
zXo({!I#c_<Z2Ug))3?QTQ&fx?0@f^z-NO1_MPUI$+2$t?S&wd7lKg3s;Y)@!Y|(#a
ze7X5;R(AKQQ;UPdZ%Sl*&ELE<>60ek>+TshrMCU~QWd$xSMe9abnT_Ssk7xiuk1=%
zmhv>tQT!CY?uofSm$q+Qo>ZhSepDq%!eg`G+3H{0PsAi@iF{0+rz?=c$bMt{@t>;Q
zKmM6s`#<U2$C+Q$B^mQq?wu4cO+fTxjA`|k87G5goOsrEvtGV_WmgiTWz{0*<BL=J
zHLG%F98;2X{p+)*BblKkgPkSe-wl=pna$iWhBw+}g$@hkOJ?$Sf4H?Ib-KUCwMPYR
zNlo1Ha!E6f*Z(+q@ALKvNsWyDj2R~wHH8*9Kksaj{WOcgV0m)UDV}pnoSvUh*t{f3
zSwf_H(@P1NBZ2!?FPc7YvCH{SJNg;_oTv}7U7Xk@;qu{K%)*4n7pFX4_(S0HmpQ@J
zOA>ZYFR52NYO~a7`U-t<Pwt6~tO*Mqg}5(V?(XW9DwMcJ&~d5ujRR}`O{iyMOxpau
zgD-(4X2WL|rt039dhQ#MOa}|zFvZOK5y;FE6JLLW=ih|8A19=6eLE6UQ6qnE!X*1A
zJPE;_bL|BhVi}s5#gdfT6Vi&Am85rGE{Tko(6zvq(KqaCh0?Nw{`}7?#g_Wqh-FyZ
z@#B1>T3*h*9XoGG$NUc7_*?b)yO`&jX6YPyvhwb%Wxl3K_ZaqTFY)c&C(tiZ`C-#W
z&qei%eVdYYGTi3~<q%|y>4-`?%JACxQ>|!S@&~q@-5<qP7uqlJ4gI9Ceo=|UP2T-o
zKLqz^92C(0QZKfoOnQ;eg7Ztt?3Vd%Yg^)5xqL~*yQc<T6CBSk@!!Vd(RD|F<IS?N
zkkd(${X9XFJSQhP9;&dnWZ1W+-+FoY_0vL;efv8_0=bsnKl|%sm!`;@uO~|?=g!(W
z-KBU*=<`oMtkpCHvrlP$5|UpM_9cD(f#vaHs`tGa?y4BiRWjXkh;4<s>HeoGmdiqS
zPExD=)-iSU7uD_egEaQKysn*^rTpg7@0IRv|38hmzclox$`r>O{hL*ROT%}1G5nNk
z^ogALW0};-NhK41F8H|hy&HE^ciGbLeP39fuJ6lCW#V5Ru3E{Y+ORK$Nw+uX?6UB4
z^Z6eCd&(u-c<3VU)A}H;=U0wz67XCSe#w*nXHRS0&dDlAn!Bdke^N^7S;*0FbWg+X
z<*b^i0-Kkn?$z>So-V;J`*GS58LgiZv0vLYtv5ZHw=C@4?FFe-=X%$7-cDYUx>v9M
z!meYJek@C^tG-z^nNfOa_}mFeI~4c7xOjA;#_T1j-_Py*F#BWv`K2Mu<}nrbl6Iax
z@nu8Ffj{$a$-FseadY}5)wq~N;YS|a;agzv^FznSC8@JMoisgZv5ZxJK|~i*(+0+v
zWf4IqSuB@^Uy9uMbn=U$WvSOc-E=&0<NuQI^L9UlX5aj^EPVdY88c)0w=i7U)A;jQ
z=QH{ASMM6~Wwk$ch%Izm-S|6ld63%6kHKbvAI*L*NQ>NHSh1@^w3qQyyS%})WQP7F
zx1GKnNt(tgD8wlFLCHM1WBO)+p3W_wH5C0E-mPAmmir?0{EBmF4a*ynrY8BsESO~P
z$?p1K9g8IsQ=ny%deZC#w;nVp9`Z6d_I`roTDFsn>Pu4V;;nymo_G^eD71TFj9uNq
zS8Kj>UcGv+BkLj4l91asW~MjF+TLCg`Zv94_4$7+{Tl>K?f<fDTN-+P*}~~dLf(FE
z+<h!&@$R(csr#)Yjkk8p&tIB;vSQvv$F9xd#xsA#O%(lj$!-zr^Sa8c1<O+3`~R4<
zCuXUxF1O&l<R#(zmi+j||J2&K!8V%h`RTwjOPA(FE}it=Ke+W%$Dd^(sY}A&YbQ=v
z$r#fRbAG`(ts9I<3scX3@4OdV*0cE4GnP5kZ_h1w`E$<t)iO)|OsM|SdAE8>-Ot&~
zb2ivpN;%$q_(@I5dr4~4^m7%Pm#BoDlsw$%F>A$x%}XQ7Ygfrfn!h~#ZE5(rXsOU^
zOa5zB_DC!Z|7~^E{Qm8wQhVNnZCTOy`OB~JlOC1JQ?u(&N+nM5_EMGVtX^XhAGEMp
z*Yk9g@Sn7M&zFV2uPZfwyqa(JSDAS4>>f7OZ_C49bu%sx>DN9G6Jc=L=FNml=`%JS
zUlvwYw&GWE=<<mCpA~E?Em|2@d@uhYxHR0R;o6(PrRibYmP9nf?1}v!zVK`LkF|?Z
zZ?G&#KffR%eMRI$-(~50cg4pT)h*F~-SfiR=GVS8U;0XaFN@k8ZU61=wXX-`Y`<*P
zIJss?)YtjtxAx`E_Wri^U}h!9+m>zq9N){<2ERNtr=k4q5~a6he{Q{6v+MJch|<?<
z_T<lBI%%uG`dh1+XEg-7m*1+*l8av{5nOs}`vNuf2wD5tD^mm`)Z4Gg&R?dy_Iqv9
zo|u{&oj2MRD!-X|<k5@?M^`S_zPIN4%g=`@e{89Lq9Ff2`~Bx7{l}j)?0-CC_s0iu
zNsqQ2UH8N8-eikEiv%7fId%4#@1MaRxxmH!gqGO?sV7PK()BY8->kiRc*Tl$)_Yi2
zyg%%_p{BmZ`bS3tN7A|E{~}*9w`~#jPo2EMMNjqqgPU(uXPi4Gx4_e0<<rd-8sZx#
zr(9WK(>23g^!*&apPSeBzuzt#s#49AaJzb0{Pda6%)cowi&r|w@X3sGaop<-=Qgm+
zcq+}ZA!c7P!;3g|udC;m#B82@BBG5Yc1iZ#Ro{MYJgzcFnE7XtY)Z_%BAcbz+tn|9
z2=!hPJ^#tmUhM~KM32wh;Jx8j^&7#(jwd*7ew-@zT6;n7p6wIlkBi>?{d~qj@p~Z`
z+-@i>O4z)}yJE)I^BcBn-&-8~@xkUp%rgqJb(ojNRWHkFUp2#9HveSc8paogHcVY;
zAQ-?oFZK<?(~f=aPZ>V1(O#b09`kS^C!=sOBSZa^h5MF;?OBpIWqC;Lzr9Q2Z!8H>
zeZ3?kWl601`yDKiopC=_E_5>7HA(Jv`u{m=Zfp!WzA#w(QN@4Wovfyj4eT90YZe8k
zFQ~E)`?nx-^D>vmOY~<aEegK+#9`hGv4hDB2kpB#&POCK4EAM6x;E>}o+bPf{xK9S
z4Z1&xp=q=H31Q=^4Uu_uFBcvW;EP=tlvlT~apSU}`40bnXfJo2c&NYO&-Et^jtc~h
zoAS!${$8^*;pTF;m2(ctPdRI@{bBVchUA4CB9@yf{$VK9USj$&X-Qz*CPvGpVM<Kg
z_m>;LpM1c0wcfsEK5LeQ|5##vYiUqb?I!_|g;VA&a{c;*PknKqeI-ZF65FJt#iI82
zVrK36votGhp2cJaZN88cV}a#9sz2FdXGYCgHJQQm%~GE^ORZ(KKk=0<^`Fe{ydd=J
z6Ncg?KJ7_MBCg(A%Gbq|tHL<{(icyW1IAleqkk?<jbx8JEyBGZwr_c=_(_(N5vP~<
z_$kJCYSh=gpYiGAV!e3_zuE6!9A3FJe&ga4>5r8h)=So;SbHtC7X7^-N|QzN=B-W3
z!}Y_G_KSV~DX7E{ANTp=gE#YDoJ>gF(6u3EdHDV93RNCcJq;9^j_u1>8eVl$VfM?e
z*)Mv{9~x|)khCOhzn8+<Ns0~z*$jpe9~P>(GgyWl5OvYid?2}f6F2j6vnzX-`p%tf
z=pg(>XJOI3B@xkDhvqFeT{BVf(URb&Pc2j@EZF=j>(Qc!qlbAbmj?Y*Il0iHK>urE
z>W2OHag57Cer;vHq_J+#lAw@H42PG*#D#t`S~zoh<>FK4zn$n_9yooP!K9si?GG0R
zivG;>TOKfxL3+1x`JScG<)M=@tpchtPDQ+18uNBi-lL_;?>{Yfy#M<{NTYC)tHk1n
z4JT|B{>S7pXa1isqw?aHWTp*2&G%2(*1;s)v_SfC#0E9<YuukESadB=+El`<y&z=X
zhTrigYMFU<6y*J3Tk4dyV6*KXw%FxP>?>mmKP55n@0ueOyN6-JlKw|aB@(5V8?P4&
znxnmX=Z_8xWABXEH!DolA|8L^|6pOW@SfO0%LK+4?U<)Cj@Vj6$1L8U5XQ1XvQZ)C
zBtx^#LishFAMT^h{~th^|KC`$#MFt~VFt^S0{tH`p&ydA#K<o&Z8{dSK;h_uS@OaW
zF|T76nmv5G^zZaFOU=GiFY;Wo%q(Jo*NvEi4sRBAPi)r++EI0Wsp<SBK2M{x749uH
zo5mo#B!25J)s%LpH}#)?9NWRMp|Xo%$D5@-lMgI9dgHDd!?}k=97($uCio{EUUO<`
z;>o0o3w-RqEl7E@Akj1FKC||}1-&^7$~G|yEb)#1wlrzqB#z5d0_`IiIG5+IwT|Sv
zvP4U7^$f|MOYDF92`#v{G<6+l$WTIFXT5pql4EQ0PrC8_js7bdH&xwq-?WrXOYQfs
z3Rc<vRo9`ndTCPlF89~FQ-l64&EL9i@|t-^cd71P>UUTFgmGQWGX3AtlH762V}4J2
z!qnH6(q*^IZ@XS7|6_fwr*TosV|GtJvuml=)6dK7!}FIc)P23wFV<5kS2?(Bx!-Ex
z53I5Iize)1eLT4}aK`?-e-=(&#sXScTDHXR_vvpR=AX$A*Na@Cyk^a|bNT1zZ>rlf
zL-OPso#lRc@h9)9be5(s(R`W2_`vb}O3$Q)*Gx|@(@I&$D1FN9_}84<D%)<ZvC4eE
z)Nk+16K5ynYX4hmud`75$seYyjhgl+84E1smS`2%b!`4P^ZJh^e*R2Za!X8}&#2fW
zX8&`?mSy&<Q=Fu}=DgqZ;d#Zv=k1J3{IA;0zjcVq?9I2_vr`tX)w;IOe=?UOLyyPO
z-+!1M#4UWid9nX&7TGsT{L4Rah%L8&zCyou7q^zpB0ae;=Q|Ia=Z%^6Iw<9B#D)g@
zPp7%gFO!pOu-oLr^>?}d?MIvqte<lG8JFv?-<Xup@raL8eu2f|r!4tr3v5>}@xS}F
zW7p=T{!#Vw<{EuImmfX7BQ!a7(u|Kw{O+fAtlxa{uoPqV!Qa<TC_QBC5p9(eQS)FC
znj!h}-?z6<1Xi6=5v>$nb}s+@`I+;r>z4Taj_0x4lo<Q)%FhP5&5N@8H)e#tIp=r%
z+PQ+y%XDWg@xQAVsbMz%PqZU*-BQ2b!iuMp@|Q@gW_j!%xBK6z7GXWb<(|y1H4Pa!
z>lX8EUJ$Fs&|dfDZ2s?0OZ}qjH}NQU^mlO>uUY2*ZMuNyniY>v3iU3ri!YrdxKv=J
z?9Vq#tG}M)dE6LQHA(A;gzVyd_VvrEOJA`*otArc!>_-4zO6W^lsTa=ZxZiIrOIV~
z_jl#pOiQ?yH_2jOhm>q&Lfta|_4_AVlzd@duOc12s?NT<bq?eEK#9UX%lyh}PZo9j
z%bRX;ch~kM_SgPzO4!q(-`5b7bMax^0h8pI<)8NJMNa;(R{Hn-r5a&x7y8+In!nFp
z!NOX%%<s2ef>M%y49n>P+X&qx{aGto-``LWFS9$~{$}T?^rimWH(j;2yfE$O0_NrR
zn{TmnGYI}*U1G2OX!9y@wi&^_G3N@ed)!H=nXvHCD#M3KO%=xtFV-@zNcz1esq8{l
z`a-SdSVs9XMOXJUtf)BRd3<X2tRBtvlO_nP<(@lf{qif-`N=oL&lm0gyeu&4y7$iV
z8H{mlhCwcD-}kW0+VgB_;P!X%_Fpz>yl++U55Km=X<t-KN2xJ$XU)B}vj09U(JKAD
zk=y^!=U*jHPEX+exhi<_$@w#$n8tC=m{B}2cG{UaTJIMIMlR)B{q&OJ<c$womhi2;
zz2HFICy~iZ4#aI=%x5ZD{N>Zr>X$1wmH(LYE%hd|yjjdk#r!24ktfXgIb;6cWj?cf
z?zic8SYm7Dd_TQ#(u+4;*X+yv9=P9IVEX1ZOY)&f6J54ONLSpOF#F?;qw^0eFU^_p
z>)u_K&Z`eq>TBkkhux6hc)_y&!Nff$IUnC)nOnkp+~qC@tMW<}y9EaK4(?!mEVF~v
zo?~B96?1n?`|O#|7rC2ezr0$*vcu-zkqGU5F-vvlKe&;?s}l3?&xKtZ@5pyY{AUq1
zy&7Yfy&z_f>|MFfe(S6rPtVbwd*<9)w+pKtPx=y(G&8)PaYyFaZxyo+HphNQ5}jn$
z^dRZwFZti6${Uma=fupplxlxKt%=D?Gv++kgbrmU-nO7;5rQ+WHu}U|e6i~2#O04(
z?)bRG<GanW7n{On<T1wnk4!FOSSZ%Olw^_o|A#qyGQ<0mUXinI{5e-C^yBve?gO$h
z{}y}Ae^hPj5&Q3S&11&&e`hLpiV6BCAG|X6SCY(ftD-lTXOwQ_J>fZPNx<hNUf17G
zxbtUe#HVj3YaS|oscD_Iz$r9AJZ9bp&33sDn)25UEU^~6mvH|<(z0ZRhD9E|3s2a6
zXW)s+NNP@I`Jc=vAItDe`-8!Ty-6Vpc#<b9JpZ7ApCft3nFrCPH)2l4E_6?P`z53|
z_C(D8Kd=8sEVWzP=f~XrXSr>-b%T-i=Nz$*8TAj3l`T?#GlTW!+<=X{1*NUmFR?wz
zE3?5(`d{3SCAPcg&a(O(WNW=l{ba@bWwzCp4OQ`Twudd%?fyOKtG)eP_iG!2ZGQfU
zJJa#;z~Szl9sh4IF0p-Ecf8>_<6_;%Gwz$$e{`9*L}c6SN3%ZfJiFZ7`;$TB*WzbO
zZMWy$G}yC9?#)ugCH#|XXCE<}{p$BphqoP3I!lVeW*nQiU@Noci7q|2rMjoyFP-yw
zsmxc=7^OakE#FPWBTeL&JFl&_U#1azdqLKdgZc-Ib7o(@ccSHC(lV#*-<CSfTcRuf
zewqDArHw7~PlYWqGv26Ix70QJXTa~7FaIntuK4bIkv%f+`t$YYV{-4Dv)BHewkGN1
z?4(5w=8>i|S8=68<emwT-@n9Zs_9`Bg*pax`{f$zSt8>Z%EHd%e?Cz&OXp_JqP<}Y
zWhXN6FO{FV_r%%9OFZ_!y2jJ_dx1);aKz3%XY-4%olE(+B=qyQB_6BoE0ZE7l)49>
z{gkkE)8i8Y+cv&Pzj*5Gjwt1zmt{N)l9QQMvdar<d@D|odiwsv?ud=Me3e`+lA2by
z#IY}WCit-R<LZk75i{;LFvWaN{<ra)>w{Tg57LAs1!88;SodJ!{fd|cvArA5q}@-c
zOgUdJx_^=PiM+t2Qm51zl7Bk>U-?B?I(y;zB?hu{cAuP5@j$TObHxH>x70TWB^Ss3
zPhIgpzwUy>WTl!}Z_AIc_1syMAoaR_p-e>V|E?K=$_r!PFid<P)|?R&DHW0Rsq10m
zgGzBT$q#xJ-AO+mF!ffg;4}T!eqjH{1%a~<>@NNAKkmn~=MO)c&Plp9LEs_Z{XG|C
z+hSgQm@0dX>x*{8<E7$#EF4Y=oH74@>^{1YUH-fFk3DbLCe%CqyW#%H+j-NS&V)Jd
z&s&8*NqD);cyI2Cn-wvdON{#uY*17zul{G3v{Ao%f!iT>)>wv)cS*ZBn1dJ9ng8Fp
zWJAP)9bG4PmH*&cq5k;$NgweWkCPcLaGY(_(!Tb^Y0XcUn@dcW`w3{sEpcBxVP558
z)8i{Osy9^x&AObvFh<;aiRYS_nd*lZ{fK(B%=6<ixeXmFZX7S!>7)KI^Zf&r%B9|~
zo(No9F8_4zGLO3_jbhepm?mVJvrM*fiFNA}hP`_>M4ezbyk~(j!`bQuUP(-jr#8j4
z|6g!Dw8ModaQ;%S{ZE-v7i%ZCE>oDjY?=4|^(h?hmuhIf-udGMm*~7pcJU{<zAn+c
ztu7(`dx=BIbfw2{mgU`5*AV`yQt#LBPp$gj`Pn-sTd6;I*&nlXO8KiR>c*e6ycc+>
z8F;79YFoBpeSM{u|0O$j-@K$1E{_hJ5^YlPs#_jodZP8{&*}{;57~9^=3di(^l0qa
z2<xd!9cp*QscgTxH{f>&Xm?bRW%V7Ymu_#fG#f;x?s<PE;>x#W4lCn2`0L(W`*!hK
z|99WNdN(@~zlLa5&t3g=lFH_#4yU&^{ob?0@3U%`ziN(YSN!iK4tJLFKYo=u>0#rQ
z!ixddmNf5rlEc1CbM2%B>0jKwr_8FH`gZb`jtPzb|9q(of4*FE=ju0GW+@$5-u<d&
ziRNCt`Lj4L<;1_au`caf)qaUZvnE8|xN$Zzeg6qX&s$S2ZvQla|4UVT<RY!lGrv`C
zkUsvYs$M2`LDJukk5+tnc(woTsy83PA20N{$in<@se|#u=7|27Wsbg&o`fyYDq3O}
zw#@N$3X}Izt)<g%IzQ}Kv&8ZG>4%$U$EPpRemdv&iiH}ozn3^}efa<L67A1nC!}*e
z2CoTRqVwj%TmK6?KD~UoOtZ9X$C93vPG4)js<Ck8++(}>c_K&Ihk2nX+&N2rJbC&2
zWnc;u^9$>ZOC9fB-?l`1*SSSnvy>;@h+pEE`+vjdh!4*`eyNJOTlQG5Md=h{(sJ$f
zyXG9&_+>}ztz}xbrf&Q7ahcJ*lT{~{7-fIDAn;{}-?0X@`J1lYY`naAnbmg34{y#@
zPygO|)vnUZWtsTtpL>(PAD{YXnM3*XAeTMMq?WS&U)r^X@A3bXC+C)Gt*<L&*l=q?
zNZi+|&C9jI$|q^pNX~lTUO%Tfahc=Yb#~7e6fG~?s&$O<N9$6pd!^PZlK(Gr_&VR{
z_MiE3!KuI6Y^F|D4PeS&qIG?#Oycbw8b9Y~{roiV$ktu_YyN~JeSNuiUG3bTaew4q
zPYW_PfBfnS-`rm^vAyT-pL+6fxkG&IN2cZ4AGIg2f7G8N@MyVCc6P(=J;5usMx3oY
zzEn5-UISYz|JQKS-#>yj_%G9bwnXpshnQPSbdIf`QJ%h3pE;S~O3Xg*CHjAV+&?6I
z=}X$t*r{u$tP9<?)F|us68@`iFJz0>e~GI7He32h(Z4z0*BV`jwmbMTe@<|1(1kDV
z3Zb8vpDr~Ft)A1hduh`>7did>xxd%D%IyC8B`P+0HuLAj*PCO`y|{S8?%#stx0@JV
z%7+?13W!_kvisGQ@_+AVfB$@DL)7b-1=Bao_?cDzW)Yt{19$Qllcjv7&pSj;FIK$G
zpdO|=clVqQ`M)>A-^~54YWeg}^1r_~i{36ZcpF#6)$`=T+!r_G>*xO3?({IFxoG`D
z`RX~pOWiup^1Zw^|94QW#-7Xbe(z<_e$&X&&++;RgX!#d@|y%1?5}_5oA>wZBJ<-1
z=Kf7T;BH>W`9i*eYll)t(M$h_6GM|<JzzK8-@+06e3{AV1>$l^haX!1UdZ^Ym81UA
zwS^3uXWV$UT>N}1=c+kD`87)z!d^CgTq4{*qxtKfh8+3T{5wKK<>&nVe|pZ(4@prm
zGoOB7JW$v*<Ed%=fpgzxKHvN?_1L7@@0wzYo4CFTCe|};h%t*{ezCRdS5%5r`$5k{
ztpiv4xffa3{(kYN^1wv@jhgos=vMrBa_{^sOZ_*o2g)AJobqw0)wj<NcU)yxj`{oh
z#oL?9+|#Z#oY~xvqP=ao`}Z9_^FEo2pRArHU_E!=hFwd1?^VwXJG+oGFK%Y~#@TlT
zomi|i|IeuW@j~m*604819(?BVp2!e#iGh8_!}Bk;&+kh7{3Y{q&fEq4bsIKp{@gk1
z)gN2AHw&bXF)j-Kl-ak`=IGbXtolcS*-CR79{f&{USd-?bIKc`&+f_+vA;jK-)Nj+
zzJG$W<?P<ND-8*)JRj=C(<iAew4Es6`Dxn!H{UwTPjhrH_su{1puuq6!JwqIFAik=
zn^F5XW}&b7?^mZ4Vj7v+o8&ppo{6bB=~XW?=NaRHr28?_N!(2d`!}wc@qj5Krf*O3
z|IYQyjIj*WGgdJP+-HmtiCO*P)Q2at%OjQ;F1@#_>c?#R&&v$>lm9U+H`tlVRl3x0
zs-K3?y*clnFmQg0-+FG&o!Lta>T4P<mCgP3=~MvspV<vJmPqfP%uxF!{BBLtgKytW
z>$)fTG<@!V-|*%95(Dk2P5;h*@|BJ`#mDmhtc!jA>|3JJD|H#)H#n#>v)6y|{kBB?
z#1H1zvs$a`z8HP{Tslk9ZOwASOOqIy`Fe$A-h5O^TDk1cGKIaJ2EM{R*Jl4pVf(jO
zW%F{yX-mz@^-@`O&G~bFshR!zrD<p9DlTT#Ox?KZ^GeaOq%$$XY`bE#KS#b|iCn_7
zowZffFivB2{St**U4i)BOUz2%E>qlXw|a?L%+Dq9+rDK--Ci2I=UeVJ<?fml(~cc9
z{K!(ZiJAS2{^Nyu_CFPqm-T=Ak`^~_sp|H#5~uEcsadnkrdXF@Q~me2%Ej~kH2j!-
zaH()&732F4-4$maxI5a#eajPeJrVQh?v8!j3$5ihYH{6NYSYEUTXE@ZNPEo2wfs+G
zPADcxGn-$~Kcu~&=+Q}G@o$VDf+u?K_~~I<_x6XRq85wtr#CFgH>%SwFo-K3Jm=~0
z{=;og%L3<83r3+6seH^Q(qARjv7Rz%PG)$%;f=5Hv}>;gH-0=k|4c+_-d?`ROYQ6Z
zj9KbFzY`YVxWE2mwB-!djlq4l7d!CBJo?EY&%V$>b|aT1&!VPzJC%B`Hf{U*nET{i
z(e(`*53&9;w7Yibk)Xkf53d)#dzk!hVw(8G2fLG*J}y*=eZs-saNxeoig}EW#2Np`
zG-O?W^JS(w<M(?~E3^_=l9Ioa@6GN^o@B-PYq@iIoQ%=E*V_`GFL#;Bn)JXqY2(d=
z7ccbPli$^Sa+P^}_}5Pk(FfD~r7AWt<gR!%f5VYh#t(C^|Czbv08=vKzFCiE{F@y0
zf@%7iWolmqmd2zs_ypvC(EoPAZ{vx+#}}<`1m5_}%o_7I=0;x9loP(ZF>Qx0&5U|s
zm;Z+G$Gndf3$*$^RNhbEjH!EZRJ4LK_Fuz3`D=?V@PB-Gs=u(|N9>25{+|r;KiC`p
z+OBTsWmkw1+sMH9W=A{s`}ZBf>3biY{P4<7$M(YeBN7!}Ccm|3F&$4}Pm)_;{`te<
zZi(O@8b6ej6%u|`{7;hmaI#h6hv9vOcQKsF|6Y5<GRhXb@y-rAbuUGteu>`cU;pRL
z`6+u-!1cG!@zdGadl)~xcRI{byodcn)$^ZX_ClIoN`;n7sy{fcQMhpE!MCKPriZ(d
zmYW`1dBVZ|&5;#%HUv+Y%qM^Nl9S^PNBIk{&rB3}7g5f0vodCh$)1y0&(AnbRcDb<
zoxLC_!(`9R-48ipPJWTyJ#nJtjV}8+A3iZ(Uz*~zNh0!ljNi2ejgM;>XD!jcziCm%
z&t>|(2Q>EFDF1LqI6yjPQ*DD>!>#&#OVjEqCH}5>{^pD2n}*=y2c^@Oggv!B8FJJ3
z%HnGMvN=hXo}9VD^BGwmakvUDH4zU>S`zVuNp_*m`46@8t~kcmEVf8#vv68aXqvt9
zL*1&7H67x!zSQr{-pW(1(fMXsOi}43A>(D{LDL0`|16EY{B@H@c*}QHkJPy;3r{RO
zdb0f4my7GQURu|Sb5}*?=q(M~IVs52c>S8C<{@*{wy(;&S+RF%%u_%2*X4VQzP?!+
zQ>4D7;px=-Uo+?LKDt3YcJAGC+neS`#4MA2n!mBDHF~M``O{sim%D`5ebC(aNxW;r
z_B~IZr=LonxZ(Ks%zCY>ypOkXJ>9d^c}_OxlLMtoU4E}wW`5=NQr)N17*5XlJpJv&
z;ve5LpI%{0p0sHF<>!q78<$!8e`1n-`DymX_LN4;&nM4!cD;(6xq9<zr=PoCf82aN
zz4z$%f64(*PJLQY>)5bN`u9l|Vd?)pNB7-bDklD>@94exqMA>5?7wHu-JQGC`K#ER
zZINP=mz&?5y)Su!yM6pJm$D`1SwGpVmslTN=&JU{Z}+#IKNj-sS!%wOx%x)`0@puH
z>9aMm|12?2UE=p=nf3LPzYLdJ*Y97P^KqF=>euYO#*3Rj@g(*fv&vs+WBZdcVWIt=
zB^G;m>QXosEV0=?UBha*&Gk16wxln#^kp*sw%pRHlG$;&Wi)f|zlD}hn5QrEs$RX+
z=4$Q|*VXIZERZ_LnDk<y-LvJ=lRX|San-NCz_x9P>$*4Z-aK6*_1^fSyWO0*yO&#s
zc5(zVp0T+5W~p_#y1}(;v9E5vS!x|NH(23A($+64{3@4OuQi_1SGH7l^<)X*ohlzE
z>RF4e{6A@Bg+QXs{NLNPZVGxYtv<cPE35WX(t#z`x9T@B{a>mZJ(nXgg#Yo>l(0R^
ztiN4NN?~MdcpLs^&WtcomiI@V#753}vA%q<-kYQ^zF$*+?L528y1rh0!`;_Qm_wg;
zUOGBA`u_h<3`bj9uKfJ5=IC<k>~i&>8_{2$Yzlbs{btnkUz<NpQdM4{uK%26zWMD}
zy}y<&aarqSa`*pf)|d0ly}xf-czNx#t%Xc`mRet5ZSt@#lJ)wR?Deak{z$!-D*p4>
z!loA!qkpoo?pbDC7F)x(+~tW5$HAo*y00%>t7y3Ou`^El6aVeT>pvD*`|ns_){%UZ
z>&r5iZ!4e9niN#~xn<Qx<|S5ThSwS%mi^55ywqyiVrx?|{{zR?PcS*e*rB#Bktyj*
z!1-l%b>9|Q*DP?mH_<R><L<BrQ;dKAi);9Ov`4Qza;fcVahCs#t2;Q7mUPZtwS*(e
z)nI=G%bPRny#zL1S}9q>Q@)A$`4Zb}cY`*?*6_sMTWbG%{nArUYj}3mEEanev*G(v
z)-dTm8vmDY#zro&e?2{N-BQl$DL1CxJ#_qcZN!!zH<z+TH+i%(#w=ja|FeX3u4aAB
zGT%STzA)zgOnfuZv@<}Ibt&8ar%ZuU%i`^_mnM8$BlqT`;>{NlJQI3;@;9*X|L@31
z>wLcE14H`E8S?92blrTycYfZ~=hMpS&n@M=r+e!%_u<U8`X!uqCv_chy}X=ry9#G|
zozt}|%ekT=I=`LZomlCzl+!kn<NXimH8cE^CRWuf5PA|bVO7Vec9v!A=4JnvvWW@E
zuS=eEXdz3h?2I?}BP<%8_fB5SApR|BLg|_>v5}kl&My{D@6<ZKOnBN-H}l)eL^y@w
ztz+t!d2C;xXCIcdQ0m8@g<>^JV>Vu2DCWr+E5^KUY0Rdjo@Mu5KKZ<eFJgh`<HHL$
zAIdG{a7?O@dAz1`f#*i1C7#b_io{0nFA$30nNfYbGje}IEaQtan;Vky?oD{>n^K!{
zNuqH2)cC&S35&jNoOSKl^5))04gan`P>T82(VocPH1qF|w+o~{&iJRCA$x;8=H`p+
zR=LKG;|usFuwJ-3)hu@7*W_}u#+SP<topHnb5F<a*>mbYF85YraLr%Tc5KU>#h&?g
zGv7b*$mrhI;ru;k<_EDQf!AVYp7_BwS66oRjIhc@q9?o<I!<cLzIpNQk24?A+?kTX
zy4U+NEPBH-X?w)Y>-{euE|68;VAW_M6U(r2N5b#UgNIm8sBtI%`S1UMsgaRC=2k~i
zdGhxU6IwZQ_IX@xP2}+Sw#5D1q($pa3O-pj^Jm}O4~)q(*sJ<x?24VZ?Ca!`{afW?
zE5w%gRfsS3U9%!toq7A=nU`O^SY&^QwIZ3Zr)|DM+zqw`I%gM4RKH=H?b^X{k7vT`
z(#LFFvW?0A?w;0&msym|_$_9_)jtz9$}z6)J%72ap?~!U_WD0F6OaFxSfJ74UBQ?X
zKZ8d+Nw9*)t~2p*(u(qpFOT!Z)CtXcmTWS?xtDoH*4H2RUlq4I&a}PHoczBa`5!~a
zrjIj<XU#~tpHktnf=!UoKS{21LCE^f4IkHZDDyFXND7brH*1{|hoGm1PKAnqli0#!
zF{xDo{VN4$uwGA$S>Zid!lfrEe)EeP-3vYKZ)zkh&^f<ko|=GjT<j9Vy2oqMmKZ))
zX$=)r{};1V=k;WXmL)v4x0g6Y9}cNm7VzfL*Ye48PN=W%C{J7PNN3^VPZHO@g`HPd
z68gPV=j%zWh$Yt5zn3^|>$+pVNqFuuzqbn_?H^B+?3s1fW-(*f*YHSz&~pbRF7DjO
zyHsLAx6bizk>%3_8kc5Gf5JGm``O3SHnYFRlur{_&k`9WDey_dewk5}F0&Q)Waf@Y
zt>&fPuef9SPO7dmc-Z`Uy@!sS!PKy&mQn0RKay@PHCn%YrohBl#Robn3QS3p4gOwZ
z{_tN#Lq*$Sf7fFrEoU?T2^|NSd$eP`KPFl=B>jxZPMXx&S3g-w_Jo7{NzH7fGhdUm
zB2S1NpE>(R=MB^DmESE3I*w~i^;lx^T}*4a>i&f$KRcUjBVzv>E->}g+5LuT!o&HD
zj(=v&jA*$odi=K1$G6R0olRdiPI`YZnNi`uaXq<-KjzOqIg#Q2#xH69s#5n?uQ>N}
znfd#t3M*H#_)4GcNNQ8K@VR@}|A`L$GLKHQDQV8$^Q`wk=%bh|te=;d&im|qeTs}1
zgVUawk@IJ~INQ5t_l<?dy`Opy#(Q$di!I~$y~HBaZbR}-&FEzo`JJYP(x=)bPd#Bc
z)N>&A*V?6K9!eirBKNY~j=7^Bw%qesOz(lxZy&>FJBw`Lb?@2rck^=3NlQKCPwK`Q
zmUh2=^>eAk+NZ3BOM^^9St2KyXbOKkp;h|C$a0yn`A4P|Nz3ixk4qi>n;*F(bMx6q
zZ+A&Kxwz^4zWm&gi!3)TwTrL5AUK)n<T?NNJzokgvCAYqu3pjkM)Akq!*3T<Wbb)-
z!uQbmj>EevH*9eD8p|l+a$0VWpQk`L-%F;o=T2-}THL+V?*68W>gt|f`*)=<Z(sAp
z@7dSp>3f&@U)dlX^Y6va!=Fxg>+{^)F-al)#_bs|nBOEb^3*S2{Zw#f>gW2?BDcS+
zJ}cU|c(!J}isVAwgN{=7C6fN6287N2ARJJ>{%69J8EyPY-anZ&XB<1RhQ)ZHnVQDb
zAIS{M+SV%WW-!oRRsSjB<jfDr3!LQTFFa>l|H1X6@W-U?MoxQAMw_gc8W%pq{;yc#
z)b*3|dIMX`ie!cbuBMSpic8x6oO+seVHa!izuz;$lo`u8Vi^S!O8%#>?)ae8F!g3a
z!wdo0dn_}&TlG4a>mP^zn0a=gE_c+yq@vX^r5|Fm1z3_c7^KD8{4ZZ%#Lyf2KOvT3
z`uat$Hxw?I$3LNd!O^&r-x4!ERoXUK#9WL09}|1R>~6=;h$y)g%%wjVC+OR*{xW~#
z|BqF(zdC+R<LEeXKSF9L%Oo+GImgqVA7J?8DgKkg>*W5&dv-k8+_@xg&FK#(*cg-4
zUw`m=z!)=gvf>erEb9*e*EW6ryg*+rdG$hrh$XtsZ<F>HNZbfqppp=lbgE-&cm>A{
zZ~6X%wlk9MPV5t#Q5(N`Nu+6vlU%bw%p)b~CmI_3iwtZ98QA|ZNq<=1#i!iK^zZEq
z@!o$k{L7nT8D*Bo`YHw9n|srb!8dG)`s9S}8Cjtpe+hFlzKOA&AuxNPt#4t`n*R$t
zJ1uuQ2{7GISYXk6dPd>b$K?wO>~p$f7Hie4S`z(zlSAl|_%d}4{p7t{85kH?Vdwob
zFg`$;|6gcpJE@kDe~#MB@BRYs!ah9T*s=Cm*O9Lg5la$wU(-ANiDT!NQ16pm^OwY_
zc}P}jdM?)TmFy_n;Irq9!{KEeGVddnCV6vR|F<w><C3K5T(6HT_Gw?3G@tYLn{RGE
z7KZlP{KyLbac{w~H(xqqP73s|u<e%7yLCXzde7c3HR;Qe-}^}@-dk$!C;tA^?6ws-
zT`Q!|FA2+v)m1XjU*a%d>q7<Ohvwrm{?1k^e6z$ftoEeD<Ws9=Nz8t;yyANKCl&T(
z*0GTbO?{gZ*JyR6^>yqHEu7Ld@mN#!#`m_}m)ThPf2dE$wSV8cCunX^)tlwhuAa2g
zTz>s_`K2gJ^{rPu0}NeWehvLpkiOKawr-)xWar5ROW)ioKbd3M`}O$g{yn?D+@7cL
zLvpFe_HUEYs>0Tk-kjsOZK=a{{hO1H&v)E5+x}*+$kBO@*Xq6{yj;@Y&GJ}(?w*I=
z6Urxvh#s&gol@(&-1hBd-p8e<xwbAdsh_LDzve)hQu6Mf6V5Jmc)e-4ZESqlB42+O
z-@1;SAD5fle?Nh#oTp!2==Fqi?w?-#O!yUJ8N1l`y2SDZ=H!*RTdv3+bUA)F>HCK1
zS}JbJyIpSIWN|&{_VKJ|-=QN%Z!pg94O-00Vqmk}A~C6@LGQl=PlxnI=04_SzIp#P
z#7}0)@U{E1$V8n<c=eaWCmU*yFrJv*yJx>k1(T=>)1$eJ&(v=eF?oOEo*~%F?C@eu
zr#bgO`x8!2cwbD~vyJ_~Lh^FeDK|Q9zi9Zez_&Je{{`uzy?g!(sAz0r{1MBrb&1K@
z8N2^8Feiy5y*l17%Wz|_<RTY`pE0vy8MZTOT<d9L4@$bXe%G8EjNjwacQ4dyiv53U
zYvQsQlfFKA5jJ7Z-xJmb;v3}aHY79jzW%Pn=#|LLoiuIkgPz5eFDCbYX5d`2Qbxdl
zLwBwutN!fIf(~&x41!#5lD^Ln;b#(jaCV<Tf#<!X*}bjZAAC&f^gFWySps<yJAMmn
ziJ8EXywo@L_xWX}S+|#1zOw3Hux~fVL&gpI;+sxHOxqcqw7~az&O(Q4Hx@`7@91_v
zc;}6R{<S5(Z&x4DI3Dw9Zr54Q`|n%~bYodlqv!pO&dU3@#Am(TP0g#zEp2uPtSRmI
zF>8V9SDP0ZJ!(5PEl~N|VwC;w!_u74%GJw!zm<P-xVv06ev_c^GL!i4o8*2k3CXLR
zq;_<*LB+D5wf=Lu%9fhEj`BTnBIcTc))L=WmJ2i<9rgI-zRc(Uzu!+!7{5`T>eVCn
zZ_eQjNqtK?;%!-Oc9hPIkLy|{HZeGT<>!_0;{S|qd^qz}>}15h&bNJ2G?!{U{?+-&
z!QDfpZVvy;Z|fw!KcD;ib5FjVuE(GLX2E66^{Y=UH7(Db!}ff7(VnHY+t*L}V7UDH
z{Z%=q?_XOZwMz9kSH^_*-{Svn-;i9tfhB2{%j^Tadse7)9hki5TX5t;6JExo`USR0
zM>c3ROzLM@uq8H`bD4$q>J>c40w0{6|KOGFPbQOP7N;71z7tfOVb2`B#8P}y_Josm
zODs1ewIu&<m?j<jhEd|C<cI%DET=Yn`g^%$_qC;tul&^SeXU_xVo@6QJ@t2#6W_Hz
zxhq3l&E76?SiAqKyU|+S>DOcW@@Gxhv&6hS^paG4z1Y-w=gsS1oIUs9)UrRjRd!V0
ztrfdA_rkp;Jn?-Ejr$jWyIc4}C8g#;;!>uqc5cj#YigA4|69hPm%Ku1=DD-ycRyaj
zxL>dOOZ?5{9Nuyp>y|TGR~m3Gab4Yc*T7JDxvTk_nEgvQw;G1_e0x4arTp_pj%Q1(
zZ?^_*HfYXSZoU1Zs`>M-4<A(fWmn9-`tVcNo}8uDHP;q0-&-IxIfHlJ3;W+o{#~B@
z#7cgK()T^fc<vsuH;<XPlsD@361Gz-()LBpa?aVwE4T3Vzkkbk^7IexSCV`DP$Dyb
zDUanOf9tJ=YpyQm-RhwIW|`04Wz6Rn`N$oby_DsEM|lEgOxHr@&C49*V*k&WcZ{jy
z-eIN*?_NiASlFjes9Px$nzMv8b<>udCHAt(zgNj9b*1Eg&v?Y}F==8```@MP=MNQY
zT|3|{$WX{Je<}NfJkdI~iG_RCsGYyILhJaKtlKv?nVkLPoh3JO*6QU>Pn**e6(r^J
zKV<7HoAvkGtRHV?+?D3!iJ7`Xy6AP6W%@#;+JxgV9y>b{8Rm%QtVpehWt_=spT983
zZlzrJBCD4_#aA!3EsU8F`ftXCk4qY-&i&^4Li5`Sxps%@l_`v&GuJ16>0tV}(M<Z&
z`UU)lIT!sq{=RVu)5}=K)l+^c%L%?;;H}2sxO$m$<P1yGlTEe^p^KceuASyr3DP$e
zzPD?}gX16H)g>{jA6%qmZ$06|o0!gxZt~pBN^iJ+2c-XDO<H1DIrFOQ|5NfCr9XJj
zUX$_nbAv$Nqzd`pE1p}-IQf1-Slhq%JJzu?N<N7F_blm8C!aDW$Cds?b}NjoK2%s}
zyYXW}#l%*fDVcV?ru{SLF0(&=;JK9!cSrKK6}7I7`;-D+e=^8c-gR9loPCLZ?0;kH
z&m97>3>SE8zD(rsR%GU0P?Y?&qh#Y=p}xs$jxIDyUU>M=jF0^vlEwG0v|?sY`oZ6`
z@QVLZvp=F6w;sE@X-`$z%%__dgyc1GhjJw8@kn<(SD5>8!anf_Qc2%qJ}h{Z{H~$+
zt4>F1T~~QO;|#9*pC2~=T)8MhcA?UTB;yHxvg9V-JE0MCisSvtmR|=Lliqd3t26H2
zbX6d6MR$JJJ{O%Xr{3>O`ER;n(iQ|?UGre`%~wq|3m^6fzu5CZ%JfLg!319U8;cLU
zele+!^LK>S%rEi+|6>_NE4Hd|cWk{qCq{ko)Q*CypAR;5uQ*Z4_%W7IVE01#=PTOE
z|I7%If3kYPmFK7ZWnZr>fBx0f_GUuz8MEh;rFfRumU?pbmn}2XS<t&l!g0=lA2WQ-
zcYpJqt75t5la)Hx+xO>9|J+-mF;U{^a<}PgzqoB(suBC^iO}9fdLO@gM;%+EzuYLh
zayILqr5ZseIkqmb?v~WnnY=S@k;X-~f4^8GQ@AgG4Y7|@SvM!)&m#N2H4{HB)$@D1
zM0<J)!~A7>^QW<&U20RmabrC<qxNE(vZdA=zeG)9kBn0Cytd5Qo%y!hY?kVmzaJ^~
zF@6cz|80RD_lMOhQY#kg$-iH&7qPH<<7|<LMGoRANgp|K8@``kYOVF8OXTVjm(nM!
zUzd7@{#mXk9Ao!+>HPVNS1!<t-}5zZ`;0xV24>%OFl=6~&leN-ZK*@@gyqh)=QgEn
zn7rcChI?miqx&`$&RMbl>=Nf+Pc~O?i2c9R+3vK0^vWYii-i*>KM8c_G-2Tt6;J*j
z`nq8n)1?^e!~0B^%&=mA8B@KHMWMf=y!sE*iVi-e-^-no7CbpVbI-mTOV#$wzG%5?
zmZL&fQ&KRq<&zl0ReP4IC@kV+zRAXW;H$sJmp#dEdU=x>KK$>RnY5g#{>IA{_BG2D
zzwVE4Qwh+E^m%kOV3O@3C1FNkxs#gF0c|_EorD849$6YbT%ejPvS7P>^~@)AE4uv4
zmwj?L^l|y4rE0IAGN#U4wsH2=XA3OOK0KoJ@00My#XUbZ3z`4!J-%s)wtuBgQ_v!|
zm~S1s!xiNsd^SC*a+vwc{X4_jJ&GR$1!WkR<u)pu{&31^R^XF}>m75=e<tS5nDb}G
zpOXQVbLVW=4f^w8=Lz+f3v}20T$mx65_49&V8`mhOZwMN_&)x;pj-J9i)i~rOPQFD
zeD+F0423^A&FdG4?BQSaY*t`j1!KdRe&z|e@ejFI^xgH~4A2V<v-@bObSL7)w*;<x
zay#M@|Nl7O`)5adX@%T^8ryHo3*1|8EO06p4y=>D7xTlA@k=rzH}{Snj>jh|7wCpY
zJYIY?XXbqYsU)$01eyFFPxnk;pm_N0jC;rCT#($raY2R8=19^pj`fZmOV%IUmZY%b
zrc%Pa+w(ufEy|q8v3t(zt+q?}R1=O(bhv)l;N(K}>k{fGE+siL@iVTNdiMw8iY)s-
z%rPG%Z?5XG6>B+=yQx-C`GfTHkL9v;j311XnHR0P>cPX&owFxyBc})B3ilMDe+xVR
z^epe%rRvXZcyEDCN`%zSIp>!NrY&MO|Hrt%bk4<(3+I_uub4QA;~}S^rHG29me6YX
zg(7ceFA!NJcYeV+br-RdmKK4tmYS}9(%5{qW5Vu^##25svTBwKS9g4n-MI5!%tFJD
zj4OKbU6v%xkYyB>JJ5IhrrD`W{S$I#tT?^#Z^ud|MJGl@mxL%ECjCc}!RHrjv7Nue
zE8>Gp<E`@w$^V688I$>UFTN8w=g0KPj-R+9=QMngm%S0Q=#4)^<hO5G^X@OWb^dFX
z+<y5L4&^tQwoaBf{-ItjsjGHs*V{=wcO!xqShq9H_+S6<*n*dj9Tz#&ulX?L@q#zX
zf`W_X7rf&*$@o0y%+kPH)k_Oc-;U@=cwr^!nv(Egsp9&ah5t8Y@GTJwjhj{7uCU;V
zZ5(6AyrV0nv?~~64r`x1Ddo(`B>PA7M7Z0DjF>0+MQ2WHD^674-&9g~XPLyrr5tk$
zKXuqB{A6VE-{2c_Fj~Nx^K8<m^9uGaYST8b*6-=K%PrEsdef3U`Wq$qxqIH~)h+Q^
zzIo}>8%rdU?yhj&d^SdYuk?zQmHS^9o#32#Hl{9T#|rN?p&#;(F`bC1%Q>>b@7scq
zjhhM|F9`nsgu8hShvxc)YnHS0Ps;fu&+5lveC;9ki9H<FXJYEsBy|WWX?VuzEDT9Z
zI$8XX@jzap!h*@6G7q=rpJdS&oxdVYNu!j>T|tyF#y9ExMm=?I??w$r(6J5TAMb7G
zdbCEuTWz5(zx$t<yPuj5A3af?^=9wKX6Bgo<Q9g;N#5^cdQM+|J;{)P;g!u&@!IRU
zCri&S7k@r$Z}OZK#<e$0H|#S1#@O+r-}DKa%uGX`!?U`jJAQl++Ex2_nWSbVllwBs
zqUImxdfs<DU0u0c((i)%yrq(-Hr&{KbFq`n63J_e4JSW3+Ozrl=_QhfcYH9nj%&z0
zdm}CFWBb9GF^i;<Rxs&&iM+Pq)%nGtY}`pF4ljraUEnY9p2_mxlbC%+T9-;%u3uni
z$hty(+A^s<jklARWPMyBqjKSO^3D|t3g0xz@d<rP+K_&HiJbG)H}y75%M@%cN1iyf
zSg}IoQ{wSOiq0J;^3UyP=-8C?hiSr@?hi{Q-@ME-VZ+9x9;R7L^$|=<R1|EI&fGXF
zr?Q|-hcRZUD(8uvLP__+8!FFsu<IKM2yBpQdJ)N<baTV8>&;9_$_>T;6L)VqB3`C(
zVn)S>FP+VolXjfw5nsR3H2JexBU4i1j@!2VKTbW;mtb6R@Pu*Fxd+xiZDya`F8`BR
zb)$&s|ITlRc^6(h@hEbELH{MrirAZhKVr6Cn4W!psZ4yV<V~La3k-kkTx$M)qfXiq
z?WZ=2WWTNWefEldA?qcjH<f)`xEH8jcy=)O9@C8JtO`lzzF#oz-SYmx=NP|pHu_~B
z{cbRIIGM(=ZYWqY^NjSm^$UzQT<zeg`opyFS>L6c%?pjWn7A({#f1I%;vcc;!B#~k
zhH3VTwExZ6(wjUZGyLPnkgkbS>u<cB5!?T!L%dzE!#Onh|An9DC(P}C+%BJf+hy9$
zrKuNo@3Q&7W~old?Hw+kr|4u|ja;I=b6ZbxMVt8BewL-O&)=Qeai`8kb>bqqSt{HM
zWMkHUWZbZ~ga06BO#2V>kF0Z4QqFMBxAR^q8#n*YPn-ENPd}I+|9Iu+gOtskiduV|
z7H}uOymR#MkLoqYJKAUOymURWs^j6F&L<HQW`3UckvW-R<?bCdY%EEKch68e5T3MY
z!gD68q$B@V_%(AReZ1YA%<#H-r}BS;H|OWbp7x6E+?Dl*ad+SRg@&T~-z4uH_)_?z
z&2INQF_jrllP9Xrc(!qOf4vf$<YlIv`zP%9`R;b+^B4QRL@a-H=YiV!C2B_dK5~gN
zzgU{oc{qZ30hj6F&f}Fo5+}AZNiy$@VD5?&|J@Ot-f`s3&4TAIU)X9tG_Cs}ud`IA
z{K;LWxtp2f*U$KQvw^Rsv3(EY>@O#nb}lpRV>(_TJj3P*r*lWbb(`7Ebw6gm*`+gk
z!nuzV-)&%QXtA>E+HC)0-XGZ&%epu0xNbP%cHLK%S*tjta~k93i2mH&b^7dQ2C1jq
zi!!60#H?dvpTgJ6bVe=q08`Tbq=Tx~|9ZduXn$3H_QmhM8Ar=Me^x)V<w3ZVX(3lB
z(~m!54Xhu9c+Yk8A7`4;sV%qAB<$h`^&iZgulGEd6!}H{U<~s#rP++f-z;G$5o-vW
zKa+Fuv-&4oJDO_B=X|_*;IsKhhVRl7%8!3qz*l$fC(F(|t2vn1W3Jp}nmv(u&#V3I
zNm31)`8ku?xIQjo+#K<B^Q@D3n>f1982fKxIR7pC)1P|}N;|o2ofv+19Ax^>_%Tve
zzUlJ*n_T>jswtA1Gjm!Qo2<^y<lRuzGV$jp9d{<(y4U}WXG_O@47ORq^l!S`re%y%
zIP2G(R8E@n>+H<n=d*tKGW1U3)Rb7i$i<aYeU0=5-ban!m8B(w?QS#_)K6TQA}e4z
zV~U`%e)7M63lz^bJbm6Ut$xi{k+hkY?xqN~PkeKJ-_qX?V`7%OZrpm*KCUzA_yVR~
z6OJ%;FJvwkWSTDjQ@eA*w2z&-k&E3Pe2Xcc6y~qEx2O15MrT0j!xIPC|8@j3v~Jxn
zIrj6c7C}a)lZ@9`r<AHN@d;+nZ|0h?)jofsYuSt&=U?RIn>Eil5&Q4DK=;1o|8I6w
z*eqZ&YgqM-qhapR*(RNe;vZ*aPiErpP^n+D?fR)Xhi8YZXb=5(KsIj^3-`>b?j0MB
z&q>_Ky}&N-4O?eQKZ|C>vxR0U0+Expew^^$K8ZDxrPHM}r@{UW%ZkqK9h>ide)9JD
zvY3+xy7*S_?>TaabCHy^{r(MMj}FeT|H!Gy`N^3{JZ8b{juyt94>V#9&Uz5~W|6}z
z#a%xZE~so~iv2(7i2RLMhI0q={5j8jYnXg?<DvB*)^?VkkeD-xfiLEo;sJjC_c8qw
zb_j??T<NO&)9E`~DPhkcze66~%}NqyW`9k1xK}(*ZbquYuOxP337vmudV0(j$7C-!
z{UMg&?o9qe5|jQO=UCutpTb@E@5L_h*^4#_&B)m<{6}!%(pg+4KOQ!$H2=vHx9hNM
z*z4tf-!^CH*S!!u!oA|^0p;HtxED?MD7aynGYd~w${X&c2Z_SW9I-8ok__rEW0v~O
z-M&EV(URofZx?udc>SWU|Hbn9Jr90wVC>jk|A+HKj^rwbjSS_VHm$4I5$)Je#3HzA
z&L;_th0{(l3EjG;rzFv$&0`vO`IY$*Nv4M{4%^pF-1TPR=T6QWdp1<nPvo5W(O9;Q
zZT6BU5_@KB`8bQ~Fz*cR?wj{wp9nI2z5e+13J!nE4!M)3{(OpAu)6U@ETj1L^zWM+
zzyDaCbZ)`*^sdB>FX#5p(H6I#DX~d%U&ql692=I@iOsj`?%+^Rs9BQe&&752kJN(P
zq=|789pzYN)~xw++CXKA*z_42WPb`e&$Luz6u9$$Vc_$L_3lRR%vR}~WR!K>cU8Af
z!RCoU+QOsBjBC8?qnD)Vvdr{!xn|(ZBm8u!&Gl<6hGkvt{_J0WeoOb{yuHbSVM*HE
zdb6KZ)-U2GsYIv*E_aOm_CU8kV*ZAtyE~Zcg;<moP3J~x&7X5k{YLEn>=`T$$7300
zv8W!L8Ot!It&cJ8&BpWc+`DHex!+fK9=7p%JJSRnR^FsK{|n1|cGT|ZJ-;M=l7zCy
zMT;MdnUB2FjxBIy%};7N?ZCs4bmd3AX@B>=KWX+qU2nabxp8@L$@X&|cTXL%`?03(
z)0PW)r=9lBaqDK{e)B2m@mY_px4xD~d+RMXPQKT%*q15$-nXJXUn{pJ+_9N4Np9AZ
z{hvxRSoZ2KY`C`V>iV}!Z6dc^-CtL|-0t5p*P|QK_C<UQ+q~T7dQI$vEB6+hpPaFJ
zNxb>{#UYQsl&_tpkhA^j*Xl<y78;KZ_<gt8c;V0I12Oy>9~Bn8_`xL2xF+e`Li>Zs
z|Gq9%OP{f6^PI@k?f1W?WFBrfBC38Osv**|z`rrsWm@Gf9^2imdn*oincw6-cK43A
z#j1Y~f9EVWjXbr$^~CdgI~e@+?1Tz63%G>^Pt0awViw6?Xz?jx@68#{ceW*R@0zH1
zCF5ls=Z@eTj3+)FU9mQ4!zo2Zg==O)3vA0cKZHNN_Swvz@oCrs@76~PRC*<uxNm&i
zbl3del^=YQGon}QwY~S~qve4Z)#QICt{qHf_`AT^{X=H_wdH)9Hh$MrVUKcg+2L7b
zU0^G+=U&6rj~hSef2zp8<@0P#_2$jV9#x(Zd~YN_R#tv!NoL(qpD4WHo8lT1txDFP
zJDt{Syd@uVjr)Uba?A%a^Nl`Tue$bWHL%B+#Qx8isB$CbV`jUaRDZ>b8B2cn?I=63
zXNJeZrpGgrz9+=SEL?EZRQ;Ar1<#t*u@@B{t&nT4YT!<ol631srs<=yvX>hctcmT2
zU^>XO@I>qrgIz2CC(b>Pp=i}HGi=%guEg^n;(EDfF7r2)`nk1o{@W!kbC~A6nR{mH
z4&Tr{3tTHE=!^cID4q6!|NMob$c5&~>K#YQ*K{tIKl0JSspLb8bc<l*(&mDo*xw5*
zDwa9_)LG#BhO_1TtS<#~wIZ|3A0IriyCY_2(6bK(?peMmhb(@6D^%UsRk!$ip)$Me
z>K$_FOPyz~SmH9bx-v=k;1YTLPen>xJuJ+^>$j~_7oL;7Xu_l@RlV8?CTo_PNB!$u
zef~#MU5YQ0=hltqzq|E+aI{^&BQkBVk*NJgx418*>%3;n(vp`uIb%<tLH~h&KhC7C
z-JL3Q@2vA&FX0oDq(r(J8dkk&h<v>LMEsxgX=+jyt2dl{bT-ZYDXZ~^+2X4g#h+vp
z*?Mwa>bdm&>w|Te-(S$1-1z^?r0`XnR(#0b#Ms$;raC-Gq2BJm&-LfTe=oDD`8_kT
zHKzAf{E?MYxRzABnqc9x>+tHk8}~8i&-b17M5F#o&{IE-jYnR!$QEut`TDD_mc{zB
z`K5DJwy&yQ8DQ+oG0E_(%cZoKIjh|RD%YIcBN%mcQv~DL^!V#5Rg8B{PrC9gXzr^N
z|D8uZ+S)HMnR}r0|C%kU?#C@Lv0A-^X$ed6q$0H~I--I_M#e=JsgaDVTUPDgyws%l
zWc2UtCwJGrZ_t19HEm9ZWy(>>eGRoQVt5|!ej>E<OVCq2&q`*gU}4U%v#z^~I?~?6
zo{C-0k{l%S*6iWo^}U;>Z8=%~x~yZ_l^E9gPa<zOvdj~Cd+$rWclt8_x#EXZ7`692
zjyxMRUx;I3z)fcD?I*WqTUMt|aIpWpHg4<5_opTlofH<|a_09`5%EvkPG*IlGMRZU
z>eZc}FYi5!Ss{D$!rMbiGxX-4iz<Ejc9HEoVf#Nbm!vN-c^bFF{|L*4XEHki_itoc
z;aC;7)a1s(6Aq%YUw&)5KY2&_ivNF~D1}d)wP&F@H?vBN+f-2|aWmEzH`8x$FEdq_
ze{uWw{8_K=TwJs7*a^0%kN#F=4MM#?PXx<LG7Ha@+Wo=LW5+v2^?N4+Raose&D}kr
z$H_KpMOd?C|I8Iy<$}!q+`D)ERb!5}`?JJ7|72JbWA5LWskg1qo{Ri1vU~N8^&goI
z#4}$>GEQFb>Q~OeScW}!`)5q}c(PkN=|Ge5jg#_m%oDz~@-8=?e`4CCGaZrqn^vzp
zqRyf3x3pQFg|%*h>4c;(X2~<occo(ge+uDvG@-xxNFPV0c7xRa3Ew5WPul!dHeu*d
z-*uBmK!P!8`r_w@m%L(J;%*e<cW$K%yZj@Lt$|$<qIQf6V)t*#RA-ue=p9QiN74%C
z4M`ok?J=$!g1@$K98CV#@ISU<=?$r#HM~s8ClXIob{>9pl1=zVr=HBo2bG8a#Dpxd
zy?2m1Y2TJptEQfa{$4ygZ`u;CZ9nx+O6YbT%bOICFJXK>>g(&hGkCb(K8Yz5+H~O7
z(M^f(etz{^d;U@TEXfx~9vZN1$hQ9TWyg+LhioQG{5l_Xb@iSf_CL3*nw}<cHtK7Z
zB-_u00ka=4tW-VQthw%H_ec5qliT8soc?p_+>M8G*BsXGGT31ecfjra&1Vs3qPCvf
z?P<M9aM7o#*=Jnt|NFK>UH)bMLW6tfTyFo!>sx7gHJNpZ<^Eq!HOfC$iT>n0aMpRf
zKi9657$(*;O8ftwoY}qY<lo(1OwYqk2v64H-I{kl=hu9>7o4llMwPwacJk^kFNepI
zgoGVlPyHjSeaUx|!|xND^fpOJ_w;pL*gj`hzJ&gowcF=C<+{4&TvXgiy^tkh(VHX-
zml%IPrN8H_OIG+LkGt}}%TCPn_4xX4>bvuko@|KOZ9k9o^_y#(PAZwt5bnBhenl$F
z)3=Wt9{ql_`D;$}E7r%;Q$K$YKEBLnSEth5<=*B`lwM9y`Y)o&$k@H5{ltb?mj^T5
z7?_{1djuX_Zu3zf?!ciZQLI1KPSj9eSWvk0^0iZ4XD6xtTxouEf~EbZK<y2+vo;0(
zoy^4dTJPw~o5^Q)?77etabk}A>$6eUHWipmT;IRc*!IWjq?GfK+xPw5vM&1XAC@nE
zq4S-j-t4=r>Y(@joO5jGr>K&q;;M7A*3UV3vuVG1_NLTL6)(D(^=lZLx%^I6R{W_u
z=e&F05|3LZci-=s#QtMZ=H$vHJ0$Mj;5-ug{N9<8^;aha{A-q3QWE;w_^0EyrSsz=
zT0Z4;oS#tteh<UdUDH^vZaI1Pb>75DX_~u^9_M~?Q1rqYmE3tO?C<+FUcY;-(caam
zBjq{IhVxN(k39IoaY6k5kB`3ol|rE6;&=QC(Osqc&Ml71zP9nH@5S#DF}GiAdZhMC
zp0T4UJVJi<R$(Sd$;b`7Gvha(`l-FCx^7;p$GYnqq|Lg1Zrq^EaatiSX@l<TlW#)*
z9DP?-w~Y7m`JeXJIy?QDoBbLOGfjWfypU&pPv_s&(-xdr+x|3#<@-{twVQUwSbgj6
z^nGA{?(x}f$<>wZBFDc9Mi)JZZBYL9h4c0~?&_;<P4luWHto2)+@>b(JooQ(rkT<o
zPoJ56p8K!WO^%NpPbVI@xP<Hfo`XwIzWDzo?W9oUC*FCJru<lW;z7IUq=fzl8ysR4
zl_qsmuPQka6E$he0@n8@K3I2L>0ZFp&Wtku&&0s+|F^_E*m{5o7P5ahW4`fnehg0j
z$G^xRIfY@PK>89M+xZQKGauUiU&dWI>-(D-tGWa_f3Pm&uD&VVI3xS~H@^Fv-%rQ>
zU*8#ZoU!9o<gC9H=l8JKAG`l!)nfC*zn7Q=U;84E!gzOC!^dv{*Q37*YDfOh?ueJI
z<9bl^=AvZx!Oj0SFX2!B@$=2W!?V5%Zue5SpCqpRXu<SErSF3IAAfyh`!1-aRQU7Y
z*RO)sKNU1P9ObjW3i>rj$ozc2gjY9vk=~!B%Xt-Ja+po|ConMT#1t))`Zx2``DJ|b
zS$6MP%J+1Ne9sKdhTvrDJ=&SGXL0@%D*k!TY{Jvi%cbtUT=aQ{?neG4cC#iH$yZjc
zUC5I&;p30ke+zD`nao>p(EDg3L;5n|lc&A2|1GdNJLBM^1CPVkbS~*yEZF`~!_j7`
zz#rL(;vR<Tg0^v&to)5l>OQKzS;Bf&>V-|$)6=K-CT?EB+AcifYAfeNS<%&J!r7A<
zF07tWULgDM-KT1mH*9Rl|IWOg8BlS;@c4$rU4l1tE-+fZ`18oXUhf2FuhNX{k28Lx
z&#>Rzxj3taX-3bnxkp~!7nC(%yt?}Xcf`cpj~ka&?O~i*m)!B&wej?u_Xoc5$NXW5
zy<pvSf+6%_(uU3PF+IX5ItG>-Sj6AoYPMLp{iei=Ip4is8jCgl={wk=<2J*oHMy(w
zJELX{=;D_}|6UYtURkHd^ujUbOY*<`7l9s0f4(SlTYplD{OtPpq(bZu_LXJ!-JNk=
z4o4RT&YCIyxjFOW$N9T&&fT6fp|Ng)+nYxI=Znlg#4_|JM=aFXe27=ih->kLp1y`(
zOfz!gdzSbtaImdpm+eRs-94*XVp-Jd0~aT7G73&wA;127V&u#Ez&H2Lbu5|pAxv(S
zL6W3HD&tFzqYplC{oD8<pQ&qwp3UE`-GBZ*`pPjg=S7V5g!A`i?g-`)wwrS;f1zK3
zLo&m~4u|I7F{(@A+8Jk*hTq`)TK)M$g`D*6nLalr=!t)OzexRt?2MSBD_CYZ9)6Jg
zpXcGsc8(4!cTUDbjK818Eb*E@>;2Wqm3ue^D?UCv^6|*as)%I91;^ezJNjehq>ZQS
zt?#|){<B>6?ZYSMXKqTHd;If^m!5|4GVdqJrD`O8W0Q|G^6pza|K997X)}0_E-|_}
z_pQ10SCg|+^PXS(c0kV6`J`Ouuh(acO{JbMPVFeJU9&`YHV<RXoI9VB&t&HP{G>ZE
z*s{5K<AJI3tt{Sru{p`jyJg3}lLp0SY^qe5&Gl#h5BsVgXSn_ov*z57kH1?j-TTc!
zV!}U9o-^FD8G565XS3{|+!4tpwP4Q~%dqEPZ1+E5IQY$B>omq<Ve2=`R6_P{kT`qc
zz^lp^+b3(;C{DT&x>)I8l6S*{bqAP|Ue5UR>*J(l3d#YxmD%=P`_3+$UM=@)&*~Es
zKS)npQL#b0f~~`j_unJ!SF_(Fo#UQ0|H0c;+m^~spY`i|&Wwkjvc7D%9l7XiEAz$w
z|39Aeuex^e*3ku1_J3f!aDZF*PmIVx*~*6dqHkguXPmqF_WtQPPrpf@j5!%-aAU@X
z^yG~^6PY=0tf-m0%-i^?(e-U}-e`Yhdir_-Q&!zgj^0lm7bKK6Y`J#jgJA^ctEZo5
z{yN4u>yFfE`ycmmJ|AG*z#OCaqdIM&i+%M1VY^*B${wv)@@AoMr{@&mA9d#cm_MZc
zVA^rF=fKkiBG(gyV?<BN+X}plWt3%Pe;}8%TT*+~(Qh+<?>Sl0J@@tb*~`<vU9>-G
z`L*NENyYp%dMDJ6DSdF3m*QWMFT8t!QYWXf;D%Gz6~9ersLas#apK|41DO>HC%*R!
zZ+P`rQ840D{|fIV9OkPx?t8Xe@$F<M|M`r||C|h+x00`PLyX;lmPC%EB}%+8&hrnn
zFH^K%lT@-|&xh6v&$4Q2cjSbf&=j6<XHWf%=PCgVuLED5Oteb)v_v8P{Q{n|^UgFS
z-JSKPW@q~z#vi{bJ_UbXB9OMS{^%>VSsSJwJ<ZZ-$^Uwx?pKaCGmpkH-V8C?aNKtG
zqU}pX?oVTwIrr~f6-L`%sry&nc=TEO?4OylW?wnJR4Gbmfkot^ML%cm+u1AVVIx<$
z$Yhby<`Xe?lNh^yZ*E*<E`NN|@|#SC2U*{I46InL@;fD1>ayM66pqv_eS6Mnb_Gw=
znRET?y+v9z3*0tI9$Y9Xw?F&CtRv+DqMaXR&-(0bf9?HJ&0ngK$}+lUS_d-fWG4u2
zY-*|76SLGH?BAi5qf1qzek4WzifrXP)cXD75|y^3{E9OtCI|dDQJ}5zs3L7ekM>5!
zdrOs8g~%kHmQbC0%jj<YLX~gdViNa$IC1uCjNP8L4<|p?FFXJ6UD6WO_g*}ix)S@}
zFVUa6RM~z_l1tNFF2?-j%0gV>fiB5{4Z9cvrX75|;q?-wwSFmoEg#vPREQM4d)8&u
zocTA`?_H?jDR`Z0@wJmK?R!^u*jp-WUCOzwW$ul4yUaFLZkvDO{HEC(=PVToH|ym6
z$G%kL+`L=UHXYbJ@7B~)k8<YR+PZSV#HYvE*!P?;NSk?U^94P9v)QMU=PEAI{ch7?
zy>0%jzYB$a&b@VNM?u7)$)7tsf6Tun^hEIL?*1u~yY<SFzR3UiV5P<>5kLRdy`@5@
zX53EOarykozkN%Dw{Dwr_v+!K%Q1~_@?^9Zc$uWnJoU-_W7lTO9o@I*-hZ=ciSU*m
z-@eXy^mE4|<r6#BMbCXG_Nma!e(uAcCmUAO$<JOG^s3PIAQLx7(#2ihK0aP1;{1h)
zf6tXAA|j0cZ~pJR^^S3YCcAOcxAP|=*DM#=@NLF|3A{|n4E=NF1m66yW|{Dl`L}of
zuhMR~SvTwLo)_^qAC!t$ceJcwow5GL!5^aYCkouyfA;0&#F&;FdyjTkzB(Ao@M?nd
zx{8C(&fiz^3S06tD|*gtvl&wI9nXJj-<WpqNt5d1hPmIx`!5~;a`o1n+t+@c5b|X@
z{O!#Ho(D1uUUy_2lYR4G_MCU3yARA`=S*rp+;E5M$k)1?3$xAboMu#L&v|#4De2G!
zuhoT24L))6-Wk17+BPq~xS`l?;^q2R^W+}s&z}2W&gtnVRTx?y^7ApiKK|YH3e)i(
z!<XUn;?K@~khOi@JMoj8@0tAPz4JPeRLQ*bfI!``kBb(w_Rq+;Uo*jc631^AL%#oZ
z^Jl)?k$d#>@jAPi4fQiLl9*bRJ36l(5iFFMd9dr(yNRrl%wPY^cp1?qw|s8XyE*UP
ze*bV|_N+Sj*|XlydpSRM&ik^Hf=4G?r~gdUxgfVmKz7Ef$2;E1-r!8?od41>shHE%
zZr-Q3O*2lPFy#uL@v3jm=d*KObv4->o%<<$&g-Q)PnygoJxP9%|D-eCZd>x4PwMxW
zTKjh|YYb~=Tw*0|J>%`Y#j2YRd37yxl;3oXY5fQBv>68S>u3D<IrGiOONI4zGZo&y
z7Ja->;^^ONKMo%e<!|g_>~9F--2CThm)E|fO^@%*eRurD8~H<(Ooj8_aVC{#zqoMk
zrQDi%?=~d8e*e6QYqG@b*}v~@d~H_IsBP1(BR08w_v8uB-@jd-GjqS(A>RH8cOEUP
z|2SjC&siCdl}^Z*e_~Yle@bTl0zYxH8w>tx&w6w0gXxP8vld8%e(bp)*<SvHVe*^z
zx<3V)mocpAh(E?St8ms!i~2n)r$n68-SZ&y1jErM=G~9-<i9a~;CpkkXV#q8)BhZ|
zNLmoj${6!gAa;wcef7bkadY2nTF!iP-kZcrGXmuMcZkn8*vl#6D{=Er-s~l<b6!n<
z$`LqoTCdQ9^>;tc_MboNo9G{g!wYzmFV@D_tSo=S_sYMrxoyqtCqI@)ew<ZyQlfk*
zx7W9gf}fk3KQNxwl}-}#?dG4oB53Y>+x;hXf8KC5f5;?$GHK@O-mMFaM73u<6XN1k
zEd9Mv;yml53S~xKxjC;kSI=B=Z}XXrGhROWs4BmQC20=(tXZf3etvQL@rz5!uNW=X
zzqCr9@$BOcHS2FPVw>e+JQo<aGUUGbIl<*dhfu`Vg%W2st=>O@S*GBmU;V~KV)-Xx
z88~z&y-$9i-OfB?r|A2ev!Bg*q`rGb(nro4`?EHDNqX`@HTi^gt$<b%L+b1QGgfT;
z^u_e>hcBjF^2g_VyKpc(|KEd|AGl+dNKLu5we~U7i_h)c9S;59KIn^I<61EH&k0Sg
z<Td{zI<5E_XLneC@cgeLFoA)!dnx~ewf28FV-CFSWat!lS!Z7V_;SVL*qO&at`Oc3
z(`|8cMuESyid|>1|5C0`T55-9%+kMegZ;&~6o%_77&d-%nYFO7RpybeY5s&c4YB`T
zCNs*P@hLZ(vuP9Ol7$NM=6zm1i7VlQ()k(3cm3>~edEs${o~zB`(}P^<y`Ug_(CZM
z#w1S36$&iL3>O46%<gtXMtqNX`a$;Lm4+>!8`P3c1ctw9;7|J$)c&%g@@zw^I>+Qr
z3k#;;4=3VfJ%p3Qn0M=hl-*o+e9qHL*QRc*5MCI%ap|caU%O7ueeU<|v&6<3CuTA3
z+_NzM=e+wn-_Gayxm+k$f9{RV%uA%BZa3Jk`Dzj|_d(_UGhB}r#GXHE^DAQRqgC1O
zJ8r8<{uBE)b$a)dnR6cP75BP#Cx8B<{Wso)Ztmdwz_lUQE-A43(+82`9Y6H#R=7m)
z#`t%L>{+2xvEh#SJ*Ih&x4lrYx;JCaM5g(_!`qK_$hTg)@vmIi(8AW!A~14#pnTcN
zY!#1u>-A-f^Od%J=P#ar(z<rCvuM!ch@|f(>*sEM^40L~7B<~QH;y(xpEY^L(RpX0
z<Gz{S?&wNx+<W$$N!_H#^~~)H6>8s{wY#t6vfI*iYfa3v4R6a{>aBSjc}QYMOZoyw
z+ndbxJ#$R=FIP|cZnFLR?kN$gl{Gq8PQG3yuw|)0=$fxq*E_jXtys?gnfJ6chMRf+
zn{y8HSQ6`g^4XkBmGAC&*dwuZ?-GOVMclD}&Kqo6B3!ypX9B~uIlm`a%$W0H{;lOg
z*0qzOS%r4axi|gX3Snu%)pyd=lHbS4yl`(7p0VKg!Uxj))jtZ~aJ-mbF>}EWwjV{4
z8JIV1{+}$<D02P;yZsvGh3g*A*!l6rV&w{P`<3b6mS!D4Y4o~c?!F&vt86d(GyHt}
z@#L(-k7hhO{xaa#j|JP#{)(FwvD#+FCzt;mUm2MspRh~4y>+R6&w?KQSF0CD2|QvF
z*O1?I_DA-Qpf`^;?wpm8`Qz`(cwvq3*SnX<@FcxU`h7wy;dSzPdHMC{gd^s>+4hiM
zZ{L=nQy&$&M3?SasNX%I_xMT<dA8pZZXS|ZAe#L0#nA~bUwiP*)q4CW(8s&;*VjEO
zb~VLtJy>%6^MqLw_G<hsu3a<d?S`ZcUk}FqPoMwxToOZw^d7;)dmH%b_pDG$n){YD
zX6@?<-0U&^NjIuurp|nGFsW?Sa=wlBb6-yi3^7Q~Ox-i*^_9p8C;oIq|2)H){(q@>
z<%DxNI~0<bSa&a!u-(YSe`=}3Y)0N6F*73-k8}hJl`u&+J2zQ37N#^a>KxHyjAeL}
z^nbZT=qD+j*(y#Cr6j&B@Ka%kjd<Nz(UK_ncjs|ehWt10S7x1HD4$U<pP}~0n?;ho
zo$u`y?EAk&a;@5jRECvjbz*OQ)xK4|OlqxG<P5GIZ;wn^#CXOgKk;4Cg{NzlN?p?x
z5Z0gTka|u(eobS<A;vFWRrhtRPW^PBH1Eo}H+QN(2|ql{_~Y%l6T2jKe^8(8A{24*
zi+8>tQ{uda`%2mi0&|Y9NXz*$@8;vAmN_@p|6Kard+qNf8qY&)LiD^p9qQ}UR5p-&
zfB#W&`uux)>msG*ulcS1`io}l-VROklPlI%h0VF^_w%gD+;`KAykE@p^*DZQXXBeQ
zHD0mPGrs=$=KXD|%j;G7kqwWRaju=zA-RwFdrF7u-rD0!Qu`0s{rQpfsN;yD_W=*}
ziA@bZUpIa2_!+ixg34XNkKdS1zWB@_eZk__k2?qETfIMa>E@g}FW3B*RNrFodQ0=B
z4WHg@>pH*j!*}a?eGY!>xpz~)YwpjB<XAY-`s2Zc&(7$S?<(0-@b8StQ@e=_|D!pW
zS^lpJ;eWihulv(XmgI*Og^fYSI%7_`?DKe_C24FV`EpX25=Z(nj_mgv7Aie*NpGxb
zWH{eh;;|v;)S`b&<kp{FXxBZf_2c}zMWw$N$(jFrb7Tp}TmKu={;<3_RyptP%tag9
z?yk9a(649vstq$Uj?TI3C%IzZ`VA9KGMav6>w56`KvG%K-wsXfBF2QpH_pv?J?HM}
z?gL_cD>CnYJY}N&Nh|K+!T!acJ};50JJ+yx{v)H=Wij*ad9k>c&AXS?eevl0hw7_$
zwkIv{S@VVY49kvpt3L89=ZK#(y+e4y?E4j)f3Wc^NJ+BP{5~uF@rHnzcU!}m#rK>s
z@qQfp|Acyb^1Qp^Ws#k>pHB)t|KRfd2Gi%4DHiKj)$N&cch7{f>mPseR?NLA#AukL
zKj-eh6=x?iyDxY$@kI(Vw*yDgjU>avZ`?YX4ztg=+jaAC#gb+6)7O5m>e{&LZ{h6I
zeKXG`ToCE!T=4ec;iYUkKTcgcYub8Z#_d)<A>9;q{W}N4^-j-uaR2QI3-i@W<tIJ3
zQ2&Ez2KVPL8h+)Q6zu2U3l10iapw1TjX#|RD`GBW+dkj)^K<dnH8Usu_%7+5b^h8{
zji26DhIPw?)Zel`?UCAZ&gAs{pDkZLZoXhuw$ya#le)^Ka`CUGGi>^z5&mh>hGR!N
zgk~+{4E^V?IOX1Zrq$b4q&<4P`%j0h<&MJjQx2<2$aKHH{w47GOa01>Bj-$}@0(PS
zbiDoR>d6(M^5J$ro!aJ#zt#K6{J*n(vf|CDY+LJoFREBBQ}3shXxE~*dMW3fy<C-h
z&Y7I5RaLtA{{8Jx%g-loZn*Jf!~Dx3_WIdvAK6$JpEI%gpE~c}(wtM5->}cWSCjtk
z$J?q>`$wBuW+inmYybLh8uQb;2TNC8i?P#B=*e$>9Cv2b`t!59x|b~a9~HSO?#z_m
zp)!Hno8JBXJU9LD-G(>vYUv$s?j4M^`~Ok-M3lwxzrU`$<eeRAd+jvG@rBI#VMqSD
zb6h=l&+7de{kj<|->lvi`Sy)!<tOc_{N}IUy-^XAegERpquCqIKloQKH+$afiP|$Z
z%l`j*JpAdr`%9x`y1&hPx%1ELOE=~{eAu^a|MeRyKD;&kKmY6J$T^Qr&Uq26Dz$O<
zn|EKH&b@bX=De;6d}n9;T~+bo?&iM?SvTjrIJ$;4JMu5X-pMw?AGMPi-@cn$o!oeP
zM&#MI@3cxMu|!8cnsK9c&y52=-@Sc4N#pVo&hV`LbDlr>boa)=Nv|I;#T=OPe157j
z<D0+dUv6I|$S?7iC;!#mx;c;5yqxg!_{&uFg<BY`PtU*j_TUe3?%C)6te*d}gX^tS
zr%?Up9r^Q*ye_qAu=v9^b9uzf+Bd)YXTABi-+xm`mMZ7}c`r-K7F4`>v;L&P)Sh4R
zF~46JCCzy$pa1sT^J)7ZGtMwP{NLeF+Uyypj?a6k_x3=Y>G>s#Hd(OFJ)$E0H+_Q0
ztiu~OEVsY*@|w;3Lv`~G+sr(8@74b~KVv31KYsM`aQU8Dhi)_^?O9N8lBIRt;l4?h
z_TAlqlX+~#tk=qS&)zX>k@&T*AKd@kT;2U4&U8)e|3|GSEtbsKcr13t(Z0qFt&EdA
zY`eSOb``TH|C>J}TQL94%L<2BhFd~Y>}Kq?pBXN|m855|{AKlryf;iQVvm1+k=i}y
z==@I$__Y39n{jG`#_aoD2ZFEfxv}xaf{usp515F*fABti_R*s|F0J0c`C{kcTQh`?
znH_qO|8HgZ@t4j0vz6}JEU>%wK<dv7A2x=MG5s@+&FB)3i#@@-dB&$lb5<#zm*C%h
zTD)S;e#_T0{y2P15@BGio1pz>!vy}=dkjBJj{eMDUHPI@b`zh3=M;^c?hT*Mi+0U=
zC|*6OsBG?rNfPxl9*J+1X#M-6w0aHW2mPcD*^iI(^B!F^_<J*{e9wX<KW1k=VaT1a
zu2o^ihR{adq^k^_H>?*hAO9$=wMO^_gY*;r6;&MXU;H`#@IjKC`0Uwf6AJ9Jr>6d!
zWiYu}MB;tU{55;n=H8BY)V=xR^k;A99$lHZ+hXVK{wXn27i^s~$J%3J&ioZmJ5)E$
z{GU8CyH)AL^7@S%X4gM*=>GM?KDOoq<F^OpYj(}A_`te(`5cRxFMcwVep(^S*m?OU
z)8>W^PdxrkjqhiCw8=JmVpht1ri(MCZSFFut2?-7W5e!Fu8Vv;-M4;q?2h<iXj`ep
zzj$}fyqWnQPn><T#{2f%eIH+~QT9lDJ@<#tFOT9kLJN50xV2yXjGMc!X6};6S$5~=
z%=rF^#dMNGL{GPDB!mA~7S+|awm$yOwbjo^u<p&KHG5xIe&X`is<}4r;HNFMT7P1e
z@J4N7w4aul!Nbr$Ic@>({mBNA-vqwvRSK@$`}gw)^K2`Y*hpsOxu4zH4xTh}nEOdz
zoo!cG%%Sz0KU&n+omGDR=PcKwPM52D4&F`w#=TXQakkOA_h;mOoaJ?8k6h34<j)U1
z%ay5Rj4v*3{3;lA^uvPH`+v^)v~<-|hl3BE_%g7{t!O+bY?=H&bnYjuPYg0Am9^_;
zd=%7ho0vWS(Ak)emg^@<x&K)qe|@5*WM|m-k27X;zZaTbGiUZ+ar4y;469bH(qdrv
z|Cim2fuW~>0kVUU!6A|*i8028f!XCf1G@zSGXrm!x_~TG5<^dtZPJG%%OoW}1~En^
zA%~c*7{(Yz22~d;7WTI+{4QoJ?A&aYf-x-S-7gs;*%DpZlRKFbU96eX-!bK~m@~2R
z#0Uz+2*><j@DyTX@a0NkOyXil;!ILVl1dVHWGYSm_m*{o5`(D#gC&y?!`vS+Oi2y@
zV}xRuVmKK%6}}{KGqSV9urr8$OX75ik!O;XWMpk(a*qAa%(haDsq~j3dua?O!)YZB
zhV$|amsuo}xFwSRGxhT5B=Ix6S71yMWMp-S`5D6!^N+E)BZ-AW_b(GG151oz%)=x{
z#$L^s2TbAu8ZlRyrZTd`$TCc3OuE|0FxNKeaSY?^2F4h<q>mh#3d}M88ICIm$8f~F
zW%$eRFiAK@B#AMW;os|6MwukWWS4)BxEH_YoUFvtZ^6#3lJu0ro5NEj<}8am*JekK
zc!`+L43AYlv6?d2a>&HI=g9mX<H*U)AH&R$&%m7YEr~mZjgx_!fjQ}iAcv(4ldPB^
zgRC&e;<ud)uNieYIARqzVm|OFDzP)peaNH4lJri%?{|^}Ly}UGOcGm?uuBp*-+p24
zYL0*JgjJK?GsJKw>BYQ?QDRAAVmK|C^nD`F>E8^zoM$@(nb;XQj<Rr0XN&p9$e=G5
z^D0T6CFT*AwMNWcmly>G?(Ymc85CpwC&|S8bri6CpCrdQbrQpEj&_E67J-v7*J4-%
zlQ@qv#=KDgAHTz>AP~za9n0gL)b*ZaGds&>4-TPXw#`aHv#o^|Ix_C(iqR8zE$}i%
zNuW^5CFVOr`Cp;EDuN7)Ih~m}873<v-Aw9aaOJoy%ps~En8YJ+T!};E@Ffma1*s&l
zBvua92H~XXF@;HQIsP)tiOGxMNK$$tvGf?nS0+b>t=p0mVw}u4bCWI$<f`y7I*K#0
z^0;foykm%wO^Qic9iuCd^d!lb@j+52$8-tV-GcK4lI)lS4+%2#Kjg4h7ZeD0W)Wmm
z<djT0$njsPM5>;hiB+LFi7lp<k)I>>-vdUrWCo=-490r|w3PxR9`kUlpTxnjnL(Sw
zc|tOyfFsLwj@LYYWs@eEa7<NnR&-ZjkCBtQ-N~S@p!iVgIfn*gY|`zCg8fMhvI2}A
z(oBM!f0ZOSV<b2|6?_FHr8pg8IAj04kziC3alXW4K7}zxWuZc~0%wetlC#nqiP#t>
z&Zd<L6Ln&qC`|A0;c(rnFrPz!@q3IdOVSa6V)mH(ogAEkiW*F!3QjRg82MF_WVxh;
z-IY!;DduoCD>A%}Wnc&t<gj&Oay{%4<HMxS#K1e@e2gW7u%aOgXZiW${{h0Wj7%yU
zCrJu(h;lTt$P4nv@F}tz$NrObj*5{{<h$w2nY35ntb={bnWXyy)eM?RE-^=A6kL+_
zO9+-T{C47)n$#g7>%_4;`5#AyLoCC)q+J4w1%i{d2&{}?NjfI5-Z6$lk#j4<TY=q^
z1SS3|=1N_hA~Kt0t)GF?1<oW6mBvdPtTMtbS{$NpIjfa8WLI%@c4)^uVPf~@k>=RL
zB+4JtWY1y0Jc(K2yMjW@T@LRKotURgywM!t9Sb?4IhlAnL?!N@;K=02=Z;L8B&eWX
zqLLfy!mv!>C>MjEi;adoBa`a{-<V5G6D4W|lFl<o3JWsuzGTq<KS@NXO71y-`z5xU
zlNekLjw>zv!dvfhSK_xePrb`tg^86Cb6wgQ*y}kI9by^YC+$#>76?t+q@Wn(D3Hq(
z<IGd;@j6CC+{kwqPo|3Ic81$RmfHInp1zsLBFG{5nJd)eweVN2M3of`ilW~ItxvPD
z=<jl5uoj51XR#GHnRJJNpHU!2C&q=@KS^mG6Tgx`u+niR$$XY{K~{TK=JHsUfA^U=
zk{Ol=ax}XuI5Kv`_$EnCw^mxuVo)FZU!*Eop7|<YwUWk9UG7SOn7v8W77yDwk{KGg
z%VKsg#DvFeiiwFi!V=>u^dgqwbySRt6;Hu*4zUoiTTNUkS}}$kHZGE%&I(;{I32?k
z<HF(RaztR81&gGRt&BuRjHkpq6*rN-uPufSx6UOga0$e4iL_aGnkWd=vE}X;k-DN2
z6>O+;L*<NMn1G+qDNc5ww!@m7oI1Ze1zaTe83iqgRcPQ9{PjhQcaemXwix$sRY88G
z5YLDO%-o7e4M~rZOk-4-q#KsUn8p+_sdlW?IugZXsG?D0<-?ia!OR}}pHpS8K|RA%
zm6+8YzdYwKFvb+d{;x`AQ0iw$@0Hlz$t<jVAdaDW0!vcB0#;9fm}AVnF_UZ<raH>7
z@-wI>am37t;fP4$WmwA><H=ww@t@gKKsAPs>EJU?10P25_LxakO!CoOO4k@-KE?j~
zn8d&w%dk8qFZQ2egkub&q$2}kjA6{=7=_pc|Mg=Td1QBbymo(Pd*QXpnIPGn^VPRT
z8OfAriml#naOO&g&};SWua-F6@->JvI4k63=L)SoL1zFkFfb{=_Wy(VZ%%vqYZzbl
zicvWfFs-U+B}2Tg*uq$bH@5G8g}S}I>#blqH=xtu`9*JLmkAkKESwInlXPP~xUN-F
zNMEjyJxRww-)XNxxk{Frhh?XVqlfbsg<gd#G2R}&6Iha%k~*wr6mc*X$S}qTtt^mo
z{L19HK#xJX!iYuAVIfn@OHU@&g?^0cE^{h)JiNM9m?j)!uxyC^_bG`fma*cD$7g1-
z;wMawvp*!6CMl#Rtxk%YV9>#vB)MRPc*ie?_sRc0FR>BQkX*aMWTJ*@nucb@1{c4V
zkqrDwiPw1;#G51-r1@gR85C7!yl9-|$ymOcf7Tl-_H0ir;}$h7dlzFZ*Hk9fMV$*`
zQxrS6nWV*;6esY;FtZm_sW8mn(BfJ7Ym#{NxuDG)Y%kRr-tUTGc|4uz@;(DKqnfly
zDJ<-PR~g<;F->kf<gznIj73|8hw&?uqGwGO*V_o!39<h^F#9q8^?0+Xi|_e#j`vGC
zvv?l=p3Jq=J=o)!gt?@>$^{j9uJ@i9`jW<yFDE6PmWZCP$|HvBy9mFM^kv2h^@+@i
zUp9EKYI|_(=o0uJ#i}VWzvXpqd+fhGzg6}YCH7AGDEToXxa*BTQnb`}iMg`hckW`5
z`JS{Y#w$+dt>p78xwoAx=^GqkS(Bq=-g`2vP>AG`d7rd$QcT8Wh1|_tD$;KSey(H?
zlzBUi?dgUCFJjmq$1y!+l70U&#xzOjq=EXTX)!|n3%_u%O1Q)X6+8{#KG~48A|^TJ
zH_ug<**?rWm6R%Czf>eD$udc@9uVN%Wpbj0F{w%Xzz(J)g9U9KA5P3W@r&hur!iBE
zvfzTC7dwKOlwwvM;$YyN#Ks`F;+)1zm5bK;vO=H4MLDM?Es7En7Z(**{>U-YRzzHE
zCC6qnR#lcvG4ZJ^$tLVdFSPD=3X5Atn=~YMNH7WewB}AoS}v$5qpzaLd7wlnNlIOC
zZOkfG6KRjn(!y+$uZYR=cO<>!*nNfLu!2O4KZ9<gj9?6J%ol-`9`X(KmTMU}V%{gI
z$Gn($LF~N)I|FY+^1m-J|F=c7T$sTaqsZ-{AaIw#wIgPgz|&ZUY6XrYr4v`CuqW9y
zc89X`Mkk5IFfPcAITp*%%E>ALS};ASO+tJ10gnF*9>=J%nK9f=`VnInGiw)%PjW}J
z%FYOtYDJ0cIWdg4l~g54mkTWJ?2cy)W^#z<VB_#)jBz|!B^2{u!x0A1VGsX{V<!Ah
zW>_TH?a-{kqJK(b(ss`64tsA*VY#u6ImS(wWetP063dNGtQJdE{)aM2JeckP8V8E`
z_TcxVi^i;zjBc3lFvK)Wh~bIxPU3s<y<tjBagwA|j0YprEXEZoED~JOVxGPojQciC
zY+CW|ag4231qahB^O-SCo;*?9Nm@G&x-gsnxp000W6VFM4-*qQ{@!W7`e3aJL*%KW
zolJ=rCNh1f?XWv#%FOVp!7}Mek}(6jhl;|M38E?+__uqp3o4v4KCqKTkl`Vl)Sg#@
z0(k}s4}@5f9x#W-{EQKrDzU-iRk8}hW{-=Um7a$DOl2I#4naxllfs$BMPlX~CpEl?
z{U02|n0(-k!sVFV9Ely;Nh%8I-vlnJJUGfQ!!ywPLLK9rCMCyBNl#hNurRbRC7H7%
zeHQp{n{;|21MfM`oouffn39BItR$p8SY9Lu^0G)VykUxYtdb<a#Qs4<Ac-aE;sck*
zg0t#1UYvW+$*B7v>`IcyjwH7o6}%P-Oi4*GC$<RkxG-_(o%8%rawCI9Q0424sWX}<
zvdFW2cKKnZA`$pSsOd4oYMZ220*)#QoDV)JF-o+r7I1Qk{jrBJCZJL1!Hy{7Bn8%(
zPf4mD3_O)SGCaI6VbTqYpMQgeRX)@SRCQ|fM|f}2nCfsQmSL`-Z%5L~7m0;ToF1PA
zR$QFh6j;WU^pAnpMKOx+_s6Ceu8c|785BA61rEN8;nWaVSeN|I=`TkMqcDSI3%dfF
zP*RMSkkD#t&mXaoMMAkw8m}0WB!4MMrm!*m@%R!W#c+U;SA|1B*)oZVQR@L?%-dL_
ze~f+2`YK6*7Z_EQUU2MU<ZzqF$bHG;hNE8x<BO}SIVv8QCKWbhG`x*jom46@M}aej
z_eb_5HH8F=tcV#)cK?WZ;}r9WgJ()Q%g=|JDvaMPYn1pT<71-kX)^TxIqAuv8JVv+
z&n0cehPW53N|wv`6Zo1qB%_o6eQI&@7AR1$Voqn;-}zdT@q82$|C|QlAIuhv4egdI
zA2qC;C}hAQWF~Y-h2zeuKb{PN4s8tLOiZFN*JIQ;RXA6EV2lxZsWE{;KX%f;1VM!~
zCJ}{XM(G!(N=&T%Ns%(0(mw^cPHtG>aZ!1j!gLjPfhR9FJ`~7{(QOcBU`#p~%dpUq
zBj9CHn!+}gRRXa|F()_~ejU91Ge&5ogm~nBR+psX8uE4=LMd-fm3Qa~#5n2fXY-WU
zukfAelnbkrzM#ZKfrClT40o7?VkB2ezMaO9{69L0IhkRV;Hwq|hAMHFnAsXqG7QWt
zUOyhF#r`vTxuLmXHUno&X7a!1$vq6unrA-H;OV#<qxF&Z(8^3zzC)@BW<UB|7-RSk
zNnDVfWYn(^#dAoA<AAj%b1Lrv0S2W^bw-J=69s2*Gl@HLD=;SU8eH_;xjJXA$O&}?
zLqP#2V@A`MNvUEEY_*KO3CaIH_GGv)MBA`F{;%W`Xvv(}sr^IX*>b@)6@hmj8a!Dz
zxz96vY-0HQB}S$rO;C3uho?Y;@PdP@g<?)~NWS*uVrEV#UMLhfQ}_9^PKH>;yBCE%
zsBj1i{7{l$5cZKcHED;$6RB$zn_FbBNhL{LQ(^AS_-!kD>w~ATxI<xN^d#9EjL~v8
zq9SKclDg5LezLeDM(U2Fn4}j|x)Rsvl?{=diYpY27&|^rWaLh?ydokh-MPR~<z7r`
zlGgJm)@l*)r7VxN0)<+m*`9Vgm05LMZ_zsyvx4!Zi_$qZ5k<F|o{YbRBv_fmc|0ZA
zg&x+epCrPbJ729wMdN`}UrUk^_tlsbmcMb*;#)q*i1R#N=g$-QC6e#Ck_xK@|Lcid
z2bXidHCmS<{ePjoiq!Xn>L$jZ_v|s}3^sYNa4cqEo;35g!2!=?3C3BElxHq8VwCj!
zw1~Cok78l$zk3q@RA!!H;!{<VWvUi>s&Q9YnvqjjUVv31hPmN-GQ-4)QYyCu#1=3x
zC#5F+a-4B1CFZDwC5yX25NFaUM~_{OG5Zo%BzYY1ZK&s9TCj>KNhm(}x<bVxfuvnY
znQXk%I6ZC|mBdU}e0Wbg`N5CAs!5#BJ-&DdI(}S`<ihU|&FBz0De_H0%~ES&fjKsX
z1t%mHB&e9YC|PjmBqJ+BbVJJt=cf~{D*m^3c)`Gw%y2&DOU%843K42Czh)Ru<adx|
zJgB1M5y|7oIyvS-jDecpRNaLUk2F@iU*N`}#lS5aGtsbR)rz9F9TOQ^XJk4j9cG&E
z!Wg9H#BkqXe++AqbiySU3y-rC8Muo+Oq<j!kj(Hh;RV0)ilP9w!hjcS(~}ndj$yqx
z*=g^La_89pmVYNj6f#^F;_J8@V64WlyyGY1?WBcki)SP%ZBSv%DDW)0!*<^HCf~{u
zuB1m=23&7v7;(gCS0!n;B{e@~oN-zC2>ZneNlr-z7!EU>_eje0)Vy(ILdLqHN%tnc
zVmUwcgg4J@bEcJ^PP}tf7|v^5`x0}RZ=#Zx&PvY7omyty7tU}_Z(-z5I>a+mj7f0c
zMCQ{me6uBsCM5sMP)mGp;sx{hRb8G9=LA;YVVz@gNoN+{QcuZ4&MPLw{<pPi%Q&FI
zwOZ<q&>haTQqO*La<6CNmg3kivoQ6_q!^BkjLZ2pPto0GvB!h6z~AtT${X&T7U!yT
z__ud5=KI+6^Xz`Lv~Y@S<aB{vlNA9mmt+I)sxTE6axGse;Vl#F$5r}8OD@!(k>zBT
z%GZ|)>N4R%Qjsf8N=Gtr&)w@;c_g8sh*5aPcE6RTDnE;Yi&yY?sr}WAHHv(+rg%a!
z!*khGCT9*-qa}<<Q7p2VOvP6$^&Si9ZjBa+nZOwP--fX%;Gqh~X_>-_Qkl1<i<!7R
zzRQ*}Nlxl`tgp$ue~DaWjG5;f=ED{Cek=4CgI6TImaU)8WbC_VMW2p+oW<WONoIE^
zF`Rd?W4O8EqHKGfXXj_j&8D~Oy&KJ3t91o3ubSOeXML`I!l2Xqs@Z+@K)J7G4|Q2D
zf0c>u))Ch<f4b@_-@;!qiK@m%Y^*_xO+T`V``utlR&HWreI4_MMN!3$zaz@&U?OLd
zM}+>Qsuirp6$d&v8T_4Df?W<qE{X|O3RGh5n9^`BNi*qhQV)YDM=`T$^1n&<SnXys
zMHDia#N3Yk&+d`T$bPe}<CqHz3p=x&Ik)lg81udM)@+w2WeKuBFNpoWW~;Z><nzXz
z7VLkRpL)dDrCP`zvPzA4bK<_|Y57%wN)xKSvS@ow5aWn{S?6#y#wh74N45$}#zGE8
z^&9bPYA?nyzC0tV#4+{Jf(E6t8~PP_Vx89Vq;e!*C>CIeS<by9iRn2{O<@d6vdaIm
zSltcrLaLq<t3=#%c`iHJC)IFlusblB=j4LQl$f&|`)(dk*pQU7qPS_cfPiBRf59u4
zmFc@V_6fwq2+Z(ZC&+QaTtwy}OYHw0bCVesPvp2$xMI1(C5Ah(jPkMnlpe20_}%Tf
z_|)o>T~Qscv~p!UMY{wRS?UV$Y!ldU{%UNF?t*J~W3oIKTrJ-hI)~*!OG`|gg3YS3
zeg4c(U)kM@4oI_-jrEiWUz1+CFV>s+qRp-AK?k(U_s!*<9VZjMf06`C;l3v^zMfsJ
zkKQne^6YwXgi*O6qSKA@Mw!*7J2674v-h!R@8z8EXy&24F^{SjShP==xPUdOsO-dx
z*wPd6yqA@#onj{1s5dOwq-yaaNv=a>(kB+l(i0YbDb6Y1FS!(~vNblmbmDi}3E?Lf
zWPRKx+}g{*D)iIRmix9KOTF^KM@dOeKhl|h$SSbJ$XKisIzHp*$1_!&%!_M#l3FHm
zcyckwFV^5#`CutaQqy6JSK&#UKAdK>N;;Bc<XYkPGSDEI;q~WJPyTBJoLCvMj>U;%
zE@$kDYsVTb8r(fs-PmP(Xt9n;%*RU;7%kqcc`}LPVh!7*U5P6Wl&pU;Nx+;z`{h!_
zJ;M5e7Nv(*y|}~q<0ltqjFTXP@BUqznNwn<S=e8`S73~(6f`}_B-y3#BcEd{ODsds
zOBTZ|9CK%OsBm&hefJD76xe^8UqxBqBscFQ89{Y{>mPCjo8DYCWZEeF<KHBY4}9#~
zWo~_pcVJBN7L@(O^szzqL6Y4heV4#}qEfDX505GCVvBg%B$f~rv#wDhG3Il*2h)T7
zfgBE?{oIug+LazEcXZ5hWETD(Q@kliW=q3r(?+gfrsV%Z6HgtnOg;C)z<}v@Ttw2v
zCI*iG4Zr{WNx#zh^0o?tt#{%}fyd&mp2-5P6VxXC?BCq9iIJztp3!|l^B>Nu6OyEp
z1l)hToVc=J<5XwH7;ftnK?d2bF9u0cKPnA4lek`PlVFtjzgm+c_lTM2wk1m443T|{
zt#_-os|ZAHUg3AILzCNH=*MM-#sif?(G8g@EbPMB$sad1stDdZ+TO{eD4fiAv%dIA
zj^qTUiAe?gO!d)CJwIpspS7{2P=&!g%j!&k=b>9CLmnG9y~<Ah@4&E0rm>E}=zPt$
zABjp@?n+GkO1~MVN=ImSD$M2JlsZv$;s(RxhM>bqiw!0+UNGPaW?|f${O`FV<FaH%
zE`>@Pjuy3(kC!&gS7z9+y5-x6w)T!wNjeQ0?2Iu&J~M79tWD~S=+wA)<PulUpXEE^
zSTakTVwOJs`KRGNBV){&q>gVf<qLSaFYqKVCKZ30D6{d!2}uU=2?YsBTa*8LHO*mR
zyv@j(bnMiJz@N(mm@Z9w=PAH@!-iq$xf?$lrYbYAa<@#rHj&}D&DFjI0TtX$S3Niw
z&U2Q!d`aLGN{pLyQG1e@;Kh!^0?My{%$mrk*tNEB#upVnuIH;4d}0&4-+WV|^Q5a-
z;OZ4K4hi~l#{4)U@nMO@nV5$Y+>7Kj<vZAIjy9}pVyx7NImr0_LrizWk_M*O|6ZKw
zDgv_@_9?_NoQv@?6&1WyvZcU)zffZ#=Y!=vauZYvrU=9cop5mL6nrSadNso-g0tm3
z&qQBFff(x;DTb>IpKb}qbr^SC*rQ}19Kq4F{>aTgoQ+qNxF$P^I8IM`%<=Gu0w<@|
z!{Ujr1r;SUIizpQ;z&~O<iB`t!oL^Ej10$b800j)I=Aax=lzb$Tl54@SG;WaTEWzj
z6!E>`q)w-`08^6CkH1WFl0G#sPE=9+%8;tQBb}*S>|uv?!~c*ZmRN=j5~&@E64U=m
z<f>?LGO~9{NLIQevFgrQuw{n3Gh<A_GA2dN*ndxw^8WR3cix=G_>jHfhkKW|-^?hk
z%|+TzJC1$gIM^8fKqO}2Etx|xF@=iGj0^I_8d&&ywPT<Bdr=^MP(_7d0rPX^3A<HH
zE%cIJEx6{m&|Wg;yMW-M#+pAJrG*DCPZDq!P+q7~xH(qmPnWmfta*&$b7Bt1{JgKi
z&?>py{e}7kg<iJ>7cF+P$TRsrNmA|aite}}%rKkLvB~XajHtuo35-b(86^dtpAca%
zKFMdf@uDWfd6xB_+Q|=`7(&}7u4cU9b%a-(ZK15?4`w089R;tq$V)Ozow#YD<Zo_{
zzjF754ok&Mddjf7(z&uQg?V>}nIMz&bLR!CJ__6vkV^V4q^Km6B*@Om`pdGT;ec;<
zmsmsF<R8xJ4|^tl@0>YVaXVw9$7QC~+6U#Dett@_Sle|bfjg#I;IUXo-b1F2WfKM3
z8JDUY@8Sq;viULJGKNpfRYlud@#H2(iR8}P6Brv=wGwqYl_zmkYUOj!S7FHIn9knW
z#F!*{Qsp++%b779uL5`(4k`#I{nA*>DR@+qSs_VGs(Yo-|A`Wk=^rM=Fw}G0@8mr`
zQN`(dL$u3(iMfoN*>Y3WJegxXoIT!d()iffQNXxhj}j+04^y(x59VZ+=pbE|n}&+q
zUB{A6bSBvv=yjg9SUFpIa(c|99!`4({Z6mROr6Zy7Tv3(Jq_YCmN57UFeaIWuy9|r
z?(E^UUBqnK#hNI@&~Gitoq1H#lPTU{59_IwERibAbD8&Bb+GOav|_bnN$h0V{OrSh
zk8^@091@e5jtewQh%a2ADl|i!xwLB|m+{Ar$O(Mhx*Uuu7BPyuk{=%Z@LT3cTTJ)V
zX{_2}97T-t8~$@nQW1D3G3}*-0!w5V%M*{7cD{bL_-@9;7z_U=9Cs$16y|uOz`Wv(
zWzw;h7*7d1{-oU^$}!BxCw&qQWj@LMea^zoJl~bNoGd3<b1;egXa1_fk<6IxlJtVZ
z@PdGwT*J)y6QT@X^>jFL&+q6Iiv7%(Xu>nM&64x?g1Ih?F^vB&Ff`1O*l>EvpVkQi
z6Ky8M3n_=Qu!_Yb+3a;!Vi23+l;LqVmO*GLi@MC*6R|Sg-HObX3bFsQ6=nn+2kqGR
zSC|>g(8SKiY4Juw-#uy4eS^1*k8hL~FHq<4@5p8~wD4BjFuf&`-8$)Fv0=}KMIEc$
zIRYFm$K)rSE^y`H*l<?XQ^h!>H?&i(tE%tOf`fVn8yRGhW+ho9H60g7IUf@uQLXSK
zNyA{{Nr}l0=DYYMc8DlSo5lW@nmtjV?xn}hnJTP`F-hw=wD@=Gs>n)ncpl!c%5tWU
z5@+{BY0);G^@^<>FEplK<VtW+ocx9F=_Cs^Ceyjz?H!8jPZYQh?yBkJ7MqjeDY2AU
zlU03^z{Mc<xykG=V>~R9jxj72JkfHFQ#~n|!L{Qt6Q{%ub45nQ%a%;yTeR)kCp=O4
zn51CGyn0eMOSxg9po0gmOckr{QkI)4igN@U3>kZqcD$Ixe3NlKTWlQ5hee7HlUUlH
znjP=os`$*{a)0MLrqmP$`3<!aNgHldvS-d%)e)o26r=R`35WekBNe9Otm&DWvMlPV
zJhKfCdoo3HJXT<uq-`P}%@$krly~kXFBN4L&aKNA_p$D;)8&cglAb-Ia^Cs%y&Gop
z{F}mK7J1}}SKZu;uYV@bxTv#;f0M<cnQu=vGR=9r%&o0eN;UR>#J&{1FTWP12rrwv
zw%Su7(=n*v(<A|%fEB_<PbBzew73Y^{p?^f_!skg!=fIcS=;8^?N2(e&_y8TzCn=y
zcakng599F}6S*5)PbRud{^fo-z#&IrM*jqbq-zRJ);km)#z?YQ+I^aFPkuJbbM*<L
ziC!mOC;tnaec<SZ*E647jLFfno4b1->)hQc&Lw>L&v#6pv%B~NTaDDL9=99p46{=N
zHMkDEc;dBZ;zMVJn2b$AS${kaNpuFtRfHw^9J@95X1L$3l1MJIIm+8x-dVj;QJiLZ
zbN0NAb055|o%QSpyHWt#LUy$YzXUTQUhs%MxS5nT+rMT;5#I$j^(2)?F$~*1pG}mw
z_dD^Q#IugqEczdIOF1T8pW)D=z%~6%(%dI!cRaM5_dj~hlg*s7o>(sS>-74dCBoXF
zr2JFS<0pgfv}Mz@XEjZ6(YPd;u%zu|8Eb|{gK&~Y%o<VeCnCW!g_6B@TvUkRj=kU}
zw2whFxabx0=eih^Se5@7Gre!<vB<<^@-oJ};9sEN*U3=9nX@|~T_r)8!Kd%ugRcv3
z$SIpLMKB*UT@}la)U9=>w`0Dbp~z&Oq+2&0m)+F7Hs?k{EW`Q_e@;ZO8C{;<DXBmA
zLf&Jm1q(T*HKd%6nItBln{dwb#iyxTau(}-ULwsK`|r|8#>X6rOTJ9w(65<)TJpj9
z`3v}0Y|EK3vq_-PKIsg{Sqa7))p9NqF54;0I@4Fu@uH=$=0vka_Jpm^X3S$`j0sFS
zu`MR7X7h5bNpoV;l{<fOtPtd6Vi)k@6pAs}YLTmPFo9bkNqRxyA-NMf4J1}*=)7B$
zB@oLfYkM&!QuFBw@f0byIjMy^=GZXK&~ut0pX6hqsFcXMM}Vz=){mf9M=D>k-^zCU
zAEU`se#D96&5{{YnH6GAcQ4pI_Y8Yi68HQwD-B{?V~*Td?GnszSw0|N;6#ig!`2H2
zV*d-pGBU34iCA8=ZH|a7%So;T$Bhd&9OhWisU*m$JYnZFbx&u@PS(ncpX8^^x%lM8
zITfx6!pWPGR`Ac3`j|A~LPCt@TxsJK!Y+)Bxk&-095J<A)88kx-w=xletE?r>1L!~
z#ECe=?<rgyjSJ2Qz7S8I#8But!{6z^hU9-s-$g9f{50>c4u`ucf4kHC?m52~u2kue
z7JO#LKSRA~g~lR<n0p+x542;Rp6E)5j#<2cgM&rknnKK{lN`-6&wl7!a8{sXlJJU+
z8ay@)4u<z0<miMh&=ru6v5s(Z-Y8&xVZrwguV+qiV0fF9bN*w}oZ{F?l1~H}-7`<T
zVG*2jp~&yWHEE7Xh3Aj$Ul7zTIOm*t^M;o(+H=pf#&opyCMlYnsAS2Ub4wwqRUvLu
zJ#+26vo|{01(J3ul!dY+ZC0p>N;>MP(8Ta~67M92>D&{Sus;vynRuE(c+ozmqywRT
zH?MBsoM0bw=D_ilr&@JqboVcO;FYMfAfoVMmd=-%7dIreC(pUaup-7i=Fr9&8^Rap
zPgLY+n01Lg=G;fFn%8R|rp~|ov*(As$b)%zG^U==6??Ftw>_p>JA;KYAeN!=XV1Zz
zS99k4DXkK@>KRpjx0BO;+x%NvH#Mhpim7lsZN7Pb{>{1C#XW1*@(QkcB5-<XtoBVo
zt@(PtYG<r2vfR;Hx=NILvU3LqW6r!=;YErse{HdwajdhuX_Ch==I)xgH^09YU!E;#
z(zukjR%Lr=kj7Tu$fuJ}PS$vNEa&5#n^)JWo4#LaQSI{jy`RVHQ%hMU1f<QsWi3B}
zRX2Xl&F`NaGaG(S;5=|}&aJhZr`<_y{QhzN^|^tyEupeWkq18K%=x<fNb`}Gs~I0=
zp5REHbt`SkJ?r_iuQz^ipEzaT{F}jSFSkz+d=bO?c<Nd1E(U)A_Y@<S&yBAp&qxTk
zTC=fl63f+7wlnWNnOW5k{N$AH+?&6z@IBX`Ay^g7`rlK+G)M80=(hRc*LWYt3SIi>
z9{lVk!`F$|Juak7=X(E%<8$Y+8*^?sB`r%j?RKb&!O*VdnR?@TA^G?@H>WSVc6QE<
zYa3%0T|3FJe@V=?IXBk_v!#1K5)@|NbbIRZt9$3(e1D1csXoWz+e@ylVtE|&?EAL)
zH;qqxpTHQC^z!;EL!Q@jm=FIt$@t<_#l_esGcV4$xq4me&!}XE`8VHB`Za&<&Gk<W
zOJ~09;JHxo>4NX)`8RIP^sSj7X*U0+=g;RSyf>AKO<r?<?#)R|^1^!=%s<5bpK~*J
z#hjiAY4dKLZ;;$^U$SQA&5oo94X+;y>nCai&AZ|M!hMp&IwnEirYDjbvu`BNo_ix(
zx#9PZnww1S^KUrCOx<#9j>F{8sWC?wrr(}%`1p?vi5&+QF*iIuJMV`0#*I^|8Ry){
zop@r-j*}Z)6klC1@H1>!YWXaOamMv0(=C2=NGWb&IG4o2bZP07)|Qijj7c-E_lL1b
z=ghxheIrbM?u{6wJ`NLYE(OaM6L#FQW1M)_a+c_iY~{%tjNB(pXA)*=;B?8EeKTo?
z2IH#46DK$#=H8mlr2Hdr&P^4oeg<z(rof9=+2R$z%ZZ=2xpwHYKk%5y_>Lv{2}AXq
z8_^fFr%16hbM89AcvEK8>dKo9PuR_Gulsm+Ps9w{Crp=Du-44EQE#8LX_ZpdoSUi+
zFXQLjDBm|PC}+-%`5qg2UhasQJxg-V&9$Ewh);}Dl0PlGdH#*keRG0p=G>Ud{x~a;
zYkxG`({3$w#s$V37wLLx>oW*%n|@>NMlsLXIdgA(-@ur(eZi%QW#^tr&AqX^Rd{Do
zj73b%+?(?^G@W03;nAEMuTyS(T_rft;+Tl!w8-EkaqG=j-`%$?PL-`%uy|kc(zx0=
zGlV4P3Qdd-Wqo=&YWmz8(<@fntns#PY@R(Q*5Odif?1oGy64|4y~SU-dD?^Ie{*hR
zU*&(CzejS;imkH>jxTtzF=<I}ZI<}ilhw-w+~?hxz5#Xq-vMR*|LgfR$CZSV)1UCa
z_$lbT@r$5>xtoGDyGqPChtHnpZ+cvwcXO8u!=>8-Kg?#XxIh0!>?V<4cQ&hV@E^Z8
z_wbzJZ2>(sb8psmF4&<vkNItU!mWLCZfI2+ah#f#A~3s$L4|XwrpN7hH}AjV*{-VO
z;cXW`=SKY|JD)e)OXP#=ZWb0#`SB}MKJ+}}oEz8GohrJo<O(>eeCv^p-6$1*aOp-}
zCgJV|jTNDZtT*<3;7nR2anjZ2P2J6TH+Nl<sn?Zi?B41z=f-=hl|ENYZd$#Zcucl6
zfAObf=67E`VSU;AaAlbJ{rxL#a@I`zI?4E<Rr8x^s-BJu7i<YP51quh-avWE&ZYk5
zPw!^&Ej*d2z*)FG<GWCH8!Kzlq{RkTO+K<pZkm5HbZ*6@2#yoSYjy~U?V9K1c0}R9
zmkF`|YYw>1yYb$-)aHq9!;QbHO-ETe|EKNwVEI?2PU*t-m8wN|OZMq9KQFbr_kM0+
z^-m+SGe$M@Z>%rbw=Z{Y>Lv?@<MB0*3iriysvhn7v`k0($wHP{OEw=*Xo%U9RI{6R
zLriN&N;7NBk;J8bpY-M(PxLQZ@nnVNjVY<codtP!BFz|QF`nMrS97P!Fh^zXJ;C`N
zTV!X?x&QI7NB)WXB@fOoebKy;b%Mr5-wSIuTJM=?@gRq>%7`WDT=IYI=67Njud7em
zeUaV&cwT0SlU(e+$<gy3ZWrb5yUQV*Gi#3BjC;op{xF+)mwjJSRb}<3z579~`7n`1
zNVxH=xgE=jRn|SFscYt~&Yg2z@WG8(m7JJA^R67dykqgfCrPsBd@nt+6-=5n;q!xt
zACuTDl3q?SV_b1%{(>Zq&p%e((CQFf_25Cr4N)_tSrgS8oCSEfZ|1FwWP07<y0PQh
zVde=kY@9KZ<tG^YJKo??e3SoKM<s7ELwdvBA0i57G0Yr4=g%&kAbh@q<v8=Cb~kng
zp$fL74(*&tJV_b;$@BV+y9C`n`3qFrSRa$om#*(Vo!BY0d7<LZMR$&HXeQ0PbNtT(
zjpO`h6NRs*zmR+p_PPJr<9jzgPBe-<lXOXyyR+kR%&e>D7sMSu{Gjl#+=C+K14$Jd
zRk%AR<V|Ga`QDi+FUJ3%N{;7%jeci}L@Z-x<m{PB&0OvbPd_m{o~KoL_S~)c$Hg9P
z6ku1Hz<pSHw!`xYOsz7Hb{)Nu(jIfO<A|)E+(#XWRI?7hy`r2_GgYiQRh0{Edy_s2
zpZhrD<~BFREdgdPnd<&`bmh!0?EC1mWPTBEOpf}bvdvvelO^sKNq&F)FZo4$&W%$#
z9ve$*7%oVf-F#)n_;J(xIs14%&e`;t<8#jZeN&sSo&CX~&hdGp(N(Eg#wV5j&e>@e
zd+cAw$6mI_k0K^A>ar;2%-w#{Sny~4jR)KROyn=H`@tgDDAhlMYu=WAS?1K72Xon8
zI5Mo}Zrqjp?|<ik6vmeqYz|7r^x4Gw{f-g6Z8v9yo$!PWWt<bEKg9lTJ{UP;TSGF#
zg(Rt&Rp&2;Jo<8~;(XUzofj?>Vi|5`Ze)D%Aokyn2`0y9X{k%(*hx)zo+F$zD>-aJ
z!kkq#8MmGs)c7p&_{xklWsM>RPllr!3z@l(+|gS7zR_CQpf$Vk{t-<E)5jB!s%spz
z?)dnL!Fl5IpK~*HHe65AxXboIX7dbj$shke{CgYAICH_xDH<Oi1zP+}il3ADNa7;b
zgy<&>H)bu|JTa^3OU#5w*_)TVUg+n{IGU3*qup=E4~gG)lU~lB`Eb^f$%mgUxL5r0
zlU>7%?(U4MfvNN7EMBzx?40bdB!=L>mo_~7+qCxM#3H4GN@0H|n50<C&(BQ0xWge)
zVWM+lOlM2-l@(EsttVu(YduKs7k;ty_`>_gR2p=j&q)6KqGW^M&qF`wrr11~`G<3Y
z(1+wD^D|{0&Yt;reu_T_yI9+o`7={ELvv=XnAY|pXQJ*Wj@=8_XY$?+`!k{Y2Gcy*
ziq8{DCbHT#-fF)(!J%JarQ_KJo^FgxhvTNS)hxU+?_8NHSG<K#?<2;=tn+#=vn@88
z8Kpf@+U}vSbhE+Fn~?|Sp8M3uV>z!We*UEB)3b9HXqioz)yeUZF`jW&QSV=-$vHbp
zJ~7R1`gTG<aKQw%G{f05`b3p=I^xgG$(V4k>*3L}GcwX92)7DOD3h0-Tf}{mlV8Gf
zPHmakEz{YJ9TQK>-=14MiN#!b;hcutn=J8j3e%D&bZwqrttz1VQz*JyR%h}fA*Ol4
z`+Md$Etyl{%Gkb%S-pepB-fN#6^E1Nm@6}A|FkM!^g2cH?%axv9o-TOY9g5}XA56p
zNj%BmG^=7UYy1<B^>bEvGw+?`d6+qN((>aoa~H7~FY$QDvVS_$!C4icmFrBq=T-#C
z-Sp|7n>*X>=-i4+u^*Bq#LTqzFqJV>nK!TesMpiU7b2POB{SG{9^T%$(A4YCoLLp^
z>Yc)_Y{GUIYV0x>%;%p`Ih&JFIO-QuOvUu1q6I}fNA@Rg_#YbMKEa9EI>}r9KpT_v
zkI3o?Nt2TP2Pn*}=#64CbJ65w^so$@I8k9{Qi#IICMJcQOfhU1BKZG3`jO1YGpFK-
zG3!LOjdRLE|93j>kPVVb@sycYJ~>EdR<r%=pZX_0o}HNfcqU6P<HvG$h2xU~rd4vx
zX|e6!aePMA`5Ej#=ct~WTm4Pfr{<@2>w~`*90y8&I>o(UNMT~1_Q5||F07L&XKssX
zPRZlfTvKkPcdz(;!Y)y1&W5+s3)vj{B3^9#s^T!MP(y_=CLzdSk=X4C!g7x$?5yC3
zQCx8PgpAjfnf31P3#?c^8d(d++~8jEOTK$&-mIJU-P69$*|0UrgGFxY+&y|RGmhPy
zcQGZ{ZpOvUn^JzvaQibOF>>zu-;;PIFHzo~!Z3G!uy!QF{tFf<7724U@WwcEA4p=H
zKl9>)B7Wv1v62H7*IH*hJ5gJ4WWuxL13&i6TU$GAPT0hxyG*OM#<@piO`5TUk$2-?
zSId$ojFAB!4L82{b92TcmWsWxjM5WpmRw@kJTGRsc~9$~m|3eT53E&Sj9EO<?PS3n
z!Gm*FM~Pjiuw(qhpFSgJ&a~bYOqx0eD<T+U=B!ygNvORvU|RU&dGSlP&sv{0FJ5uK
z!!D)r2DVOzL)>%YcYSm?7d%6M1Ji^{&c_(I-#E@$SGt;KVyaZ;+;~mxNuR#WUBi?V
z9kVD>aP6Em(n<OKD=v1Nib$HBsPpr%*+S=QHgi`0d+PgTgS_$EOF|P2l(adr=giEV
zll1b$U5?zjNt<SDwC_H_q@}v1F}HKmj24c`I&+fV&e`(bi)XH`#CPvGNk8YVYff@W
zdcZVMV)2Zm;~O-THLsuK>|W^b@u?eQghR?fiGy=iul3WK7&mv;sfpK>7D#RKRam-$
zH*&d@&Vn6g^Afuc*vv`bk7<jZn@}1nz0%<J{DkMLf^z03JZ(rix-e_r#<QaN^XA^3
zpCoi>-8RcpHaFBZp4h`af8Mqkmg4gp*Eei9KQF0FY{L8Kc}X`fnA)t=yf=5Q(W;#{
z7-QxqrR_Lz=HtA%VV_vc=O;ZqQ}J=$+-sY5_BQB5>}dQrXKwiIImtZ@7aKnuotffh
zaN+*9IrFy7N&UJxY5x4Ql?<Ebrrqq=*0a%cgL&b@3q^-~cG&-$GymSn)z2S3&Y7PU
z+0gn}XvTtG*12i^tbzY#EO7a#_KuBz4fBlhia85sbI+(0En}Z?IAX`Vh1G5`D{L?Q
zIJe{Au0G4DcmKrxpKvmAhwaDt$)&NPN!RYoZrL*<{^yE^i7~U9`ID;W&yeb55dYUU
zvpHwVy|VR>^rGh^*W8~F^GCJA>&J|m&6~xNJFk46B=Paeg0!R^AJ0xXJiEjGe1pKx
zxxA-mIi$}`-km#R&L*bVInmFXtv1BW+tGbUc8*N?oZ9mp?EIW_lG+=*W>nj^Bo+OB
zm;CR^w$D3S(&p9X%Xh~laU4i;W#ME=s-IVPk}><$)en~Q)VF>)-1BKNCwtD^jP!#S
zHr_B(=F~1r+OaH!%Xs3-Ud9Qg=O<;VGYM+%*i*Mz<q4DgsXSj!bGvEl>$g1n-C;hF
zQT4@~jcXew&3*s&#+{C^jdOD<XIpHZ*CLj*sgm1#UjD=urq<3>@i4{(yZ>y|PKaf=
zF;kEul{tSw-?sTh_g}Pih}kQ5OchW5cyC6tuW;nCmYZiB)j4h+ez}Wrrf&KiU2pMp
z%LdK)mo98@myMoRR(<gG=D989IWaTKd)EH!oZqs2@5~hslRCS09Q`2lqv1`>{Hiyb
zJDLPcxwbP&&#OLHJ*OpZOZJ~REnB~88vf$mHm9mDc~h=@dZ*{Ss$d?^dk3^mF!FfM
za7*i&w0Yv`w9a|QXFAC-W-sEsHosMZ`318b^AzXiuLa5fZWhQV|6Dq!dH2tI9Sc9s
zT9Y>8WA7oM-D~Exv?i6$_+sDP`ET+E+rvLE7tNd^#h~9g;n>TH`AxS@N(j#BD7TyS
z{G;$<&bbZGcBm!BZd!AE;x{$MpKp|6X6T&MP@Ew*zhjQL1!v5S4qN$&k+K^l(%;{l
zfB0oja9WSu`5P1ZRrYVY(UH_B_Hkb4%?|d>jZzhdul?!p{5gqb<E_raF*iE<6k7kx
ztxa8U+W6(moW=9I+J2lUm)fm!`1vFOe}$a_o;j1gZqn3P=$*)zG`B&&ei8SY*!c~K
zNtfo;pP!pBZ?4nPd4134gc$!&v0Jpc?dykqLJLK0yLa81nb~`I!%g}88S!N|Hr`;I
zAgaj6&^mk8;;!lEyQe;z*Q#B~CA#ta$CX^1jLD2K^$Uf{ZbsZVJ-=R<>F<&J`Sn5q
zoXpx?)}0R`V*h{E{4={gG0CgDgg=JAe?s`YI#c->67T9~7D~i0|JlFkyb$+{+GELc
z>ZVUv+AlF_ooV%)TFD<~b(|Ae9(+l9vcTo+g{kv5s+#7{@V_^sMny51DLCxI%@q+Z
zXT*Q*sXcaK(!u`uQn~r_suE+w8bTj(&Isn`jQRIyW@Sa_%y#7s8e8KOAIPqmnf-HN
zW6n%txd+dGGO4cg`Pg~w5dXx}-81FSclJs#X79Y>_T!+z%1tbHPDZI~{GPqIW?t3)
z;t3}<G2Gs9e*^E0mq`oDlVxU4>ra{y=PPiwMt+ISX`$@JWoh%<wY!^^&#&BDG~xCp
znasJB+a8FD%01n1^BePwbu|kvBpInPz9{%Sry^~`YhHnv>Nyqv9%QAkENuz-xZuFg
zCCZ=Yw&$*l^;g{aZC;s|OZv9Ce{1Kr{(i{+gvIlr_t68H^JeARGfI?mCp}CO%|6g$
zce6D~l5u9z!j+#V|EiebH&J3{m*~+sU2%5v+j80FFJS)Mb4*Rf+<A%3Q;YN0Ru=7<
z+c|Y^!`n?eZ$4Y9dv;F4w%MiUr_Ah{*<u<$um0Xb$u)B;Z%&#r(PQ$QSl#MHN!#Yv
zo3fb3-uu6h_s^XAlPs}w>#u#k{h{`W^sSYDeH~jiu`<u8`CO#Pxgzq<?fEr-{w<0-
z67h(00_Xf0f5jh0y!jY2ulD$fCH)(#Kksbs$gE^Ku*cknDdvIFB;^hVjSY2^tr$Gb
z-ki3s?3g5&U~q9mOn%JiN#Y-E7CfDBg^BY~yRG#SC%Lt}icSfPT!wnq6Q*vmem1AS
zq$26}0+WMUN%NZgw$F`}pS{Q-L*UPx#)uh<CUR_bp8IF!z73z|S3H_n<IZVqH&tGH
zep7qQuT8&?pV*|#?5{niDeOevn%B}lW?!0^(KDw>wk&4O#6JspB4)Ht)QDg7^X%N|
zT?GwKGIq4^r&`ZkDz`$;lX)$hDXab^)|;%cx9841IjQeizvuBeO@1F^F3&igG^e(U
zasC#z?1@L^^&c9<%~@5m@bbM^F;7_4Cx1Nu#HNp_a0=^THvKtU?(wMCEIJZYu!SX7
zmFZ~ol#WeISKnq_+f>QE_^v0fHp~9&llWo-16cNMoACJNyw>dMH@UHsWG_~{nNzp-
z+=2&ug4GM{lhin6R@kx&$MCP5|Bri<z`yR5uTJbV|LFMU->kIf3+J}pn<4LC!1O0(
z@v(!+|5@GyMy+9a6PP=B`{uU|&*vAN|8?il$zJ#74P`5Re=sgOyXJNE$xM&;)$;;B
z?0H}9sd$%B`j5to>dHpHj|*NXM;OF?Te0ni;);C5$3a^66n7l@@pgvSkC!u&lh04M
z%gi17?`uRXqsZLO)la1)pG-=hKPP{}?6dQ`qNWQ6ZsBpxcyvSZO=WiWyw2zyA~#;m
zm>BVBR?lON36hT)=ePULc=GLK<&i{>*E41v`*fmL{-*T`hd&B4^f@BFy-pTm+`qSf
zCG*_%_SJ98ugz-}5-4ZSpV{(>k$sDP&Wa9KYw=Gy?k5&JTl~Y7p*%@oHS2-gewiH;
zj?Uix?4Gi_$^5#NGAbFD3u5j5zdT>R;9cCt^DdfG_Y^$YRQ+sD`?F>a*4Y2|(&n^x
z2{XLn5Hk97FDd)Nkt7MF`5O)@n=%RRS(`kgjj=zeDrRc_%+?!8Wh>_%WY{>bW%gQM
zcE*_OIW489cuu~Pi~FR}f70vFo(2E7>^Ex6K0UiB{lU58Gt-YNyv&qJN-AMdZoVk$
z&&aap-;0{a2YWWZ2tLF(uQ~eti-$*7OUOTpG~$~%Nk#4flk}etF()5YJp5bn_GR<N
z1$mCKj54+_?Di?&v{d_eXaZMEg~)}a_SQ#F{+rXbXXT8_Im*JFb8YS4G|4SA&7RX{
zc3{`PrE^=~%*xr=JtybbsyQw9_s)1LYR0icGVJ?|cQq^an(dnY(^%l+N1b^O4<@aS
zdA+CqeWQ5&tj2q97&;DEIFv7aEVj`&NvL-5_ig&IHz!Qp`G3#cmaCrL$230uo8qK*
zC}yWIW6YcmxtNn(dJMMBKcpC~FV5M-;QgXqNm6nnL+H{4_K)Ut>DtBo(Awx^w%~yG
z&3WCMH#n+GKX}OEn$$MqxvRjcO^yk33dNUN&v^C5W=`K<Z5=87w;lPjI{bOI-<#3?
zA*ph~P4^BDhPbyKCw9&-oYN9LUCRB<+o-u5!E4?;_27tI>3VI}%Rg_TZl3P`GrRD^
zf(2$j=CysESClljrE~e5_Wji_Io`=L=pHb6Bq8GR>Y=YjW7w=&5p5TjD>rJH{d@nW
zX;-RJ@A7||**AV|GLCrh*F$OVgx{Y8Hos3UpDq-+=3IG)NFdizaocM#Z<qd?<jkiq
zQ6+;Zbi?xpKi($4^%e^Kqdl)IR@dlu_S@UFmkccR7+;Gmw_9mptg>~o()t%IreD>!
z@Aq&m@tytqP4a84$bjw_SDyG7e{Xni<yp8oVxs%&>#7;msdJCaDO<noB(qw^=I$T&
z{dC_<4UTy8rnrIo+r!)wSF*l0GM<PqJf+h;k?GHy<g2O$rTRC1**)^i-{t+Op!IE1
zx$4qCo&~Qb$0s}A+wF7Yr$6HjZ#8E2`L{f8ruk01^KavcKTkN1e0aEE-rL5l(JU|j
zU&><L$MbDo*`yZ6j@7m&vy5vt?@XS@#Q$erS?w?OztbDmhm@u;-JENxdsD}@bM54>
zuX!K8o6L0AJ-FtDz_mw@PFxT<J+~}QF=iQ;=t-{cJiK$t;$yeJNVY$z75J0q#bWu#
z^>35sYxU>M?LEu9gPWUMf5XvlbIZ~ezu20{IJYeKNz9Ipj}K3Mp4XwuJo}@@XXY1b
zZY&qI{>?6xFki9jTG<Q!ISt1*eB3y{tW3yL<j1P--(p@Q_bga2!9=#x$j#S@<ztF`
zrBTGv<r6PnwX%zdnOANdsgpCWeD&W(z2i*ty399MG6uX!n$7y+e?RwuEZJ*}HwxDr
zxWsX&?q|%J88Hu-KWsUaaGY_H<7}?Ie`b|Tj9?ahldzxZW$gc;`d0}SG5s4VWujsh
z8ozmvv?`gQXHL#UCKslQ9@Bqs`nx0SfMLX!N8dI!{!D60PB|krx2RQecCBk*p3sAM
zMpY*13z^qzl3tvMYxtPN7W?nz%L37`#RtnpSRxZ=pS;M<zh>i6jsw%&l9)Gghs5lD
z%Az@Q)%jV)-8(LcZ`#Y?_@a&>`M*XigZ;dcn>Rjf+$H_|K-TfM$zFF=PyDc+Q?_~K
z0`H1BWjohD`S4^;nd--aL!}E+9>2VMes0<6^m%htwcjRBwNN|}`ypVL?Tt70vTtVH
zcx611f%8YDsQsIy>yM0=#>`%GbWWN7Qr4$+H;cB+Ej#%(>HK~!|BM*k>PqYFbIK~e
zvi^O|`#75C`Ibnw*DpWITEBgK^L@k5E8=H2&6_i?OgP5=P15&nsj{0AZF}aI)u>AR
z$ljnd>1d<Eq<LjiS3S}C^G195q#6D*eIHJEm$dxX)0~();cF*Z8of=P`+M4kdil^*
z5sW)C|Mbkc`tw2M$1VHS1Z;mEReY1Q(t4v*sP_B$pFj1me4X`dPT5ndt0p&d=afB-
zGrxWJ+z+w0(@vjUz;$c-yl-~XS3PBY{y|da=Z-tpuP=OB@aBtMu=?yzkvERN(O(&2
z`cQY_LHlp^wWnDxKgo#N{vtKR{ONMO+0%C9$Rz4@X1B4iE`Fahf1lVSGdBJCW%pOw
zJjv6ZQ+EAT`MzlnlIL^I_s^K7vQoJyV}bIKn8uLDov~|t*H^7%erkI!p5f)I@_nD?
zlwH=1ve17JVpNfI>S^6;y(cT|SMNAK@#Uj8daf&izpdSwuqUSG!n_}CGowFt?0D92
zOx}9Z?|*-m{d)7WFLGMZkGnsaCcjwwfB9A~t0#4D)o#s+_K$m^_NQ@f<eX^Er2L-(
zrzc(%oNl&4drs8tn_VC0L~LqUVKXH~{ex=%WabC|HojC=4y@3gx8COd{0V!DUaWbO
zQSxt+@#i;6N}v8mFvjeg8~Sl(_k);@nZZZrcMHY7VR&&vJ@SR($2lE8=9Pb(%kgMV
z`BQ(+&vJ8H=KY-0oP6zsbL*3r%HolV*>jt-9xo_(`6NPnf`iP<7vB0e4hj9p6o}{l
zC~)6yLDF%Sj(v}3vTd9fBa~zj6|=sH&3;aF-Hju2nw00YwNIEGE35rR<;Z3UU8V^Y
z^>uS%ZZ)jXwn^&v9``7zX2R35`7zf-TyrNK5Y#^U@bH5)<q2Ps=XO_4yE4BkT%9{|
z=JGYK-36RxOgLmcH>M+|f}y%=X0*Jd8uttF!#Do&-}wK5=Z4cU_L)`Puk^+3=f_As
zF<IRr8ai)I#UzH$v&!24-taKJ#y`>TO~dYX#-y9fvgr+{=Efa;IjQ1-b28_YJE_)l
zt97?{ZB^CmoMCD`C${dz>WF1?^pkR4tZScY@KtAaf!xHYe~!n@iK^Ina5Be}8Jg}2
zhr{MXznQou?VJ6Yw~2FRt&#7Zxl+mago8!MuG6z-7rH-AxA`<H?~~&E895dmc57l8
zZ=_bv+q1DFzF))q&AXV2**R|-Rvpq^B-uAF`*?>#<jgvqIoZEw*ngZIku*DNB1ioE
z@}rFg^&esx*36CkB)5?B@ynT$vt{jPIh^a<F)v~AjJ5Laork{&Y_EIC@a9eGf!P11
z6YJ6@)*p^#SiyE;#gEyx{gdWj&FRgpyCa}8L(S;P&kcD$Vi^`qj!2#nCn$NH^IuZu
z)e6Q3@tZrY9ZQ-Ue=4%!PXDzDXZ}WLJSaTU$e=O9y1(-`^ZVHUv8y{KS2XyYpAp+P
zvH9ztSIPx?Ki2MHd?*>waLC`_gHFs`<Fd)ijej`C&5V8UI+@`?@%hf+`9BN}CNutg
zce8QY@mVH^AAY`E@iKMe>%d2Ij3;imHz$7npBLXD=cY6XNGAXHo*8(&VS)C)8)tQ9
zE!nh#YoXqWj;8N_Zrt!ywB>ne|4vAKhnx1?SaplL{qqfG#mHB8JbA-A>3Q0m81?!N
zyCbRs(?87MU=mCcXP;1>%qU+e;oI=__%DZ_b3fml7kx^7*E{dI>2dR>+srro{nC8n
z9G8kYhMQ*2vY0TBdxnv)_N>lH6E>NzwOeX4b8_9BD62>t-TNo3?Pt_@@pVRVzmd36
zWiiuAdrss@p`9}#yAIycS!uC}iG8NOhXf~+@U_WDKR5lE-zgWL!V~{up=<S*O$^HO
zix0EREk0K{FJAAY##RaA7l~ZT)-Rq<5Kj6TadBpXxY?_h3#uQzjoaD1W(HGRl4yYF
zpO@|vu1Xxgu}Qu%KzZVp-dD_PYr1{IUK^({T%Mo#+;(2V&k3e`W|rP~r*v{rM&%oU
ziQLmC+ns%()XnLmBEh4`ko|DpCW$r8ZWDUEroS-}{mHC5K|3X3**lHrs)@&$qqQGS
zpJe?`Vh>a5o>%-Q7!=-XoDO@Ve2FE|lY^6G?@9LLr{B8XI_(W%+kcXA>w|CenBGod
zKghIu&s&W-Z<R&uAMN`S^FplRL22=VX`i^6U;8D!JaD$-+2N!&e%#%aOu3y5$KUw*
zP2$pJ{LPd*g}0M6@)FNpy9qNh84THDCo-M2V(#XRe8SiJR%7Yj8MiCnY22JUdDiP_
zQR_DvekZzF?-d37UddheN@EI(Hq*g3?=_x0_;qy3;k0)e%Qwx-3Cmv+@Nnv9Uw5O`
zVof`;=jFC-5@r0LXRpAN^fIQJGi^=Mg!y@<F`GiT`eu0aaZO7};drq6XxG8VORD&j
z8LL0Jd~$1>Q*bSEPSCDZduAp~kZ}-rxaY*x2$z|Y^xY0NFpB@1J89*bxpkA@n#`YM
z@@0C@+4<o`rx_Qo-SB)yxvPiHsrUzvrb{cmpFinKw@228s+2zqe+yn;peDc3qvq|b
zN!<zGYo=emHfPeyr#9ER_kDhRtDQ4uW764|s<Y-yDt|Z0p^I~I#hy<yH?K@Me)zz@
z8}lZ)I;==J9L>{kUGxNVOi93poimQL%$#U1wnOao%xZ;XhCti*^CyOUVhNsS`SZZ;
zCxvf5Ej_#Fm-L^?NP$@^3{Mt)vY5JJ*0UK0#b3{#s1wl_Kc!qX;!5XCnaCZC^CpC^
zo1WEnY}NS*e~&TEu`7?8Tk<P~YyCx!nz?qdar3Lpb_gp;m(I_aw13{@luKQ2-b+lJ
zz%X~lYxl^eeRCX6EIGh2x6W_+!XHl#q|Ll1zkkk@E2;(C;^#R&pIdfx;=1;v1&OY2
zCR86+m|eynvwO~}8!PjKyN)EEWB8Cgr~Ld@9``K!nWbin8UIg^6s(?5(q8@6YT6u!
z|G%bhd^+FZ>10Ni1(U-ou5{czJHJUQdWOTA8R3ssW_?^KId5LtT-|w2Cz(9wIt5K)
zN<DaGj?+@}9bRQ`#Lvxj+H~`(?emt1o1ZHf5A=Rq;e3)w@KE>cJ*_&ko!0D_m%e9@
z@*2=t|E$n801OOF2`Kab=~r@?KLpI1@APJFQ?S?!m;DpiZ_G{0e7wT!z_rcu-LI6*
zNUhlOp(Q~j$!xyI#|@K`cYNx3^pCeKg~N1y)Bc}dUWhj{C7rqP&c2dSdq!{ylWwP+
z={@_|$u%c$|C^h*r}2t13wIeuM`AMDi+RZ`4O`8x39N`ecr|HW(!F_ey#CH^OnZIN
zc;mTE%;__WC1?KJ#LIbpR_KknO>6&cK5;N|p7XEO=jM7#$MjFMpW$@8VWT{&_Q|_)
z4g22DNw0h|=Wr~;T&Ljk_a1o6T3K8B<JX0<k58wYT{Vk&;8Xp4dj50^&FZ<{k#kel
zKK<eTGGtAITEw%Phm#rRBxlEO`q(sohsC9N^Eb=PPySwXW4_%QujiLG{z%#U^v?Hl
zck<@6)yv1!&vX9vLSoMCnX|c$&vS|XHYX+f=WqL#<)<HYcfDA7eqLa)?;U>26G_T{
zF77O{-^2L${z(?WxjD1uq+cz1%q%u-QuUmisq;gZubCMTIq`pl=-iCA)41K|=G#Ai
zaK8J*$BCS?=44#&5^1z*OlCMYFXOLV40Cnc|8p;n&&kN!JB!!${p=Hw^D^E)VOjq{
zN0=x7a;4Dpxf$;#F&NL{F#o+nD56{X^Ss{M_vU%KD0LrXN)DT!;muGfH<Mrgq4BqQ
zJ_RvFUtI6K@K0ee?G8BF)g3u&?dK=&pY<#2=f5d>I#**N<Ku;Y%lFLmjhw6b=e6!h
zhQBim9?!~D{KLWde^y$jK&<ox?&I_PW;H$eJh7UCp)&d3>J|4NBr`tDKL1*A-rW4H
zpXR3C-#$P0_}tX`xQYEACw{T`@Q{1<Y|i=_3*%PQZti+<F!tZ|m$8x0zMt-l{W;;G
zun^<t`klu&F>)?=-o+?TbI|hMN3}UWBqeeqUd>GNXHu7Y$iO}^kSB&OQfA}Z51%I`
z%$J+2Gq3#ThSc-(((<SO6#6(fZQe`+)<>~N7`8KPG@D_r%s88Wp_6j<3#*#XpKk0C
zno;?Yu_N-?Z2z+}r`26+FxWVARR<62wJCkcR<qO1t!MqYHaA_nlZ!?Bs_^Oz)}%+P
zC;Y0MG><*@zns?0`1iBYPYT_BZ90FVllcENt&Oq&o+r<cJN~0?WAaMI{>kyS*>iaO
zCVY{+{)chGzx26{n(yai=rXnUKd5bV{X1udpmF-16>MzD|3hvn)XYfUJR>4~$|3Pe
zfrGOTy?L>ABjckNX$wt0K76RgGPN#drS0d3N7Fh~Od}cY&&u5JG->sdS#PZO{+XW{
zc5YTkH-o(J`c93L9GYJ%pU>QS_Tl=+ufosI49PEIOr4ptiGzPenHn>vc1Mlf)CK*^
z8RoS;oinR<e$3uUJU5x9r${}Y^<v|WO1>YjXJ=c^T3_~fW_IzcE%!Q$KhLdAo0Gji
zg;Dy2#>aWtv)ErxVLLcEMt1eo{+n-IKhMp+r^?WIe$K?V^Ag`q5;!{l)A9Ezv*smi
zpKK93Z>4$t?3i;4{NKw)Z8G}#LRL*lw0?H<wWX{n-rRFKI+Nzb*q^lADbqZs{qnte
z#hVrf{g~8zcJ?~Ug?-uci=WPKvwXdB|HRJ%%sXC`zqxts$Ap-NoHyPaU!W%yV?R0f
zN9_L>+n-pJ&Mn&SEf5+uFaP6=7J1?S8+0ZxN*>p)w|QB!iKBZ?n2Icu|J>H=XJh7<
zW|!ZbSNHDx+|nv_PtWef*B72XKBqkYw3A>@pt_T+_N<I=^BXtMtGGSMGSnh+PO+%{
z%-Nrc*%!a7n7P)MHTJYq;oJ<bn+Lp(x6J8gPrWy{F>e*?{%v#HXBqaVa7oY4TKi_J
zw^DP(>Ny3mpFFBQDkp7Jm~46K;Ns6ST_O(WpP!L5YeJFe$HZ-44y4QT=FdzDn^5v+
zp}^s9i`eb{P5k%qV5;>0oFuU=5p^Fk*38X5#ylq}-qY$t#UioJH`nx@zTLF4N05=>
zA$MHNiGn|~@@^hf?pIjJBR_xB)`je)Yd%$aFlRb7-J4suc}_uDl!%jn)W10y-d+lC
zBtFbO!T5H5;Vs1^#fCeF9wZcSCw-jJzvkQbH8bLlGp@LiEqAk%f2Qf9`WMW5I6wTX
zm@#?H42jB4K37K5H=hrbrOmK8yJ4^PAqM57b2E$P&#1fpB*S<^oq2A_nz?m**DZ-!
zC1de~BXUlnXW^%BGx{b6&YI~jy6N`>v6+f}8x4I6P4}?RsoOrmNZ@4>r}e{=l^R_B
zACGhWD2tg_Cp;nKkM>HLO%q~f)XkfaRPk{}#$(2gHKO16H_q9(apKJvnV&aKmXnE`
zR`K%3L|)&yWm|=ka@f|-u01Ire&d%?<Ach4rh^mD9$Zs>Z-;Lp_l6SDYwWX2*UYKC
z-k#*Q;dT3-`NgImVq#+!&MkVjV_)OySca9C`1@j#ssuJqnOV#qQ}(g1VZ+?w=Q~aq
zpGcp?89Sq5PR-X{DH6(4*J;*2-Cp-Yuww7r>b3g0OL;fyo9<q`I&zs_`@sb}-^{C-
zw&ZEVqPfMNSLLc{O2|Jo?uedK^Kto{+FRReY;|@Dd|Ti+nT7WTyD&3n?CXv8p3EY}
z-&I~SDhl1Io|82Fjr{a;a~iTY)tsD}A${N@Lwfm-pyvx)j?Zbxzq?f4W4b}#!pCdo
zPk%P2&itprvIDW_XV+zaVo_eOusNoFU8BOgq@5h~>*v>AU2Br9tfjTT?9I^~o97*!
zJ@cdZ##u~B3vP5B?D%@b;`6CRyOU>hyK8+8JCN*{v`1i{O0^Lqv-bX3$0jx!3jI4{
zTlV%y-RkMV6BmVxuby}G<Q&1Z=J{*vXH0&6q;$LfN{1JEN7l^GE7SF8n*a3<>-SYE
z3$vHxSI<ig|D@?WFQt5I$JwuM7DjK>-s0EIyM9%P`11V;U0dSpR4$#G>614pVax8z
z^MCKPJ}Jm86FIlODtwnXf4tGTRlb}5%*p+}mFaYdifOE8N15yEyXq4zRnJYGKPUJ9
zx@n64PqfCbnVa|R=>)DDv2)|!s=D`T_3obI|Mz70+_|Oo`V7t4D+>QL&G~%9_;&T2
zn)jF3US{V7{WPkbm%HAN|9PqP4Eul4b6c}Z`5tS#1y|2Wojx!3|Es-o`lijvyK43N
zSjyK=!5fce-8=17y8C7N%0lt|^VjU1oBLm{l<leYg0fFBLb-FAqCI1_UVXae<%Vg8
zP990AE3aP0@%cz>+({YJo#K7Rj{KjbvAt8}uFlK*Vh>B-?|gjoc*^@LY?-G-L>Fjz
zFvJ>jnoaz($z;Lz<|p$PJh(q+O3seEe^qwuy<t=tb$FrQ=K~&H3zC$TqAvgA*io`^
zxyJWzM^k>sCGPn*BX@Gr;YPzhb5j0(X8geXfoTI%+Wge~vV{|loL~`PoS`wxK7K;X
zHUZ&@f6rfZfBsHO_oq|l%$dDR9m?fv8kRktlbZkU&xEr#ezu-+<+}H8Zf<rR(}Hh?
zi!3)WWGwA{G$X~AxlAW!e*5qFx$B>BS<OpXo3?v{>hX_UkLTp>zxP@65cmAl_>^gk
zuAO1F-<am4c`W5U&E@`YcJ5>r=_4suyPhxDwQ)|mc|`Yz{MqT|n@l*9U&*L_2)Zey
zKPNr&hfUJixh-N`dwn}^m3{0IXLVJ2%fh?*K;exQcC0UweljNS*v9%Ic@M+VmG7Tz
z{FB1MJU=5f;$)25j=e|cq@QNyPTH5mJNt1Ni}R-$0<+g#`P^9Dbu8uTy0<g$CT;q5
z^k_=f-IE5Nj;L9ml{l<sZT8VB?9=fS>-uSDZq9sq^hk33+@Bf#cecHWnl$&L_=l*Q
zM?99MD6Ke>vVYBi2&XtDgJUPejwaWKa(Zwx#&jgdoM1R+$Z+b!Plj)EQoPHrO%d8W
z$LH3)l^j?24*lEcIN37#cth!*Bgy3_IZmxqn{_<-{;D}=3>LmJ|9>=KCCgL)D~e&q
zQ@okqo;#Df@W=B*fu<h~UVqszefFp6N3_;+97)dqK1m>6?oz<Tiqd&0J5vR>@0xJ-
zXmb7h`Jwl>9chiOoFzWdX#JsuwNE6T94Ir5lz6py^V!+`dH3e!uAVG7St02Q%Tslg
zZ6{ftGreqBqy0(Y%z?@CnZD0y_351WHO12Wz!dYJT>QtA*MFXyduuK0-@HnOlOOl5
zIdnl;<G}kP`#YHKM>0LV!uNct%>O;}Qe2V}GuJSle4(kz7{@p-ceP!lL->|bPl>8;
zb8~;&C%<9d)~<Szb7mm(yxi*WwKBoGQmd?{{@?ol3B%F76Q@q9nDcw;#^W>Zc}iJ2
zSJZ7;o1*Y?PU_Otd*+yzopw63R;yx8%1*zi9ji=ko|}_fSE@hxRb|83eJ?#t?%(}=
zsCCWJOV^*y%iaDeG{k)S!4JMWGQZ8qeXSQ+l(nyC;@>k2>^(p3Y$*{sSoZq=vE;k^
z8=mc%pZjgQO53Y#7xZ7mX6S!onUniEsC3^|Bj(o+dLG?;cxR@b>&(ev7xWV459^rz
z)X;dqy?GKx<k^6ypXLVN)?LoJCZ=Uh$hEV~J0E9la@ccW^`UzcMElOQ#ZF?lJvZ;K
z)=$a!*}?u3r20<(VLT*!KV`bw+~B=WCOCB77PX(__47v1LC%=7?RuY=&-I%8bg}vW
zxq+$^I`SVY{E@wo{A}XBqdWM!oBpXVHZwB!-}F6j_|NI~M<=UA^PesJ#PE5?5qZXK
zG4uNTc@L-0_1`|}#w^B#YYs*}pX0aPDtc~9*$kV<F?0R)KjGLvyZ!OinNG*&`PJ#J
zp7<%L<lBP;HNm%^yw@yW<8mzN=6UUZ4@^~N{$P<@zu{$KlIW+HH}n0cvnbwJGfQjF
z9RG5=*#^~b^lwfS*)zvE;(`!sGQ;Y50hQg~Hr}}OqwD478HRoHT;@+^tbE1v=Z)dj
z(}K!#JCFUE(@}P9PS9lLk8|7CUK6#wInV3kfvov+g1;6$`&@aj_Uzo?>d<|2N`5?=
zFuhSJ=HFaT(e-nKJ6YOU=Lg?^!aTFVzk+FA$@`_2&FadZ=6Ft?RoJ_9PVnDp%8@&E
z$Zunulan*iIc%PH&a5T(9^6+^*saK|J%h(=j?&_eC{@jG^Ru@$rkuSI&^5R4`kDzv
zkD3nE%t`wZ`|m>9*~yLbX35-t@}uspqVD~<3g32SeB7~P_F=pGYvy_Vn6aQ&cEVBF
z`bVXG_b#rQ9e6#$w{gqynbG`G@-yW4ZZfJ~|1z0_f8J}+>L)+G%?UhxaL0|gfw_?v
z{aXxgoSPeX{>kHe-{u7FJ}S_8b!K3m5<~r?ON;Ywa>q?55)@eYe)Z0T6AS$21ZLfP
zq<WAuY2qZiJ-22kyO}a<jN56x`N_Ss2LFvS^djd5@+bfM@Ftew;~W>JSVn<=F>gg{
z=KI&p3)DW`RQ>tk@mUPXZw~*x`C`K6#~ROOXd6$8n#551;b_x@jWZ@?s@~r{W#N&e
z*Bo6l#pG|w6wmkj^s+AP!Z!KW$MsYh?(5I>RA<Tm$uxTo*Pc1SX-zlx9J=;%#({p$
z2NV0BzG$@H|FHD~&&3b3IE;TN&kXk8b*1P255HMEHqU3fd0^AonIU;%jhySJwx`T0
zHvK&(BvxI3HT%7I*qo5nljMr$<$g^$#W2U`sh7a^xcQSKSmt=YOjT%}XR>+6-p8}o
zw*Q)wab55C%4?DH+$IXH6j}Rce)I?CxzU&9x;5YYXIlSQS%WwGJ-0f;!`H{7KmOSD
zYyQkP{r@g5FMVRbJhRQ+Gf=KWqr0JZ?ZvNi7CIbq`}*eTpRE(zKF;xcHk0e@L|=*D
zPj??x`!P2*_ow3g8QV6#+!Zk^^z+P?`ONxjW~)@rO?aQm9{KZD&%-x!67J{yKC1tM
zJyBIzpSk~B$D~cO!e-4)zdA{<lj-Oc_Wh?_4$RH3wx0d>@r3tB?dE?vAlN@g-#(K0
z^t{mD*4YbM_Dr9X5I%j5()(Ap4_5z}nQ!wm<<Hdv-H#XSIXhcfJ!;DPneT2K^y_Cn
z)Ly#ggKouaOaBU+xHk@;Ctbez;l{=zY$;Q&+&=12b$=4$-ojaqi*u&Ec)BP|bpBkQ
zw+?^3QVz_U^CKl-*&MCcDT(_|Fo^zs=NS3u(8rfr?Tj%q&1F~5$n0eByz?Nc_RpM*
z=?u|1GqwJ_pO;a#HEBXhpy@_=aqFjdZ|=N&bf#>b-E7v66aOTgu;NS7o)dFze#Y*y
z$^X8+G)Uq2y3p4>(a0#$YSY|D9SpB#PB?xbTE8hWG?r1aY4MsRX3CW-*1rh5dBkD)
zoH?3nfBbpHrxrEs^^66bQS%OVM7?=uKmFr(*`JKkC#D{46y%qUWKy4-rT>;Sv}3<)
zq~PBTDx2rz@yEV@rSXw*byoVE?7dY#Ywp}pnJ6K?ho{_T;>>Rc)h8z=$LQM375#c`
zPTu5}l!LnQZ|3Bc$A9A3Jpa|yV;OG_xGHfxymxxWtiwz(Gji%aalD-=5iu*r|47fC
zwg>Mj=14r-@!&&i=o{DXubwg_zVlX3e)<1}qv`KMr9W0o`#k&TA^Q_GRxNj=RCSW(
zWq*CQWKxWoa_(G-xwCV=rc7G$Qd4yO$)`8wDSe1(oslzZ;{KXBV)60nI}hKVFQ$Ho
z<NZ<Ny)(snndSw||1@7h^-x6oJPFG#=lD4i`Ue)jK5DXchQ#?@&*tA`PuaWlg_ixt
zL&=PDBt&<tpC7$-(fmF0qOV2Ih=22-u=0)8+V8VN4>QdR@c%hS`q$rifgeof$y7di
zaQ^B&?V?S76Q|vq6Bu{0=ikh*8z<)mRd-*Keq}v*!?A-N<tfbEGlS00%#nU_e8V=4
z4OJ7roZ2)$c<af84SyDV(0?Q($oOC;yKz$3`2(eY<_CTJHb+MHa)a6b6El9#i@tkv
zp47fw=jS-q>Az~IpUqXa^XFsMIgWd?;^#>Ix&LgJ4rBiB6$TQwIPyQJ|1p|l`gFeI
z_MRJ+Kc?ON^K|p`xsukeXPBI13VpJx`^1HZ3+6j){kHGNtcN#6>sX%t>t<^3EUj>T
zSo(3k{jFms{?3ytzkN{f{GD6eNyk)f2u-xqzc$Ct_=aRzL)`I6=cKRv<dQvkaLxSS
z=U)TnewwQ^cb=*J|C#ZfO#aMI|6kqq^sQ9PrZ%SBo3n1tv3Y-LuEz8^>i<98xZVHo
z+V?%P^eSiDoPDU{!StT_YuST;!D8Ifx7XaCtCN0k=H|J2<!fSgm8x?TA6xxu!sQw%
zruWbPSH2WHKi4*|diR4hb5g`MT0hurHqo+-v7zeW|5=8g=jf&1?ms@qaM~M&@28$#
zlz1rB-^1`dI`mZ2^cyYPl9P|xZ@(sz{X~g*<D>*}`v-@<y|>uhSsMA$=wZwp`|X=P
z^>Q)pe~@6=Ad>tb<5Hl!B-iY>+Uw^yL>^I@^Tu*c`c=E#a~w;LGOx_mihF!=%^b(;
z>KrF;s%^dd?R;ZN_iTszJud_<JTra#LikPVo2O?^FbEz|uBx2r;42^)Hu0}=mxf~*
z<BzyR#z%A8V;dyDZl325wOnQQ-?{eTViR_9A7o?v6U#8yKHO~L!Rk5sr-gdwB~(lQ
zEYW`XsBVV+WWmdy_g{^Od8u-CVu1bz#+Xxc9PYI}G5RLOct9iOU{Yhv?jJKbcz#ar
z)b31;i2eU?`J36sH|IIL-+OUSr%d2E4fhC}$N$ea^676f%6antcI5=g^Ya|Cf6g-6
zGuI*PXxL24dGj5vpPHbQ{YIec=#LqHHqUU7kNrKvA##TL`4@V#CMzC&G_T)4@y+a*
z^f?aOwSOvw&atsep0;Op?B*wb@1ImCee|-6%lE_ufwxco=G~j;uscpJy6ox8ZSx(X
zZ_YQ=tF+!ePeJ=9$JIFox8};<KB+Kyj(&LboZz>6=Sg`QpO(75Z;swImfFwEbM)@s
zyy5=D@a9at^K<m38=kJ2t9O6<OrPs28h5PYy5FpIsCqNk=Xz3r_MJIC+pRVl1aQst
znP(6Y@oA1v?oES?89$D{IPhqW_x4E!Eibp*-;D71^|JpM1AoP(ncluk;wLy_5>=My
z^xtcfjfiFBUgPoYk=~=@dUHR|Gyn4bhp+uluG>pxKfmYpkDl`9=37?#(;V5i_jdiB
zaCDYk*0o<JCVM%q-s{U2yMMFK@jYv@E9ZEv-Sg6`Vjj!M`QdqXi*jxX@Xt}&I5&Lu
z9QW^==K0PJir91K+KHf)IbQksa|6uxvPWjS=PYaf#1uTo>-Jif)OgnY*Td$<e|}}y
z&(r;9o@@E-e&+q(rv5+1G*fl{WTmqQ7HECz;yOQ9zdHTEI{DqF%x=tZK0o2ImEFWM
z*O+FC9GT$2)XTDd?rYJjPgry37{5*7`a6Xq)&AL)>NzIACo631?da7zD1QCT#z3Wv
z5BYP9<zs%&4+_l`JAaem@EoyC6W;W_m$<rX3%_@T)t|XR*Qe?R?pZK9;^o_vz?Md%
z(l@h$)CJVvH_9s6Pmg1Kb@pS!`|66=|0i8~xn=}ibrJC1+o1DrUf}5o%env8rOyw{
z{wUD4U`}9M{kC~*5xZsv`A>0sb8>EwIy3*9n1csA-H$Xl#l7HoA`$rfa(m;?{`wQm
z%qDA2$L)DNKREof$*jBo9<5?OI-}iMZf5v3#s?2RpJ1BJqIl!aDO-O_zBlh?btzd&
zB+ikKc|9xCp8GIc>>fs`IicHGY~S=eiY@!_Baw0Pl#lbm?*IKX<?hSlv)`+HS9q7S
zdxqq-;8!_sE;4P1cq0+L-<<8!+}!w2MeFB<%+olKQW-npwX4Rde?8ns=LOeyYdl$W
z;P#$5!PA*dHzakuaPJb>bY#xj<uBar@68Wd?N{}2-hA0l4F=Vd#IFYi?S9+8+rnT?
z7k8h9MZ~GM;%8qf)jhKCm_IjodumhouHVT?^OZW6%?r7^Z<0gM+?!KBJrkPU$5sFP
z)X6CINrCnA6vCBsn&Wn?T>tFf*QXsxw)^kOMl8_$vw$Iac7u4F#aG6|4DZj$ZTlu^
z{{DU5T%A;_*Yllg)e~f1U;1()LFKe=TED{GcbhK<+<Y<f?7Wn!@19b;zMuF0`29r6
z`P+hzEx)otbt?ka-{DA^w{h#fr44#M=J&d|f411WtDDAqcEq{8u0J`!VXngc^a<?Z
zo`uFr_ExQRPjfcTb&d+(#I(KTb6xzTcuOwPmS1;Qdxf37*7*0y%cFAwx9eqcecd*x
zexAa~psNdt>plr7w#q#Ic*8%l_uqqalZ7nXeub}|v_gsh`Kn0_Pdm%Z@A5o8DRq0f
z_PjX?`?+J5o#ZIw`u=#WdS{}iP&?Oe1^u`u|6XsJuUPiCvgwzprN-J#*ViQfo8$cL
z>B(;&S8kX!-}&yg6Vv-9KCxf_?4Rp)!|k7L`OQ%X-^3u@_^bZk!&__SDQ>iE{8j(R
zAm{6Z_s83Qtv<=%(r&Q-X~UEsJQwb@^BK(8@I7g!v%KU_rmUy`^eb5epFh1h-#Jh9
z(EZ)>oUdM6x%<x?MbS++ogG_$?SI0scH-BE+;g0_fA6s9n&Wg`#gKhPWhB?dnTpEc
z7M<x6dp3xeYMyLkW$u2lYp%=PNsjAhx%}NTW1T0H^NAhznsT1FT%6-F_0tQpjpv>|
z*~V14XO2tqqbI)1o|_n-?T}Stsb4+Q^~3%d(rllS|6O}GU-8pCC2_|09ZU&vF&Dp@
zR<cf>A+!0_tc@pTC{N_$KE`(a26M}=?W=O;Xq3(ukT{|#m^fc?cEhh)<+KF--7UYa
zPnFugXTD<S{JC?cZkp$OZtCwpe;Oj5cgU2l*{Gd1&v}0S$BN$AS*w%hI?uKKDQVi#
zZa$Uman-~(PybDy>m2*<D$DOplK1C0pWE&ln$qxdp7s1S^WwM8xj8k}qi25H`p+{3
z=P#ILugY7`{PK6N)U-av^3{{7N<aR7GuJt2KgYI?TOAu@IxcT+|5g1}HHmG1duGs4
z=IK|pubyOndLU=^&OMLs^msnKH7Cw~d)Bizvrpb={k47fqz22qKJsfAlb)t16)v0o
z(xz85^!4YBk8d_UG1u>@*0rd3d|PCW;(Ftb+Oj#$FZFLuknR!N>(`Z5b~I<rT<7BQ
zNx?-k4$o1q)v9Dr-FW%<^xpike#7^l8XaE9cTT^dH09{uf0J0Bn?3wBZQ*TQ&#0^u
zbyHOAR?n%tqPuG8O|Rl!(Y^bZZZ0=@o^>+2gN1dzvtF&b)8F0gzph_>RlYBcWscI?
za~(U^-JEdZXyg6YCoNu0mYCJP`|ItS$Gh9^|24ia<z8>W(YfmSCpp<~9hlWy5dU&A
z^T*Ot>-NmkEb1(O5Yu1y_v0K*@40gf*59A+s-ODovT6RKh&>19Xk7mG<nZPl-)7I&
zDBZK*$)mX}^7h@AzjeO*^R(sJT&4HlXJq`Gr4sS`FuVVXrFL_bSI<%VG3Du}{vPeO
zyS|#QZ!|lWG*4A)dUVf*m`RT>&zr9j{%xVK`iBkmb66`I+f45z%~4tTd9L2m`6|<o
z)VW-nz)~OAFz?NiH?cS8sH%24PKfw*V8i0OdmdeWK1X$G@8c;?D!X58So(d<EVV~d
z`b2ln@qF{-%Kk~tZxSN*9M!)5bFQSHpt;>#@qfQ(2yT4b^lXBlaM3r(*Nt1#JC>KP
zd2&5{(=GemD|}C6OzK{fK40|n<d@H$yk0*!<3iJ|+Rcr&dH*_UkI(9x)t9tqp62D7
zk8W+A%OMuCy7AWY$#%ydX3UzCQ+{rqrd{L=pPCta?Pd$^o}+1#oWiIervG54eI!Tc
z98L8|j_Hr?Iw$#x{H>c{I=NuiOO2~Fv$^ig(eNy|6n62`o}K&L*##QQ=4m8)FHE-8
zzn~TN<m&ASbDIA>P*FU0m?7)hq^=uZ7i8>tvZg)u|4i2K%?|~B%wYI4Bl6KKG5MQ2
zzRj{|WuGM{z9#wKEOjM@jq5-3e@t$@l@&HaU9cjH>sr#2W1m;(b<I<Y*Lu8yW1gBk
z_l^IYH)8Z-W^int@XkJL!i%F1Hq1Zh_WjL4yXO;aOn=W+`!SRKuy)K0H?~KyjAr}`
zX03nAJn?*m%^cNuJ2Rh&&-Tw#jj`-_@h6sHzUrk;j%>yW@9utl`gq>6%YUur&w2Os
z$lmSq)x76(>|WEqbc&4an>lvMoV?Xf+UM{5dHv6P)uK-Vw#UV0P5HmwTj}$^IaZa`
z^BCre9^5qLe|RRZaHWCfl;5Z43e1}zTmNz5ujBuAHQ1lqX|uDz?u}&2g|4>6wKv~#
zX8&QD%lGqz^7&m!+MATwo0eJo&0$j5|L@6CtN#aNBRi)(RD3e+?B>1mo;|rcNowb#
zMdmlHIcKlk*m}QOmuqG9lNE8ZH@#`PKTDzK&*wkMa}B0WlXRTDLwt4X{p*)xlG&q$
zjP95|G3#G)pyg3%b}q~QhAV$lG!&q7|DZJh3=B*SDD(ewl#kC<wtLbeb$k}r(U&pv
zS@!QsRZ?wxbhqlHVnT4_!OH44HZu(#%~g<pJ=-~=lS9_}Wa%2-)<@D^ku0a%A8nu3
zo!|QSzH+<P^4(A0>|u$Wr1H7-QR(Wna}0t?H(78ttkB+M!T(U{ant6{bM(C*S*R`R
zxbu02eqTUT#l-pgPmfxJNlo&c*Y!C3bH}UdO^#nD?d^VjRs3h1(0sk;dbg)PDu24e
z@^izDI<p1ZA1kI@o$+e+T(j_ZPhvhyR{l6w>D289lP4$qcyRanpJ!)fMa5z^zNvpS
zeN%?o(Z$_!%tPN9t>L$MH;LuilzVFqC?6MGRi3nP%jOBOKVGr>W_;9PoDv&3FLm$g
zr;LyOF&v$E?pddvP3Of8j7bOQSe#bMxpi=YUS-6C*%q!-!rGqxY?__=ce3a6eRsn@
zBr{CR){dK>x_)xej42nNzFDZO77+SL&g=g{Yl)KzNxy=4<z`&7?ViZ|af9t6;f5J+
z=UYvE%CfgL=;hv%c87cp%zwNv*L43p>l)RF)gL7fcP#LWoO0>gT&vSlvr23x=HHv2
zUUVv_><Fi4N86^tw5gY#%(0k0tz+FrX7Tm&EL50nU(Zkbws*pboHddsCtQ1PoL4!a
zTU7byAK|y&Q?FLew{Xq8*^xAVh8*J@i}Goc0#;{;-&9b1=l=QRg`_86w_2Z^Ic>v{
zdA`=^^DO6T-CQ6$<?_1s_ZQx~G5xY#`YML0|JOggIH_@m?fR25DlVp-Z)nU8n;)t_
zd(!c_p{28vre1xUa>QfG)z_&EI@7OOTkm)wHhF&b9EOvNCeCAsQe`pEp2x7Y>E8W6
zJ9eba3H`2Dxx#qji8akXx)1P(ubxqSnDK|J{<RK)iChXZL;rrB!ICy3^!}3t;gc_I
zo^~_#-?aZZA4S4;|9))z@{#eQv~5#v{hjiE>H?>)ALnSqRn7=C4P#w-c;bq06FyI7
zc7Jf|`<kiWDjzO*%zELt`L|aOCjFWCZ{zz1x1?|XpQrJ5%I(v0L*IqwPP@K)mv;8l
zM{yJ179Ze@n{m1|W{y>?cK%P}f0NE_+<AbHb@ju(pA!{6&nVO?n>csRoYUT-EVE|`
zZ|Q8m+c4w*=asBo9eZORCOz0<UVZV;u?u&f_Q_pcJ(ExJ;KTf>2GR$g|8JkO{ljZp
z`v&iSvxU$0oG!PUA-0jxGNyHJ{hx);H*as;8*h9<^4@PjiPjrRc3cydzn*Iup8jNV
z{!ON-lR4MSv5c3zky`(3$|okxd3^UzGI&pWlJ|ZN?|v7C^8HNJ*QPz&G|#f?@4u&0
zr}48l?ftuXo@G^N<wNeX4>r!9^2B!bq<2#u?f*3S{PStwZQnO({d{(9ZSRA;9kXk1
z*iYQK`S~3+sZFovT3*wYZ0_H5@(6or)%rPHsZWli2&d1n{O`pS+4l5un-Jrg*(M(u
z7k{iecJbUy*Zn^l)Hluun<b>U=fRefOs3s?x-RyLYhUd9_CRS(%Y?b}c&?sn`Ej%7
z;n~?d*>)3}#Ln;BSSi#yafyBY!#8$Q|Na!5Jjc@BP-v~>gB{oA@Sc8c9kFBK(MH?Z
z&pzCLko@o2OZPuN?j<mCPW<veXUep?M@Nf$EWgd+IyaZE{=VH@rr&d|zCF^)WSz-&
z^oekNMC`wr-uC~G>HU~|Ri<M$$Lk5d)j9ju&$XI%faT~czWlZq$6hx?mrvwee{<*8
zJr`HH@yWlwH)HXi=c^(c_Sq)CxI4%9>b7}S_4B8EJwMB8-^ZAVXAUMaY@27b?yKFj
zzq{x1PBk!mbMfAd`BqzPcC7f;`2PHp)wjy#TD?Cv>DIHCJm)8RYk!+>wN^ipD|^cO
z)3a>;Z+tSxs{ZaLfwl7m(&k!yxBKZ3I9E1nf1}>bDXZ5!-4%XXB5-~~`W(*fubxOe
zo+Fz5e;&tFkLsE^BHMXX@6Bb)zuo%SeExjlsUP=GRw<nmaee{I&w0X8EY&|3^89(F
z?4c-aJaLoe@BP2}=L?^onYAl&u9NBi$L<n~_<m|}&J(W7WeJ@tw%w-Lq3pGJ&0OK@
z_A#3#I5;+Z%%0>O!NNM_?^JP#Gk5ntKlLYWj>~#I`)NPFACH;ivhQp3T-J~CxNc3d
z{2AdtPxyKYtNMKRztggETW+XUGV!n3E5CcD%d_rFA7B4Xo|*Lh%^a`Wds+6c((U>7
zZ;r#$$w}!p(~t0qx2)gts?%my5x3Ku=d04E9XLDff0Q~`+WD3{*IG`!f2z1|%2(U$
zISkjWSWjn9`Co4~CGvJ(&b57aCl*_5Pz+!5k@Lpd6Q*`QCZw%?dU@(hpYOs&68C1`
zm^ee~d(PytunCj?Y_L|EG0pyi!J#6vOED8m(`T~VUwbrH`ty{Aj}InJQDSqw_i?7Q
zFpKju>8TfzuAP}9Bg`BVyM|Hl>9w%eywN9qbA6tp^!@iTzSQcc3stMC`d6-7x!!$&
zlGxtF^?g>4rmJplzgwMt;HNqNMy6kpivm_0F+aJYplR8U7kYLR&ra<0yU(b+!7AK^
zS^U-tu6uK2ym>tT{91VB$As&DX2_`XMBjTq)7N*^lt0&IJnuU2;{K6U3trFhUC+tL
zlgzkJYU!yz^JUBJro0zp+3?O&U@IGM*QZSrg`UN1=b0WMx#DdF%Z&8RbNs6Af0DZJ
zIgf2ujP&asujBXT$k*N8vt+}{*qMIWNmBoNX8L_UqQp6AwnCNNY?bv-mL6F*-*0uN
zk|DR#u{{eVpU?68`s;~O=hV!(r=B02H^V75@yu+IvlAxwO?+GWW`=NLOi4#reMd`&
z55ru)`q%$b6ei6V)BHW3V~S$onx;qH3U}Vj<Vc?5_x*{&tI41H+ZM<iZ+Q5>VqV74
zm%RBlI~OR1&GB2mYLeD9%b-0AiaeOP0~O*H<R3PT*_bTH_`k#bnCY6Gt7>o0<9%Ou
z(;;TA##=9kUDxJK(fmIz==)xeZ6P|!A7iEzh0pI?Z@w!=ocr{e9gpV9?APvJb0O45
z>!hG$(XS~#qw9Z(Yer@C$bEfYXFsRw_w=S$YNqeJDrVI!E%X)TE&ZfoI`!xG%K5hY
ze;=LD9<zXTSLB*K`!6r?*t95Qu3mom$ymm@!qxgFxvDPpt6bjCv%Nl*|M{xvD?;ZA
zfA`B{|2Org-TOJV<$D)A`!g{+eQwnGiJtXg&;DnxUbQEE+9&h<Q~u3eVX<aQ(3`oo
zUnlwWKYwt2+r(*oPyXGnn#<m}+U?Dvh<WSof1kYK49nAfQ(TSb@P5^L|K|V3#Ezd;
zb~l6HpVivPe8}VZT-(3@QusE`<K4f0^BH!F6{7i5e%4Pqp&}u@bKM8Vm^r+0-Hh@q
z61IQl@`@$xpTm3g5Q}B(|Jl6t_a^?@vto;qM%4c~ykC<}?)|=SN6M-BOO=n#;>+5^
zBsld~nJ1&G0!!FDzSq4gFCEk|Up>dJ{NxOYgR5pu+*qD7Q|{UvyZqme4*r~UZ}XD_
zv*+;rjk>tw-_+l?nohrqocibV4EJ{@6z<O9%b&zn#nj-k@y(P!dpEs)nDneq|L4W$
z8)nJgyjb_J_D$m%H73uEw+=BaJlV&{`GjNAOl{jTmIbTN&g8Eyn`r4T<i3d~Wrnai
zGiM~%t~qvl_rHD8e>Hs57pW<~r%zI@-#q1a*!*V`>Nm~dyZ8K|yXoo~&5;wcKhL+V
z58cEQ`gnf#T)V5gCueM&e8hhD+5_R6a@McgSy#E>lX9H${+kCL9`rdskFTn<Y{ENX
z%kFKf=h$7-)jIhzGQBh9<IDx~=ct#zo*d!b_%-&;v=8bR*jLXt$eqWx-|8pR<2g~c
z=jg4EJ$cA|E?>4M^K+)+u(cAK=kwj4E4=s#7xT-@=CwS}r_Xz>!uMJz^z{n+=c~Wf
zs|Y14v(Ep#FVe8%i-M(_g6$9X1uC0YKR+3vJlAg3-MLb~-po;sFMY$(vnBTDl$N#A
ze^gA7-)mhx`QEqb6RHd|mac5zsN1$=lE#7wYpx42NFREAEAi)~mByd%)U9ycxPD%p
z<hNVjl5fm5{(39)+tlB`-cDa|E&s;!KT{MhsapB$pQ9K)w=!$Znz?cN<%3_Xo$~vu
zbVt(@#w4lmO(#^oO_Ppx?mBc(Hdeap%<6e|-)c8y%dPk~Z_S6VtGzl;{*vvyeN(!7
z%I~dNtLKz{-_QDT&)n;wtIZ$AZ(NwgyFap!;ojtp>pxUKpCehmYun8{Wdr5^b8G%C
z=bJk%Q-N#YnmM&$p0C5+#DvYYd;2fEWZ&O=bNGI5dt}AO`F>@hdV$dHxjt#r9-ZB;
z|1TkC&s^pEtDbhWP5rd@l1h($>8ah5H%LBec0b&=o54A*QD{rarFqI%y%$Wpc2jus
zl+U+LE?hh9pVYGdbCtGL*!}4;uIN~8x_;{C)JKQbv`v`z$R>Wz^v}~*vF1#=7q`{G
zeL_#%tVa{A<mRZqJva4B{&x1+A7a|(DAwN%n<IF7`j>i5SAla^Hhh|+`2Op0;mNz7
zP5W%R@kJ#2!?ylu54W4YId<)tuis>`YjZ@j_s>%-pE^h7{Kn|_A09QdHY>$U|8TYF
zgx??bxr%XbPo?c?y>{>Ilc`hp?EChtWyAMPGnMjA)o$5Yx4)ohv5?x6g|9bEzIozV
zvd06@4gVQ-KJJ?O@%yPIdOzndSpT2?@#O8;z0d2m&1YUa`^#(%-Wy^oKAW%pbozvb
z<V=RNiQfJ{Kj$z``<ER1Z|Ak64ULk&SFYa@sC=qAdg`B(%Bu5}UtNux_T_h_MT4yD
z`l(;u{+aS1`QQ0DO8+NaGGaLWXRcDbDwEN*%M&u!Og_NJ_<F~7Wlr@%R^Ho}ny*dz
zIyq_8l&^RHbljS~L4Gn*Uc<Kf$w@0-e&0Op>ujlu5sVG>=O4PvnxGb+5c}`l1P0Mc
z0p>kxMSsszE{mRW)%auGx7A6Hc^?PJzJ9vG;^M8>8`=_+n3_E{&Q-22-NQKPbLD*H
zt-n$QLZ)BaJV!ad^xTcL`yXWgoZb3)%Cj<E37+ivdSTN)ubw2)(|)4<^OUFIC#CjG
zy*7PS>Dg(|qL;G%+%xUz)Bw{z&$G||cu*5L^Sk@Yzt^TcoTPbM`~IHwOXn~4;{9Lr
ze(JxkpIBUX&&x}RWw4!R@HeO1>|aB#D?{78DVO)m)bCo%Wj?7pX#LOmD{8(@WYgrf
zNKyJd<-PbxMK)%`*($pu=IYJO>NI}AJiS9G`wB~{r^X`I{k5B-&d$@b+RCrLXWHw(
z(_h%uzM1;_(%*Z}qW*oFcJNNE*-yvp1xq%0ot^Oc(YpKLHj@r*@_PKjwcGhf%8cW4
znB^@?UM{*2IpglfpMT~vC$BbIGvVsvH46IgXGW#%J2y8klJVj!7I&ZH)21|@-ek2e
zaz5+xCtivZw||`cp>O)xr+enJY-X8S@qCl0efPvu2e@M=R!Hr96l*i_?3-J2SvRvB
z)|q(r-aOWwemslIrd-u~zpgWV)uPZR4FU7aOIPXroDtO$pw&GqT`@W3Z1AeZ_m9k&
zc4{u8^`@-F6PHKMF&FKev58r`wP8>B=4s1w=QB-Tr&1-Z|Mig;hxpr_$K?+%*t@Fn
z=DWzV=I3`D)vuc)_Hn+McBp4}fq>GyDNEn&o^~>3)ug|LUE1ds@Jw48eEQVFb(8*0
zVTiVww6yNoOzr(ECnf*hZ!>MVSkpWIY0FPC$*)=Q{>Pr}>F1{`m;8`rKjrcBm7VvG
zF#P&HTkZD=4wk7O^(_}2*#C59?IWfgj2A5JlOKLK$`@PJeM;oS<26ral|^@cvsa#R
zk!iX1)F<z!tlGJK$|wI#7ZavFee_AJ_=l|9rS>_e!=^mF)tT{L^5V?jQ$9_Z@^pTL
z_>}h#ndYgL+e}_mHuYHoYtqvPQ(854EE2Du$@J#h^EpBZtLOYnyJh(y$#{;Mc5LLd
zmAlrTn#c31WHZatz@!@+k9W*_xAFJHV~?k8oxXNHkJs(3(Kb_7%$;!G_2u%i$;bXo
zdG=xcd~Un^Ey>->_r3am^VXFQ2WN6?*=?x(#yW?4`O^=Q)7QQ{d53B9;jdQLW^f<9
zu{h#!LaXfly|L4n?zY^B-81FQm$>OSR;GUXJo#>GD^sOT_qjLBQ-3Hi3BRAu6;$~o
zB9fo^-t-%hOzCxd_bXmzD*ZqG#*_^&&Ogkt|HJs9_}P4}(038SllN_$dg{?!uFzF$
z_8yu1&{XvI)ISDF4~}1({PyE~F0JyDzX~49b<g1n-&xef95>J4=uEEH7F|5+?|N<6
z)#0;2pu_jaNshCPVG$SPCv&uKX$^nVK6k#*+lWQ$Ys6Piyjn4J^S6#!T%3j+2a8|F
z-)ouh=v7C`#<|>9kvD?Q&*5Gba_pArv+S-Z2dAbAsL$gr&DrrI?xD$=sn>$S=5wc}
zcXdtuHnpf@M%@#)oE>N6Cf<2HkNfh^sfRYs<JOzXHRB0K%ap5gPfyvBJeRxnmCdBi
zviaOkk7zOT-P)0VZSu8)$&9B<^uFCF*m$yn`<_h<OZN=9nSuY;)lBZpJ=?hNS7g`G
z8;rX>_pB)7V%puX^qA-d&$Rj6_3KiYeownL{r?lL@+rqMf6wPGlA925disIf*;^)B
zuY8hT>L<}XZ_55{Q+F3{QV^cr{AT~6>3gT|n!+V)GiC4Fsk@%%OwJdZFgK3t=~kU4
zuefQuwVtwmoVIIe3CqiQQ}(W%w(Uuy@W&RBifP9_PFndPdD`A9|Nl+AH(AE|;?(0^
zhiA+9|CuX#k6Y0t>DK=_qSsWFCjR4?E$Xi{cg=^nVz)LaZJbeW|B0z&#^&@BuHQE>
z#mp1S^HjOYxcAyTF@L3(Z!XLho2Bw~&4h>QD(dzN7t3`@%|B~Ar#o~_|LKQ}oG+b^
zF7@h~^5}2X{#nI`nD&2vXOp!1Fl*XDk@__|zxPiqJwMS`yX@sBn@)#6FAgYkU0i*L
z>DkU5A1B0We+s-f`(DHWX8Hg7zE08%ck#IS(c^B41q1UOp8szq&Z(FoX1afdl{&|j
z{y7JARBirt+?yk|c2fsS-6WQ^jQ(>(V?R$lIs4IG*^3ONA6P5n=82u3a<cmELY~jN
zK3hLxSj)4zWb<5!DqWWAp)9eRbpHNvP1*lF_S+n>tki{S6S*Z>PrjM@==#5DHztc_
za%9^qY&ykua>~;>U6!MvERmm>qW`_mnJe}`E_u!+o|`PG`U0xEr@!0FFR8OABS;{U
zWxu*z>rWN;3s2u%%Z!d(uwI!jGx7By^TUh>LjOn15HNpzA^Dil0ioR=*gr%a`g}m(
z^#`5<0(NV7Z*2Pb^?-o=8m<%T`2MXwV0KucL-jwSaLnr%v6PsXLf7Vs{GG%kxMa=I
z8?4W6y7lW#y?XTs=ew9Irt2aW9eFb)!;{sR=k{p^?R{_GS57-~e(Ia5yP0CXKN<c`
zxw-!BgyxeR;?EtTswbqT@MIr!7O$S#A2&yS{k}<B>U#ZuPORqU_KaERlqk{phh@I}
zJgwlG8}BDY9%f_iRIA^7<QZ4&k^d1f_c&%LtU9UHe9$?0zQR`_&AG8}{-;iVcl{BI
z@4PAR_WnyTh<zEfv2myMwRsBf*FAL*xm<3p)ZrjkYnWF3C;QsO8BYXPOntdu@1|4F
z^u4EMa;`gR@MDhrZdD7m>c8z~GjFe-C9h^7^>5h^k2mw=<C8257EXP=^{Lap$>*Be
zW4LEXiqD_%`dRYV6U!I`=g99W4WFd_&ST27^G{FBw0PASbx3OtU;OTWsamO1rf*#}
zN#f#_p!iMmO!EJpX1M>R>%6+!<JXtGPBhs}xm8!XR7~^zQVDjS+0p8z;i`))UQfFf
zx~b&nr9XW&tU0Z%b#wUEu1_&&ZrptK$G7>bHmEez7)<^BnB(U~F8SFzB{kYp3|4+x
zeEh<e_a|3gzb%orvEu8VxqNZ)pUhHc-P50BQE!?&Y1j7@i~ec1_S;2T{GJkfd#C8U
zxpJYqCp%>GJzq8XP}tO6d(Y40`+f4zgbA}|i7}sGnd3C&R$ctPY3rgmTFV~Jo_vvQ
z>b$+$KRI46u5`cbbZy%1U-{V&yeI7rl?b217ymn*rQxM{9oKw5-MA$Ob>HlJ6ZdB4
zCYc*gveu@kT%5^Rt}`L%+SHwI7VbP&e&UnWxu&oW3|ZHv+*<wQkj;#Tf9CK>GrqsL
zTuDpir?1BxKKsf8DN}B}{rn-2U+e!=-sh9gerzb}dk`n@cxc7nn5j=@*6n@s_U+6g
zebdjVn{9cKxc<WC^plPsA6Puz@vEI>$K3B9r|mGC^&^>K>#4|g#)a%xQ#kkTdUN$e
zB#ZDVJH1V>!X{4QU}Sz3yXJr31LmZT$ooI~PB@wJM8`C$zh3?D#Lt<0wv$*syPZmy
z&-Z=y=Z;t9kIzq8=gQ)z^n)=#qpSNszz^;lQV+6RjS9Yf&`4&PxVU`HuGd`+PKT4&
z=kV?Rxahz^?YJL;fh-fQ?R%ZR!7@ob>8Is!w-s0HHZaD-&iI}k!4b2-&Xw&@+>E9_
zlWrc8`LSizk7CpR7ukNy;oJWE%@fZn;dLLp4l~9~*?D@+$^+*oCO^0_W!Kc*=N?Sd
zllypCd)lr~4E)csPo+=$tGas6wNH^#{+`_PW_8K8Co67G*!*+)?&p&%C8k{oo#y;%
z%AU}7ybZpw=@SCxid{W7OXB9VThG01|9xVZJ)b@ABscS(H@~;=JpXsQNBhTIzP;;G
zrBr?#HT{2b>9b4ewGY-LC0|dkU3G6o$d7q^+us{)Fsz$$VC56dWApgFzst&QxluRe
z^ZLnB&3^^{|Cz@ZU%u4*Vcq;)*Y3Re|7y>)TSfcBEngkwoXI$+PA}AG*Nx}Ne-_W@
zi@V?5^@MdkU;Xd<7dZc&_#xN&<=L*yv45`Ioi%N~`1;25<PH3y|1WP%pZewczBNyB
zir=<($Df-1<yWca4Kri6ebX<Vn{roc?!J?u8>gJ#xPQuiuhWHm=d3oooV>rgDf9f4
z-Ca|c`99u!tYPW&<JWIL)IU1;=<#1`lYgIB!Txbo<xTCg(?0L|xu;Tn_x1bXpNh^+
z`IKL}slu-L?#77==J)S7Rr+!Ir|iwbxBoq!zToH$_g6or99VwuNs;}}DWA4Z+MxO8
za{Ql;73tGYTd$rk9CmNYr?pz|pB6ot`swbgO_LYg+;ejN+RHjOpSxG;Po2ZWag;Om
zzk}tfH;vw_Z}Fr}*)z92mQi8n-<)}^aSaPx1(@rme$xGUXlc_b+4T>d)TLN|iYj;P
z@}IzU?d6uujj1;$uYEIR@8psdYYsi_o?!Im@6X2<|9xFEZQtYPj&t8mzW?~=XHoqV
zPtN_8-Q}?VB;)c4$M^r-v*hU1efmjEPZ(D)-jCp17AVfVL^t-o$@_*iX(!&c#!meF
zcQTXh1NGP^Os?%=r<+@EPCjtF#Vm41USl%Dota#B_grZ1WcteS(4q7Q)Boq!EMC3Y
zdph>p)X&xLH>jO|=BoE;zTASNRreNpeBPv?EYbMS<VN=ANAZs@8I=F&KKyJ#nsMWv
zu!&#%FPVllsGoh%**D=!>11}-iMM3!pZqf7F`eQ5ZR(e`lLBr{*i+gwBeB6W_RWcR
za(s_xq+JO-zx>I%8&kgQul~tgIB~(pDPOX_>IyBj?p2y9v-!`|!<W>hO!c2D*FACG
z`9$V_>)g_NQ;+DyN-lc)f6C#KO$v!qE}#27ZIR~V6*ANQ%08cRdcs0?zN@Ddcb_@E
z{%1qKisn77N2{*{OlG&9@+STX-+Zo^=M$BUx|uqr9sfQ}!+6RH``uIa&D9J#!ep1!
zS)%mw^6zP<_Fi%N@68gauC?g>BmanrU&T){KVpu*WWN5SmihEk)$7?)r*U1Fa`Wq+
z)>Yjs`*%GxN}066m-*nm*0o2Qrmj9IBRci(yDMHS^9}ZwUCcc`Gre!h-`LDZVUgC2
z;=6YSTQqL`-I#rt@oLCE4`Uhbmt_-9ZJvH>_T_;1%j~g}c>0;NE$vjeU$dN^$WZXy
zD!8N3mm}zn!gcrg7gqe=c-_7JG`s!e$N6#B+}BR!HMQ9O=8Ai~ChNN=F>OM7FSy@b
zGxdM}q;FR%VlK`XeSOjL@P!$i?<YzaWVRHRZ`^mozEsHZj8oB+>dW?@1WH##&*ogZ
z=Y?9tj{lF{cit$!5z{j9L(GyHYbz)Hm3`>AG^u~e-`Ffcr}GkQX)KX!oGmVM-@M6A
zNM?K$e01uW`n^+o*8V;}abee#-E-eec+<VQFm^Jd_&m1yms&HM?EkFWHiO;j5tH=u
z84Zt_F6=3P^W;K&{U(N;Q}ZGh{PATter-Pc(pM=0{gcZS+XJjmPb>R3m+h%vhQqWL
z{@XX%)=yvze40_BGo>tj4qJR&#-g~DN8ik6^K)W#O`4eS?%E8t<82#$JH|3BZ76R0
zsA=>3!r#?VMThEU2CjKNf7*guU;j+ItX}Zq<gL<z4-d}2?kxCrdJfywm3lvGwLcu)
zyiK%2!0yolyQ`b;e!G@FrTq0Oz4XS$>E*wBnwCsG{P)&`@@EYt_y0`nt6X4t^oH8+
zh@BTdKi^ijZCYhd*R&~>Z+n`r{aT+hrE)vN?KM*mhi_tXn^KwD8F}}~q?Rd*)hj1Y
zdFs4=+X|k(N87JXX0lur_x1CX%D0o%)-=q0^y<8AB-4wPHyRm}ZZ}ANzF=Kn_oCtK
zjK|3nc5J-&efrd|^_pgE6NA@3GKrXS_<ioh=l>o|J3Fnuta8GFhr4e~x}KntWIm<g
z_CklevZe{gJ8s^%^ncBbZG96mC$pV?v^{iVM?!W2Q_|^}sZFOFmK_vIvYzzi(WIu&
zJ1YAa-ES~0DDh`x|91KM(Wl$~f6%<K%>LfQg?sj#^GfX~yjsDyAoKh$741zdtt(Tk
z|4sS2v_5`XRqWrNPo<7e+P443wA)klqNh}qHAb|m-bj4YmU%jTdX={QjUS&bEuOag
zwB?z@No_Y?a!qjD{IplAbki-pC4wiICU)1%e%>4E`5;$`S@JT|_Se&I2Oaw{bLa2;
zxK%D^f6TWy`egOQ6Ule~slT6Ko_0yH{>_w?6ZbJiKa&pK^rY8Hmr=d{pK3!$_~aMd
zyH?kKJT)(QYUk?j7v-$)ZTY-$;w|k>426$%x*zqHPh{FYwdd@U-rrAdGQOFz_xz{N
zDpO9KKF#PnwR8Udl+sN%lIK5+|G%-hM62w9MJwZkkoeCN&E20eef$&kwD<gJrqHv$
ze{TMNe4_9F$R~YsH%*yzb86@3i4$)=kzV>|+D)&wPk7Qhxip16l_u`GT6km9zX|Oh
zVm>|W)&IoB_}J*igc#FGCe7(Lr?2}nwX<^S&FTA}G9TZwrnKl~blBwS58qs1E}he;
zIQ8cDl_^5{H$7_qG@Y4s^S9{io6k=&AKx&EE18FZfq?-u_YcAh3``3c{{LoO1r=jB
z+_L-S|2E!@j60U-*)6)iQ9+n{@-3}TOwPaklRKNvH`zUV5;i?&+NQ|XvbldJl*-%h
zzVYMH#I&nxI*++=Dy+QswD;+oNq+Ml^@eYpn6UBDgj;%juNX~tMAus!e#LhDc81cF
zTkqby68hotxL0=lhT5AK*$g|K#h(1mZ~kfV?`qQx`-_}!EFbpuO`3gn>aEvvq+O5j
zhzm_9p4a^7@xuq<<$HQ|JfC>0{LO^3kEh%!Kf;wL+1WB{$CA*(<{$T_L>zx0%AdS?
z;%z0y!1s65ZghvQc_O~2<M`DlOx4r(oSu67oetCd`4=8NRhQR(s`KyTth1AEul_M<
z`kKa>T#At_M;|PeU%g}638vYdljdI%jo&zv$@*V!#L>Bu&mJ1Mil|?kc<1=yUHcC_
zOZv0tkeygZQS{WEc1`E@-z+`J962RscH4=wTbuIV2;C~^<CL^{v{0_2IZSLvrQ#>f
z$^9K|T$XDl-syk!ee;&KSsa#cCf(`#$oRQ$LwfhJ$>O<FTH~eu#7NKl$uxT=Cqwj`
zjn(rWH+_CO(O#9M`S+IIn~&DZ&!27ZY|>Xj7R5*JC$8^c;TE;f-P6+2w|`6Q$48U&
z%{GeM<85p@y6Cgsy+$Q}LH;V%%k|y8VHfR=PdR!!Y|7oIuTlgor<}~*KW)Plspy=k
zC$>&G9DZ;5MyvQu5{A>aJ)5>+dhw6>+om7?K4qPM^%W=aN-gV2%O(fNPCIKpzjyz%
z<8NO*j+wrF>+UtvmfV^9lz0B*Xf^MdH8bzTO?{Mq(rAq*)5E8;rtB46KlN$Y?J1`>
zFS5Ql_38GiT$cUQc5QtcG-3K;^-`Aor$Zv1%=sPj$l?ssjGr54%I3#SS-fY$;r<sl
zk4{?5$NjqFFEeM%SL+Fj@4Yzx=3#gL#6_Y%t@r(smH$7b@y68I6C;lu;Qlym;p2yr
z@{#s$9_5>^Ug4?Es;kSx8nN#6lWCJmtoBS>F@+`b)&$0V5&KU}-#)ds>#0NNq?oR@
z75o2A**KX&@y~PFN7D|>U$sQup06^MgZqi&)bmr`{=2fhC_`uZwA2ZY>esyZJnIG9
z|2Hc?9AoSX%Dy+{?eqWBGS095zUC3XQqkG<muEO1QsBAwY_<BvNs|}vJ*EBcpoc4~
z?Y$T5=cleSU-5d%+t2zPSAWlBN}KdHR;A<N68+rX<6mdj?m5u$=%d=_4?fkOq^4c<
z%hT`l)V}}q_Ov(hHyP3=E@?lYA#tO8%A4uy&p%>0G4s)sX}6z#kS#wE#AMuVbbrs1
z52gK!^F;S>#C~YvTfP3l{-2BUH~-<ae>!P}xOIa~(W2tpYt1g7`NNnrgQYFTk$Kvl
z|GeIRWFJT!;`qV)`$Ncw3cIqIlIQ>Nu0N7=A!PN<hd1p%Iz&Bt@b1x#n}1$N_y6Io
zKlymWI>UxXb!$HT<%??E7E>Mbhwtj=4Q%#%5@vioustU5Q1<@H*-y?M7Jv0)rq=Oi
z$A8Cevf4e>{MJ;z!cEVjr#)ZsclR_6kEtJPcTd(>F<oTqrip*0V)jp2RjTTB{QcC5
z*q7qmb#r4CC%pMC%vJh2|KVOEMb01k5i4T09NfF<$>glB-r774ZDmv6u74e(VtV(q
z*2Jgk)$^yU`o3<O%I)b#zyF?O-e~mt&y-c)(hu!_7&CR#_Gt{Y6D>_w&z$t^%h&t&
zrc^~epK|Q}r#}+es>aT`B`i!Y|4+MpUDZ^2_4Fs-*YZDqHPtP&(Wd-~VE9K{d+Uw7
zLR_C0U1#h+ohj42=Go-9xfAl@KYwgKA<aGY&aT+Xj>kV@C%rs7byfZJC!79Sub;Xq
z_7mgdQ@d|Weq;WX{pm@i)7$y_|M1=Y?Z>zN+LSwMKmU`<kNmLr=#B5m6TAQRtW-GB
zTDs=e_w>ohZ*JPn`y=@G_Y<wZlkc9Na%Wd)*&n|3x2NsO))Bif<Jy<!OOJ3!zx*My
z>*JLhf9C(<i~YRIDPor0<`;|S@BH-tvHXe<`TmK_fBEkIPBw2~2n`JlWqtYouap)8
z!?I)s1_m}zk<Jhq8*?m{p*4nyk(DutF`1#MjgcpbF^NH>A^G3q7*$qj78bF)Nk1;c
z*v0H(5p_srm>R>(uExY*IVa{y42NlwDg)>8q*<mcl1Yr}49qcHF)}d>pJJGje#KbE
zGW;)&`Jc?NFsX@|fsrxhC9AvBwit$XC5CP$2G0)mr0)!=ZmhmdtVyiQ<_fX@Lzx<y
z%oz;Ilm0M>Ecg+_!!jv@m1R96)2azEJV_Cb41M2X6c}F#G3;YiW^suTXA!)}az&7h
z>A`G)7~2>_22Li1nEx?MF*9R48JUxlxMQ?poZhpT{z+ocR!I66!@|hU$k6{cW@?x^
zgMchk@_&aUTTjjiR%QlimfH-jKa%WNVs>*nBrzo^SSDRbGG<_JQsJzNW!T7iNFny$
zC$33N#ta*oW0*PSH83Y}CB5QUHo-87Ns>cB;b+V=hTaLx$qbtqu5lVFFeWW!KEcR+
zHYq4+eUeict8|PoOBXj2_wytL=Ggy%F#^mA%VPSHbhzZ2z6fx>PBLYfq`;WOA}qR)
z@l(=twi62GNuL?+c$|qj&!ow{)k(-XDS)Bbg`LS*oJm@V+4UU@Hxs)+=8dFhF-&eu
z$JmqqJ@-gvSjzN(&3QpmQp`fuTm{RdlMMO`4#$MV>}F|o;n<SIc$opbmpX>mQ^b<B
zljBkoS4-@_*BrtMHc77-<dsYqloc3ea`-2$W|$wFq{PXvR6^jV5C_9yhK)%atTCSi
z4l~6lv2iSU%i-z3nZyzM@1ejpmj6s%N$--FY#FY<j4_SjP>k6m@cv9J3&UK-vY3@g
z9dBa_nHoMbv~{eK@QG#U<KPkyW=v`nn3}{F!^ts2fg?s%B2DQ!!wP*4IgfWSA0<jS
zZVDv-`^(Y9s3gpAfL$QiK`7~c^1sha1spN&nPRPDls+@$q)RUnh*^;o$8(R3k>T5y
z7^x%<PRU&itgK0#!p8-B8Dl!$#{OgMVPxLr@m8>tL&@a@leRIFp~@wWXAJ)oIV^l*
zI0Xbbt+p}<7cz24yp36%RLUqZhckvZNkSn>EruhBp_@@)N-V?s7=BL)eFlE^rAiFG
zHWK$dOq0@C{t9!rL~%^wU|?3_WE5lI_TWnr7Z4L*=!kj8FguB5W(!wH(nkjE7LIu_
zX$+kLaWM>~F+$?3=^Qfugg)`{a|v2?GUqZKUCEH@5zBO5FiM3-;e^1Ui2`+!B2Gd_
zR794@eC%Y96trO!-qjFuJw`1?nY)8Y>FG;_Bo>8+*ne+1mA){EBs025#|RusX6f`u
zdLw;PgwN%qfY3!r4we|fAMP=aIhnWxiehvdxegzUWmw1&pzty&jYFtum4tM1%n1&e
z7KMnUpA6y(j7iB7`W>uE#|5(NI7~R+a5S7tQes!oa$;&!VpK?AQTWLzd|Q)|F{w#V
zA@5+4v!IhljKD*tHxAR1|3@b&@UyH^NO6o&U}UHgkC~ms!V)9H!0eTDnITCn_TS4`
zhUG3vY#a|Vr7B&XNh|-?%%5Nr^HDI3d7(#=-~rw}i#WC=@$FIj!12PDcaH?m9({qb
zN!HA%+`Ckkdqnf@6EJY$7Mdl-#2UgYa=}xpMZ-zS@KUOn4JTVYqi^!RNgqY*7^2yp
zu3}O8%@||Z$@)^Kce&6Kj~L-;5>hIxLJJt)3o=ao!m*fbBAX<KK!flC7t0kaBFqyQ
zV_r*`vGTYmPke2fpd^v?Od?N_qc!QG(2q`uM-mJY5={+0Nvo4~$Xx4^lD@`btR4IB
zgRShX4^u_O8Dp=AiC<FOCn_EyDJCw&l**;N(vw$H!9n7RkeH~_y`-xmqAKsWvX!1q
ziV+uOs}&LTVyc~_ut8$3=<gtw$MaQosIWX0;ucz=vWVkp(xgb6%|fgi79LzI{1Ti>
zLgqY@+@6At%Buv7Ro;m3>UvC6o0Md*TPT~uh&ebWMN>|khtW~6H~HTO8OhhY%>Du|
zUs%WRJ-2ss`pp<)!TNHN{C_1zg|{j!g363Sj2<_fw|?RDFb#aq9y95j!5)Jh0YM&&
z2JDQoE}xlPB@Gmn0(fGKm^}l$JQgz;v;1K!jQw}d(;{C{hl$TiO<u9uBj%~bePvk>
z#v~zW22lZtm|cl169om7ZZq)72wIq?Ml4(HXknWibJW6_#a+OUGwGD0$1}&6eHM}~
z><pZ~4*vw0VmKIj;yJBTEnYrK>e!W($;LY^z=p-BEM|HPgF@_o?PP}KF%4C*{~jeX
zJP!!#5RCfuMuEqJm!a5kf0M+s<bRJudf0x)NW@H#jWJ1baAf%6;^@xk_>#%_Z477Z
ze<phiL8fGe^8ztnV(vK@syW2`N|I0FcW9r)c$h1ILrlf`Z_o^nm<ur)BCM%OK4;!X
zo>cU>AseGP!%^~&PK+VTWS6rX{B{nmDu*5ZN-h!%)N^tO-7m;dCoR!tA?R^+B1cz|
zpyR@ak!~*q8ASOlw3w8VI91u$FD{h&V;#eGd6LuyhSvhI{~Z}wl#&>4CJ72C-DOBs
z6OeHF$#^&ElYo+nGy^lIsK=EUW5p1*i%Pza-znKKX?fJXO_EJ&ig8HLO)_)}YG6#V
zOFF`S)*;C$=>YTTjwB18)e{)5cNB3gf5mcoNdyb;%=t{7P9eOrg%}QMU5Tl>%-1id
zX^>>hrR|y7EHF26$pprjGn~_zWHS!&%)H1XxQ}mklVeo!zXwcg6Bu4_&zEpf@eC?R
z*^<P{vzTk?3oE{*t?sL0|0nWxFtWT%3NH1y!?~7G*(2r$_j)GoEmAxic{c~?ZfT0p
z=S<RKN__E#XJ@#NO-Mh_UVmnZH&wEcoD8Q;mc(4z%XcuMF+?U%p6MmaW!d0tEk?fS
ze`P`^NvXS3Wi0g2Y`U+hDAe>(;Qnb(fyW9hQvO>G$|f=iXY7?vR+NhSci;G>r^aL1
zbS7sNR-+XSe!8;RTEbbD^0}N+`6BtT|0mhx@N=A1h*gm+mh4xFxh-AF#4Yq)ww#Gq
zQddWt(WZ04N0aoV7#p=W%)2}*yd~<T9*4Y^ZS-a`^xYNGC)4WBQg9&4?7pW&yNiRw
z#E^@!o%<)A`C@u^yP?$;-q}GK@@DtTgIHgiJ^UUNS!nk7|0UL|rcb|T@y-4#6Dwq_
z#mbtbv{>h%mhpR6R#_oNX)adg*D;=1t`4v5c!ezZt$33rc|;iZtYJ1+Sur_LTF99t
z*q}IR(xRB~K&2B*3`zHrG?V_iB=s;@7Bd?QB>%g|T9VAd@GQaCB<8k5?0@!TM)uQD
zlV)r>X%!H+#m>}{$;DuyxxM9j_NywzX)LTBvH#8aS(5X;&YM~&tNdYJZ=cM`P;HeQ
z^Tu<*w9~2+mnmf`er3`2>@W#Xja=(+HO46EYk;8&OU7ajMxmH#XI#0HqF$a+4v2Pn
zw4mkehE^9vmc46P*f}_oFO;&xI4x)1ob;SUuAwl7CHa3@v@T1=a@Ck8EJ?l__U}z{
zzZkIGjfc@8hB5Yk4M&YrE>mSp#sin6oin-^E(xe6<y1t^c4RPdjN$KabYZKg-p%ns
zASOn@gJYc|$AUdc)hvDv4`bHE{@?IgAemvY09PSn#blP`e|KUP80BOCJzkL|^n3G~
ziOn`!`Iay&P$@bl;61nOnA0_ti%dNG0vT?ckE!TSdcwit;lpsZBB>*34~KvN!%LU)
zOLq?5@`zD6#a?hj=wxX{5vx*6;hhs4!k6-Qbd)|2SRUgf@aK?AjPC|t>xe+6qGzBh
z>L!Uyare4X`a;Xo;h@(Ejx|aZN0J`aEclfqm&9NCLPfwOuxF*1QQ3<Y-hVNI9IIV;
zU*6@o&!T+tZIV-r(m{bH83tyLeMwaT4;o`y+8@kii7EV|5X<nILH1?kfwC`3EHC{9
z8z(&AuvAfr`IB*^WkM&z{o*fIBk~lUB*}{X)4Uwq(#9E8R`M<B$;6<0-dv%QeiImz
zZZI-<d+>7}XA*T;IYC*pX@SFor2jEWmoB?J3px|UB=00;7bEx}Pet}YQNt#en8`PC
z{|TI&q|nj0qehBjqrh#Z7|{K7$^UoMxFj<){?TI4G~uq%Nt$#)f{`=Q;Xgz2zdx~z
zJc0s>9EY9%O}ZpGQ^K>>!LF0r)FtkI#3>Hts4KsdCdml0M?I)@P`Dl=EU<85XAh&A
zL%oxqh_HIXYL^&A5#hrxrm)Dpm~kMfLb2W_rsKm_B_<K^w=cE{@iQ<pyiaoCP}mjA
zsCh+&L&28q?@NZC@^3woCv5rjO-cIwBZ+tgrI>$7(i65h?tJ<-N#<i(6H_x=%uRvA
z3UV>uIqN41*s^FWOx-a>+*o1ylBx{(_eu|>-Zv@8y<Zw5Cor8uUSRf_7^fU%)tD&)
zD<>2sF+TXtz~aGhgYm-D4v!cOD~3N69*jTDW=;{h@QUZ>#l5m$XIZHz*3NYBoN?->
zaHo+1V=Tk@$}Z2Bax-4YzE|O#nWd51Iq}d;o_`P4s~mnQp{~g#KJ^Y$%%nRpPhxx>
z6;|#|5|l_4u!}je$mrojjn(qZF9i%$7{Z0;a>V|-&%(j5((&b#<p2JR&nKLZkvcH*
z#WIhW7ay0j=`MKVD9I@t^Q|DOgDXj6yOn^DOvAhjDoIiut3GIQ7CW4pmi$lX>?chJ
zj${>vn_TBkoM?#s_alirM(@WF4yA@}sU)TEANKx}*zCa+Bg8m~iCIYU@kf=b0!iFS
z8WP@*I)%6yIqxcod%VB#@TZQ`jiWJ|68}{m3u)h6uB6NG{+5EE;L98n2GIu-6$<$k
zN(Gm<{8VL+d3ctEv+>`X<Vg%K@)+%BzVKi)yw4==^3r(w8|Gdi2IuNIN-8lj53c>l
zoD_3#@(U%dc$JkrjV2zSVnk%Vx7xM5<Oulh#U!G@6w4qW?a3nlfr&+P0(T4_TXM^x
zi3}UBJ#X1?GKuB-eX;sQdX6<~o+l|O&7B|_^PS<N=aG&-626QQt6LbjWBz{F)xa3@
zH|ZOPx5`cDi=HZfV{YWL-S=eKC^VUIrOUk+#@|@hws0}CCtVV7POwgT+W6f?p_)e^
z+exW5MoB<W=)rfbwf8&)DnH)ZadblLzh@5YEY=;b1l0d=`6(nbO5NJv5&Q2F$82ZO
zN1Wkoji*#N!<m>T?&Of?*!^*r3g_+*XEZle?U9+2^uEb)<2Dmf&p)2Ro(#5}OI1D#
zWU|^SG6W`FRaohv5F;%i%o5&^^e{;{MuZ`I%H9f_y+Vw0S?99OmB^ga_?v^-WugT;
z2e-;kW^W~PQ<;r=6AjkB<XkUw+(Sa)I-{i$qdTKS@FW(F<&6BE*(wh|3tp6DWN)19
z!Wd(1DaRox!5GsNq28Iw<FDR$ncv@vh1XxXVLj6{mE?ar)Hojd@;m<Gah%?1sr-t$
z*6DxrBE}fw&x<%OGP5>LW3K&?*)xgLVz&$9PDTSqA%U|NCttXVpZ>wx@7AHrae5Ln
z>!B_YWfhj@-@7|c>Inui@iMXqeC141Xi5?;PyWv=YTot3!Bs`lQu4MCx1cJEVXP<P
zZH~gjTZ9Bwv+OTYZme;r)I6NRb5)S*x;V?^B3+TEEI%_DEcwbkS#>3gWy+UWBwb_6
zSBZWtF;nTO0JAv*FK6UVL3@s*P9<&u$>$4>b$qnamSk;a`VeE8<iy9Nnbad`<=2^f
z@U7cq2`(p(m@bj;tlFmq^E!Td817&wnxr5m5x}hP(am(1Rrj<<Pv<qy6Yh+WLdq`8
zx*Zc$0<9kKvvf~WIU>N;B6uUojG2Fl;sTb`+dT_cu<rL`jaKR8o-I+WWy!K%kZI?$
z3%2JbX7|M~9c8%r<fqw;U=tqwkH;H2_<Da$?BeR~lo7mG$?M4z&eP7MDa2YX^fbw+
zU8Jn}yAxM`5)W(a{3t^UtBztJOT$Li?oRi<r_ZB;j+jM7D9o70V3~9*#`8(ihk&-(
z3@2g=H7Ay8u-X@OFrA*5;Kg!UG<eG=maj~iEmChRVj{dI#8_}@ayrkM@S)1th4*5c
zl)cJl@!brTodz>3qGA|-cU)iykubQyGv(ccNih;fWu2MWABZK{Ed3%Rls&m|!`*wy
z44upG3JW#OaWptB6QiE+Q;4NWurHS7f98h+$72~dD+N7wGbSl8#Y|5sO7LW4QBD3I
z8sqMebScI<$-80-3sc4M>ZD07N&gMR)GQiQqImbcm?+3-z!Z2RrekJOh(QaJ#svkY
z7`C7b9?6V68cdNvLR@DI?<F-o`tVIJNh#uA!>lBWq~ijy3g=@&I0T%YcnEz;VtnQD
zn?sK2h9(QQ5M%8Bpp%?>68<aH1d<xABylLltmjbVPZH$bn#B3w^Q0t>&%y=*msFH)
z7&a)qV0e0w)7LZ8=cqq(*O4{>u}=#wcsQ(PF)w0Hoq1b{b7ze3)}kbVQwvfjDWr0q
zVAfQT|HNg*yrRe==~$=4d%^AJoFY1cIUYQAN>Y%B`N^R@=@&;FXrYqFN5*n?f0s^{
z$`1l!LITFDmED~JJD4<MW`CNj#$g#XXR$0NuK;6=v}Tego4(8%7Sk{7EV11_2P}E-
z3dB4UP(AO-^?I_8<vh2=aZI@`ANWgqcx)$09%MM&@pz&m!xI0AoC{gBr@3@6Dz+GA
zFvc()S4q_2p8b;XBwMs9j}X^fUDiky7D?`zvp#xqgi3rCVqC(_YR;ZGU3<T<wu%Ci
zg4#u~#2(iDdwE(_G%V#(g`P4L&OCS4Q@Xt3g!D2CiKLYtPD~XZj7gTyT)s6t_?Mx<
z!?Hl1<@gG1?hUgXIb*&ScW}hW1Uz~jBP1sD&PyZ7<9CvTWTzB^N9=#AYX;j{-Wx2{
zi+QD}V8ImQnxN?@!3G++7T9UTmGEcd523_=T)$%ko%NC#!fmgzWGV%i2>%FIVT@ro
znbgyn(c$<giN#&T^A_ty#ejLjNow*Fc?_+1EHk)TBs@7|9QP?O#=Ph-V_*13GU>Y~
z$M(z~2KGr+S^@JCd}O*Ez6eGHaWe3-3nmnOD~OPntn%qR;VHe{D~YZ42y@0A?hQ9M
z{hMO{3$ZBMJ2Y}nO5(F+x~#y+!q6`m!>tnYjZ>Y0yNQEiqC(6KMotw5NzV_GmVv9E
zO-c@O;hEX7Y%;@hwoIYMIgBnb)<UiC7$UuRD+O#-BnoOOCwR_KVc^vabYaP`_^)}#
zZG~v2iQ7UeMpqX_2Hgi5;wQM13i3KOOHQ&llBB^PdS%080mh~|GM)^|7gZ8d+!{JH
z_D@>*pHZ+O?nIKs;~k}zJLVZC6fjOqQ`%t8m|EA7{O@%`G-JXHm2Lb94=(b#vhuik
zB#8>QMjW`n7-J*Wsw5=+TdLfY-(6IbsWIiyM5(oGiAPvCTNGx-{;P28nY*@gjWBOM
zn=9*NVV230BKTyc>PxF+{p32`8KI^m_*^`562nIE%p(HK>=Tj<8Fx6Sd=ubK{P5&u
z4Cn8ijslD^jRJR^SG;~C!9IZ_Msb4HglobFmJ1)>U?{iZp2SKK4&j&^Gv3I@@V%Qb
z;oobISVq~3NA{6QqQ>kjhbM7J*|HrLoqujefQRt>N*|_~pJEh}ZaO;nD#S=;6ezq)
z5=^o>DrlvaT=2-&utP*_Lj;QqhxYWCLiQbfa!GS!V)7;|ej>=R^OyjqqvM1-+maL%
zmMe9Bx;*1W6rT$_!^KO6heZzdb#}j<@rP&Sf>kOpx)WY?e3_Kg@kPRN$0Zeq+nlOR
z>JskGNk<~0T?)S)T=42hiNxyG4V4150*T2&3=+IMIG7(F3OXr1XQtkUo5eGI<}e=E
z#By*>Bah-w1=%E%l1GX~&ywUAyg4nlM(or`^6Z$P@pPs`3ge6eJ07Yq@;Wkkvh42E
z=rmDbWT^HuQg|K1nf$Neu%_VqR-TDE{#qQHCtqV`jM0gixZ+3B&K(klDonvEzX=I2
z#>TK8a+D}$kGb!4;E}K-<Ai4}p0gHN-4UAL!q$Jv)9T&K2Q0ot%oC?{O`71vB!7^>
zfidQA3`409gTBQ17^U+8b&RbI3^C`E{|m=5GI{bS$_jEQP6`NBd+G63rR$c$+2_4#
zr#7j~NS<5K>Fq5mZKXG{XlB#|#+Wyp&m|2fB(3Cm$yM*dB)EIS85aAf<)@PFJ8I0;
zjB#P&bvZ0BdB4V5neq<S&WRdZYdJ1&$aGBFrEyRoIB5$5YlLIOS*94rS&v+j7u;fE
z@Kj;0O3}>q+<Z)UFVF8=tT%Tw?wH@IV#Ce1dm>Y(_Cys1Ne}Of?M#9N{7Lo)ZadvP
zuMl&v$3Q3M>BXK2NzpMJYZ=xbx%pCb#|?oK4F36o5&V-GOiL6urZFsMNK~BIWs?-B
ztH@~W8#B>K$$Do+l4qr-VDhY@(@jB9jx77tnPyIvPc*J)kuVgDu@^L0cv|2qlR%7i
zj0*$z5kZdpy&Tb%f-K5y9O?C)oX=NO#!94f>|o#zO?tG6K{RTCr2<ooGf%n7>lhJd
zv!hGJop~~&7#f>oRXJn!GVpQ;a3r5eV!9*MsFUQ9q_j|~TZv)mq~lWNoZ*S-GAmse
z1rv52G}!9vnXundU9p+T!!q{2Nb+9shhps0JbRg$moQ9z6O_o9^yo%ghYFMY@)H8Z
zD}<F8v{!QdJi!qY#AJRk!NVXodO}mwv?Vc9Spo|<VsC6#S?!VbI<BEG_J36}Lq9{F
zNAHI3*{wV)P8dXXa#RR9u$+h%Wb=xd)GF|T*?i(m<C8fq$5>X#t~l<%5i=(yBqPm*
zmthZIMxFq3%n_Djs-6cJZ%8s+ne*&~0z<GvOnXcflOoF}rfF@l3``A79G_zUeSCgH
ze#L8ryx4yMb(RN|FYqcb#%znJzL3Pe;-`Kr1IL<}gn-EHF^dAFy8o$Lhsh-BO9k?2
zt;w*DX*#oEnoG=4f!-4qC7y><H1?kPDkEyWoljC)@Ime*7lCdG-Xh^STT;*1WxP8U
z@|UH3lE8h}$7-=l1V6KWubZf}(u(s5L;8|fMV<blh2oPKIv@3M)OOe_Jv_z9uA=l>
zqusMW*h=3gT*==z_CmlU{vCfL^4+Hgsj;dv6~59I<<45kbvop<s>MPk=}E7x7h6qM
zY@73PQe%0G?f28rxqis35z_&N|9|A4z-EzR1SDOUTu%y1Cou&cy5X%-v|Zq|sjFhF
zAHzW&x&6~6l&8p>Yx}!M*lMboe&4TTK4t3629~1@0v%2^9538hoTt1_(sjPjALIN|
zDZ+KR!-<nhj!NZ!&v>dhJM{3qe0e2C$=hKXOUF(1mzx|vO?14rG9Z#M*n_D;kU_ew
zflba~T?A8um<l8B%O9K#OC8=R#3*?<9big`m2BAlbAtp^EaQg{${%hzytPcev5T|s
z!wkFh8#4u0?M`Znj_Bu2k}OzwLVSn)m$RzMnd~2KFvge&TFgvK;9jK?6KAp5k-76_
z&BIlEO=9OCCY>m#{PpTYb#G(32TOW_^OacE<VjksHLO|_=bZZSAXCuu#Y&Z!IIX4D
zohKLUbdY~}bpqpsMrQUoe^@k6t9Y!{ykyzTeNm*7?P<c{81~2SmKtTdRJs^d8@Y70
zq%gB5tzdksVl=fh((uosm?oV^9@0ENBvp3jmlU7mdf9dQL%>P)zYnK)u>BPhUKD8-
z$@_eDm|LjIvw(||)=C$+TVuFBdvbjL`9$)ykdk&q@-k2E-y(w2msJ=YLYd`-zHDe`
z=45nkIK?c?I>~82i)Mtm%7Qduf1TKW4WW~Sqh^bDzV`Si`%#X&L_+rcrIXDv@1;Nb
zZ<Tw`lIqf694qx+izWG~%=_lLVEOmI8$++iy!U2%?0GXt<tqEr`9ZNqQnOz+$U2`i
zP-R=B5aZw3X&X>0QK6`?IwnAwwNT=3@`iFD>ARC7nNQA~si6FD;zU^`?ap@B#PUZg
z?HL<pDPP;M@{z%g+z*{m&B_1%C)O%3#VA+kwY`{8!!)5Ik*VX6%7e}dhl;l`OdT<E
zX6{?9EAw#ar%qu}zV+g!%;y?DEi+}_)M&IqOjwmAS*<lF%7~LCQ&U88(u=6s!jhJe
zHjQykhh$@X)Xu6bmo+Rfp5M8%Q_)vSy~uF>4zntA`A?rEg&X(;;*4gCZDswv;eN7d
z*Z+eOF*BBYjOnmbjEQARKEfMgxmo_B1n5A03-tu`m=_%$67MI>X=LC{{`V#3$p@Z^
znXe|Qa4Hl$W^teNVdI1?g)f$Q*;O+?cVc@h7PCQf-c++ED_N$S{ZwIe>CiYo&8)KF
z+7r(B7bg>vgklzM`0y)cs>K{8f17C*s*Lje4L_$?ET6WZ!dFFQvaBXU|1^t^-;*aB
z&s~?y(sId}F~+yc$nnnZdmDDdJf3Q~<wugvR7>?O9A;Nr7o23;<7qU-LU~2SG{z~G
z>Kpj?`cJVuIU)OIHiv*flEFg(9-*Wccb{-sOtId{mUKC0H}?b^6`LfJ3GQ-}ZBBX~
z_6(hBYrM%VX@Vv5twRDcnUW^hDqpIWW1M7LaU$mY6nn7;l0wIlcC7DeR8ws9Wb+SH
z<x&uOQO>}AaihS+#~m{bH8&Kpd}a`5PrAb2@WQQg;c=F1rm2pqVoV(tO6Lz8=V$GF
zydZ0ejpwc)i`f4UCQUpM`>JB6f}qQZXhw;N)23OidQ~*znb`q_4@uoIs?#mc#7wh1
z95d09d2dn`<B`;dF>7Q0OYc|{dwz=L^p1+-CnS&kKCtZO+SikA{JdbGa_r&Hi)I%l
z^mq4H^`BC&JQ3Jqn|0{+t#ZbgNeqW$CR!a@aNy`6*^Xby6PXf^@A$p>K(6G5A`8x>
z_72NqTpP;Pe>t{df(;W((qZ`t8^UFhHofq)V!xq%D9QC911nq7!48FFhSz6m)f>DX
z?Dn5#_x>QKO^c;W(oXT8k(}HU`D@nxKFuh)dY|RBY4Pj+Se{Tc^PPCef2vc@ofnU%
zI<+5Q@~>cSY?bNQ%FMoN5o1#2PXX<XF(=xXWH+Xtym@T0yYkDWm5jVoJXXy;5!>-$
z^JG^C<(S`0A8TZ`<}0yDUSV3?-SKzHTmAFXecrCH+k7hiqv*y`%M*%XjFXvUVxHbg
zixT{mxrT{bU?Wp4(}4hsPW=Z=N&90ePwCk-FP+2mp=@eks?sKoSW{+W{waayxI6fm
zp1+8`*>7_~H0c2|>y8&6H}1FmFy;L8fb+>)6pph@n)hf*z{VNVPVm})SY+AR^XV6-
z>qN<jld&!g_oW_mD{<bu#ua<~ArsRixkFM1cBCIv)j4tV+=P9n1k)#37e4uT?$Vp4
z4V61*q%gQ|*Y*}EcE2Z3EH^bO=@8d>#*<FPvyQdw=e(a(J|pMs$3n}F`wyArlZsB&
z^<0`hgL!j@?g<w2&JQP<nJ?e6ysTFFamk#hb5rFX&rq%4Jo&h0<5Ibaa`SiaoCyB7
zYTHMpj*bJ2G13Rr4t;u2U&#4zr(8#|7-PrRbBs4uU$|NL@=svWmk*cPE;VW;y<646
z&zQtLEk5aa!-3A@8)rsuNSfLAW97w&!;@6zT)DbK<9x@t<QYnhj4?|(oDMU_Oo~tL
zSgBafF`d8DwyXWcQN|d7@Hs~mFHB)hI+65^B_^0-`_|<Da$eIC=OmrluwiCd>!r#4
z#}_CHaw>QKJ9o23Y)`=Rj#VEge~g&0MrOvUuZK@a2<`BHenQ%2O46LeGp3w8c68<G
zV|-oDAKmVrb2CxDe$LIVpATyGiCZt4EPrCDuBBq(>|3)JurZ5T?7H#UeLwR<0ZzGz
zvxPXB{tJ2@>h7qYc$Zlt=3tT~2V-Z^MmFn?jynxZvHyJ;mp8;RoJ;b${6d&x<%f+N
zmY**MR8H`-;CNVSDRJ_~z2jf*#!iTT{6fHHLWvT`tD8(g$usynO&&^oh&Ul5@%815
zjZ7UMEM7jh;EWON2q}6Z(0C%!M(aeKl7RecF<vo_9UtX5Px|sO%C}Tp@a_#N{C88O
z@54rJ1I3C@9rq)|CdgTE?x^{}8S`j^%!mKs6K4u+5O}Mo{$h(@e*eq_f$K+@j&gn2
zFZfl0lc{@w^hbut8lnq?*kc)U#A6!V%qF~jetYKW#S<m#r>3bEGCg2wv^=G=app56
zhMUq2CcMudT%39Nq0GbEH4U4Z7|$j%%D>ybdD68L)`};#KASYZrlE7*l!#N4Jbqr>
zCv%$RkNYcL7MAuoe_q5lFPkh?{$OomEW?EbFE-y0?v!{f|6$AHr#HACGVl1I%qUS=
zX_$DE$wGAIOZN}F%o5ge6Q}ae-1DJ(VxUBG3wM3&zZnbKW2OWw&Ak*+q~QB<y4}ft
z{1e5vmtW+N->x&=@9o5nk5iH&ruY^8WR>Cm5G~67s)8{_awDgAQrkMqC%^jpPA~X!
z_QLW<lgci#&73z?DMji3?l+rzE<foIt&o`Fp;u|WaJom)*J-ym-PD;lM{K%>Dxbda
z-TsN{D|UaLK0Rl;dnAwQ`^z8a{3u;>Z}SwlEi9GyCTJ*$w4R>oww|T?3CHxydnQV}
zH;A^GeoJh++c~Zc+oro6VwvI=l*wXvlIga=*6D7SLLbIVST)OL))%9o+{IlwV$<9u
z511UAvQcfug#&kD89MUR*|dx1I_cl4Xkr$Q{h$3HkMVdc!z@NNWyYA9u?$L0?0m5v
zi;nN~b^m$p=8dBf)(`i6h<W)y!(vUg{E7WcJTYo7ZbiDXIA4rlx7*k?yWvV?=Z%;N
z+&KrX-^h>IIN!t8VPz(}S!1<lX1|kcz`hT$jNFY$H(M({P4|mUeIfd>;dNy5&YX!=
zb`$)}VrJKf#mvh3nUFZew`QV@-BeaxHccVT+1);mW6w^LnKmWWmn~A&cyD*}?U~x{
zEbZRhFB4vGo96eq^HpT?>zauTW)pO~AM{Ph@Mk?~HpO3hYC}(dLo<`Q2un@O{VCh+
zE;7xY;y<;~m(6%mj2@pVYjhl|rb?IH)#$s?)BI~<x^IUhu_b1*?C+j&#wvbEU^nZ2
zt(`72?*^t+2=8>>INiTB=JSK5e!h;+Cj>jbPxL$fcf+Tjll?kA1w7=P;M=e8VqZJw
zgXmV-gta$bO*P4xqI5cH&lJD#Nfn|2uF0V}QykWcOswhsAo=5a{Ir;p75=@)rf>G2
z%<y?y)cP&_S1YFGOmRQSSlD&l{YS;xeN*H7*(aXnXq+1LeL0`)G^W#2V&41nRO$+3
z-=7lo{6T!j!;JOZE1n(Qpz(ZCVzWlf)k%@QmBvRN75OVZ`0VsJ%d|%EhV6#8F&ZBN
z4#+2+@3_Lo9sBRW*H}i8m_EbXGcP`$8mK*0W$F~2_fPo#PYvHaHLrf!@m{v60r`=F
zi??>^tgN=1+LZoi?bIr7X=A%-FQcdUD=|s38*(3sVJqsCYdGg5Us28XQcxp?f2048
zni%y3Vs;aQJ)~p)HbyIO9(mnS|LH@$`^^q#6@`xT6|XnEPG*#yvUu)P-?NjxJfC3m
zc>=emvsuAs5003Xn{8)Ks$Q>MZ4|sANn(>+2csd|fvWQ}BcE?9|M*eS!+A0@E8l~R
zByawW{!t%ih;bbd?_glttFT^W@_&WcLIyGR1CeG2j5eijoN;5{2AO$=0(TV}q~*6v
zy4RL;^3Z}8EE_*RW?Y-h&>bV!zhh6w)TCQG*vn?cT5P<qWm1IE%*J-dN(IK4xf|lm
ztY$Pnm=;qMbN<1aE$)fE$MR=So-n08zkiE`!Gsg<89w(MOZyPBaYD|`18H+!t}I%u
zvtyHhV3K{&-%|%UrZOg}cjTyZa?ftyxUqttF=<i>lQgroN1Q@3Lo=fQ_cYx%j4OH=
zV*-=5rNo5I;g~-0WXs=8JS%LPm&(ml?c9;4mGF>>RcD6DBaW!MFU}aBd?Gf(p>yUe
zj-2<63!ZS4d=5!`GwG@zqgkvDqx95kaucg7nLOLio%+yuh;edR(uK=5y=#;>|JX9V
zyD8wbJN<+v<F^Bm$Ckc$@2=qSZ^O5k@(Csnx??6AGp>qpjgdRD;J~9`hVSwd(jT6f
zXv`RMA@=_Sp;$)71%1;WrJkQ+B+Me<!6_88p=kyS$LtN~Z!{$)-Dpq=2oc#;DUi_?
zD8zS&vn6TotSe`dc1rMTCUJMiAMoU0o^fE&AF+iu#A=_o@=s*iEoe~`d?JsrE$QUn
zh!2+jj+2gX&1m>Ok@e8y2~U+Rrp!MeIwz@SXVttZ34Z!dBIj@_#jKp7!x%Fq`ALjK
z*exT)Jxs=8{9XA@%P%k~#O%~-(cbZgCFY}I|Dpv>?JNs~6cl1kt|$?zlqgu4)N#_{
zjlhJSQs?Cszj$mO1mELcp&WW7Y0HX3))S^BPq6s-V8#aF7cVO(2!3FAJ7;yp@<5$F
zi>W-tryl%liqYmN?%2ohzw(Eypr=8-<1T~uNjn|x34|tXc6bu?B<Uyv>(tnd6Yg}l
zWHHSUaOa7gp8Dj8LfM(F+tbW0P2h|PWHOy@mTI^3kl04WcO28r=4|kFS*gslW2#Nm
z1oLx0KTkCmj-BwoGRBu>?lklKid{)5GZ-Fl#7vvu+RPFYI-{YTmxDKFn&s`?3u>lX
zJZWUoo?^+J{C`45EW<pelT)o)7e6qtnQG;17}NjQg(3F8elkN}j9lFexeYC`3@tM!
zH!>_qiu!TCUBT!63pt*WFqsPXI~?~^bVXPMFW6N#@Qby*RA6cpW{ueq6VCr4BSv~c
zscZkFyC==gE<3qNyx&hgR#&Qan$~oex=5vougxm#r!@XssuZnr`Cfxdm*tEjO1)<~
z_bOW~^PcW9msjweszXh+!ph`6oow58=cY|No?k1<ecC8<$+ye%rZ@{J?a?{;bA{3T
z-{A?2F;iSt`irYx7t#z2IHFmrYI^rm(88(CH8wE|{%%Up?&MFJr1<dx`-x(OIiX4(
zcTZ1qncv`HJ}+(xXMAMsrl`4%)#@9!oSx#coGtxY%=>9A2Rl-k#8)bW?`fQNGWX_=
zB4vfl(^FlRvei!Wp4Bu(XG)C_<5PvRF)taISsr`2Ri0-0I?Z`<l){^aEuLJ0ris(0
zyZE#I-Jh)ZgoC*u+&?RR7teF+NTKsbf+q=h&lGCEkW+3m)wwlhnefXeeAQDdH%@U0
zxzA~770aN!>eXZI>M6GMIZPKgYM3@G`ZnEGZPA66%8kEHJQtY~xIKB(*PXLAPO%Mp
zWbu+^$I8OR*Uc_S74DR`SRril_kv>0mkBX;JKufWZOqv5O__zWbLzSu-+5+yV7ak9
z=3A1*l$hcf4fAKSPW5SLnc3mL(Qn=q=S|b>%95NK7+;ia?0l0x)oyM2o<-Z&Ot*90
zG&_0P6sMmo69k`d_)M`~Uorhf`UHl}oau~vCL}FmwB|m$XM(eU$ArA_N>=G9w%STB
zRy_PaW6pzQhGj{I8dkLGbokkdF-?4Fll1z++p-p&BTT)m3z8*f-#f{~>QOq`_VC%M
z)|;7{PxzhMv!Pju!BR+qb0gE_xBLerSPwp`x{>tkhFS8)`UmXE|1MltPM#s5;uFLD
zn>D$9nr&iI!j61K&LrQMV~3BkO<ezcl5Ovah9w&x^lP$6rmsxw;yQX@Ve$;I!bMZX
zJ|=aa<ecif^wr^*RX6;PCrz=7=2>~rAn8-Ggzgl(^6!xy^&h9%sTW+YE1k0QhtyQN
z-L3+=<0>kT9rRl`G5O-6k5lZfc`_7RF4Bp)A%1L^)8u3Q55@mX-`P=NYdxLGH1dV=
z#sHhME5A)he!@^b&F<}{$$yr!RzLmhIJHr?eu~{H_Q$Hm-3H&YBH3R4tXlb!;m?F8
zC#*LgnCZdr*2*=kM<&JM=<Wl5Cd}`&isdo-{>1UcnTro&rrNE&#KU-+n|X>|{A!-(
z|6Lt!NAo?_clujB-QIJ?d9IhAybPz<UE4Y<QFPNS39%bOACsormCu*>Q=vP}?sc?w
zO+=FRRQui023(UkCTp3TndPgoCvIl)3C4syrz#^Gt3EbNR8DlspJsQra^~faE0#r0
zFSE8(@|oOUKgI6vN}0gCo0V>zFE&qE8Ky58e6uF+?4&i*R>gi~oK_UJsiNff$qkpM
zuezS()VAWl{mGYNB!Y8x2T%GiPdeUl*@vWq)9t?Pm1(Ry>bs|JirxLIt4wa+esDdh
zyXX8Iskpi3cYi&dcyg}a+OIOL-3F&uN;fYtxN36$^%K_T9~6$POuzHss+*eN+kbPU
zR(+L;_s%dec^Lm`Ve#CyZ<`kEnQ}ILzV!Q0lgIm6FPE4+)h$>z|7nET<9Ap1=C3k+
z+PgNEiT%dn3$yohx?~(vpZ(Ki)1xEXGG0%!o8PF{%eW%m^yHybYr!e@QG!hp!m1O4
zI-OYxJM6^sr`kKj>`7*5WDt}4_&Ds|M28PCjSZjLS(BzZ%>C7*kQ6x8L0mHN-N9AY
z*e7sCJpB;Rz!|eI$&I5?!|jOYMve=y6CImko^?$65kBYP=LwEV9Si0+2uvukJtz3%
z!&FD^ck&H)`Ryk=_8sVzn^12W7BkT)XOfq|iKKiH^M`+8|95czoZ`7}lG5sqCGwUU
z2VW}K?U~3D7Bk&(%`}x8liY6{nBw+h-$a)`T@N;}G}J$ukXU?R>V{Z`S5s7ym&?50
zeBkHJsrC==f5`d#^5n+f6V)C~wduZg!u|1+m1*bCov2(YqkXgN{Uo)*6N}sq1bfbn
zn^3uDfn4N*1&u68qCr>xOjBV=ii+8^KI-xmmE=z5^Ih4;C;i}iK0$>&rr3Scv&|eY
z>!v762)%e<zv5ZgG?kjh8DZ0u;~3hv^(_C~urYm6l)r*f*rdi2Ow5rJJ-8ljoRFs6
zvFzq_m$YjW6^^g?Hh(JP^vR1d9Aj0KJ~CAQbdLXUb_qj!jbrY_gcikm*O-GZ_Hf9(
zIB+25QN@HOT@&TmlZqyv-Sr{we8<V-Obu@HezKpRVw`@^=FfCR9nJbmhWsgxKXaxS
z9e?oQle-dQ<EIyeQ&f_s$R$gijC;bde2U7^hRfV+HwDeRZq-cHwfns{dzp@U%*#hl
zR?P9a@u2wPR=Wu`Wl2+yteK*g(y`|8vYyx7ITLhxr>?kEGg<T6!n_~HABsPS{m;<%
ze6q%mj?d>$HKm_eyJrg5kN&9}m(8AjuRfV^;p~2gjMp|l7ROCcnRDaQZkwFR>Pd`&
z?=L#%bhvC$kP$XW+tYdI$21ic>5ng5It3C9n)XaGIX_+b*)-+josXVP@N;KUJ(Bcd
z(W8&K&pLt*C;vY&<7W5aJyVoZE()D^@G9}o&S&$iKTnqU*>&?{W9PGuPWM9Rh}i#4
zt2cJ;Oo(OZ%-h_Nc5~9@Ilq$oulRC3w!5%FC6-}9&*p{Nos<7?HKc50oWYVdP3`Fs
z1=(vIclkBqVkRlhdC;-&f&Br-r1!D^V_w$Xn4-Gzf+YiIjDE~5`3G)hn_?7B^v(S!
zJc03M=e0Kr*B|`%I(C9GpEm!8P5%lW#WM2$T&MKK@4SSyegC&V?7iPC9$Lvys^;7f
z^EF9i!p55u)+8kV_nnx2{O604jBh6dt4ow`|8u+9&|Z7`e!H&4k&pPMO72WinB0H;
z@x=uXdKEez^z(MEIp1M4dzzSRc7y5>)r#{U8uH`>KO~9A{_DJSbA`*t4bn<~w6rH}
z-*jl+bi1T!!b>MxryO`uGvVzg$*mo}&!-4_bM(Ybj(amj^ok~LzxEW-xu+WTwAW0P
zaaG}&cyiOeq@IVjC(5T!7hSG$iAmb<e($4AGP+H*&nN0_p3X6q&-2YRv63!cOXjHo
zQ@2gt{c{>~nAp-cKc<M>F^XV$xVLV)%AaW>Q|2V*OlLgF_H~+=Una}#pDYKb@ty2E
zbdu}(<#vl7r*(O!vYXx%I=EVM^OUrcjE&v;5glTiXG&B~(F<hFJndjSO|YAj@dyvA
z#iDuhrfJPhk+p7cKKr2WghTGk$=#gBZ>GsS>Da(7l~mtx#fhmsri<yrzX@W0dT!=+
zbhtjqxi>|yBB^`I<CqRpL5qLZ)e{AN#PGG=+T7Wcw9}xbQM`unhS{;5X5FoFG4@@h
zlbCgxcd;73>4<&75}Weue#dKn*7%c3oYQ2YbCR07qF7T|r><h%KaDlI>xF&w#+j46
zp7Trd%z86PMw3teWTF+rovAX>KR3+V+$(>Qr+0$P{HcDX+8yhZIK)11NJ%%&=6%ZR
z(V*qedGFuG#5L1GB0IMj?)-6W7xxqH{vBdxdv|wpy}rU0dv7ZHn@MITH5w+#&SL2n
zVm=v@KSieY?J5SxX)%G{{>}K8qP%UI?AZmHj*KzOG?;I^j9JL|aN=~?wSqAr7OYY~
znSvJm+q1yt<czQ;XSrlM#wNE)zk{7|pL^fcUXSivxp|6;Sj;usj><n%lpn^(?VNXh
zMZ>ZGJ7#+nwNFS5o3ptiwxFA_<5aMz;<u>+H&~|%bc^O3uUXT6dh^!Km9rizJml!?
z6!cp3Jb!Z8zv-%3Hzx)6>^aqO{`s_u^E()Bth`yX<kp*{sXTKhA3WRh<=Q7J^C^nr
zNgL#1UL|#WIce!XAz)T#_R(pIvl<TObbhIMzhg?$&h_uRq(82VcVvvYw&3-*i5e-K
z+#Mf!Ul-1>>B*1mbN)Qh^XF70?UN~rk-bwhqaSf>m6|kZ^Nt@%9K!Vz{QgZ-{-qlE
zW5MM6{WorJ+$Su^d?<g0{k1770!hwQa}QN6xIDpI_`q#um6(#KeUCfMnl~i$cjPay
zkguMc(2>kgd4A6XP1{ca4CYhSzE$>IdcqvtbDJ~icBEI_B#p;0U3VWQO)P%QxS?$G
z%*^MLl_z)4-H^chCUy&>Ov`$PgF5|pwog^xzGj8=ge8YmrmJt=5R<bcGBK9ng<;AD
z_LZu~r>I8F<!BX|E*=w^+1)2`x~DPt+9Y|Kp2jEp114@b_j#A>#EyWuJ@?Y{r^?r!
zk{9m1S6tco;PV8FiYbbxyY4^k{MO6KB>iWKqGC)wx9XFmNg1{iS~mBrPJ7V!BdM|e
zvCR~v_wjO(Pp8`{-qqOH-}`Wag1KB$=fh9!36i}JE2hZro>Xkw<7m_O@c*8q1#O%R
zP5d$aE3_5OQo0;^9{>CplRM*_+cYMNX+4j*lNx`r=uY9gx%$lf$$YjP$qb7)gjr&|
z`yO{B^)ZSj?MyZoW9fLp5zELUvptz%_U5HilAfQQG39yBgUtud30AN8-Tw2%+n)QY
zcAeko@T32}UHZnPsX|X{dhUl!6Y5&DSZnirJ#{Ak`@IiOGUeW6kY?M+Y#zJf-?N=<
zH9Zg28D`Dyy}oP4<Vjm62#MbBc{nF&XYbDCpL-tOJaOpHY>}VSgjOEew4Sm5(YEgU
zkN-^*TCO`$lZSBuuVsG6yB^~Of_L<7?WYQ*_B{SPO(^)u)DwGe81!!Ly?4I<X}I))
z%i5ELuiY@H>3$}+<9GMNO5Tu!)+F8OLg|NBM4X;3c+MimYFE|Iiw#}RSxz`SP7<lU
zqkHb;`zZqF#ZJ^)3dElLr9VZ`^qk~`cPpo`AH2qx^fkWsi4EIfw{5+TU#~xu)ZB4<
z{yoF38)6pS&`!U2i*;|Z_O5Wd{zr998!pxKJUSbD*U-OIY~qtP)h|*%4pcYt$Gkn@
zbo9QVe|1OjpSy+?9g`Ymll~r@Quw4utXD+)zR~o)$8VdGo+tG^;!ir4e!#6!wzsRH
zfK@1_Oz5uhngh!}2Hh||^zJ~-F_s-OxR~-g95%2d#T@8K>kRK--|^GF@A!kWc|E__
zA13i_-^d>O|62IN&kxol<vzIm=cWlmGQ-6fKkfUb+c%|eUL12!CFa-0yqnkmew-?G
zf+OsJ*DMy^PmL2kGQQ+~cJAexDN<AWU#+#cb7Fe^bcuUi%hz;xNN&FPk9pUF8;qS-
zl4DL<o$p;K%^cnHKJG$s3gh$}F~<)afA(JF?Y5o|sXgcNCn*aAa0_>=Kim6R>}vWh
z=1V`0_iUKf_hs$#RXa5Q9K3V0>+7DrAGsZ0AFq3Hc>`li|Bq=?rS0D&b$lwaJYiJd
zQD)cuqi@RvSJPb~%}mMvdw%ww>;7&p-}U3-&r3IYznwl95_xpZ<CufhNBe)4Z%F=c
z-=VsZ<(}&z5ziA!56+&va<g;Sk58Q`b55K*e9e{HT<n8~<%7%{qJNU44(?7&;_A9r
ze7fu3p|B?sC;A#TA7pvFV^_@-#;tvy?WI>=j;WvQ^sVp9rw<EnG%C+I{YWG>jqwN9
z<{7y^jy(N5q1|%VS3Abe@JbfWj$e}%k~-I&y})>qPxr&@d6z6d-*GKA>pfigX~CZ6
zKObNI=sr@jM8$5R{>_(ClQ~ZOX2|XMF?+I%sr`kY*Lr?VQhqQ+%=V+y*5s2)6(84a
z?sQE5bLMtq%rVC4(zkEK#7yV1`S@P$!-0Q{oxj)oQ2u$FcjJ+b&$@s6a=5=)+VfkN
z19krY0m}Tp^*7E5>;H8A`&fLaDy?JZ*}h-*sy7C1ezElUyW7rPJDAP0J3nsv(bjk8
z*tMp*M;GgkP7r+F^E<zgtN6>0z_=S+Z(eksROp!`CzpJL;V=j5>jP!;e%AllxVmy<
z+hRVI<4=A(d1m_N`jQ)yWIiA8J-m9(g|q{?=MSxyKQkxh;f*_8KW#q>m>%u_p~m<+
zC#L)7^{|GAJxxp}0>$$?zMqKk;y(S!jeTp3x&DneGKUY$RN2U({<-_-vkj%mZaqKy
zuin&>J$~ie^(!VDr4ITeO<~{M^RxWiLzPLoQX<;7yx%<Dp>=bDRCDZqt;vkNuNewE
zK5;NhpXB;{GU(aG=?$^}UL-R%Xq#6g|9f~^<?~&i%`JcR?eCj@>;F@cv~j)iSBCWL
z9fIE`+3acfbRhYE$YhRr9e-`>F9>`)nfd1gb0<gjUjy-)pEtjqEPleO|5NwqP5bW7
z|F+d#|013wb+6diEdQ*R^K%1tdPj?}K{!)>-=EV;k7t$~6WNqI`_}!V6C-Cyaql@W
zOEKnyL^CIYJj3%?#_SWGbyq%ry7pkQrmjrG`R_L+HZOEtb5GOa5qEz7pQn#xQy5w&
z=bW98J^$v0qdk9T-7=Pydots3b))>f9YK5g|K>J%eBh7i`MaED<EO5e69ST*GAAYH
zPtm;gt?$jZlhx1q{_B49(P5k-^UbVpy7qm|+aI=k+~jQeaH{rw&41Gw{p1$@>wK3p
zUE|&~Mn8$gW%sOWE_K&1PLZE4$XYW+{^|K;XYbsIyvw?A3S(HO=KiGq1G?I`rvIDD
zXqU>%J6(43RL1fsUQUl-yXnVnPnYfDSr{|n&iS4f&rfQdohp0n+jNFW%5(L8{<*<*
zf<ym?QTG(tw`-<w<W7+FXSw}*I{y~F)138du83B4Fka^E-8Nlz7k|tILxmmR7o|-y
zs$=4u!ZB4m<NICv>FoPw*qHu_;XX0>!~GxslBTh<C;9gu_<ZB?`DtwDBa$v|(fBjF
z{J^o8%O9o-oDP`6;k+PMHgStllG582yIFeH_jSr|cRFvJ!+11l8jF8;+*F~OSvi{o
z*5A{4JXQASJ;~E)f9_Q}iFMqc*s$%!9nq7PpM=#WYWCeTpMQ-t`m|HVvC8vys~Asq
zNLj9G(X5J_B2&V$SDk&o^*tl+OaqD2t9YbUq~A(>x1Pcxn<Vs^vAE-lfcAyMbvLx%
zJp6g{N7-YR6LCi;GVx2v#dO=HB>zi{>M7W`L{Mjf9(UtMJ^TBbTNyU)c`Uav`Hp6B
z^%Bb<w#jC54(0bnR?iIHct=$^`-J{I^Xr>5np^s}dCsYLdCzR;t!0X8sjuv>E}7T+
zK4<0DPXdeYS_GYx6rFI9|4a6Rhfg$_xH}&nKd`v_6_4#CMg!B0=2Lj4uX&N*IkDqm
z*L-vB7ax@*1V3$v&weTNfidR!k2T5@mxZ0ZVWq&G{I76#0%I(rP|Q^RrIQ->h<)F7
z&*D;M-Xs0HCaPS9m6d919_maD729SQ+4=2Ws;6Xd*c9HZ&K=c`k}tSLC&WBxYU9>C
zaXX`E8eezI#s1$@`9(Lf=zlnD+?I6xK)1O5!T3*w^QLOvXh=UgrM_5hs;2fd!A=od
z)`uU>PqJ|@40usFdxDqg&CLI+^i>wG*s$!H<SXR}_Q^sYZ&W$0aeE%w84=<A((f_%
z&TWeOG{m(hux=8tpCZT<V-ox4f5sF65kF3WB+i`OG4|606Jlax7H)|9JXtX5pohb%
zScdMR2|B$yW+u%nnLJULHKweyaLF>S_0vQ|e=-`~G~GCvwPK3M%E>&6{ol54x+m~x
z=j4wU9~?R}S+x5GpSbqL2lp;6t0?KL6P`GyxxS;WuJdlkeglWVjxVCxQ-$XXcvWy7
zC^S5{N<bs#{*2Yq)*WB2-KhSMB+>Eh<GY*g>36DfPfk{ral6_Qcki~lO2zw?#h>;_
zd{}fN{n5JK!v=SLupgS@{69vC>)N8i8%sJKRVYm4-MFye`J(CkT}j&gKlZGg!gr^8
z(q{Gr`R3NIZ$DvW<iE2vSNrAEQ+gkIe))e}u=dTZ`jf)RH!A1d6*jfMv9;{QtP?H@
z_ihSH9f-6zGErTz%i>J-UB|kq0>7rHoV(+=_1p^oSyTAtA4rOhS^Qw?qiK9cCkY+z
zoRB{6wu9-T6$yMcQ+S`o%fI?$Akg!>=(M2!4*@j~h59>UXBm6{c%`1OYLz`8#m*Na
z7QHzo`zDXjT?>PSEu9CuyxMI%djBlA@FC`oc-o8xMGgs`rs)jU%rOx&R*Ba!F1Y$;
z0`rgi;-4Gd$xnC^Q|)!Q_jhj-ldjDa;lr&-PnvF5fAV;BSHgV}lghrSA`g-l#oo~E
z?_;t(A<f9z^+$eI<be-2+&*^waay`DCCSHIw(rmL?G09ZjQaQX)!m6qiut_dZ0BFT
zg&R3^l_s3&c=+hchl{2*oJrH953GoBk2$o0W!8!*rhj4-AAA%%BC($1N6fj{i2;eP
zpC{|vPY+0+_APs^Z0#f=)07w&nc4|L=U1LkTWMOC)S-4bmSI9k@;#;FQ-tH@x;TEi
zvwl)i<(s?CF_~#MHwvueycne{u+jSNwaN)ECSLTIz32FJrdxA`BmZ2#7o#i0&HvWk
zzJ99n)lEXVQ<%ylPu%$U%J_uP!e=qbcT|?wUDPPn5m)^>sq(Es;a%ss+AEc&hNNFo
zH!VFa#J|+vKkh>Onv0ev3f=Fj_?5R!D2=_#J~uy7dj6GZAMY3hPg|puDwTOT`RF93
zc^P}xG>S(~XL|j_bM}mvy__BDfA`#Vh&jo)b4Kb4*3=Z0#rIW~`|&-#o5b@xa;nez
zQ2yuJg$}RzqN9?*Yk#x*+jOQ=4Rh25UPf-)c}ITZ9hJ|y(~8gEQTBg6C3)?d&X~0O
zD$lR5rJfRD?cS(=O6Kh%omtZxw!Xcq67!4oajH^jhpqYJE}@C>{wz;JCn=qDHNK-V
z_0+6qTuI3jBo->Hd3i!&p-LU|!pTn#oc$Q1C@U3jeMjZ$R!f~f6Xex8awQiQtgy+R
z!c;$rF}!p4%B^drC|s*yoGf~6szUS%3n8ZdLr>Yc53n(-#JJs_XrdS?e0Vx@_aB25
z5ljn;CT>=nE&BPX$Mab-DYvfOR&i&j@LLeEBkvRApLyDkCNQ0yDd>6QpKp)f%41n;
z-o#8-2rpuCpE+p_W5@sW3F59sXTD8Qc%8cG(sbq78y~m!EU22QaD5Vk?ku$mrm4)?
zLYp|*RwXg2#4Kf+#&dUfgTO}j2`p}5Eb=#(m&|_7Xj>6Gl|}jR2B9*Y3C0sS^(HC)
zb%;5hl;^ZEu8Uppjr#PHOcS^_ACx*|zT!sz$6|T?6|!rR?y0B<WoJ)e`<V17<Z<U)
zQ|&t{n>cSzRI8l8wt0e*)Q6jb%r{gY_Ds>4%#u7^@&6(zmc}<z6_3fhdfxx>k-Q|U
z>58|?b9p$#S5H+8E&n97d<wJK>YEXzQ<z_$>suUO_we+az5}KoA~QNCDW2^V=vQj}
z)17v6n&kPO1JN5lPWJ0o2#r`d(a)X1(QVgNh3#@D^|Lz`ePRgi`5*UoV?;aSRK=@%
zdJd#cQQYgr{&cGb?~RzQ=3v&xC#R%OQ_PF(Y1UTnn4qye?8{We-C{+Tx4)jEc=fbl
za>p^fHH@q3e?Iit_%QJC6z27tr*q!^HjO#zMdu#IRktdqFt6S`MKCIQ<+ROHn6G;z
ztul=lxEi<N+ZUFX`bk%-r!q%Am^YE>Em!QGsmxa+rz*Y=<9~ivNnvNS!rq?`ULDwG
zxO4vs#w3xmem=V2rYLGxYG`jToh+Elz`16cV)*|}QcV*$W<~h)OjMkvcz2bbgi+Y4
z9F>FzZ&Ge1ylRoY-LO?#DPf*d(wf)P6tB*=_Isl6ZB2uEC+GeRS%)uenas0yL)D&X
zVd1GW-yWaB61RVP*!@>eJ3ad+y%m?zU2#%2TGD!#|Lm8YoBKA*KEStUI`iM9JP+e+
z&TaDDHHEo+Zs3h24mBpXUoDxaE8Dt%>BOD#t?@SsuFcfFY;wQ+6YI+p-Rdi&^QSPE
z?~;jEjWBCg*gd8HtLH*ZU02<vBh^!w=NB72VOY53v3jie!_%xcr#y(?YxsE072CNN
z)|$@lelY#$b%&TeNi`hoeJf>JJMW9H@4EIOxufG4YnQ_FX-BtvA5r|)`&lz`!;J3a
z<5M0+tL{w`JQTB-W24UQ?kyQC;x{+Fn8vDY{Ym!Q#nwF?*`7@9){6f+zb0mN96LM7
zYu2u<ER5S)VpQs<u`0*3IQ+Gfouawvgv9?Bk8gfb|2UcLP*2CX*;Cl&K3mf8`Z4Q_
zMBbv9xZ4wznHkO`Syx|Dk~@%N$imKiq{N%`qDST%^Xb#S1+lH)WA}+&c&hTfrxV-H
zPSGyf+VSA(kANx4{4qLjk_=`T9p-4zI`(9;N>*8i=|iT@^z$=TS)bxzZdmwiBKy$;
zF~^QHu{Kr4Ox13kD_|q>{y^p9pNFQX?6sY&y=G5C$nz;$l9yw|Ucczw$Q|?WhJMn8
zqSoNX9leV$^xaTdA-}ofVEPo*y;jy8EB3@p<(S5MMCHWZlM6d}?wvS&aYfYUX`Ht_
z4Q9;XeB@}5)b-|QQ(}LG(1fHts~&y`7B7pLz?JgjYwz3T6)^{+l-D&q|38Ie?h!WA
zf5|h>2wyBTdq15+_kr*s_6Oo@Nz*y%R1<u(?-}mxJSWOOQUA~T$?PXDJbXDhd1v*H
z>j!=-$`<;a?(y6-Ywk&rX0D{hpzuHQ#1`wweC~Uj+;Q^w6!xr69huI~xAMEEXjJ|X
z{CMHwVWv(=>#$2cf2OmAofL4JDs}kLf^aD%{R{nJJuho!aPN`1_^(6Z6Z>?w?@w-A
z{$QNK_V|9Dx@VG-(>z_ZPZ>KteKSZpxL!zy#o^GQ{-!fMFQ)2D5s$laZ_|fsClaH6
zvn4gGm-U_|6n63cp1xNV6FxnTox;9#dg7Lz?f>8OzM3*8Qm6ahwHZG*cfVG0-u3fv
z?23aMCveOXIvOGMkynM0)1z&5_byJSl-PfgFQnd9c28Qvq`ang&+~(R=R3s?$@ZSw
ze3MhOe;UVh&a0m~jvV{f(Zd$YuzLMuj*mY5ZzoUpcCC;ro96N7%FhkL9d|cycB=8k
ze*1qia!rSPdosf`j{7=OxIQHRJAX?w`NX}0u?*KA_D$iqo@e>;;SZJf6F5ALY#7#j
zvQX%h=Vnwt;A1H9e-g*ZpT}-!aW7vzk+rhpL*c7ga-R~9F<wZT)TCr|W_8DnPK!9U
zlkW>Vz5mU)+8E0y_3{3nsbcC}CvQq`zc}?{|C>pZ-mrd&nIyu&J+tG-r?V&D&f_|}
zX0pb!-wCa}-HEpSJ*N(BH{IVMvmvQPu4}Gzs`a&XpCU?n-xql@tz94>*0)!?>qFQE
zz8j34W`#fY@8RtEkaI)1ol&Fx&kfFwh<gtN#OF*9?0tIl!n^*TJ6e<f?{MXNdu<BS
zZa*Q>e>2a1?wxdF3e$S8Eq}wNu%EjieA2O{=i{lVyz!f+GsjIeoB!tGryD&VR;noV
z8>jCT+1T@Osz2+`$|+3m{dC$-GF-jV8QJmQpXX-X6h7@rVfX$cTX?3j+dolgp60T#
z^KnG)hl{GZQ7rikomxEF+CAU*v!+f<R$!R@r~g~YA>qR@5>wgz|4n6!X4v+v=i8o%
z-j7~ik$FAQy1w&6*%TgcVZKj7B2zeLu`ZE${k~%*mnTc?WUb;UoY(VCYA#?-oW?hQ
zs_6Gqy%%S(?$65ayS|Eb?^7e=shnHm4YO{sob3B{?|$VB`-jt~a&CS1x%X_y|Hy@k
zo2Lpyee#;yb?!#vu9}7~*CzcsQsKq?N@rfzCG*$44^Jk1>-jr>g1~hZMvDre=q<<Y
zO&3@_xvH&y;?p&YE<EeKt+_Gy$-mp>>C^KnH~nr%dy)BkgJ|)tyn7d3=IrFJVcQ_`
zhP&tZ#}_YE)Dq-wPV}1~;NPUN=k`>AZA~^ho!9@o?>a7dvEltRfl}3&WrugJXY6rL
zZ;`WHJ;R}5hQaYEf_b&4C%A3ousB>Yd!x}u<@Ps|1pe!6C_TX_Eu!D~w(eTbdh5te
zo447*7yKD#?=J1xtp9tOfa-*8`)BRD`Km&hQM$1#W{SY%h@Ugxew-lqY(vfGjZ-RK
z9Gu)xGqb<`&lLV^ss+dDCu>ZaT9ux&@$dPmk#)Cwwq@;}!gsPluJe1<y^g;%8*fx3
zb$0l#nZj2dI_d8HhM4}HYtQY}?z(8N$anDXNkge7|K4BKlaD=V>D#4me`D&s3pZ3|
zOWwF}yJvUhtF4KQD<az!W-R#ogLy^w<eh;Z%|vha>^^omvF~{|Q|kxjnEvOJnZ;}7
zXvVEbOxEvt@%(h}&TA)<?l4tOlh%u$bV-?0cXsXhDKmm2JO6#`-H{Npw|B?En701y
zpG)^n)cD-Ly{2uF_nfW0@#i|-9(7JDUa-lmYhwPw8D-NMZg==U{(0*7_CD)l6U+K`
zUjD=+-?Q`1xe0Unb{1b-;4pczaL?hRo$tD*1l#oQ{Jdj2L)_`EmHoRWby+`5?tAw%
zg-fkr_nPhflczG=_dCSXH-npL!MUqzrZQezGyUSD37eQyPPW_h><Kk{P`Z99<4MlD
z-Fua1EJ#VpWlY^1A%1uY=cb;IUOyMc9_`$4=)`o!?B5+9KVJNm_Uio64*QMb6D&5c
z?htdATQD<jL+a-n633V)*d%xE|2Umdn)@b;V9%$OHV0CVZGF0Mu9jHW-sCA}2cphS
z;I8^Mm2vgDC#&A|?B4miUMJ~J@9sA-J4_D8be-W&VyoV45Yv|5dD^a}`2Bv97`Z)%
zxx07Ymu@Ij{ok=EVnfjR-G0`aHuQAw{&1!5(&^})U1A##B%SWry|?4v@mJm-vNw3l
z@7<%izN0ZAHtFTG$Ifet)@=NFxcLBQ-Wu878%9ZN^@k=hCS_lGx+bY1UYW!38_Sia
zeM?`?J=%3s&!(nl-=5W-H<NqzHttVy?b)v$mfUkIl9&0@j-tK;HPsW{{<LiVkaR$<
z`&aqr#<!0;mx-G3zk1T&`S!51>q+gplMXf?!p{BbKIqzi*`WXW3X|9f*{xHbp6fqy
z*{rd4eWQ7y+s_-DSeg5eo;kZhJlkyI^Q5^44!&D8-!{qT!1JA3r%kVTKVvgLOU&xc
zxf_@-*gtq5v(nAHyQ4#hqvFZ|M|P&9rV5s{hcRnAl5B1Mr}UhNNGj}z`P}`JZDmZ^
z@9ooL#5&WmTc7Vy?t8I~{gKWQ#nTcF7bB$^yE|LYTt7X9D@$EUY@T-C8ReB1m|w4q
zzS(ory1(lz!`~+t)O%j+Kh<~f(aON%eK%+C@aIitsP4Ua?aKEbNj*2UAOH5>SR-3`
zVQS9>t2fF2FP@5+!syN88@YkEosq}?O~<XsiHycO_3mA8+H;^ta?{V(4fPQ(8uuKS
zAK7&)nR&tN$ILDJ?XwS*e0mbnacw@AqfO7v?R_`R`8#eNO5U^Mkd?o}m%6@Zzc;O1
z{=Dbe_WVd8-@XTH);MkNd~me;dDSPb=mWLt8qex`FWsDxdgNu>;@;<Vr<nq0Upm$~
zf#Wdaho$omD1GevdHnT{sXu$4>ucY5BG>U~f8X=HSHeH{Tn_(aP}Tc<{*|Yu*&UbH
zygA@l*&*O>Fs-iV`ROhFT9JKsycEpeFiuYU*?81fDEi*b=sk@`kDcznGLPwJ#gYCi
zn<ubKF>Yki?&`k!Y@wU@Pl<+(E1UbC-BylamQk#~eDICb&i#jOHVP|oPFvmcEcVlf
zu#1PEcU<(hJn-$H$etaKl6s!)wXzF6>HQ{_q5oOfw=VT${;pLUyPwG?|L%Bp^ToT3
zjk~$-SF$`<SnMm1Ij#3`3e&Ux3%WNyEbM)@eiDOopW*V#KNFVMoID)1Vd6xA=RHrq
z>)qt>?>Tq+0`H@~r`uOQ@!HaJw{ms=v#EQg9q+jw^tS)Z+b0V1@2i~edzK&mNke>k
zUq%0wJ$=u@wZyygd(O;f{rG8nd4JEdyQ`+?xb|FGzUqAE+p0}U;yX)c&s;T;mAUur
z-6*SVZ*KRz4OjQNdXKY#_fKc%amEeoS@(M0dV77`6gYiiYhTaX|6W0YZZ8Wg@Bh0f
zJ7?y~g&p+@`7x(iq|b3I*!(j7#;Jp!=e<{9w2O#k<T_(DIcZtX&8hcJoRVW+zpbe>
zvUldjNykq_EMIsq?}<f)+6_B@<_%??t0F#aFYN8IxwvO7|FM@F7SumERuQ&wt$Fs0
z2TB}<!4YpaJ+}J3y=nFX314R4u%4HnPq21xt-r5gS<S#)J@MZrhVtG=^R_kJO$l5)
zdGE%~sIIM!|Nr#7o7Vg2>YMGY+u0-ioRj1xUvX>yoX*gDZ@a<f?M?S@pSYPKaB9j^
zPlmH$y)U!v=Op}_;nVZ6yr)?<QYf+O=EwebQL|X)cCwrfVTtxkIojE^hq3X}Nuk3V
z4(5LnI=wk{UjMuAD*Um$|6^J9o@S4oRlbNvI*zYBtmmfH`<{0n8Jpz0ccj<8>ENFz
zR5|y=8+-eS-Z|VI`fIpOeEZoUbT~%3V`27@m(}zCPbjW0+nT?5&UT#%a`t_<<Q^7A
z2JD@^|4q-8IZCo`diG3dI3oML_g(2GhSa`?L8s*Mo0HS}-tA9exw=qqW8dRR(#&rb
z1bpP)Ts`~Ia#zjTvTZ%uTiLF6y|{bghrrVp6J~GixnHHr-pTlVci+W{-#N1Tg3o_q
zILx%;<@1S7wi`3|GVKWD;(XoF-NC3RIpc`^{w>|Lo5Xy#=S7|5+}!gm>#DxO(W#=>
z`|nMynksJH^GH9kNxkRmT6<od$w}fdo4B3AKS*{M=(6^Hy`JKfJwwvAzvZg8;+_kw
z6O%fgbyQXSpHS{<5c7v~=kLdjrxo`|=xg`hzPX{^cP3-R|Fr&Z>yJ8JncsK2tLNL@
zSJOPQZ?13OvtX+I`x)X7lTP=3wZEw#zOm@+20QCNNf-ZC-rRAc`QU4JMwUJQUhUrS
zw*PCiuEhrKn;wsDdcB(HntboVo}(ArrT?+T94z_}W53W(?%<nmZ@az-&tPG!lUdR@
z&Emt9n(cdg?@VWq{<A6Wao^{?zg5rrM`)a4Vd3sb=TACR%g&$tZ$oqar_)sn6Ww2}
z{PH6v_*Sgp$tCxCzV6=Kv-D=?lr=p^?yTWjUH#}~vilYJZU*jU8xmumB(LhbzdcEL
z#!TD)XCME#xbRQs@53<%Ha)+7R$YiufIaz#&Fc;OJW~9a)*snr@r3JuVAZ`9c6%l`
z9OC%!{m}H@Bk^y#X3SUiI<(P&N44+#)2(@1*X#&T6PPebb0@EZ`Ifx<vo@^=uKmHd
zqC44PMYrvm$uXPrkIkA9mA$5B1^33b2?ytOJgBXRJ#m(wGb!xHg1LJZxOey%$n+iA
zf4@P>K5l}%&B5i@rb&FB#&CbG%)+WjiQ^Bwat^k>5$HMa{G?Oj{}umam0TnqFK|%E
z?z>sncjO3%LF2w7hnDx;y0up!V$C+k={z2%`o31(jY<nK?EKbuLM`URgUTv{3;Zce
z?6)@`?SEQbe$qhv!eJ!?+5SiO`=4IlH(f~dR6zX`A^CsbkM^95y1Pj#Vya}^e0Rlj
z)7b03dwNJuRr^%BZQD-2Ny^4brX64JFJWR=H{Cax>t*kW?dK0(uAdZ<uHq=9`)5m8
z(A0*m_=>pRr|YLBXihx)|A`@g-?9B#H>a5WWR;nGh_SMytoP}AZ<eS3t(II^-~Uuq
z$@x>v9G%w0{)f5Z^A}Fr;2!!!DS2zzbbp@5|Gk&UHOrPge(1ri<<I*(+|!7CPu)oY
z@17IUtuf1t>{YmauhGfwIazx5UdPkPfz^{uKlVJmH-Bbuc+ZLnJ63MK$8uk6<FAQ~
zvM&$MJN@X-g}(HTd_fC)_WJ(g-Aszi8zMrVd|WXjMD$T8lZE*PlRu4e&l*;mC-3m>
zKk>dJW}_#c#e#@$Cxlyz8LE0VU9{M+ruSTA*E8R!o^QP;^=`W4^qpLNaxc@L{u@G@
zD>)^%l%?i(Jo>gUZ)4AiFojvk3|kyZ`45^fb_Q!YG&6Q(-hI<~d}0Kf^nBU-+YbGo
zy};S_PclQtQ?(ht|9zjqpU8OWM(?x>$N!%wSj5|TXx8kl5sW*gd|a@#d$}?Thn9KF
zhQ&KYmYBOThz4*T-NbcuVQe?Y<~2M1D!+(0xgeJ7@QgbBrVsC8|Gn>c`Zpn_I_AQ@
zV3uGZru?Ptv3ve|&-8FV!Qe09mb8&){~Z=vnfE&uP1w6&_kn%!4axsCVj22QZ2i+9
z`>glb-gRCtn(p<S+|-f&>}U4po|EPAoCl^eCe`<yyw}m5SbC$@{{2noioR#>y$qiI
ziS4_7{gZ+F!@!?i*L^JnzfIw})%PrW{?*qm4B?Ti&8H3auJF+c>v_IAoc*Qrl<3vB
zcs*~nGQ2IjlN?!j)X4hs#GJUEXRjwQKL22M{${jxjpT=Q_jXMZWUfwreTQvs-?#sD
zTiO5eKHrxrVBPm%Zwlk@dv1I8+!0yE`n1l|Q*a3*Q?}6ST!z`p|KDyr!8rL|a@M_D
zDwALIcZQd9xH0T!k5M|uz{}zh8OgwWaHl(i^t}x;Qamyzay*I5zQek|{(tug#h9BO
z=lV~&^gsLl$~$6T-CFiFciFDb?|*iE-!xUT3z_%-^gX*?x=KEL`-*)PUAxYAuvf?3
z5A`;*`(*W|_gPfwNk^M0R(V^!eQF-|equ80eRl8eO7pw-de_X8Z>_4FVb_^(eu7-S
z5d&un$0i2hDNC<U?LE0Sd-ueGlTwL2XR4<%sqbkzvLWeCN&NhU{<if~i*&P$tmjn6
z-7l%GzwY$3Q#?_1a-nHt#EZMDc=yD#FK~@%>s)7Fea+!UGQ*u!YtK()m~EiFr(?s<
zDWU75gWT(SE3zLiR_a=QwxP^@k-*JwJ<HVc8LaDf3jdjKFZ|ewp2hPopNjv};deag
z$|>!W65?&Iy6zqO8pZth6Z6xKig`Wvm-ELge%<r3bfahg9hVk~&u^4xJdNnPo3^;;
z&cl7Zw<Kel`6p<x?CyCf63fHze8n`A{_Y!hIRCzTe0Rk*o!%>JeqOqM=-mOys%gEK
z{&YO|JfLFbIIr(gw)CO6fd6+n1+^un8+@!`WxMc>>)D?q?+fxD_pi{9W19bRThE#8
zhhv^SSZ(!Y&4~jRoh5%NV@|{=U)+8qX5I<@ybBMw7}q~&+#r09TmGGP$F)Dv7qlw6
zuSTqD*rwBeb<KteOahlBVv;wa%>Oeppv?bIS^03nn$8QqgZj>yeq@mL>A4bdfpy~@
zp7|a3;{UWv^=#CZ(VN@Besr=?cmJ8tpgGE3XQrRHx#m*m>d3x}_pU$kj_mxfr{|24
zz^`M6E;#g`vHQ8~Memyn{)zk-0ws7(<X3l{ymm@Or}O?Fj+3fCn>T;H_-3Aji%s+X
zdwpG>Iwd@qm}lDFTfm~zf1tAC_VKQLhyK0@a^sh9kYG%@X=1(e=O3mWKO%ZgtG<3P
z`2*(~z4KugrtG=UsLs)u-FNEbs@O?E{U;Wdu4&9T%y{uu|1<&9-F>G@{&gK%)4MA5
z<o7wpj&;lleX~U@sdv@-lp7N-T(F(wAYeAZ?ZTb!DH>8er{*7zWjqiyyYHFp{*D_b
zZk+BprG3(hrR$Y`-5SN46Ivf#&^|svXWm0yo$gKd`j*>t6<s^Ied5Bs*6a2>6nk_s
zP5gDoj04I4efn2KhaKiw(=a)$dEePhCU%=Nl&+nBv^h||Q=oOF<d=>E$1jRnO!@L7
z_P^<_Lz_1wcW*E-t<;EUxb4os`mbr##@?Q^$1Qs{Xg+#$Pu%js>IvdH$qbYJtV!-z
z%egX@GpYLEwFt(fD_k@GUBCbRTkn~3(TiL*Ub{bAV(puqA2;Y7NITBBFl6?L*7%+i
z_qUmZujxIz`SQK{Z%$YzFMKI~jPYX0!svMo`^xuRofk2I@pDg)cijq~k6X064$U;J
zUzqTRv*)al_w$F<7cQBf<lufWaYK@R3LgV!`<nTCR%p1}^1S@lfA(ieVc&*o@zwq3
zN;b)z?aX`DcXqnB+{|x11v)3v!}`zuEShy>-k(=~yxrC_A823cp5Ga8{7Sc1<dqxw
zmOtk&;#$8wv!Z9!ZWZHxj>y-2kN5PR3*Qwanpt=4hIXWc{fcN`f#9;~d^3MAi0+x#
znSABu-boUreb<kF56$4a8_9LQ=bUP#kovZW<6jC@E_1KH{z6soW_It?$}7hLSqo2d
z9PK%$oyo(f%aYCTy1MtqOV-qB!dqFVs|Xhhm9Fl;T2m48yu0z^CZ_rQmr5sbEbhA!
zA0_(d5!0)rljqzGXPW&KecgL?PtRie*B2+fWQlzu<<qt4_?1)I(I=lx<lCrGe}nPr
z<D)%S?3c19P7_*o;eDvi?+Kq+buV4$6PR4WzSmoYhb4XzYd6b&rk#I!uN0T{9e*P9
z>dJ$;(<MwBy+pGo^#1F8tJuwDxWDVlkN(^4T>6tZ_c9e;eH<IbXgP^{H^X0+NI#z5
zAf|4%)0;T{TzTxBnB;jOU;Yh$%(cgxdY_7GUy$F#P;%vQ*G;dxDZ;g_Pd8t9+{dCR
z#I*ax>kHq0ObkBWDg5}>_M;n>tdC9lzD2P<rHxDX%Hyf;{!A`=5Hof1FL$RoW=)s=
z_gqmCdKS0z#?>_)SCu&1)+9~nzxsKTlvIP>2`Qx$?%h&aYZe46a~|7$r8*&*apOGM
z-B+K+OzZ2~rRTn}SH|PhwS^Df+}Qf8_s;xkORpspumA2jzNcfk=uZdPtM8r*I|Q<?
zzPo#F|E{@{9D4sQP1L(7cDAeY(S2c2>%N^&dv4E<i|~2!VV3cYUG{rou6N#6W)LiU
zdnx|UgZ)4Ix!?5MzI-~yZQ2JVhP}VH^ls_7UB7E`KwoeE(HB<sp91FWXy#8^-*>x%
ziJ$S}S=-k$GH-O;cIW8td)U;{dAsz_63)sCXWc78|MlNm`Gh67ukdlwMmBl-juo4#
zp5A;S_a~Bx^CtW8g{qMq_m8YN$T7p?(T*a~-@UhVx=MeF@84>vdsD!(ujJO%x7Lv_
zf<N}&JY9ZnJ^zOP`v3M^Jd#k>^1`IQuWWtgt_hcZ1aENdXX-HMJvQNLyW^GD<-1b5
z*PjyKbE{ODm49PL@1v`y&;9H#%iG;`_hw)Dv*@O5n~xQ0N3QMPXnV7_LWp7gv7ao9
zyULF+c}4V;f8WHE)>qNVP{Pe*`RB@+vVS+kT_!YWGQ{zne8?E{`ubVkWQL6=8Dtlp
z>Uf!5cHz(F6I171JA1!lrUp+@&#|vJVps4U-+ek?tiMY8dehXNs--{f&In!8_xzgr
zD!sY#J5)4uda7>r?sSZCnQ`OD0s|e!8%<Sf6mINEKl%GaK8yaYwfSKS<{Kn4yfBZu
z@?&?H$((Q7mf!CCon6*<d-bFiJ=4-}*RFVN>#umXuc!0!2Iu=JKebM~<n&c+J(c*e
zcjYCso{In1u1x8#(mg&&cE<#TlRGE(RZTlRed3Q>JymbbCb-<Y(t571YTJa_6F2)^
z>9&2{edgq+DZMMtKkv%k+;=7}|3P<gLv&ov%DmY<HGM0mDovg6bQ?=geceBS2AhW}
zoqjnz4Pl*+*ECuvE&hGKxABj5--@0X{T=h#XDu`?oXwYXpqS~v(v3U4(`R^z{+!Xb
zL+}WbBy-2&{_dvpouASVTo&hlu;tb73wI-S1RhSheeJ5&?JFJKOc$8VKb>Q6T*&Xq
zsJ(ik*~@6@g~Gg&qE9bui|?(94VPYVT)M9+W_Cx_i^sjJ8z;(FH*DY2-q}~N=9hkS
zZ`Jl0tY`mAS?_H(S-z*IGve~>vkgDw7d~Gh+t-@@scOZthbD*G7M%ac)u9=Av8y-v
z!`;{jryKwOT$yElWdDU(4>^*q&DuL>p~$s_y=4o2-uq>_v9JE=mC~(yE@(w`_w~zO
z@BaGe@}lZJ2R1xD_hNbPn)JQS?Tfc~UH!$gaXa(;*_^vncsA~DmVZ&CoZax$Wi3Og
zGfU;Y84;gfEuX!UReb%2gC`h7dluK9NUCGv?%Bu{bFOL8qm#EDU)*V4e|^H|*xpP2
zU(c;_y~Mzm^#6yd#U?BHk8zCJ7j4Cw9Qt=oyA|xtBd^2wV4~TNg7i)P{To_u^e*_i
zry+a(&j)A!=GM6#isCXCJMEMG_WX@sNjuITKYgd`f8O;x`<GX@^-uo%<#m7Wl(&B_
zO#9hC`Nz2zACGrfO2@Fx-EcN1hHcJ<3!h^iMV`pr@vp!(?tMpXBU4ON$JE{r8}2`3
zSrPT-#bqTfuP~+#{fc)R_|BhnsdAAM2wKl9st|KEDe2VgKE?x)(p@X~Sz=#z-uUri
z!O^}OR`))B+uV1<k2U$f{rs8xFaHz&{mZ-J%fqw%o3%Yz8gH(s>s%$XZ%54Tl|Mf)
zZu0NGwmwwn!RxsGEt(TA)UT4Bccka@w&3$N_da;VZ7~sK&_4K+i?e^T`a~A%4klS?
zzdaqBldn0PU&UFsNn+M#r4!0xjb`@O8qGgm$WHG|`+WLkch9o7YcA#eyl~_RV|{0b
z{OZol8(2Dh51-$~Z!g4Y$SA8+slf0-b>ol18~@FFZ`|<D>5NH{z4ZH_`O(g8ZU0_0
zn3aEA-PQH`@#f11x)_xA^lp68yK#Dc^`#kQJ$>IkR7adLYk%9jvHnlTy2I>mp4v@_
z{db+C`C97Uy`8l`HrBdo=KcBHxlxI8u}$p%?%SU@lsh)|b8Wrxs-gVouMIa}TDx-{
z|HHHLfEp90{Vj`49M(<QUA<e@?(N(3c{9^Zv*%r#MD1@d{M(?O$S`B4{r?Y!8~6_j
z%)YeJHca_I^q!tghhsW#bGh#C-qayc$av{hXwD61yXG8~f7cWbaj;&qy0~Fu&!WiQ
z&C8z%Y2Qw575>rb`tjDDN1dCMxaE^i+~}El{PJV%Z(UatIW8;_|M`>Y>Y~TVol8a6
z|7odgs;-%AxQQvUW!l`HE#+}coqxV`Zc$?5tYn`2VE_5fty*S14X?Ylo?x8W`APd<
z%a+d{>yrDn%0FeX+_-u3&uc$UDeRfZb>qb=)}+7v&6SPDqO;pBcJB5U`dZhsRWtI}
zwZ*<SgeO=$5tKZk_Jkp|aZ3E3jw4D8`Sq8MmNmrt3Yz}A^kB_}qc<m9NRf2^nld+M
zy4}9M!^TZ2|N6ICRx+txdN*0}vA~8Ou~)XH{y*`yL-6opk3}g;e^2a@&%d_eTf^@2
zSGMVu-E3+3;mQ}&w>^9bdnnuCC)}n-!;khZ(Yp8Fdvo8~b9NK+*0<f%6*SDg8u7DJ
zB1MR^Z~N5sDKhOnN3*qiCci&9)Apo7z0mRABW2Z{re_;7ZuXu^f4`dlM&Bw``x}Sr
zuAbEVsr~$)Q?B+Y$*{g1FP}(EoX&ByZ?U(h)L#+#{GP+Nd$wzrZW4Olb2#*?a?AvU
zCDo13<nF5V-_+<?xxIXb)wRBTEARI$zV$V-chggq$R*K*@B6msc%7>6UsSoU>j|^|
zAK&e_p32Ui$#VX0NY>YXPc=hW_v-RXukSmclPPmEN1=6w>dk<Eod*49S>${ED0YN@
z@Aw=c!SrJP_aDLy9IZ?@7Jk3MG@-knX@Rx;|L(mL#A>7_p1HfGzisM=2cQ21-BzvG
zl;5{p)g$Hg*Zjz)r&rk1KSjOxH`zxo>fgP80h2>GdKT>WV!OIO^V6Dt3FZ1sk5~V-
z`ufRGvUg?JQl8D*uAYqF%XM8vg*&3}{ojPI)i=+ay>coqY?tffN8N|zKTgQ|+<CZr
zLfM;+O-cf(ap!stZ|p3&vBBSWO4QG*ou9Y*#=qn>*6BU8z2|W4suU^RHly^Xa?U-6
z=f}<Hd-Jj*_b}tEtI~|`f0agd#O%4y`uNHzbL&Sdb^dHPDb^X4^qNWYW5;}6#-x8Y
zK5%TlaeMZJw}0Pk^i`j^YImdl31fjP$8Y`XJ!JVaW6nN<^){PXH#vOjJ>+kj^v`d?
zeZ@TsTK_kjx7~~BIaIo-<2>`~Scd&KtsVPfsu-63nbLhwX`N2ohTel4JFd9%YMmGB
zT==`^V2LM(sEls(l@jgx4(o4?&aC!Hb!U1Htn}YAc~VpKtju=j>zm&^u{>~NVsqs#
z0kNLLp)Pw)9^7E~hP~^sQ-Q5blbuU@lGm-g@EeQ^btdlmd5|}vx&Nr^5nj8ESL$E7
z*xj1>F~Ys0ch<9yUCE3;HrwB1s@b?>&6RUy=?{<lGjC23c*A_-zpVDe*^^j5GO|z9
z_hsUrBp4%6&UQm*$u*Ui>>nlc*7t1svxn)kcXH3dwGm4?iq`yyQ(_9Q?p??q%doSP
zz0z`4?1KB^dst##{8eKV+mN&(mZ9^|&9A{M3z`1s#CrYbnZNVLx0hiyw>}*@Gl?;#
zZ>x6QE2oYVEJ?=>RQAWt;JNx>PE7br&f|Aj^m2L*)Zgpf7@_u&t2z09{eq9}$q$-p
zJ2H8KSsIwHKjfbHT9`qLMexMOXa|?|M`8>ZcprAWwzr$<9%ueRT;WNKw$77lANn_~
ze(JQ~${qXeL;fH3xGEg_!~e5XiJ|ay&()Bn>Q>Vk)}LIsX2*}DKc%AeUEAe4Pp|$F
zk*JU~QOs7Ul0~_l<G)kA+NXC(g8X|zuiOpO=P=d2r<*#%QhxS_0|zAf?JsP-yQXJ*
z<b~&F`%YwCx3Y<S|Hor$LwWee6@f|uERnma7ChKIL8>q4c*Ln$x)r*A7V76utWl{B
zdd#eSujQleiAJf&&Fk#m#5R=A?mhW^-zBl?+yAnkXU~nj^4F_;-DHJ}y{GT4D-l=S
zZ=iX?%F1fi&OQV4Jw3m_&3D_rr}t#}QgzeysY>R3JNCay(PUh)@M!O<s`y76{>Jvt
z++KH6dUx-E_fMTKU)j0+?*y+$Cy$t?fBe*0X`VmR|KI<JpG$ttS8-2a;_q9b`~I(2
zN%w);m@7A~)`hbE^;XyAV!!sA<73aYTT@d%x|~RlWPka6lZj^Uif=djcC5eG^t=9(
zboXDcQ&R<BKT!$&?={!zrCL7gV?U;+OQMBxS)K;VevIqcv3k#cugm$<bk6?q(w^I)
z_q~Ggx0SnwWm)Ix&7Eem{(F`Cu_fzrX-Zx$Ss)Y15c<#S`I|mIDMs}b`aO;ByJl2$
z<bP~<knOi1h{5sS%<X$7>r1XUdy^q>(YB8b%G#4Sr!0Q4Fgd>B^Ixy$){%k<U2n|O
zSI>T^wYld_UcK4Qwf3*{ul20xTG$nJwtq#OMa;G}kE468pOiB0omJh$U>W;AbkdqV
zohv5(Ve;#FQ~O$X=jCrbZ~O)HzV$4hBw({Md2{db@{=s4eLL#*F5=MXm{PXQ@$|-e
z<wg4M|9a&t>hooKxreLa9T(&K1|j!PJo~==^xD{QY2qeEnMIcMpev-7HXdZU#*^gM
zwWDql;~(znJv;tJaY%jZ+mU=^!MFAZCjU1-KQM1e%CYa-ey?X`Qd0kpy*~{)&YOBN
zIM4mTRQbf0(fsEke}&5;;;hbZf3ont7TWx<zq{d@`JZ>meQ$2<>$%W#(75-__t$ZX
zAMSNsJKp=|cj?o{Wxhft_xj$P@6q<&BhmXN{%v3H+&4XM?ms_y<;jUzy>G5+{hnZP
z<66zphKApUjGMK;EwmI?dcbm$iB)*k)C<pl6xmj?iyo+b)AJ_2)|=D9p?%ZN*xt<f
zGlgtd_v|Pw>znquXM0?F@3ifo`nKO*%3hr+c&7Kw|0tms-)8Xt>6^No<+0t(V(W%G
zrez<ea=A){lywB1e>kab6Hjb+mwsK}_SKUl4)wnI``X``yC{PDB7a!_j@6SQTBopt
z-+uWpt!L(Ty-JO^zU{gbwF+WHM34OXd}ZgYz5VB}PZMtLS-SW8tEc^}e_uVRI^Wao
zpYXiyK!6L=?`ST@W4EU*lB&Np=hWxk-`U?gW&T_AzX^}dxI2mKCDX^g?cZO;bIkkJ
zVK7;sZ(-K)zBm6ur`zc5S$0N6!Kk|BRP0CQD{1o-PwZ(}#mt$cwvmbR$3(`gWA{>5
zd)8g+`sLf`pLXY^B+KKw@&DWQ-st(YJzB@1vggg-cYX$aacio*q@zD|m0aI>EB;<j
z<8D={df#2w`udnEUQM`n{@d#6`Gq^)^jhv!%1m)_m~bcJV$a&C_ogVn3w=BD)7hTw
z*;n;V?rLw-Uukl;+H>Z0?v1ARzuxPeyE;`W^S8o}mY%umZ$D;I7Mz?tB|ujy^YGte
zm-<euyP~^#^-a0<{u_3$dw0#fR`>l)?}^jfH=9o8IojV*YqTQoldx&e39YGXS|T$x
z+-s1XY^i=#%QTl&cEzkceY?s&X)W*S>RYq^;fjvR&6`-{Vs1Q0%IZ+Gw-kz8JAF@5
zTgNHB7`vp-vy+}FNzQ0mb$Vmx`H2&iw0ln7-`Ew_zuh(dM_-4s>FdtZhe}rOx!L=E
zyG@7Jw;ikhboCw<?>@b*!9V79%!D;}AF}kkzkh1SmgHRv6O(&R*Pq)gctWDNZ*SK9
zPlEG%FMj;hb>ZXt2j44K9W~q*y@q9l+4D`W-Yk5QydkY;<NxmS{fvF*-=6QdvHqif
z?>;HrCrSDXW_0c|ZF|2V<YWKGzn?E2>f1U+Sn+!7`tE&-F}r!vln+efI9FCVA*q$I
zOY7>!9U+f5UF=8{n9|g7sdjVE{@Zrlr>hsekGr6i({;M6>*mMKQ-vFMFv#5A^zJcF
zO!=0x57(dIE||Ju4&M&n*#GZuME<;bWNBpVg&jwC`$l|Om%6^M|7q9c^9Rk3F@Eq%
z>p467(FGgPJxVb>m!mv|mr2CzVeYwnUx-yyqJ-&n@4~$TkM`_%`{O|DnhEAVIt}H2
zFRGVVxjp@$()DBF?|T=h8kqfC`k((}&*l8B8Xy1kPEEZe{UP?>3T<VBrtF@r&-)Hn
z*LS6D+9CWWtZ%{Gt52j>_3ZoHQ8}-5h3V_9jBg%(+}yEmes5*|>YvItdgr}=`f<%R
zL;12*6Av8kyS)4F9?Q<Z$CCT!)frjVU0INFPO0gDm~32f-@MgF7=B-!(UcXoQttB)
zu6~V_JuBtXKMIRiFebh4ylngPkylUu=^b<WR$AQsb74yI1m1EB#uL21d*-Qfxc~0C
zyzS!D(wrCe_jWE!U=)b?t0g<Xf5qCDp?`Yjg@5|_Y{GH<dm9)hI;2g$E`IFQ1*XnR
z`%k{Co;Wf6b>!p&noQa`9k0*L7M|9v{q2eH=Z^bzZ;~#TS2nG7eYp2u$EOshJw2QC
z<J)%DT?<Tcc+z+2zMlt+U02=gzT}<--&2_OclCUpusi<`XYYdTOt)_+|Ij$vG|~D*
z(tQEvrjnoi{c9$Kc742Z_Trm})=BF7CrSV4oALXJLV0h={+ix|r=1)*{ld{3b&huI
z_*HRXNxN{@j=y_;XeCWio0}@vxs`wJ-;P7`x*ptLvq41Z5nFZV?@b^7&J>&1`NsbJ
z*&|yxZ@=m5pH{`P=E}@sRgRsrr!)00xV|byWbJ=j(fvION<SUe{bS|-yl3IoRa-<v
zAAkGU)vsm6bGMS~{<WFzJafI-EyWH>zfw36`@cx$XKz`Ur}TSP`(3QLp{&<W8$^G8
z;K8t*_3D0>n``=4l$}&q+P7skQ}deMMc;}-!(W{(n;_w<sbAK=qT0H*d^KC_-rkxC
zT`V_yR$R^d%aS-r(1UqzZ<hT3o_p8Uv+jLjw7BQS_g5mkB}wZ$uB%D#*!Ru(`~F1A
z@t)=RrMLS^cKvh7Tq09o(6&+K>WLE{8y=)L?D^b(FTea`#glgmZ>IU2?y0^WwoB@B
z-@Uy5>Z_OB?67LoG5_7O#&gY%i4EWS!jE*syy(2zeQQH#OZC!N#yf4-bvB&8*Z=kW
z7O8qwR{xu<*&Rmq`g@no>&dv?vy40Ge1E3S#hy&prbQphdLF)g!X&v+;doEZ<{u}%
zuGujmt>d68H}AB*yfqhR9%Jm-|8L`y8BJL(^ER-t%n;ddKE|tAGVfpS!?n{-zn>BE
z=0%d{+21pg<odD_8&zWe^<@9Nw<C9U*E~`0-W>mD3q0qr9Xiwds`nTVPlesf#r|9p
zDn~n%IVHHea+2)Ab~uzV{)zuQGeL<_()voqgCzB&#ut`H8}fGF=vu$=ph?Bco`(-Q
zFW%pfv~GsF-6uxvj?AAo&OMy7qeAwd#RR>Si)U^yi@(0X^+9JtRR7`hH8b>+dUIcI
z>pFB;q5s9}lD#&1r+O~!o6=kG^l$ezwXWFpotGYWY_sp|$~?^4x<R!xMVWPy>|LAw
zyszb_XL9uCeLgwU_v&1|<BVN`k0*aNy~fhFOuN7FS8suOB~xf$f!D0V^Lh%RXMDJ~
zRV4HH#K+%u%Fe2qtJ7NYhGkE0THodWdg(Kk_buCdueUHLuJ`g=o4!J^2fttUE;F5$
zzCn6Hrfh#-c2Dv0F8;2?@^U79CCwXzld=~mP2_qz``?i@%$s@^dwVXF;w-C~-Zg*I
zQjOjvlXtbB@2r2^`Ss%mf#*FxJ~mvc>)WBu$`EX!v*9NH#z(AwlCJe%j(U@@WAe!*
zbsam788;;D-^lr@WcK1oH@@u%Z{?kJ*l@w3V^iktm>Jo(?CrUpqR(HyhxK;obTEAD
z>Gob!C)QnbueGQ9^|s!kBlkO0_2YWGy%&D?_u%`SPe)I1e7#|G?m+eH{_aO@6586m
z?KgYY&;6yl`H|T4$V#T4-GPcKD_-pHWK8aAu%F+eG-sJBlj^r0RU4Nq^tyL7NOSd8
zNtrvl8)R<uub*!nx!Jg<@z2RGmAz$8pKSOrS#V>gyLDWDspa|JmUAmQdRmLlFW+nz
z@%i!b&W*1&Bt5W^+~}y?xBB6Vq>U+?J2z<OFs;nI*6``<&+N$F&Nn+B9G`TEp`yP!
z)xP7p(XK~3W%oQ-5XZ(8^K;{#PpykC>VNAn_}H<@KBZ{yqmBuQ$tTQg9eyYACI5TE
zCep#Mf#beur|J2QNr%o&*!ljz=a?586s*s^zV&R~w#LZwy`14`du?r5c$0rGRlFSi
zll6GdRK07RTU>8yhIL6EKlxpX|6j~$?i)<anKpgj?G7reKfUJXj=PhYCO0Zyt@zg@
zVJ^nrH~Am)huw<m9HHwwq?MRLckkWz;X}ico5%NUzP={<eQ$T>?1P>?J*r1yPTr8T
z>uy))Sh@a$`OSu=3Xd7d;u|k`$29PCZMf3R6cgX@=6C~F)`O&mn9PRG$J=)V*_LrH
zI@dqv#G?+!6A_<x+_j(I+1U|Venjdc<AaAvr?P%;us^}*eo*bv!ZY&u9r8+-L~dV@
zdh}QzG-^Yb>_KMrUopKeM2_n}FlqX)xAVEUe0Sf!H!+1BHx95Q{k~K=n}Mx@VeVCP
zKbBAbMEFyCeI{S1>6-g};tiq8*0$?c-mhHvG+F5fr)(JG1iwFBce^^8;{Wuu-E27U
z=EKXw$sdpEzu`G~JpFs=L<aA#FT*$W?bQEn*4?|iXJ>Hg&h4$Sy<O!|l8>+TG)>do
zdBr%qrl&h>S5Y$KBj4j2T<y)8Hl}Y*`6(&CyD{njW6Y_ZE_>@urD2DAx^Fh^d$zeQ
zqVr>OLhOH^p0>07JJxTx)*Neb?$<9dsfYU}-IV?$Xw<o;bmPhs@*MAHH5_8<+3|Z%
zPyPAcZoSZyFv%nR-P1q6II~fpr`xaX#HtvH*ME9<oHOf4{N2Ae`NJ>SNG`+v?x&Nu
zPR@TDoBg}5yTq*L%I|}*mHj)W?=C)+w6CZ7Ti*^lb)okCTLq^!ocnyO&^xt@;q!9F
zyx+Y$rtjM#^SPN*bbj|VF8vVM?fd$xci-;W|F2|gU(NJ&H<MN@bhl&=YdW^((%Xn@
zHS72Gc<1!)DW7Iw+*~8NyLbO2_Q*B;hrD02MyeK`WQdJ^!~3n{;@O#dcU7F#+1w#8
z>tfRXl%nm6KYpHAd2<4j>Hh_S$$h=zCoN|(EbeW#*X3jSy_A3Q%#-JraQ?c{zkjM1
zd-S#cE}v&+{_O969?G(JFZ+Ivj@D3)w{<-=R%J2W``d*Z-iyBOm~bK^_W{G~z8dk@
zJ#`UFn5X8>>9t<bANKyl?~P|3O>^n~biFkGlUV)vU(;Ak;{-OB_0|}zlKr+I!j~=m
z?W^fFt!tk5O?<kQJ-<PCPv6wyS1ebjaUNPG5TEHeSy$q)e5zPOYWgSMFYl&*pZO<w
zrsLU#;j4a5;g?;%T<}vB%m41SAH7Y}^`3t!=;2SDzcS)N-}NV)t9y>dzKYT~XeD<2
zeb3aIy|&_O9ys5;`1i=d*K8*Q^}qEWeX4!FCuP%&`rfqiilkkg(~kEPFr7>airjXw
zH?PH@H%Yzoc<4kX<%OyC{}y#9@9CPM#A157FZxa6N+<c|o6Iu3QBBDVrxt9D-*~O<
zUizlHAA6>%dhon%kC^uJ(A5;CLyI&@_cTb&V%Gn&P<h_X318<N<=wpGO=I1BM*lUP
z^PKOp#mcSxv*&Tl482Dyrhb3IoO{;7_VtRgqy_6)V;RE)e)vu1R^Q=J`)5hC4zJBk
zk7ZXf-+#Mtb=Hi=*vHIWtHrJRvRPvpCVbqXse0jb`iEtcxfY|&|0|%(|8J0w|1(3A
z$v@^$T+9sB&5en2v48%TcWmmqdG78H-Ua)GIn-l+&-|{;Ai9y!n(^j}?hWGMe^!1?
z?<{0r6BF0i$?;%DQsj<2al5vTy-6%adzdfWXnpX5=YE4yOka%Qf)nOq2X|D8@jcjj
z?enb%n=jN#KUawP9m}veK<XaLjYl__7x3A~-MDe#am0ozjr(IfH=bl?c(-~|@bl$b
zcfa-QwbN%Tt>c^^HF@Q(o^!P;--p<k&Ff29b85@utDoF|&X{y$Nrq9!oN}G6gg2+I
zc0O97{rAND0FP^(+G}EZa&r4KJD2s%t=%`NEaZ37)i-x#RTQ&sGRXIxoV(tp>seOJ
z1nZuHr#(CBe?<wn#vOTfdYSU7+TUGIkN4eL9Wr0V^iRheC57nuuk6M6e@)X7zgkdk
z_q#*tFk|nI|9|ui4EsOG9TKYj)42XePw>k(ZF?%N7CilQ)zB}px96$1$#=PrlUEAv
zXL)*hR%3F1!SX*nJN)mi;(r{+^IYy{+g+aLk+c3x+2iAp{AQu_tezeJSNd1XT@%~u
zz9oSF%>tvJk9y87QP{utN>Z$qc7sOSOxBp*;Q7<T$|tv$Z|>i8`PxRF^Gg~3m9AoY
zY(6P(PjpYgpZI@WJC4uo{@J@@zShr5|IG$#dUpCrFZ{W_zvs=@pVK$5a{lr8rz?wP
zMeP5s0>)&9^+})pG9LZ#n4e|+c8~A>KK-0r`LbtctaribxU0pRdv<1TD!AL*b6=gQ
zxw@}#>&#M9$r+U!YODJSZ}#lmJDFK?<&2H}h1)uM{-4;)#kKL&N5;;#vv2YHGseu}
z+OVdx!2VP?i+q2<{U<L3`T7d_4{bP>{A~;G^)+3EiZQti^6M{kcvk#d{kpDa=lVSj
zhxzB6@5%basJ$Rwjd61J0;Nd{+CPe3?D_wv<K*m_Qt^Te+RXb`ep6?tZu-J#p8W4!
zf8kakhNYaV7g#>tC?Kl7NrqwT>kB7t_UzpM-lnIZbovSA`+sKJ97^cf`R?sT&%bX@
z7(9A8SAKQlw?l7UIBWmg?(yDFDR>U!p}$v^{{HDJ{5$o;?b0><JMYhbB>v=~ZeR2M
zJrf&m_U+vM?&yv3nBGZM*{qLm*4*pM^}VsYuDAQQ@B{8xUDnCc59@mh^ZO5euZnz7
z-nVJ*<U<~PMYj4=G*5F#_7_G!Ke+Db6wAJzSzkX*Jo~(7<^JlGUfleTQ_cwW73se2
z+4;Tvq|Lehd9On^GWUeVPkm6irbq7EbmpgjrX2Wq=LcU!PvPsyikJF!-hEy7)8bVB
z<^5Wl7%Q?{k6+(pP}Y1ky?5u|ecCrC<@L|otJU4OSH8XOrpev@vc20busyr%Zu<Ij
zPUHs7MAn84*Eg`n^cF-N;^a*JcdA_~dH2?aaDCR#v8Jzo_7%RJB*fZXVxK)dXw8bA
zqOjb@_8)s}j^FREycFFtb^mJJzWh#xhe@5et-pJx-d!EHng3tM?>D_u<L9!)Mx5_(
zINCq;b?Ldazt;Twc_s1nGw+W0jlEUVIyT(@!MH(Q^j~!23!AQ-6ldnboj#v8IO$*e
z`1CPTN1^zpN*2+TH>Dpu-f*`s{o^KCE~b>E!o3%I(k!pVc5F*#+VJ&!M{@GY*XGk$
zds0?C72N(|lj+AryMDju=<doae{&%tsXy`Nl9{s($eY@qe5S+Ek!|<Zyo~il=3~tj
zi%sXhv0m_J^V1iRJ@M=Iu9(2jbT6s5bVHJM#{~iXrd{mS7ta0p(BOFJV`qWgkC=U3
z`$RXLRJh(Ti96=rnyx**7rDi{6E}GURCoGEtkCoo46NIradgA}dAl6N|MhjWC8qZ!
zeC*y=FV~q+w{VW<@s6}Ufo7FUVmH|<88vr#Y&@wt>tcgVXS~wP74H}pt^O<V;8)Dc
ziQ)%m?2G-c)99Tq)|Ifo;j5aU?GKjZf1MhKSz>3ZSSJbG6}>I9X7#e!O+RH{_m%Bo
zT(zdJ?9zs0MujVx=Qc^$_bjNZ-@|grBVxOz?CZV-*P>5Yw#Rmai|(I!GVPieYYhA2
z+m+qL;y)#Rca*XxGpz1eQ2xH_(&wJyz8z=l*Yqujp1(mqbWPua`_p?$#Mk#1m7JTh
z?);zAaeWrsdK{B4)ye;!@l;)``Sr={$_e^UGJf~_dcI)!Bh};k<+Q`ae)GP*1);mG
zx?dH{|9by(U*YMKb0+s~TDP~SDE!}(2-Rz%$KM=NF$~wg9{#h(?dRXi>JnciJ{t8i
zZa1`wVo8r$Y9(WSl{Gi6d&v^^e7383KUr?}S3Ljxp<PMIaiX_bht!L{tnxLT2P{AB
zecxGjVnX7~z560BEI;0}{MXgWo-Eb5ERi}gZ@O|HPjx>2v9x-U+Iz13n>4OYp748h
z)73S-%kSBJH2BH9w>!<1ZSQxpPXX0kq4#b|sW7**?2i<x>|4HflBX-%o<F}@58JFb
zp!IE=+4&D`S)XRS?Fmim&y}t3sQx5W)4QVTw9wO)6Z7MGHlLpu6xrMKdDYB*mdL71
zo?f=-o0sag=xyE9Q|VoLQX#2l+5fw_ecCVg8*LQ0))Tv_cWvJNO%c!gp3PbJ@VnJb
z#>4ZqGXMA2)>Jm_e-d?j#hUMHE<~ko3e?$b`0eA1hk{+Xq8nNHUv|~^vl~5#Wt3>`
z{M@%TFZ?vG^laOTUi<3qF6pjy<-%OP2mkLdnHUs3m!0*^f@M-n+#NEEyF1sbG5N1v
zaYG?#5re*b<NJfvGfw<laep$CapOz>i=sz9vsgEEuYZ4%k$1M%tBJpvB|2nzlN2Kv
z^7}Vz_hX;`DQZ`bY;4)YbrU0Az2p%OW1OhzE9(47*!y9TGSlvFa@}1UI}|?hZq}}U
zv}&vTgp;E0J30@<{`=V_^Q^aXzA9^fMQL=e)Z884&UeYox^QYDS6shzZ_I>a=Gi-M
zl`X74+9}hP<iJs1apJ{}&pYowdR%sN!PH+H8al)i|Cjg5-QLJ&owT#W<kMnXyT(rW
zgcmUzl7eRZkq_f|b$#RGI%dYCK>b~{*A`4)GvUexrbRB*Z=!cpu(QYXPHadv;P9X6
zd-tKmjxclmi$-hMcN~_Q{Ij9DSFK{xjJ1CrNVdmZ*`OAh9C4tKb$`++&$;*KJp4cB
z;ZxDbrM&IT_Wir6&Yhh;=a=!VZ+AZ=?d>>|zsG(@-mLy@e|PIoHTmDCwDwWbm1NP?
zn*-XC=FhbK)6=%S$8GALL+(GOCLZ5$tAC3_=<dlgwu(PI*VkSbx{0Cf>h+*+^VXd0
zQ~3OK>&&w@{R;DEy;*bB`_j2hDT~r7I_8<{_j;-?RC~YbV&pY%)z>`>!%wf=wSupr
zPbqG}wtKy0n(IG^NjCoJ?^5bsSUa&Is>f@u%_PomeO_8OS<L&qR_~p2PGUyv@1BLl
z(i6ohxib&@+GcMEJCS72ptMD89q-f_*0SUo_gop@%<ECB%kTEOc3}SGhW<|V<K14f
zZ|vLH<7F1Jx@Xa)4TdqZ`aHK^^SYlT*{S;Rf|oS^NhWs2;GNwYF77DPzt-vL@t(n!
zk-x0Jyz(CBBJGL0KK3m9zVlR%YEkU>f4w?;zUV(Yu%vuXf7IrQkso_hKE(E^T-kW{
z!1rezjemI-eE<3Wh31X#`e!dc<i1)LvuDBQ*2ry)OZTj~?^iwL{-3!s{crcgET7C2
z-K}Vw)XFfG>&J&Zy)nVhdo@q4)PEh>E4aC*PD_<Tc7N-?iI*6E?~E`1crO0>ynfxJ
zI|o?K_tfsb^1RR3lZW$T(#QN+eYNo`y*9^|U6}Rb-0I)r^^F(n&b-*O!hP2Hm!kDs
z*PL6i>4??($Q5(t-_Nak{4Hhgn~U6!E^&)ypIIf=-P%7xs>kEL*3SdAn}4q9t2>{%
zHMnO>c#qri*<Q^4Yx<&GTLo6HQG8h>xkK-NPgBmR9Nw6vl~TNYzWJLfSY~!HS9GL)
z?l6AV8|ix>&urz^{)_r6xAzt^AAWP->Aa3e^ZhIDIXz<$_`&dE$6L{gd&&PBPImld
z5R!V@@^nv6#MFNOzi0OHX0h;IOYXG)e9*c2-}0H8j+9$ht_(2!$*{36?DNZv{*8>G
z8y96J^@inVZ@f`=UFOe9?z9j6_OBaHChy6!f4t*jN35yxt}7=x*00!bSpDx^zT|uV
zcBB^Wb@&m>c);1V`M1Tt%RAR!oydBULvGK}ic?1wI{LbL{8RVO5UHH|!gT+NWl1NU
z%4J^M_UWIH*%iQ=bn+udgWZEI#|1hql@ylOv1}4h^pucpV_A{Cf?4!I(&MBTD)y^S
zIDBAQ;huHx;RS(hF^sG{`xoW>?2LH)e&uu9!z-Fu9_*X-;IS)%`?sHee<Uu-JNxi(
zGh@ucklLCK$7?f$&hC8ld_q<1nvT<l8CSIZPhd>$SQ~27#kOb71%{7IyYAWlVd)I3
z?C`be<o@&Y<lc?m3yb?Z>;G1Wbv*lFzv83l?yc;HuC3e~(HppC3;X#^Ld$zQ>~3}~
z72kANAg{keFSLp2{<#=0OZPoZ7v;Bw#q@P7{oLmk(c3ZATTAdy?18e`N20&pKFQrD
zuyRdrhu_{FSNqrf9Yxc5@^vn1UF+>wer|_FM1Sn&-iZ6Eg3UkuPX82tv-ah-{`UVX
z^{aQK&FhKT_UBM!kL8<_bCT{HUb98vv~&Z1fA_mJC%<1~alY2m@!bBcjB!s{q%41a
zZ_Y#p%Qe@(Y9-$|cJ9yFT~lZEO<H<xmU+^`#sAl=zctOpagv4eLC5cjNe8$8x0#q0
zH|Nsxo=3uK9@l>Ejj4$}5i>zSr15Wk*-_1n2Om`Q#lPKGk<{n(^HxvC`<Tk!u=T2j
zcPymd_jFu7Y2~yq|3|;$$)6>5pBkm6ZM)GK709wTev+M5{j7tr@oReH!zWqW@tSs~
ze6?xuyX7MK<G_zTyUmSXmb-*cVbgUHOtGw;yQy?iMd3DqQp-y2c?(!Y<G7Cpy0fL`
z&e14d>G-WZ@AH`{le_lsxn>cxi#7i#!~7o6^<P-7>W3UZ*%itm++%l3a&=<lgn(<7
zORw<w$6d4d`IYbLy<XRSrL3o~%{ZANV17KJHmX77lgRqD53<5$o@i&8<zW6c_GY8>
z<UsRt_vZ^0hQ`E6Oo%PsSXZ{<o$rimWgB`nuXuf9<GHgp_s;(~GxWya9W%wN8<*QK
zHcb+0nj)JPQ+IPh`eE**uBo$^y#KbCse>W*4o9|5C(pkJLN-l%@13ka`mncu<IMjb
zw@6sqZQ#|lIU%V1c%#qR&8-h+aK?Y`{HvF8i>-FUJ^S?^f<L~!B-h`g`S(N2vtET8
zU7Gx{jIU4BCEb}J9}&wa*gt*m`mJkxS8TcQsDV>nl`ofX^{4xi|2r2|%XP_pyEVbI
z{dvbL^Z(sBKTh7ZZ)G)4x+!3OWUn7%gW{_XOph|tZp0sL;9O-Wx5rzFbLEqR^M6n1
z=-ge!vL~YPP4d5vSyvO183kvYKfgP%vS;S@w-e?1d-ojgEwEGH8(%4Kc;@`iOAOR=
zBK6Olo2nB0rne}#KgMs*ziAR_{ifUBPS~Zk!}Zgy2QfV*ev*g3|A|@IQL>oj`Hij(
z*X1{EU%2VSEG-ew{kolRVw5}Q%-_9W&x5?mjT@h^@f@%#S9lT=v1^a};R)Pq+~0aD
z7&&74V!|A^DLtRYJ6S97O;7l@Zo$Za1Nr42H$T47?`Zi+O<}>F$5mlFF7wJJh52<j
zo`|(Lv14xcuCVYMO$R1-*jwB<(eNU6<Hx5`)w>%%vd8|fSd+whk!$wOMY8Kp&OgTZ
zVMle`iPtPEF0F~-{}=mEpk`<Nnhh^vkHt;+s<-CFj_RA8(|Xq^{@IWe@Z-@()&+6O
zJ6WnbUEauS*e>07@<qu`k&kb)a%?+3%dj0d%C`Q*`}Qd@9TP&6A8y!Dzo*MJ(LCnr
zcGEKH{^p|>=jID(R%z6+e|&L{vu9!c{jC<C{#<*Qd-l)L$4Nc;vOm3C4%V9Q@5-+@
z|Hm<@?SXXJ1I3AoRfp!>{e0q2T7SXxcTYTm`%g@r<Wc$}a!t<;?@b=6SHfohWGY|N
zm9NaAY}Pul>r*4AcayT!ns-|i{q}l%+tc4NY00FVt1@O2%J-j8WO9i)y81}&HT@-D
z>U(^r_jTWYSy!20(wq0(ODTMn%EB8lD>O_a6ZT#1{<vM&zWiGEf#dya_r>TRIq|x8
zPO<tqosZ$ap7wNG*Xn0{iHqsA$gH&5KE=q_>hQnje;<3hwf~-E+}$_n{}wg(X-u#C
z;!9s0sVIu=4Y0c(#PpZ{ap<Sl8Y`|_mG#bBI%l@C0lSNP=raS+Z=L3U`hBPNbl=|@
zXK*nkHdwAPrGCw!lG(>Y?pv?!bNSI5_x#hv<$VnKZ!S11Gn9H-yEpJLG~Vm=)!WKe
zADsE8C-dz|hoikdT9G|jufMQ8KILlM)BU?7=}$w#g-@Fs>wffg&((k37yoB(`^Ak{
zj8Dzq6QiPhRpIvkp6<_bJ=xv;RYtsfdg6mlGspK<8UK0QW7!kGH;&2iT5pf9!rHp6
zJJ$5{%w`bWbJe))<PopFo?Ul01qpXJR`yjEt&IK@q}LmNUx-<ko0W0@l{sP8I{f$a
z7)HKgILH{&n^1MTlkIG$|Ljfi;+715R(4kJkn39C{QR{1p8lR$4nh^*x_V60J0Euk
z^fUdqCO^OP^`lf*lVe+^vv?ZZ`tjmH@CkhfzXy3qC*tlt*`GU+t%C7jx$jQjxZcFc
z9%nAExu485@qz5`Rch`m4qbLH9rsV%-O0#4`O=c>6IuVwcxdm+nlHt|`?EJ-b5Bow
z?C&e=D}Sp99}VETm(cJ3=h5q*SLW>frSrk#NnA!wuix9vE&HeUB>c6iZ#m~Krm^e~
z<CX6C^o3@o_ZY9vIosKzw<#j7`A}M4hWSrM?<;e*hO<82@3Ur2PfuLzq12+JEj>N!
zN>7XKUoffb#XI+kDRKve`(K3gl!dMqw^(Am{%V_g#fSY@cTZ|y*wg1!rYh84nV8qR
zKA7e4{3RxPdi+y+dakdVCe_}v;Qlq9$F(O_*!vUeJtrKiFq!0ddcuVd{q9az%Py_)
zb+{k4Quc&fgW7fD{HtLd%^MqKo?SQI`u;~!hp_lfo>@zjyb~<rHZ&egI^4zl?*ros
zjpK|v@2|S~z~^|gy}ZTht8>e*Zd&oTuP47=eaGJaJ<Gynnfg}>o;h;=*PGs+sPDQ#
zSAOr&nrwda*WbqKh@Ql^^J03Vu5aGquUC;|x8}BOrQ^j_YtGJiY#sKY<l}<B+5b9B
zCnVJr-`k+s&pkmW{&P3ejsEKO!iu4c^;2|8!@9m4?<@F!a>3>%$&X2$jQvgT%Ac&V
z?RF1e^SIwh#kv2}E7^kvv#)UdKNOSy<KT}=S>lzP-}{1+m-QIs%Kz$Rlm6Au`u+;H
zZppFTSED~w_648rX(~=Xd*S(-lZ`jdUPwH+gX7PY>~5jAYq}kteVin_`btjF^WKWi
z2Mrd-`_k8|+<462TY4qE;a5+3{IAc8`JZ>pu&wSlz4tXr`9eZNq@;C&|DGO)Yn!TO
zo!e9NX|l<T30a$dtm=!J#-VA`Rk!EQ<?OB(oO^oHPuG7sJg?{cu}2NNnUrGwRrkqV
zyOMppA@XN$`c%Kqil10nzid7~z2RE?;h3z3MU|6ekL=si@j^uF+Kwb&hRS<AA$w-Z
zbnV#p|MSB`m4_7VpTy*{Onn*j`2LFP-#dP>ES<=(R;MpI;chacaBp<ys|h~w4>KBs
zuRgdF`@h4-bpMB#gUSDXt-Sv4=GD5nPmXvU<T`qV-7m5ycHiDpe<VKoT@#x%_k3?k
zcI1M|qLP>LPZ+$OC>_~5IptM;hmiQq%iSHpo3HXV#s2$PVchd+UQbxvznBjzKlTMZ
zUva*lamAy*%Bu^?!&Dm-D_Bn4H-F?SkiK_=#eWO`jyC@8&^6a$W==di(X6sN)WW-C
zvJ#8N`?(y^Cuhv!O57>8>&L@46-_5Y?WG@lO#jHNoYWaA;A9}p;u5ok=W+&%t=YqO
zkqm|%6F={idp<Gs&BU39ST-nB`%TW~xcVnx^^!x2FXZo<;Kt;9(>65X&qYQ?=A=Kd
zDGXZ}gkOu<|33Jw;broFnUjCy?|JMuNMSHuw`K0z-eUh_JKB0Y^ll!p-`rcQe|l;6
zmGa*;LRPldgBa%|oqM3Q<l|(Kt3MOhT(X_VaCy%OgMJSF4h<I5FFV6*e@d8ldAYK<
z{JSbNQBrft4`CVEJ+C+Mt1+tGl<-_3;LmK(cGBp|i&#_6g+7c<tg$lxp6`4jcYMcD
zu>*$tDsQBk-}n;CIODQ?cQe~nr`S!MaoTY`rB6Ri;(XraEqjt<CR6s0w|sn+jK}**
z=Vo_uDKoa-dl418=b-VtPTSfOR~*(~E#IdiV0}%c(`%x_#NN{KpZ*f;b=R5qtoazF
z&S3b7o#Xq(&yNq;Tz%8g+x@y=<E(>un>Mvv$<tF6n)rUr$1+uh?XS=Mm$<Rw{ZXT|
zzR>AwPZ%dnnecJmzU-4PZguC)UiY!~^%J4#ZJR!Jw9jU(KlMcQNoVt$p3>9xo22rO
zdDW@CS$R^)MxwX3<=q^m!awUi&gPjrjosD4dQX4p>FFYGud&{}q>w4PwQ`n1&xJ&m
ztM8^cPL??SroZN#I*a@J)z&9j-}h9mF4=U4<!YVwX^lNsgtLD3NZ$P9Q2np3R=rZ=
zRZrN*^Z(_#Z?fF%shJyZXka$0CoJZZZZJ#ode*(!nKFUAu943sUTvH{Ib?&yW*6qO
z?NWhpy){qw_9Rc{>VDJb9WsA{?$<rN0hg{$>$UiwU)i8{VUE51!^Ss^hn7e?p7b?f
zWAC(7I{c)9G3mjLC+C|l9J+PlnYE5g%gJdyRwq9(3wPKlO)UK+#iH1Jv7*DeB5B4x
zS+SRGm)<Y1dw%0h`;$#-y-6*XQ&+FuRePH8>z2UDS!;FLo=@E+{&h`XYxG>6sbUS8
zkK|$={Mo$aP($sX3zufE3VzdY*R!E}!p=vl5^r7UyZY(;YO@=bT)!WNhyB{&{`mcc
zrtcMU9g?k2W}Xp$e?a;0m#h8zPR>Z_Se|@hRd4Lo{_x*X>0ELf+|{34oOS=&qib2~
zRT$M5ynDZ@_ygmKpYxc__pF&3bnoo`H!tkfH{9xP|J%_Qs?+o@B`)AV=cMB=jpUE4
zejv-t6mzorK~WN;F4K)G^8F3}Pad}Yf8e20H&@NIjXT;IFMKfFxN;Zs0$b)avHw5S
zGD=Jgvu0VBF*_-#C4Yx=*~~NN9|*s@*`e3Zw7?|x#++F;_7aa{>L-MieOw?A(bAx3
z`+6tO;d>3|_X|if@SjOZDrI2ZVb34a{vr8)SkjA*3Ck9gO_ZyDDEi`2^2-f<p*Iw6
zrkvlydHi)h<L7Hvran#Gabu#Y{7Ho%hUFK+>h4`$bM#_ZmE44@>;HbgaYxiNQsB_V
z+n?tivfta&^LOQYl~rvEm42^_n0v!5R@t{Hpz?3h`nj!lcPu%7Valr$OtPK4$(N?Y
zim+(6Rx-%<@ou|5ML*Va(t?{Fc8euf_bd*o>~Gt@>WPp}ANT8h@e4h^b+}Dl>c4JL
zY1Pezy?t)$duGj=*SM$8?Ydptzx91(+m^h4vU2woiTRTzJb1eD<A(O<XRhkT_wb%v
zVcFwyuCi_4nl5)`ri-uFrbKqRyE6%feJ{V=Q}#RLQ^T~rGP~`IR;KL8>~UFIzVObu
zi4&HTe2nRJ`E+Ae@}wK4Co50y<m(YA&+YXPt>pgF<7&9_N7$ph<5NWTOKxF!-RF_A
zXiJ2DXVm0_F}=dM{14=WUiX(zo*;gAb6>gLCr0iEj-7|5T@(=b@$`aJFZab?lQ}>1
zdz9bXQOU1;b8pBFJK5d0dX;vj%zor|ts?a2_a4Eop?iCD>hCrD_}N&nu_wkZ+^qHd
z`xoyj&d)jhW9rW?nd2umy!&YMjmOV=QCjyxzaGK0+s}T851W(KI{*BK@87PvR!dLV
z@cM_l#Hapy$uGFip8HUL^H5;&!H&q%BaG)*&wZ>ry@=^(@Vt3he@|}cnSavJK60_q
zmWJaerdVxSWZEb8bn?2;H9dMtjQ#daRo8m-1ey4yW;cj8E|K5gEqCKch^>B)-`;+`
z_^g|Z-M7jj7+WuQGWX`j3No!`-al{2x9VP>lYM&j>Rh7wy*^==nKxf|&wIK-?a!QU
z|J>f7@Bec8H0ye`-}DB>Pu;xY>@<bvJ<e12^atJhFSk1`j;TlTXVKo!ZU)}PGiGj{
zwWIppiM1+6R<kM3e>`*Bh2k0O*1UevGjDfG|E?KZ9}CRbp0hAD>ENz|U0g@Eaz=C-
z9G~E;b~XL@jMFO)$gE0aOxif}gfnyVp;gB_dqZEjR<KCQ?X>uIdqM5_K8@}jGWPQu
z5A2aNf0Oij#?Dz2KHdEg`>)Td`DN0{d0!tEO|ZKE;cn7J&-}IpENmZF{b%q<{`Vr5
z!MejY>9Ezmj_|uFtdmy+{8_i<*;UW$r!I2X)%7Y^_D`7gbZ+d&p3u5OEY}%ho*sMu
zbzi@9MbeIfKQmVGbJU-DAhs*^{entoUyhx1o!K|`bjy8+IeBVVUe2nH{IV{qKP#G!
zb3NGm??#ijcu&mS6}=BH{r|kvPmVeJ$CR4(4w<s<2*q>;*X|t?E+~{oxU6XF-SUc;
zmor8wfkl*=!TkTuz>k|RuvE3}l;&V?|MBR=nF`$(wf1Gq9Zn|<8NzSQJIvInsVCQ|
zzWPG+@s%(5AD@hhu-=htZ^qn_vi@Vj2j(5MT=UoWX-{~@(7RAQ^iSj3{)4@rPdK*6
zaCf{q|7_E0i%%?$2AatXH$@n}HOQX7==l0)zt-~<kqupP$6vIppD4o^x<T*wiW2)j
zFF*7q_v9YE=C>|3C6u|lC;G3}Mv<+IGuCO}yY9!E%-Fy4h@|A7jko%2eE&SS$RBf#
z?Q?xcwcW7|6We2+_2^91d%mKfH~RXjCtT?rCGG;bWqmq7&&@1Ld(t1f>9}xxS)cUD
zhvu(twm(!6)Zi$;-_P;3`?+gye2ScxZ{w}-4U9?GJ+~bdD!<*Ms+IrY_e2Hhxn0*!
zUXs+>*3Z87{L`RKT*5tUTYICg|Mup3y{(6BzuxceB(>;X=L=^(#ZUjl#MzrvrO#@#
zC+Wtz>wf<Z1oxU;`1oDs;k{KnF`f^ijbE>Je{`cGnYrobf0?JR_gCLAYp{p`UG2(J
zGAY4=p~;~=mZ6EI({iqqXIg7ZX`|DJScZu^j&0;#(V34r|L?%?|2NM{1_lN;1_lNO
zzSrV~v2Xs@ZxD0$XONCtnb-RAlu7M_*#E&31Z@w$)<~N0SNeaFD@&}_?Ze+2V!C1(
zCQX=ZdibR!^Ml0KKkmi~Chj}-kwwdTgE`lUgDl(^Vgg?+uNLci`};uUp?9Z@_5N*?
zJGw)2-^~*;=U>N0oHBOz`1R-M%`5#kHh$eW^XCSZ2hI96yfY?6e2l$$McyWfWya)x
z6Y}>oq|9eHeZ#O}!qNHGt1BlR+&JOVaoeXW{_UBt+tlK9(vKHWpMG(!c|1$&Ta${-
zgz`fPBKMOH_Q|Urh&dSZFUIQ?!}^UHH%{3d5o6%xU2vG`OH8~@(wZ6bCZxp7iYU3j
zQTqACZEFUOm`$<&4PyU4kbeBaTxQBj7SG6*grvY}c0V5Of4u7PsUx2+r_Or1s(#~1
z=lR_qT02}Mo^aYeT~&W5=F6lzvmUMayRjp~E^f1}%0yXa^G|KenNL^Qr}wIv{y%P(
zHf!;m7d_8c)m!dfWpRRK{gt?NH@5h0?76pz;pLl#qInBG++Xt}<plG}{)}~NSZ=Sm
zlIS1Tn|!n<``s3nt063rC%KMxu3shbsrDyF`SVrNr}H}VyPjz0aqV@^&+W6aPh~kd
zGy7w&bKGhE`MqVoSFl7*iqYeq|L3pS^DgPTPXv7XGsCB`C->&muS^k`{$_rp;Ot2m
z8N!KIGQOYe^{jivx;KTVbFJ^!Neq`81MEMYRpGzJ*xz6(I{QYP@XbFxE+J+uDbIiA
zU12s|-F3R6&)ZWZP4e;M^*stI$?;oROu2vU>399nZ;*MD<=MZFYI;ny>n||e>{NGW
z&E2}{<(i)S=+aMI_J7*9GTc7G75)6ck@?TI-nZ7}_s%~1zRz<HgXx<i8{YI;&)?hY
zad~dZXBD|49D@751e^S<6k6TmyEBNV^0dIp9`Do7SG;`qu+#JC3-whK?n}5gZnM9p
zaW(6GH2-(k-#p5)qOT_^ByU(Cuiv3iwooiZ`uQz$+5EHLQa2rVGxPP2nXfnA`7nK@
zRPDzJ_p|P8*uAm0^Yp!|nd|FL`u>$@ZT){bM`Q!*8?y&ryFHU`EdJ5&?y=4*MANgP
zY+=ymhu7-XJa|zj<x|Nb{iH#dg_rxLM(l<p7AEN*G3%{oUH-&q*}*e$-Uf|e^)(y+
zKmR$&_8;Se?(>aS)_d4HTR0j0V*me3on+kZ$q=0|C6?hq)A5;Cq%vM#%}IV^WPbQy
zzVHX1y0U{C&OYsyx)&Lkv?~Aj$sOGj@7KTS<k~plrRn^G%i|+jI&_Zj?ElDdz|`sy
z;|Z-vweoBJ*MBtbKDp2Q|H0ErjN%8nV`^gmz1&&Rzwlnfo)y!NPh@vu5wLmCp3E?9
z!UEY%6@eZN4AMp13%12Ha3}vyjb%8q;qToOjwTitZ?B8Eo;TgkV9vdtaew-X&exuH
z2%gPu^(k^eN0C+B!DkycUHsAbN?7n+@cv(~emvwcf8A5`S=GWjyPq}nkHF?@x%!)&
z{x=t?G0dE3(am4zYBBl7vI$%tujX2HdTB1)@#E~3+}$edwjVFZb02oA4%;W8xa>gk
zKbz~hOS4kVCSUQ{pYzMF?#uRx462uGQy6r6a^rXTMRmsY=>9%Z)xmhf{`JrEQQP0F
zIq!G(+ok+Jr;Ft5_dnZNt}9Z!`+?xiTdyk4_UpdSx+&!SO>D2qOj(JQ-%d?vY<FRj
z|FiPkqlYuk&j`}q#H4>EeA~)%fB!t$d4H-X_pWFa*08nbpKm@>=oi+N=g#78_V?KT
ztNxd!8dU4N2(o+h<b9uR=t-&Y_Ws*-y}G|Iu{_?dBtNC6S4sa*PmKRbCHEBt{Yw68
z`eVIngB;HDJucm3#5!Hv{h7z|uui4VD_xZ`@6}JaXm`o#Wa-j_9Y2MtCmlNEalPYN
z=)~f$9*<QDoeRb0udL`?z2;H<Y?t;Q96Ju3UH!f5;3*Xr%>eQADP<G0&#pW)uj^6D
z?%4~cZhSN&U+hQjzqnqB?4JRVH)J=re*CW67ySFS%=4aZ-TFS>+PR;i>z}Ba_Vcb!
zHoNdpes$-o<dc_8!-O83?l+hbYRkeD^RUG{_W%D6_mo~v?tGoI+4|6jJLcU#{aA!m
z6l~dKD<?m?=@7x#@y-1IL1jnAn9O+>#BN$vZ^?WZGx0-1>7O%JX|vZpVPZYsTl!<p
zhnEl8?j33T7Bgc*aD3nr*|6DD-&_csKj-x0=?jh@VeE{&!L3zy^1gB_mu#KL3!Sr@
zPk-b*C^qi_pFi{3J%1KzDKiOvWZcU-qu8B=NpR2P7h&>$e=_`?5N^ABqW1Gc0`iBx
z&(8Yyd&LXoZ(Bbyeoy*(zkbev1-H6+O}{aJEc0jJUE_5la9*dDd7a_Rj}tkRJK8rS
zGu-Gp!J)U|We11Js|33@4OR0PEL1++<#3s_pNBa!XyNz9jF{65P92U65j-o89gNxh
zf>HYqXTv4F4ZAyIp8c|PeL3;k+3g!&UZ{@iuy~OCziM&Nnn^jwx2|f-58L@~BBRy@
z<MVf~v&H`V(2>kAhhg*Q2mk*@WL*f5|Hg46Bl*RI9$CBhKVrYNzl=KDq5r?)WXRFQ
zUi&^W{zyLl{=?z-5uM7LyIr3hG~2V`z>iIj{TZXf9{k(<s51S*4cmK3FLs^ph<^9u
z;{?<EF0XGtl#XyOW<DacF63#;kCnUMG+e3ZesUwBU+egXsqZGHX~g%i&fR_LvO?Y_
zBl|v9Wd>of(jBd@`=c+-b=do+k9D%6_n$uRbNd|*zFB+LyKaKo<_r3>tnSab^5XSo
z-x=@AI?__CzF+qX*E{L>!ee)T@Ol;I|G%&J73l{ko5g<c`Q5xPxhpRGO`pdl{UGU3
zp2zBunvnr3d$pF<Ifh(ejJY0>5Y`iYyyLpu(a3;|@Au}eThr8{bS$MZA*+vh<*6P%
zz3qRduj%LLYFC@z&D^6I|Ign@=vt4!N);yc-*f(-dGuwuOyI6crXJ><!Scc8j5k@1
zHvF`IeIn$>4nO;Qzy7njcFZ}}bYX=-wT^L{p#CF|*H`>5)lZrz`=Y3azg(-6Mbmdr
zU(5NaRhw8k9`_1(on|il5PqWh)5cA8R(zi~&M;Z^?!>*5odMqz?dtmOujzF-HNA&*
zYIUT{<UYQqlZ^k~cz->Sb<O6|KRvub>WZa5B{t8m-s{h?Kkf?8@^5WVlh$<JkJ!Iq
z#pb4Gj~ah$yeeb8DN?0Jr@v8H{u|5Adq<nntd6iTv9g}sKJU(w_|xm{t5X|%dS>yq
zeqR3P<h(~S_sB*t#{9Z0D_R+~to6g59iQgCh`s*t)#F)bj%|-NdLK6@<M_jv?6?JA
zT{jAS`#C$=?!@yqS7gtr+nXnDkY-Mr$QSyf_|R+R&5~9BKQJYISayF;%d07!0e3gH
zy(-$oaR1$tS*H~3_jl^1&AjKn@X)cJ+fFphe&7|l@l1!3%;WXfWhZl~uIc65aJA1o
zFEo1g@rjC;Ke1j-Vfff<etp%nEsbXv-#0pb_};wd8z+cm#r2rKFWPKTc0r<_p;Nzh
zPp|p?lPqe_qgF+%$n<Bh`qyi&+j;2VF{PMOyKU=deVoK5%%s?MXQ@HVu3eJzT5rz`
z-rCjC!Qd?4IZ@XBZ)4ntrT*L^I`<pS{M+%;-Kh5s>w!D{9cx9`zf5C_{r8OhhA+eN
zq}Pvg4sU%JwrWE_<B`L@Hv=~^taO<DY2{tvQ~UlE-D70C{BT~|#myc5bwcNVTxNP_
z-C=C>WyhAskxMzBCdB?X*|g#8t9SX67#)us<x+lP?K$y_FJpAu&ndt6@P7O^XH&Pu
z|BB>ZThmTvTaK9`E6&}0Z2Up7G~rB=#oBJ$)jwU7nB+Y;VjMW-Su)EGZhXktA@)$|
z$BgonpCvnG`dN3xn&12NL8q1F=AEmPwG<ia*UT(9`E%OJlB{bV%O8Gj*zu5K+u~9c
z#)Yi^^OqWVx=a*ht$sIM_FBw}hQmpJ8gC?ZopI$2jqGsL*?Z;9&6i)hnKmA{8~fk?
z#MJ*c_AE4Czj{r2<EM{}_cpxPutKY@NB7>$MEh&2XMJArIO#)R><z{TX|i=nH!L^*
zn4!%iWXKi!?@2{0qsoHGzD&FSBsV;Lz$p8A&c=`Hs)U$CgvDP)>IJquwY2Ye^5JWe
zNXOGhmo)27cyp)pIlrnssifNSsO!VV>&d*=v}&^=EyUa3t?6@)4mVOgdBE!4iT&>K
zD?d4~@3vPHYSiAib;}(VncH0x)xTcV`u@^*(VDpS-+y|Y<5Cx#eABD-`CgCn+y6aN
zO3w!Gox~t})iKsGQpv34;>(nvpXb)vM=}+j&0j6d7@3rPrsgD5<~NnRP*2IT23O14
z9;(RRjd=XN-|KsBubAxXUc2;`H~Rm-u6OE*S{2qO87aVe?V;b%UPZgpJRAB{w8MI3
zK7Lzoe{Yt#u;psA$QJ(D{<5okWOP`K|9A6cuqS`akg&dLskg?wfnn9ERjU{n{{I!$
zW?)$8%D}+D4(hlt#8-u>3&=7hF?1!_CNah^CMmsRVl`)Cb>d-?is@kxVz7z%5Mvp`
z$CAXztlOPrE6AYzo<ZM2kb#+(MLnjI!GS@DkwH_1Ntc<wlTnb_w4E_oAc>JF@!5nV
zi<ona+zc@sX3XqK5`qi{pIDM&7Iia~eq<?CWmwEmIO_vzX*XlMLX33`lcpehb|=gE
z_nJ((tmi{nBbAt<tyrTcvd4BXpJaH@@tmp7jH!h|A!&V*WfI4+Bu}P??_xUmlm2r{
zc5yjoeByEJWSGye<|D%f5gx}bPnOe6n%uTdJbX!QJo8;%aF}1<nBZc;VJ*Sw*%9-Z
z*RYdodB$g+<(&-6Ia*$F9B|>hc!5DmKqBTFze>MK%n#;NHdY3)B%7FHu?(%8tYVxq
zV<s~saU|VKX6TIJVrCVa6Vsp+BNL+@!@|0fg|RR8e`Z4rxF0#2RWu-mi7nZIF{VGJ
zh+SBalhrlZ;eTk1d(tJ2OG{!HYgw2*V>oh|)EJh;FeSZ=sb*wZ+8^^jhFKs<HRcJE
zlZ;DD6x#%TCP5Y^26?8KnNwmS*!?*QTo{ZqSl+5gu&)yM)WyWg%g7?#7W?lmKPN*n
zBYP5Ok^o2Yzem#2?-?aLAH}dF=`kcJCCMbsO0r-{I?l2Ad`t+VgxixC{iN3pNk5X9
zVt#R&bR_XIJyaIh#_^Wv_5CC!V}^d!n1e9_|6;t77|&}sB&~=Mi&2nhVv(0<+Z)5n
z5c4nTOH6#y8bJn5R|Y{jhnQJ0+(|wRM;R{1+>1$z;b4kk@QgVU<HC@{82j&aETaq~
zgX#I?|H82Xj7%{vnHI#V{AUsD6R=l$DWPXBVdS6~vp&W$iBntUAM+teo2JhKr}$zR
zg%5ai$fQW_c6q^Z|DuqmlH|!R?1qy*F&c7jVm0SzW6q5E*vYV4U}KE3rixMyldd4E
zdM6hzBTI}G3;W9$3q=Wc#w5jdrE^Tu!3=+!9y6&*#Qfyg%<)Trkuj!|Wxe8_kF2#W
ztic^D)k{8bn6p&7DC|mN(w@YsE?c5Fy+!dNV+^OT0Aq~u-y}~qeU3UF-bqp!9K9@&
zT7oRsEk!5BC_Uq_J<r7J$x$g#EqS=*vtCHj2YzQ4_WX7p*C55yJgzD|8k?B(Jy}2M
za%m<mdB?Sp^`r`$K6|96(hP=^ERj=%7+rYhzh${Dr0`uxl3P>QoF#EBzb^Y;eNUE+
z2J3mdJ(8Xp=yA5XoMje_VLIDn;3N_AS;60h`%RMOs|ml8BqYyB$tcABFXHZFNqR3)
zp%?Q?AVw*PN%U)sl9-f3GK2CI+axwq87Cpj6fTz-Jpree8qOD(Vx(A2v)Qu)8P-U$
zrFu)P;#t8du!1vYk)G6n7p%GQf~_lJBv`Iybt*N<d%RK6^59^+tu!y>IosWCru*W2
zTdN#mzQ+j1{NPY@5#mtgvY5caWx%13B;}OE;{Wfh#6lqvYXN29rX*>#*#AL1SLKqv
zPjuKXB+$da&SLQ3e~jQnff-C1mkeqclD;Hy$8ZW7w6Gie`X-RX86$7O^j{@Ll95%U
zn2VVumpN%7Lv9jFzt$BMjc+<JbH${YE~{uHFkDm+jNy*?#(a~Jp_wyAA?C(JhUXj%
zuMN9YJl6QE(n$W##8Ron!cuvO*_ch%P)X^}cMeMr#w0;TR;O+i7K3|C-<6UaL;o_d
zIy#mr#ysR=oS+$VpF`QPut6i{Y785vybDLQ3^O}N(p3&|+a#68F@YWulRaYOk~|U|
zwz~Yc*dxs0&SaVLFiAKjNW@;ocH1J})vP?Wf=Qy>_WwE+zA$84TX9X1KPbfQ@!Lv`
z-<(%8=8dPv9**0J=0coz84j{f<aqj%k)3fS$HN%rq<5}77ui@RPZVaE%-HT>!{DpZ
z-Ki*_B<iWcFi}XoQ^=Dk{TD+j2U`FOcT$5~%&R2%m`9c#4oMm@cRB8}JQBFb5u@l3
z^FK)@=C8nQm-h_Hj1fPDJRWkGG77|8j}c@rWMqhW8^f9W?{&-~Mu)gqmv64T_UtUH
zJvfpidD&J^vb@90%<xjh_+N_QgrrAFW&xgzyd8@j;-r`~71&}}1^x?4@=s>5<nV}b
zh%vaq#H%FvR-S=VP*C=sgtADdhmmAI52LV)P$;87pb95Pa9};7VB>=%yO=uyNxDgU
z0=6@HYCdqh!thh&7K6X%8>I&V?+uu_CnQP7>|)6F{L;b1q5jeH4?~h@(!8WBPKJ8M
z-^mOdS7JOFekU_1y%*Ta6Ud;b{qx@?i>V4yNn%N?9)B8ylcvWMCcPDCZ<rI47sKIk
zfy2h(ns7{$BZvF8Bn5*44o(Kq%SjphNqiwrLNSS3c&}<PG8D=t#U!nEh|x`YlH@D!
z*M)<Dd5@%V6T^ANq{9(&Ivz&wsS5;mI5Wr|7Km<<n4fe|;5$c&%=(rj4z`$Dk;r5Q
z!N9CN5e5OC9MYV+4E`K3>>OwQJyJ<#<WOfh-t=1LvTTxx$YPhw9qbapE{qJD83oLh
zGEZm-oD{ml*)OEY=n^9>aPtq>d=<G2)67?#oV8NbF`OQ;|K0>l5ZO89Qb>@rFNYS#
z>bEg1vM~|gVpef%SI~)h!m(GuCx$a-FUL_P0gjW5F}6ufM;Kz+W9|p0t1zT9)H^b;
zI>jsrDsPcxR8Ep(((E|Jq?p5C%fTVAIF><aWzb9~CTWkuF+M@d6_r)a2mE5bIEkIX
zHb#r*w2JP24(5p*soc8$5n3L644ImoP7KDe|76#1iIM5$+b)!#w3m_Nt9{Iwr2C8l
zzM4rcF-HX>uI*1aQO?P<m9dsFNvJ*H2FJ=60mkHi4;atH3UaL7B~Tm8lC(vjIU?zp
zqClr(4CBR4j^hGT1v!qpDBk5@eC{RE=(y2~eXCfiH`n&~k|yQ*oH1HaZ#>>`c1C@3
zSsC?5J2Os+Bi@^dw^Kl$BYQWKqym48J(D8KvLwxw3Nd#bIa+mMo-*;eL^D}0;F#{h
z$;2<Jkm_=RV`)B9uoIJO(nOBqEG3M|vHu<@GO;l%we%Kb5Vg@b&FIMF?;CT8<F<=6
z<I|)_I~QS&moGK6J^qU%Z_F%t#qxOxgSl*E5YIxFyAtoUc^10tEy$|Oc%Z~Nv)({g
zDOTd+4h8;>(4<WatWg5}3QRH1JQF=$$1rg>+X=4XX;xvn9<|AlVK!Hc7Q;d<8P-k)
zQOn9b46+IWG4>3~9H*1+2%5*}2xh)Y;!I?mSkbRgkfRywk<X$pI$t1}VHroNyQ6|A
zV~lS_j0$5#oMJW8S&8reMUojWvTXk+`tha8!s+5K4T3#%xm_g=vQ%5lZck=lYUFl`
z*%1>i5VI*JreLLOqtLlnhSxEEW?>=+)P?434G|MK<|4G?weSfgp(86qK6z-x7>fLH
zbW)l)@wDI(MxhrcW4K~mCbGyL5?GgHsKPOYRg=?j%7nwQ|2Q2wh1b1_@!*f)Q^^qN
z``Tj2p>r-tK}R5lOQg-hvn5%ejxBdT)BP<j2gGyK3`Hy^ofS^u<QACVafFkdMbb$$
zD3)Qu!&R)`qq%NI<%y`i&=rvGWO%Lo=#0u8k5j^;9Ly?*g@xG^Jq5%)x2WtYc`L?!
zb&||)shiB)3`tLuOk*x~GKw4Ya9A?2Fmb<5(w!LaFNRs1<9Ek$Hcm}tM-?SQB|%Sv
zBPz}Y=UTo9s9%Y(&}v!0&XgqJsglsL*imSufllBTCY2aPMrn%>B{?ScmrT5ltG$?F
z<|vf6crf)c#T33^V2b_sDT&D?meJwVXJ#=W<#f*_9~hEMlhTt`C&f7~Xyr|k4DewQ
z4-k5v{Lgc8Sg21>h>Hos^fZRqN}h4CGB1UA7*<bg<x`0f7yQb=?3r+fF~5i5Qeq2x
za;8e8r<Uu2;|#tksZ89?4ni?Z;?kkqf=tTdOq#rtVwl;b+!LZyw4yV%PdvhrWH^cC
z@vBQ}u1ZY&Mk2nGQba;clO2AkFzK6`D{+aGiiy-t;$i&Gq-YYT!u68rujheBj1tD)
zOWw?S#`}2pB(BM8HcpZdl{D|TApJXr>%B_ACP`yY$%j|JcqS;Za!0agdtQ8fM$REr
zsrb#86J`_dYH%osOR%blNS^Z$im8Ye){UL???wtUm;6VKkFp=FBxFCX+&EwM<5J1j
zav!g;CwmI*boO?7$j%re^Kq-d?<ES!G9RPZ>(v!jUyL{r!}@r-t2xul7}KOlCk@mK
zc5%%0@V}h@V5>t=%<7l`nY&H0e-mPU%e;4y{?9z?m;htU7mn+)6S;1)HYmN~W|?>_
zNjygBT0(}w45g+IhT6&h{%8DhNk~&p$P#!FBgeq{R*->{gMpXLlbPE<@ZvS0;QYvK
zpIlAZ#YIKkKZ=~sGGPxAGhh@Gea)UMA|m?2Q|Y!byJe(`iNM80CQD*`q-AaWRqk!>
z`qJ5P<ib-WEm;qa32f$y{-0U@28ziFD<{3=*xz)8<G4hOzrkA-JN6slj+UF{lbEg6
zvT$@XsmHvSIAP!W6?F`}4$1$%#JoPEl62$#3=0*;7|F<+(^XmAJGz{dIxes$*)@8q
z@(K%fB#Aw^&G<g8DVCv4-LxV3-{TlnHZ!KXNk3vH*-e<PWW%hbkjyaEDN=B*8>55e
zm5B$ApE-1T!ViHY9xnekEiw8AtA%1FXs|r0G>`qSQXC_YSXm{=Zhc5#vzsS-vjeZv
zav`Rv6}Mtt{>L&c2!4~HlF7Qx=}zZFSJi71S2U`09DU5K$j16QX0oIp<C5P3Uve2)
z-hGRi5z8>Ck@dGl@XQS=8j8~Ljt-Nz#5|su*Ti8PnPl*4Dn~1qrbtXjPz>87j$<5=
z9Zp`!hb$($upa)yBs-~b3X|`Ns~#+j`W&qee`9_ny>NJY<a>|N?^Ykqr~8s_GfHIs
zNU}@X#mMArnRF$|SRsiymf<SzqLUTo9+MhV1zi}I$8<LIthi#p5bPin`@fJ))g_&2
z-Ufy#6DpHbgw9QBcewy+q_8!#Cix38iSA=ymF=8ypHa||VMfO)2FHvEnjCLGeoAt5
z+@Q~s^oN1fWfMcB%z?xSl1ZNy^e?zNAxS7GiP7AHk?R#_vkOy4waC?}0?Gd?H)Sw6
zBpD_tC;eN%#lR7>ElDG3w`0cwwj>r7X_YF0>sO9BKKR6(^!dYH7SoC^@=4zrKkPr5
z6p*xi;)0eHjJgbl9)AR0GNd$d>2f9sFgP>ZkNKM97^8H8!Sn}5atC`1A4B+m22~G1
ziNKu?ge08j{CGT3Yv(J5Zwi7gD_9sMI$c(C7{oRxcreCTgfc}l1*)^gd`g<6`a@gk
zBga~mAE`5PJdb_cDaVl^q%k$ZdsCyt<ukDibCrxdR<0=KXjbAd{mjuhae;S|z|IrA
z9{(6h6r(u!Vm69%#3(M%PrA;~(J3Lb;`&F9RF)0u$^ZT;&ZuJ)W?10FzM@koDaNal
zDc1UdoQh|k<sA>km=zHfOq>cyY)QNt_k^T4qy+bHbPDXVOkvcz*RsPn_8&vfmXIrg
zk6Z*>7zLt~1m9g!IOG`F!I<P5aZZUjc&oxSr9uvgw+w<Er7<sOJmr1R?V+~8)Ioew
zf=Dx8%m=QRPl6l*=}dp)JUOy98Z-V>vTWcp$Z=teS@`xH!{kY^X%WvjCaFZ0Yp!TY
z+mO!~6U&(I60nRvK}v<=#NGu{l|D5+h;f=aQNqEBIbFg_fiY$!Cub+8snA-+6YE_R
z`6XrktFSP!3nzKz7zkW-XqzN(Td3kdtcRM&L1hM&ml{0`k}9$PPE<$)I5LSOGfJ;W
z6<|vK$K0Oe87afvF39F_GA84Q(1oVVBKMfbLU&pOvSM@@H!>t0jAd9DqqN$9IZa56
zlOaZ8MM8|w34!j)&p$bmRwgk@vL-1V7f7)aSg7=-tDRkeTgk~}Gn+)75-ZCkR^i)@
z5)B8FoFx_sFvq-Rc{7b6`G0g0b27s!K?PUG3C`~YIb3F6xFo~K!0eTDIZ4eS_TS4`
zhUEfA44g5U$^V`wt1vv1mc8L6!5CvR!9p|QJ^!ASmaKex=1%P4+oLAY(C=BqzcGk^
zkCDRfi8Ci9hH@_9*(D?~o!gW1+<`-aQ&e~jPIDgXobZ=H^sUH|bCWj;8Z(;42&D?{
zQur6+DY)uJhlo`ZV_@>Xk0Lfp6d73`*LQMmWH@QboT<V+lVRqh<$}u?c@-K}xKA>?
zcVzhZB}PW#kdS5r<97~Efg0gCf=9e!W^yr3VveeBW;N}2rgHTnQ?3e!AVcXy$Im{}
z7dRCIlD5cPQj|)Py5z__HTK^J*=znEWUi@uhHsU-rl8Yu;uvF$%(Z2bVv>`XQn}7w
z5s@rXx;7!UO8uVaOEr~yVv?S0wT!7=Vv?+n>x&GkCz?)TdCK%$=h!sus-G8BG^a%f
zD>5o3@knxdGHKVX7vY}Nx>BvDVY3Ufvk~`2j+hkP3o+4}QsOLs9T{tr|9y~=T+RD<
zmy67Qiw_4TDLv=umjAED=pe-@^*y1OF{Ut*k$sh~3X6aw19NAhpv272QgeG6cYO3w
z(fS?Ot=tq6bmK(qzk3c>7Pff?J)gf&h&kGl=P9Sf6lH0~Bu*h|hD3=Nhh0gG$qW<K
zl{#XsGgQbZ@F=7v{d$nCbW}kMI{yzDHe*hJ?f(b!-Dj|Ia3-DdSmPL@v`=9cyT`U7
z-xCg<d=r?G;-iy2f*Kwr?MljI<Mo*4anGoTA!d4vLhOHSg=B{1F;$<oPI}HD={0LY
zi2;Ai0_RDuF27)GZJ+$PIYEF!;>ARhq=}JtnHX6W7O7llcYdt+;^Ke%h@}Ed$qeTU
zZrl?TxTO{mAwThj{3i_`_HQbjE;<n>VlKoOXteF}bSnMuzJ>e3_jgHee=F$57;;nz
zUVML+qsdNCL+miqd`7__B_{^{{Q@zpNzwuDEd)JIPGsON5`1a+kb#9^(#r_epZ+V#
zTmo*fv7cWg^~IX);xxq%j4vm|{<r)cA*sS}T}VjjZh*d;fM3hcB!=5bp9CU2qy=IU
zKdM}5{>FB`^QOJ$>30G51h|r3dcJK+`n{JiNhhK~fivbD!`Vr84@_^KWjgPW<dk%P
z;h+)2*%iVrj9keRB2Ky#aV>wva#n4P!C#)4L6Hl2XM0P?d@6hqbD6K-mCJPH4mPi|
zBAip5IQ^3j@l5Y#65PjpTBhL<=cFXAnTBp(&MG7`ykI_G7;%`f!E;e!0V~f!SFXiY
ze2euBryWXS+8z6UhZARlJ9APe<I|A*JDjUsjCTFtURz{ztW#qW-+IPWvBoL7TNor2
zIFqyte|QE&a&2eg{-VvlQ;12OD==wQKhNIj3|E=1f02n4Qn*w6i!mhTl5C(klV?FT
z_ezafyJUm=8Ci~AQTg~wCe&5v<qGL=A)&8d<4${elqFONE*AQ+R!udgGpRv?bFX~-
zH0i{i&c<Yh=d#HzOwO!EOD-@bvFLC<ij(?1W2%Sjgi|{ARn3AOMbcyc+gJuplCa@8
zt|jSW6L4F)P$@|7yKM2qAR9@GCYjP8*>b;$`ms4GZ<swBLOLa?r95A2o4T-wYsu7a
zWioGJS)J6U<M?W+;SRIA(-`iT@VGF%yeQKy`^3FrKciKy%$^xcj7%|Rca!eKs0y0i
zk1@C(lV~6qv-dzz0%uHngQoy%lIi2vuO^SZCpu_b3o-1s*q`LMu=rP!+<~B3CQq*(
z{9=(b!E^DELbIpl2cj*Kd?uC8VT_q@Ylch55vSPOBKjQ4j8BuCWMURh5Ri30At%GY
zta3afuTxH_N@I58>b8j-{#*>=)~p>X1^%+kC}I8@6Q0DhX~GPvq$5d1t`nLjUYn52
z@VdszbKMFXmyOdD+a->wxNH^LKGB+6#bK}IUJqH<gAA>cY*dBrI!s++X70XI#ZHss
zp(PXd)QRdV^BR4W7;SX#Ot|F9#VmQ5A^gZbDNE*=b2}NnGS4b&W{jy!(CFFuM_Ai}
zamMwcBI)OIxF=1r{1CQ4_Ogxd-i54FZ2Tua6P~QgcyfVVCC|!WL&g)iD*OwYD`f<2
zqpva@7gnF~z>{&MxUNlN`OL2cj7i=zCo6IB^DD$Yv5VQcV8Mq8Nuq)CXUu74j8T+Q
z=6LGl7!@;V9V5G7s(`)+Q(!S8`+}tXNtHJJ9_<c-l}8+ylK#gO2mN)~vLM8iDOG_f
z`M+?KO(Da_b2DmEm@=N7cvg^faltDA=A{1?4gU)M#4_^Cw6=(0UR%2O)JE}4<>Uxy
zPsw-#{b+f&SubXl&tbeUXT@0;hjwYfOurS|ii?`MQy62ea51I`nqP`7NRnD<C6UB6
zaqb*Onb`&_jfEwY-@EVN(ptfBDc({wUNPn#!&gpj+X%;)gA=(@8CNn(WGgVn>}TSC
zzR-21u}24!Y|!FK5}g8-i;9dVTry%Yy|88yi@m^niPb7Q|Ngjjl25U*V5Z?plX#V+
zOon7m#`?(I<o`wv$@>}#PB5I$YFb$9!KiqF;YbXpy7Y~u9nqznI~^Y<ZAeo|&`yl!
zj7&dJnf&kIh1_ID?u$n}_~n@rPsMhw(DmGq714R@;@TBDib;C|xSIqNvh8AyME;z!
zRy)8_=BB&Tk(i}7su*`{Eo5A9Ti{zvxqxT4W5of+q+-r)8HR;3P6#A@iwO=0-0G41
z-)pD0u<wCbM%JWL3%WfSkM0R$I%xPPnc>y*6>=Mw&)M;`AVOyL?$}BHGCzt1UMT9|
z7P`+N!K;$v%A?dNsQ!Q>>43##c0tSbg4q8zKTHyQHgT`Sevc~}jx2me%$zrDganGM
zHosK9sli<`Ddy%Ur)oBR52hV|ve!=9*^_(Jf=gz{UX9LziP_g#PIXu??%<omxq`th
z_C~^z$SESzkE|%r?I@n$%VEBvL6}4MjQftKOkBZ7I5n;x-Qmi~xJ@JG&_T}lnC=5B
z8<}GNdu`-uh-Ekz<HcpZAeLb}+j$9t30u4lvk70ea8Y`pcaB%KTYyux>tLf$%ps=d
z7A_kfTXLiy$mnI&jQPsNK4+ui!tIj}+AI83;gUXJJn_h()BMo_$pz*yJHurj9I#S)
z5+hULesGUV<#bLlzDqTnY?Gb1x_OiK@OH5TzdrTarPBVP2EW^le~gP8V*l&JGQ5)F
z6yaT;H0i6f-ASv5Yv$a@tFGi=6*#Mzq<%n)$yVUs(_}^#&*TUH?!__+?R?1bjM*xd
zagn@A=;=EgtPEct#hCFVoq3R`WXZC7^CH2*r49{_uO_mH%5cj6kn&Jka7?hy<(9%m
zR*S1VzaH#i@r&8<!27<^qbhdJ8=gMzC7%Z>^L&1g$kBOPT`B$-NB*2w?7I>|_-u`s
z!n@X-h`GbD_yD`7m!k}u6L(<JbC%sgvo0`n-tlxeDY2R*%pjTJV)v0bF^49;5;c5b
zti|F!>7wC(rjr+!sBmuOc<RZY%X$2PnhL*yHG{OLtn<?&9K{_mY@7eRRG6+J{aR4p
zkNtuo<HI>jI}d8bs%V!UELqBWT<o<A^JCtI->3NG-%aY^7H51R|B(OWV-<Bru3n`t
zEdG*=j)!OXa~$}5lH2@3il@<1;oog9l%EO6w=nC*{=2#N#BXM+d5#_uvWD#rdP%R6
zl&q#tkc|1RQLVVx;?Ifa$}i^%F$-#(W@CB!$0g~T!A=%d-kzA*9PIDq7?V%@w~d{U
zyOXQ%$EBuAnu(%{O5f8T1)NuW^DjnJ;;`~Zc1Pc?S9;+rjP)vCt~w-2O1zyB%*n?u
zlN<Xlmxq1Tzn-_ICt4>myq_H1!g-l3#v#NbhSU8~x)BHW%X=!460C+h_nI!fc}e-@
zi;71~FCKnBv5@1B!$zhU_q%$ZISeNqST$?q!4Jh3%8Fjt9o*3*xRX2Sp@%Am@Cy;f
z7(dAu%2N#W8RuHDvd;B<@YyAH!tp5HtDVNjI!Z5UPh^zT<hbAQEn@p$shcVmjzNdj
z8yK0{PcpGa2OmCm^6~X6OH`v2JG)<U8nW;jMmfJ#x*=w(?A*CCs%xnpW9E||M;`9$
zkovGh*kI<IgDw2J_dZKWd};1X(&yaF%-(qF#=4ba&pCeZu1xyp7PDXl1FIL$N{)WE
z*J`o<Jq2rh#RWB0m{?0c%=P2EIF04K3X5j@gyosed&R`nf826noc_epc;<xvEE45v
z(MMRkiyE_)RwZ}JEYw=d_#~+KMY@nOr^H4}PhMVjOJjveGYsn&b5y!;RX-PAIf<n*
zaV~qMp8>ny>sY4JC>dk+)j>?r?B7?hrm70BW}Pm{{Pu^gXX9&$xh$EdnU4RQ(Xk;i
zNi^^h%hjukc(#kN+;nBRYr(rySE^I!DaZN%xunh=zE+(ajIUX&CO?lceEj3w2ZsqU
z&xI;m?jCP?pS<>fPU~-7rBB^0zt&#+*dtidEs$XKz~-Q3OoM{*%5@*5#F83SJso&g
z?DxBw=$QG$%sKMS8k=6Nt*m?3xhmbUWZy3&+{Api-Mi1RRxzeu;mqQXJ6vjP`y9I!
zoToH3I^2zA=+V}D#Kbzc%fax*j3!p$*#Ftx_U*G+RGDVRGBkOzn-r)!<ng#aVE%l3
z0rRIB#)2<zH25Fx*ui>0C{Z=0L3MJ1+cpK^18XiS&-l$acVaWou@jqK?qz0ZPC9MK
zAi*)iS4Xx-p{Ql$+mnWmI{fGLD5>imcr5p$Pf3YSK~u@WoR9H=zjch#J%fXK0iJzI
z4+8fv%xf{Y8snZ}eZu#G{;fldG3R3ci*$F08kf(xA>9!z81eL_;E8DS6Y+}veIj=i
zWB8oHPHM|>Jns>D)H8pgR-pPEn+}CZZ2DeH??_B!;^w?9!MH-JyXAPNyDN*nw_~k<
z`ze<Ap5$j;H5XYUCxtL-9{s5?nVG%mfxCeFqy&C#J@&-7!0b=V+MR1NSd%@YrWzVf
zWYuBpE_=nYcbZ*gwrNV?(q0kEb4d%GXQZBFzT6`cY`Mbjl$6Vgm(OoZ5O$Hy`TyaK
z5c>^@ZCw4mLR0Hi96mX#obFLN$-SgKc@cZ|HNF*_6f}B7R91MsOekPoUCNq!nj!W{
zfUf71?hemYLB%WhUa_Q44-xAZS$c)-uD;;0=WiQ&`ZKrkZ0%EcIz3KAu5JlKJ^xjk
zwuzH0<#*aOO+4PXCV9o7h%fyrb#qxS_b5E|S2^L$@KV;WGeJROL($|wzmuzM8hc$B
zFHHJ(K+mXhUFQq2KBXf`iV1!XI+dD}G!r6vdVVxabltH<u1lG}amk~J4R<&Xte7FT
zA?Z=4atD*?=L2RP8VNlw8ZWRXo#Sq}!rhYmZ$WM>V}rYU@)WVA{*yAVCs_0p2q$&-
zDe@ZobxhsFakxkRPgGkQYx%T3sX4p&Cr(nCIPHd-?l-rbI8OtK%#7@uc`cvISJbyK
z?byW>)B1V2ryPTArGiptH2VTGxgQ<ZD<>Xl{Gqb&Q>Bf^;~3>tCp@)n7(1%wSe~55
z;p@TWq0Fd0NqAuc#{&%yg#~+V+c7b3PiFX#^oXA)^Fz;?lfBx?RSo?OpF6o-KW<Y>
z%wpTob5gkX)SMO#WotjnLW>KI#~<wEUh%eb;SHGv7X^)&HN2dhEbNSjy^|UKN5^o-
zGAxb}+Q%Sz+U^zm;~g<3u`T~I9zKk@=kbH3@-<`33+aYHzlk4s_k3XObm(PdkbV#w
zvv5YeqN!2&1E!eOu?!168vA0ZBz7uHNxH=$E>_ml-x15OVMjp(i-rd;Q|nGCm$?~l
ztPbq6nKt8o&iNTrW4QlEe&p!NpCEW!$f|Ea?7vGcLa7SItvZ}ZtGGKgV-$D1O4@s3
ziIV*Wt49X5=hS~J|Dhl`p{j#1rsPIXOM@&cL$hSS(jST}N&7ie0+Y5Ki3vNSf4R?j
z+sPdtCtbSPrzG@YDW~!Yo{h4PG!D#En8E(ze`i+BgjYEnJ(&|?8D;Nn?g?4XvxAjU
zzQO;AV8C`ChEt5~leJ=YGhEB*k{0S|K4{2Z6Z2qFw2CH^`c3-?#+bzo><S7orzPIp
zZuub4nN-WDoGjn^eg#X6>xJkaF~J`h9?Q?Tx#2`dJtIfVh1mZFLa~gD2NGxT#3idS
z*tFXTvoKV0b}uq=Jby%R+V|!+CwHWu{Nk}<xup4|9Xj5xKT1#BVL9*0@z(EQ9!V>C
zHZf|my*ih)`{s=M&0jX0N@{rWAV#y%c_PF2A2o$DLR=Vcv33dEN~ujqZ#=BLTi}^l
z@WRG(NhgXIL^>T8_@-mt5SYU;Q8;OXVR8f4#0ADnzOg3%d;C$ONBy;k$}27D?t?K8
zZ|-G`=~0^eQMX}&Fyl^s?gsA_6B(Kn5_U4mOFZ3iYEm@E!V9xk{8UhgImxk9y76PD
z;DOANm+lNoD>7PaE=*6jm-Hhhv?IJ(KE}Euyw~|g^^FS?zMgoUaJTc6ns(W~<d&oH
zGICo5oS$sm+VDT8<&%(s8^dK6Pl0GjHAMxXkNX*w+XWSz>gBGzV@cYn5EPoU*-;@P
zD(R>~ToJ?iMb9U3yq$7=>Z9}R=Zms<Vs8rE?YcXs@qW);Ui%oKGbf^dTI=#RELy01
zp}RnsE#}~aFG-)Of2?i$GI2$r!V8{=@D8^QMGl`M0zWu7iqw)B=DnWpQq%IS-fqFk
z?g<_W)hf;xZz)ZbxUoz4OweJ8lN}q|7*DXeXi0W3KHyTA(A>({vGF3Su}ib!{6=P#
zd96QndUQ*xM6Oy!rA#f3<ScIc_v?w~mi60h+Wy`z(r#X|eiiHYODTJ9PP3S#$-IJH
zMUPS7W2DOe_P?u^sy^lwuFEtyoRhRki-CWN%u6xu{79J}t^e#&l9nr&-4J}DVsR%v
zBBuS%Iu$`x<%M&Sq9)92`S(;v;&t3f<JyZ$A8tFbBjMy@i<$NF7npQP9&h`*S#n`O
zQY>q-_H;%67DgqB@VF)$@Aki{(!7UX*m7?0PU<m#8N&K_Z68x=mr&cklN+*>7~Y=j
z(lM!Nu2JU5Ki~F8o%?BE!2D?5`qSRZi+G;3R9)nG{QdN#7wc!Fb@WBVwEWZZNLm)d
z^<70;tmWhRmfzdu-+yZPTjC|hE%|a<``=)h_gXB;Pi5ZE{&+?H{k+yc-o}4}WZtts
zp1<_dr<T7VVmmS~CBJA4shZaIM=zCEzvb`CDSGlV|9@`(GslE^Q;*mb5#dcOe?ul&
zc+2P;bk3Q$=%@GQuA?UmXI`j@Z27HsR8Ly0_uyr|1s<j`{cV3tWp&#B>@`l-X>gpQ
zbYphw@8{w>1C!6U|JL0#O=%9pO|yXY{X6xVSdUb+^G=v_$e=+Z{jlUg^VqgulXspA
zNaC8Z<N!xfV9csV)hCXfY}8?o{U0xx$+RL*{-7cw_lgaS^QRhQNilqh*_sp)qckZ)
zEj2?+`QTR;JLOF$f6h6_x>)aloxtPFz)t?AcWsdw;f?R+%$&G$mooFLbMqUM8SQTT
zX<|@me9h=%#=7B#qoCrHn7lO#JC`g=IWVi`)xntEvsxnCUU^*0+?RPs$mx>9XUpRc
zDtKF7HXFuFXkuZMNoLrrlC*|pX(z);rh`oU2RIHSdB=9zuq89BK9;oO;=wdE535g8
z8H$>wNjx~*7PQ0PlEL=Jk&a0orx>~-Jd9>&Z+ydZ?$Oi_oXn9P3+^RN@JRk&&5$u8
z+LbBqT;s9EUCN!Mg(1F46QA_06lvPfz|YVq-!XH#OiaiRF~*jpMCOG_OBmQYKJf&*
z2-GkfjB!gk9Agm@GwEIYImJ(bJ2QTs;NV%*cjrh_E`#U3#wYB_|22{~*l%2s!sOrh
zTbX(B+n)<Qw>|d@$mxk&)Y0;MZJbc!jn^@4FOGO@WNdwLtv_kSDxqe^q-YlQnwFQ}
zdv^SNuAzLRwCzQfCEMSb^Ws}ysB=!6-O1AO;_bJF?G~Lg<u`^io?Q5P#f_Gi-?nqg
zY=}v2dC|H$Y39xIEic06^Y8i8@}hPV-=3BirBQsl6n?ZVG+^8zb#!9$jn<cTy6m+D
zV#z0Nw!Fyx%KG?p@qr6Ehdy?$R#JW{sBvjI8)wu>HeqA$wpU^6WiF*j?Z~z1IIv0C
ztL4SjQ}WkZUVJ~vH@oG<wU?rjIZe;EwZ4pJuU#!7nbY#(73<^aF%3-VOSp@5j!m-K
zX#D$$14qlt)xj)(PfJU-ytwv@=W+f`ng4As7A494-`?^nRA0j;{Y7fij?IlPIt?cs
z^;q=4J!$R?&qU6K2g(9JOyXN#J~~vPdg1jCruJ9!l4m??S2@7Oemqv^e@g3{tDQ~<
zbdEbcS&-27X631y(Gjh0-if*BYA@dK?#LAt&goHAr`z7fzv9`c>iGWm2k(|Q|5wQb
z&Sz?Q^W0B9_^S<LkL<iD8q*pSfB*g1e{fFGmM5iNNBXrprDKb39h?+=bc(jpnI-=1
zZ^J)vK0Wcyz;{Bt+lwC!yKU^ISSMMUs6Jd{Gu=iZW=~R0bnyJy_c}IOG%wJ$VU3w$
zA9}81M&E@Xqr~@5=Os;0zu5jhv(otKg@Opii~Kx?*!D<Hh@U88X#V!jBq1A>sVn6g
zb|nAUFroNk<0pX=0*d+1C+wTLdgk&Ejft!cZHc}MT9vH@Rxq&(tYJ$!m)w13+oH9{
z|1O!bpswe|5gyx~8+#|OJ-)Ify}|h5`B_Gf?={#ds?K0N-}YrW@9EEttr1Ndbs9eP
z9PIel_>pyA(x<A3_C_Xw_6xsQ9Jvn1GQ4Jxopxo%<~ib5CMniS>~9G;IwN+X%7p#x
z&(qniUPykDG)=bc`E*;+l{eiNNZRelljN8<rE;PWFF(h^rdO;nE9Wox(lm!X=7IYP
zCMB-(=RQq+IZd78_@lK&?1Bfb^ZeAdI6h<L<O^4v{>@mPQk<>ZXs~%ksldh=JDKD+
zBr|hzoM+@pX5gM_qSbxj{_hVTH+<rpaho;i(}fuiJ2)kNN{A)5%~7AfBZt?!CD>D(
znX!D{)T6p>pFZAiI4Gbj^!2xQ%lnVl9~k}Et!mR>Id9sr%c7DK?{hFV%{<;warp3t
zz+-Y7g4hluRY-D*&)J~VAt1{nA}hVqlz;w)sD>NuNiQ$($1)yY$-wdQiW=+xN(RgR
z(sS*%g&rMWP^|R9E~4><&CDk@Z*Oc7yKw3tQ!87{&5nDA<sRfKb12;u;G9`yb9dvk
zIZJ|Mex@(xKcOJ^v!zccrYMKu_sRt+Qv^yo3O}}7l9+iS=XlJ4p9Qi18U7TT9r$r_
zBafuxfs^Vi|L5=U<FMA5vo3wsTf<KcpQOv%FXbF8o3p{8Bg<XjV~zAh4Wmuo2iubr
z4gYmaG+rcbw=t-aYhl~zNz(rpzSw*t(PHJ=?$%Q`Y|gixbdqHZpJe#l`1MzJ-PtR4
zcE+<O|JMnKUBH<mb?$id+|Aos_I(h3pl-nVvO{jtoQPzG3mf)$$O;PXVLx``&*MiQ
z{xxWONSr)SJt3Ll=5CdZPy2uD@G*W>YGm=_Gw->LPd_X<!Mgb7?#DBpCNe(gN#x#e
zsF^Y55!3Gu4GzY;o90-&UwKW3HTJ^Uz73y}epF6ot=!d_qM7vH@(F|KheeZ*{yxK;
za-rnJWR)G;e@>CUX?ibGVPVI|Ck@LxXFp~?Y5DnK9YgZJH^~gjf3~pAZDU-a$+%#*
z;ewZPH=ZQ_ocnRJ2Uo*m26nLraorm}eo;Dmq*I-{Jo!Zao5B=^`yE@2e>$8y7~f^~
z=*#tqe2fnZTSZuSC!OB?^QPh7Wsz;dIt{vFjZZh8c@*2=>v8t&(QT91%r8`3<&@z5
zw94ogTj|eExrtHz3j;3es{GYcD3y2;^J`VC@~R)~zL6YrTNYZ~RM>kUT|p^HUE{XW
zEsjb_gQ;RH8&-)4zYt5`_~Pf@Cl?p)n0zAUVbM$Z4Ub*~-1ty+WKu5Uj^)an$(|RF
zGJ0P;TXW~af4K!!C$&rsWq&e0yLo%#0k)G|#V0qXo!D|X=I2d==L_Z=uHGy5=xOpl
zop$DljDL47+1$!p(Wsnr^8O}9;Ry>WrcKK^DAB|C{f5|4n|9{YthNo}$^xg;cf?Pe
zxUpl#jSuUe2vonZ`Z!T0Vov=uHQ!d|)VFMN8?`2C$~#0JVK8Lh*?f|beUITu?v*Q_
zO1wNg`RnynuDy&c+)pRp{x$i*(H8DWKaWqeVSKRW=!PTeqPP3ox%m9Qwd&8|bCme?
z_j06^bYsXD9!I;0Ek>`b>wn&yw4|4{v7O7E@1o_E8~ra2FP@#kxbv^>r?%ry+Ib^c
z`YT&_Cvmp-^BiyIebhK<;|G}&Eahz)lf}$!TDY@W4E@`c_3l{A<+*xmht6knxdz@!
z0rx&b6?RLH$nHL7#>NyL*A*EPRhG6&KAp^M%<5jkT6)^f`rlub4Tf_?wz8$?>53}z
z^Ltw6Z<s5}xs)w8n)T{dV_A!&#~iVI+aK-fw`gt8j6A6L;7`wk4GeEp0v<Ab_HL6r
z*TmIUuf%m!zJV|4_-mh}AAM>&4n3c!@i5x(L}XoSLq``=rS;FH!7SRJ7z+;6pAcL!
zd9SjB+2h02&28>%k-Cfl&W9&<+L)U)NvX2NGR4n-c~X+CrbWVTg2wzm|Jjq{SodBx
zV%~E2lS;ewc?sd!P10<KCovsuT|4#D%y*eQhT83GL!Z6~jhMcIbI#2M&Am1gyw-#^
zuWU&2j#+L{k?=C9y5str=A{wMk{(f_c1}i*T<^@hDest+;oxOAVTtpL2DT1YyN_*k
zJgsxI;@idKPE0;&6r{n|G^e|Oi$hMLZhunJKZd!C4<uX+3p6Aq=<GQ$ukGMzUIs~y
z5QzoblkD6-O{-a))F5{v$@RvIhXT!SlYT4kCNrkU#B}PkE3IBPsnB@gGuMr5VGWDd
zbbY(oq^wfZY*8bZ^m67m=FY`64N7hcI3jq(b|yu(n6}hR?6|va&+)%ElRA_(x2pg3
zEd02V{n*V@AIm2EOk)3k@boA4v{pUskJ-`xI_f-}pCx(jYuB_={vAAHzI3C;vC1yr
z#*m2<xqtRMGsY}%{<NdMRX_E|@nnVWAYTT3x2qZpo}7r$PTtz4+WjNZxB7q0zZR3X
zzaRc-Jvygz=J_s({u_Jz8K##t{OkFV-o$#oA(;E7^Ns?A8H!>Hr*8fq+&*Wzf6mQg
z4|g}e?0@ERvSDd|i;ypeq0s~99oxfN=5B~_-q9eyxS^i0E~#OTKvKzx`K=!x*w-Gg
zkoaNtv7&HK+w9`#7E!gN4cwg!ivyQ2ZaBB`0jnn?Z)V7+J5wKCKe;mfgpo3nsNCz7
zS0p8zc9fYhcE6wB;LY*&giQl;XKVMrq=^EIUpG$-{I+}k(H7aylTP?D6$&bzV0>Y|
zbK}HCn|dGUyR!AiHO)PdbTEC@n-dIM9~^z&(xLi%@``5Nk1g|qRBOzCicY<9xaZr{
z7KNv??q86O&}>mq+J8a2f8)c0Y8zV>1a@7p4?g_kAyb3A(=i6_KaMShH(s83a%1tL
zXB*p=Tw2MqJGH65RY6m`eSvdQbPUH@u^kIOO5PT2@KpQ2nv#@%;ckZ%ds0bvlhVvG
z;SJ?6`mJ+AFZEc<C3*^UUvv~}S8lq?;j10vBCxoo@l9RB{D_!V<r8fj=`G4eJAQJM
zw=8%WB(J)Wvt9W|>paFJm!yT2Qj#-QcpPWs<O(l(=sa`Q8^#G8f(JY9`<f+n=**vS
zvr|`8zisaPTD3P5R$H7Ztd!W_di_lc>q&`=Ej{tyCY05*D?M*qe6+PEUhd$pkCiNp
zU9*%mMde!F9R0bosO4KiEW?|Y<;&w#rs>Y%yWOf-fA?IYPrKqb$)@~mbJ`VkKlrvS
zOnuYRKlN6d^0i}4^B3GpZd0~SZ`jzXY~6h^@z?X5R^=yKX7n~FKTci{dA^a0ukCRI
z6YBhb1H=D6@=svfXxf!epKMpp`ZB*s`Gc2#_BXp$<@~9s-zNU`nKS*<1fBM_!;G!U
z=2ver`89duw5Z&?(fovAT5Egi@t!Hkt!lxE{7KE-=iAjE-86kH_oGH}<%9$Lts3`x
zl3q=)Z_!L;W1rwIlw^1J(n_7^q!!H_rUv1pjmD;{y#BOz%Ov$Rb$rU7a7(;t=ZiUf
zNt+pXe-t$6J=s{gP^DsWWAo<)_Uap3AJ`}HB^}(b^hw9`OUE86c3kZhUJz}cq`|~{
zuj6_@`vIn8!3GWCjhoq}*CaiXNzz}C@c!qTvlrz%Y#2^3{@i@NxqbG>)Z$GSwza6g
z-u-vRmY*$Zc6KE@W*$&TIuZLnxwX@~bYGj=t~~wL{Jsy72mV}ty!U{4;Y8naUGJJq
z3R`|;nKi44#eR77k9EWD8GL&>*Y+6y>0!CR_4DHAj<iP~POX{ncf;?-yyOX)?J}>8
zCr{9xy7o`w?~0BSpIiMtKVtA&H6iGRPP^Kv2|ACOrmbo5x0v8ix1c@$SWH!eYTrrC
zWUdKO=liqxR&<|S-kLu@fhp-wLqRK3L(ciO0>5)T7XL!#PFT6QMSE|H@7$vkF2t-{
z#Kmaek>2+;j`7mGv(3ugU4in?m-+POEzfDyKYpjRppWzYoGzud{P_Y&mCQ?HHnlOy
zHY*-tZZUCt#bUUv#k5L&!iNRY+RYOtD98L}>}|{z_Y~%3lVtuH*O2|EWkX8Kgy%V}
zmQxoTJ9^1Kt;uTgjDsKc9dELF)|7p;#p>9amYh?+8z&!3>TJ*EO1j@_yJk~M&YKl)
z&o(}M-jaR%QNzzx+dnNiQ|3IM(|Kzl&*z@TPY;v-cQ+ngS^lGWzYEv(dt7&oxMEK`
zmbL7uX<)5uo<8S?Zqr5Hm}`|xnvGjOw&gvV^n6Xn-;*nLU#fpL@%o%OZ%)5*SC&6_
z_wB);LyX<+U9D%|-?(>U%ATfz8x8I!4l&JcEAYFKRNgTAL?_eMmVExCb49a+7wMeX
z;M$&l&T^qee9N`lt=va8baFQ4b#LT($k>#3wBvjCiW#=nlNc<NVt$y0#WdtS5v*_E
zR=%OI>iI{Og=RP2H?lRpOlG{qQn<#lZpGav4E}Al?MVx3%zvu&?-bhHb?eZc1&bqp
za<1u&j#zPRB17Yn?9a^tHygSV7-N>S<fgYIl`E?zbv5YlGsfH$h;8w;xnO!D=|oH3
z&ELmvH2SS+%iC~i#g<16HJ=+M2y%+}-po~<-jb7A$k6?DMsk8s?0@?i={%F)GeyKQ
z9NrLkLV;oVM$_YbpA^$NB0e|p-kdvmbNk7UFTcKevT}Lr+s=QoizhB)Wv*D<@gnib
zg`_2im|0|F{_hNHEKOmNKe2xE1v3t2!;?wdLRu6*#<V|ByLoeV1m}`d&5SVzTQcWz
zR4_Xx-M4QLs%u<v<X~lcb9Ud1K2z<6Y_<!#S(55rH3&aoNn-uEjgjG9lD<I9gV_HJ
zzu##$WL(?u;0Qyr(1%|i-_3A!{v;s1hGoy@hq3=U4IbMx#<9jSoaBgLT=j#?;=^P!
ziC2f?I5yc^F#Qm>ZkS`%FegGZ=F5!PA2+l!$Nty4$&l3;F;OJCkxy2C1JjR}v2Fej
zFZ|WI`7PmRQ~sWYc>>%Ff}f-t%EhE_-Qb$vu(=_RH~GInWGusaiRX&?O}uZU8~G$|
zWvFjl&Zw;2kkYpxL}1fQ@k)*K3rh~fGK$A^NT)Tt-FW$Q#D(K3yb~4l<;?#&&TkA9
zw9I+X_;2ww6@x|<e><j*7CX@gjDEZu`VHsT$TVH!_>jbSKACZwe9xlV_P$LF$t_uz
zezzIbb-(aa`uO9|##c|2ezzE9SE@a2a8*=f_<4@w=et$2B5(8S-17d!$J#1+`J@VO
z>;3KRdbzV#6tziCjgmQjvsH3sidAs8+2=o(JS1OvwCG6ekT|!~zPT;EU25qhz2ZiD
z`PuCnZT9o${JFg0sr+0X)pqsVy*#RI5~7}hn;9ytmE&3@^k#P&Y4GN>s_kd#-qa$s
ziS_5FHpyTsmXF$Pl1q7C-u<&YNaHmB=}l5gTh*?HE5`IkNmd^?G|Bb97ki8ResQgo
zJK60D`zK6twa~BOdeHr-@b{+!=k~NH{5){+2jhV&IUnPXFn5SPIia5@6Vsxo`!8mJ
z){U51cEu-b>?S5A9b<66bilwpU`tN(i==-$oNXp(PO8-E@R&QxbYrpw<NMt?t;%mJ
zbvt+-|M>84<D_*J2ey3NV4BpR+{;v<^uy}K!v>wC%P}Gw-`YyQ5dFeGLy9}QN!h?Z
z=HhANikNd>KCW3X-NRC*<Mq8(rF}|C+|2de;&F!(_Z(RMqm_AcSM&*vQzxtr#T?k(
zfB2?+{gL%v?Akd^$8LVV+?UqIsjq)zhZbA(Pu9qTmoKuk3PtY{2(tXi#(89o=KP-z
zoZQ~D3VuvFc3YYCxb@K~y1C`nM^6jQP!c#`9m#ZY=9x7u+&7ON+jxBQCSmrRTbXr7
z9(+2obn=ml(_OgKtrGhtF(fC=V95T{qO>vQ*xF6U_RD&DwlPd*JD7X)acd_}XAtY&
zsVqDH>{9Ds=1yu=+W&b*SHz6VDcn<!tX(=eW9ejp{^UO=)^BCG!LcCcU*)+Q!EKt+
zC%LVT?mWMqZ$+wr(4Pz5ti{{eZti+o@ch`$=TEpd-6`?Quzn<U<oS_nPvhnNcJXh2
z%CP^)dUp}U_554Ew`qOzo>{5!F6<2RB+jd?8r$=?ihVu0bN;_SE$XQYI@%u}+9?~S
zxS;#N+Zc@%^V#K-?9Ml6F>uHJ`x?tA67z{;dGlG_N3E*aCykYq`%YHRXXQ_^m~*86
z`P&H=KiWjJ1t&&6aL5um{%bDxEdyuvUEUFoV-~hfT&UgPXqtG!m^)_Uk$&M7E9K9H
zt>~Tc>iLn@<!f5?YqiAFXD{5*Qfgk`H2ny>)e*K|Z#K%E2s<Rd!a99pt%OFyA34S!
z_V?5qLe@+Sc_1BgaGxuq<e%3QK7aVsAbjlRfml9;`77FHEPe1gnQ?pg=9Z?nt?Fx^
zSST#%vDQAYVv-}1mCc6bwl^C?7e3!}aBoF}`sOC5n=?!%@~@Y0%vt#KiTA>!3(Sl$
zT*(ZZ7F*QJFi+aC)|b)qUW>+!q!m#QV*jVNYvglpFq5vHc;S&m*S!}Tn;Bykv}%|?
zh*_J=(A`nbUlsFjYNL8VQrV~DZ#K57dospL?BB$x*`gk{oM)@epUs~HL=Wv!I`z5m
z@Z#MYs_G6}S1>O4yRk|A`G?{oD|a7iNOnKs;UrSRqTN)#@a~ajMuFs3Ug<YalJ+YU
zwP~*3JTq2}Ys*j0kQGKx7&0b2p3J~{lG&7dwd3E9EXwbjW+i<JX<<-(6C)_c;(0HY
zQM$feH%KPO<>VuVK+facxseR%$M$A-Zw_nHv)}ljZE34s`kcb^%>r_(s#|ov$2I&r
zvQprC!~gCXcK(MdK5bSzqq~joP#Wiq&VIpzX0mcgD}MirIl9~9K)~0dr;<F&Ya3HH
zuIP$rj91=mAoDM{Rc+N&bAc~EbZ0-Pk~G<KY^Ntj?ZZ1q_AnXq|EfQ>yjA0VM@%%w
z!bKbU+F4pPO}X1xIy_>yV+1CgnU%7ffwxs_t(SoOw6+T>a%TL+o9<a;9NqS;LH+!U
z|MHwik~$bJ*EdBRe&3>Ye`{KDN_uV^vt{;%mOYHkJsV?o$twxG@9!;MQ7^hCM&L;Q
zlf(QEb&vJ8&ufS{+NL{uL8EEsi6iW`NiFQpE0(qx><;ItNuQa2ywSP)q?G7SL61f!
z!xO73k{OQd{W(cNGpBe-)E%*_DMAMi>~>Y~-}H0c@fk&PwY+<pdUsj0Grnj$+p=@k
z@#*v5Jr&}<V1H4J`|hN`eHP}m$(wduesq9qV$h9aGp0@wRb+9r>3X**Vad_ym#0Rb
zQepTT$oshS%*HbxXLhH5+n62q;rNm$CEHs)7mrH%D{*AUD#hC$n;yPN>HNuu%UK?4
zXKE==i{4};!hLLp67$<gE&sW4IukWMMdloveo38W@=M2Rp2z=|SaGi5PEpW2GGpmv
z-tRos9xOE`T)#J2#2%S`>FI+T8>a+Dv`pXJE_tm!`oQ#wjR%xX*BqOEB`Ip>oHj{a
zF{T@$*LYeawXSiuNhWY41zmWz&~5Ik3dWVZpWFFv&Rm_Gd1#TZ$`vN1S6^ecB=JSg
z@SLAye|VDJfzz`W?47-9vf`>{ZBakQ8B-_ZKhjW{ep9VAwuQgHb;iM?Et2sklX_21
zQT9wcso}|`*eV%+cFzGWe#ZO<jC-yeaBgIdWw@^0v!_+^qty=c<2-GWvkxtlzmd$a
zrCD+^OI4B1lLs|B@)?tSV*fKQIFrn<W=_(c87ohlntHcz|40fs5TR(L@$ZLl(#8qt
z6B#XAB=^o;J?}!)kz4FB4LpZDA55Ij#r<Z5^9IHf=hSDmM}~N9U>2M)H96sbc`QR;
zgGB$d6^zXsNlO}v#V0cACowtB*zaPH_3?J-nwX822jr6$&Unp!C??MFL@P(k>^u1>
ziVTW7Kb&89!~Oi7=09EyN{oAxc$5D}XeKkP)SS5BHIrn`#gu!klCSL98*-UqlJeUn
z%ML92!PFwTd;i=6E^U(b`3ENKDLupSqgC?voQ~5SbL7)^J-g|@`DkBQr_ryN2Xg(q
z8>eob5tn|RQ~hQ_#mOIg4w;;MVR)qP-rN_B8L_O@ktcJH^!=V@*!<%4ifFc{sWmlC
z>i#VH_m1}cyTbB!6Z8KgeP!$UAMbKydLG61R6i)Vj`2Z+3g1gE+4}Q*zmN2-juuc6
zd*G9OsO;LozCZ1f2j?aEzeqZ?yJNK!)4!w^$xl00A7kob{Ba?0<FWqfGgjJqBr~*l
zuih~sWm>?pLlan&|39j0h?O`x;qCsTlE0FUL`JKg@aFz7C8_?{gi_TDck7QFpUQQ=
z=W*H53EN*!w*GbCn7N$c56(~L95@cFsyL<j`IP9hqrczIVyrmL^GEq!|A(@(`g@;P
z{5U*yV~g~q$_o+6x*gRXz9zf&em(Zk{y@kqW>K31w~l>m{-N^YXvkz1@m6Wsh&?ei
zA7)gv{d_NwROBs?-1qOv=j3CPwy%3C)%~HkHR(vq_H8W;lYcn0E|QoSA=}RI@j#u#
z#K``3k>gYBlYee%XFU3$iz`XLh2f;c^&`<y?koZA(^|yply@8z68-rn@YvMTkN(VW
zVvrS!IVl!*pp`+VQ7|H=qmg0bk!fPDtQ%PFy^N_~`q5z1z&r1Y^5>%$%0C(RwnzuB
zxqrYqvXLv|cROd&wMM3+2c_q=b1Ywb(b%Fv=9~7#_c9F%-rYauz4SE~V{9n@$M~YP
zV)cdUjV+8t21!vdFDyT4<hL*+oh?5<VG$Se{0Al<B0rv5<Gz`5LRd4y51~bSQY6;5
zF&zJ8^7!9B%ey?^>snPFJ^WFbsQlq^h0O68-PdNc8h>~q*XDb=MZmLRiVF89hP#hV
zlNTKFW#ImHWQN(nJI8({H?$?sJQQ*8@2?Fp6+dQv=xVTcW>RFHcV@wx7s@vuEPlxJ
z;oirKWf3j%8&5h!{QomerS<>coj)HOsQbL*ntBG)w?7kBTkucXa`<tz)QdY(O#MGx
zTNvb@Px|~rW#cceAFFI8@+qEg-hN4q^JWXv$p%HfWx{{*bF>@0!X}>V`TgeSo#Snc
zr#{9!s82rp{_N5ITfa|z|J=N?fiw2M!x4Vj^b_4DKl@f!d^_=JO(VmJ0-+yIA}(C`
zcz{uz?Rou)Ym*qoHFh8BG*|xlSo&}B&xl_W9_~4mclKoPtltklzL=Nv|K6HIGdcqe
z*(YsJUUP6AZ}NZj1vis8E16pV{rhvE=E1)QvzN~~&AfY=y`;q76)Wlw#Qob<aN}!(
z=*K_a39<hV)u{-IaB%21F#g*)`v&8oy`LMOZeVXwlK3HeQ(*lDmW?xcoo^X$s!0C#
zao-27q<!hzTNvkmI<Ua~n!(OD8aLQFAI{Y{@mYA|hWCj(ERtAS7>{n?JH&ZoCD*O?
z74l{=GaebP68QI#UqW~TYx=<}O<L@)V<Q+o#$0&GBJn?#k>9#ePp)Olrt@-VcOH4Z
z<Db7o{JoEDDhJ~@tra+9z9xwXNOCt+C7<~3AItW#L0(y+Q<CZRil%%2zBo_g+5BnS
z$A4l+{_K4}OX6t6-wkIH85_zr?s)h7Q`Y&$!-xNVG*)le#^2P$+R2d1@KNE%>O|h3
zlQynUJpS~>0r~gGSIp(<n3mLW{p^OrQV+RTJQ4pWWG=sBL)wqH<1Mc?a~8-<I$$3B
zM|#@7tiL~tBL40>)o!8Ouq*$Fzc~~4uYYRYKf(|Co7OQmT#Wd=QGd>iwN|-nHi~s{
zurmJGVK2x1_h0wPn@qwzfBR?mnSWzERkUW)+aH_$PX4&^#{A<4GiJ}w|9s=DlG?@Y
z7Cya&e|G+h-2eJA+ef*^3;uVvEakmEcV^C?%g=Akno@aO)cAk+w0~EGIdzjJ|6TNN
z#?gOQFKhBz`v1AIRP6PqKNo8@DU0ntn0{fy#@5uNKgT4K|5tx}Y2>}~|CGu<SF>_i
zZvT(n!g??!)aKviTf9^MRc!hB%~iVk*oCH>t^NCNZ{4CU&Z@7%SjzRkdhg%*Ny1y%
z%Q=LXh!wNm&5q=#Zgbhfk}kBrgy-xf*2O$qb(wDV|GO;fdyRMdUa6fn{~ylXa7*-!
zR8oV8vUS6zE}<3se{5G!toifkPg1+B64!zHU4O3~VHOg2$*6on;A4*TuSZF{+nsfU
zUqo_A?EG<=CHkb&q&@#;vBpkfivRaWH-FDxRmDq{%!v&?t}Kbm+4n}V9Q?iGdob(4
zlUiYW{>^3sjg|j>v{t9%u-l1bp8nen{zw0Qde$5!`ntv6;zYD0o95F;x~$q<y7sp}
z{%cd3#Ql;jGRja?iMh2yPfeFCmb171BzF<V)E6F`IA*u_`<0&-*tTKKY=J#F6ZUQr
zwr%(Aj}ZG1v+U>NjWG+H+F~X)c*g%=zL019yDTM2cm5=UjsKtAJdh_4lOe$^Rewpg
zuIt#F8!jg^JWu&Wp1D6cZEKrH>Ain>Uy}l#pWTq+?<r`*KB4)^PXYZGKacJ(XcSNW
z_pjAFcFx%e|0`DSp0twhVut8R2KKJ1Rv)eMNzQReZXNON?7U&E7MkUMn{p=_`A_^7
zcf&hpq5PzX7Zawv-!?HJq-{#+n;FhGk0{=5^VhH4lvp#tBW>mVnqQUQK9sC!2vA}Y
zP5w|dvCwydyxNy4>wQ<RHLC16%60SKgGnWNFAr^ONjY8p;{4=<FaI9B^~*?E_IBgk
zjY_M}pZ)3Mc|s^_!kR-3%s>A;TJv)L$potvo1XuDym`l;^pA#Xe%pR*ZglTUjQZ0e
znwZSc=yU$x^Y1hN2Dv5OE=slg_3Bv6udoeIEjK>+-Qp2aR8qZZ`oD>rQVNRx2G_;^
zeYxp`$jYtHH?Et^7~1L?`fG9l*TxAI9TP&0|3v)$d-K;6dEvhiJNLA>U;5Nw@w5FS
z=dUL>|J<7pli%RC!(&3yBmv$3F%Or`xR>+yL9CWs<l+BucYj=b-sU#nZf4ExKXLZ|
z+dVj#6O-oM)KdTVd_qh<OJ%Fx$2K>!<Rc7A7-qXS7)P{uEw8>g;ouyuClgK|U8+96
zF-hpxoxf4F_s?Ct_CKoj*rlI;qNa9)eb4E<n)Bw*zPW$ntd;L*FnE^#i#vD2`hVQi
zi#JSv{&M-V|Jb9L9nwE{e=PnJ|NLU(#7WQo?Dwx)aO_9Jo{#hY>|bB@<NmQ5U2VqN
zZ+|52X;6Cne}8vj$0_rf9j1TM=kx#Hugg7CQC_;^&vMCzh~L@&?oUv9$h_lX1mnIh
ziEJGOrb0pmTUM4aRqr@_;BJy<QtRrA6$>W4S@@^o=e{?K{zf$M*fcVKYc>^T5>8(8
zzhcF8#(POSlHB%plpf$nS~@|d`UZ2-&wVXSMoEi)9JgotwDMn)-RvKGf8-ml_$K4~
zqnVNY$A0-Fsns2mZu~s*nCXRiEAN6e8&|d;WBR%8`L8?4f(yQ#{kuE-`p+HD?)-}n
zpFXj?RcVH}{PjNxZ4Xv9Hx{2?WxL~VLIqR9hV!i^b(@#YC_2pY;@DxoL*^Ub><B8*
zJ+$@Qk!$xJUe#fJ>HV+8<hA|tP27J{UcI;ZTjTdK;Z^IoAITlE39K=#;(KHMCI5+S
zGyQh_&X4QTs{B*Lf2?nojGQ9<=Azz@9s4#;*f4+92j7pL=iWuPnC8#zkhS^M`|eM&
z&flJ!J1Rf6NZqcSZ2P2P$K|OfT0plmJ^tm{n9Q*9f3JGkk#_0TKUpS3<g{8Jn{;q-
zT7SFr*H2TDeoVDJ$QX08_YmXFJF~u4S06uNwCZE}<5tVP$71fhaP0bDs=S}6eszm<
zsM)dV>YsLXJMHiMY25$EB6a-?mxvafvmgH6Y+a@QzC|*%#b$q$O{`hR=bbYg|8-if
zI&sqa;m3J@dW(N_Uu%`LmYmT$S=)N^zkjU~+UJD+PHvZ|f4VUD=sD?UFJ`{k!}6mw
zXKl{~rysVh_6zp=&iW8`giA!kU1@z2TjavMiToYu^2rNNtX5)YjH%?d{^s-M$Lxvk
zBqp~@+&|Uy`ex(i{wEKEWOp*8|6Q`}UyH?`C7TW~O>UQr|HR}!S(v-sl4<Kl_WqR`
z8(xb(|Hw6EvZ-~muvvq?<m!!!zFQw~4r@~1GeJE0OuDvpi|Nz9swpyef1TghDmDA3
zhI7&vE_1Pm`OXuUDRH@mHHzE(=)KnF__<XoY7-~#A9FQk-?)FXYMPT7xi)&)rr)0V
z=)S+Q`?UX8-n2@8*Im8&^q+~xewa?UP+!6D?%yPtkC)i&UmoOpEcWl(Plm5;Pi!tU
zg|$eZzEQE^%f!{sCQh~e-&kw0^3BE--;;k#-N3jZ+g*X{;k92$ITw^t_?UQCGq^Lv
zulsvqV@%ARkDDLO690ClMdWqu+KqM%OcCc|yk@S_nZ4Ssc{%rm!~E6_)f+ftRQ}iA
zXvpe!YZm;^v%y2wC5e;e<8`6SI@Z7I&i&c2(}Pdq{J&bELq&q6BC9(^f9!a^ap&Yi
zj6dri|5&o7na7RMBJ<wF_n&9(>HamV`9(70i{-Ks$^RZcTJU()zm*FMznH)Ob>HTH
zb6CgKM8*aI^M9N(INmf^N<1)MJ>lko<o_W*XFqCuvH7P(#LgFnE4bqtrRQ8)qqu+1
z&b=Oz(gJ78I<`D!{ITy%QyzQlzb9r%pKoZl?6UlGBU0%2pRd}M92b9{mlOH1%X-)P
zvV(dm3a%_q?P4AtXa8|O_TP&&v5Y?s&fHQ|(;oZo-@_wHzJDhC$@@1s_~D;RpFaM7
zK8?9mMRFxa`tF%+5gm_xPn_H%|Lfz%CqEym3smmzX!+5mTk-P8qs=?-IX^tXeS}fq
zrO1P;78hUUvaf&4v*&>GjJ*nL_AdJI_wMc#@#YOd56xOs_n-Uw;i}x<1KO{)6)~*;
z_u;ef28Rv*KUgO<hHP#L{n`3^3g5>+Pq)5$qM-Zd>aD-iiZ4}3%9uz0ond!VVdcI(
zAAblx`ZM)U)0F3pi#NCM&i+#QdEfcT`|r-(+`=&V?~|>)TTbkKD_{NZi8|BU>whku
zHq74pw0q8l*gya8>I&R{-=dRxaJtQcZ|nYEe1GrHr>Ocr&;EaueRD7N|3|wvof{QD
z9H!R%j{d{HVf}{B9h;aflkRPZ(Mfv#b2ekr$(X<x?QJ`6|C_e!-ro)GJ)ZWPGTu%!
z>iTv3{1VUVvPG^R|32JSAYAi*^3O-cpHIvD_;deHqw}MGZ`XfijZeE*ROyxc@9pHm
ziCyc?{{Ircisj%m*029Q@BhjYE&V$BCd1OZdnN^_zf9UVqi5c$?2mIgBTg82e2!!e
zbp6D9`S06u)d0Qte~V9Y+x&SOwZD4LlYm8ke!uk+ulU3f_h->`mYe&YMqPR1lexcP
ztp}5|!jpRo4?T%!SmrkW5^vyIw!3+e4AZ|^ZEM`k&8YpO@{Llrf^tGc{J*6uS+3Xq
zTezPq`^+7yvNn;)g6seN+P+RTb3yi!=s%^oI~G4?Y`iRbBxVYWImZGyJ)zbs;`MCy
z4ZF=Q*I)a6;&+Acf`Sw#-WmH9rP$2nFM7P`-(WQ%%G{D8_>*-{(v20ff32EqvEkqY
z(fr-()+GOnv-rvNeyQse-v8$=nXAuucaM8ngykI3n2)O_me}{t-1z6{`n`XDg=Wba
zuV3Q2<=>3_{~d=<Y@E@+SC=HQmhr-ivkP}T+BLmD=EJ4W|7S<8NIkOg!0(AcvjwJG
zy#6V_QDFXu$;+jZ<gOo(ja;QQVb$k_ZvBit3CWDoQ!P)n3RPeG`@>JEw1%}&yhud#
zoz?Hjcb^=xJMiJKWYXWCQKm7U{1n<2zMr^z!Pg6w@&_ea?#0CZ|2g|2llX_2Rj~}K
zdH8zsXBhPToIm;ZFWZ}%=KKCWUjJ{|=Yz`6U%0wToVWY=I{VM>*mZwezJ1u0v^)Cz
zhx?CKv?SX9{j*-X)1c`j_a2VkKYxCA8caE?A++b;Z&eMIZ%h9Fe!^_p!FKe2-tm=x
zW|!-8{jXzh@LcobS0}@4){8FU{Vm?Y^WJnWOYc-R?{4q?XSVmvLDdrsSAXV4?BDtE
z*Fwb@7lyamJ9oMq(D+q8V`oa20n?xFYj-~}@%U4^d(WOdS3YIzZRC=6sWe&CXz}sa
zZ#5SF=0B}TtrpWx)H5spIeVWYX{W`y(4<G3Ew)7c`}@{@X;{0+gIk^Rtx|Nw9{iZM
zdDfL5jpZpE0W(r%Prektz}28TZ|}3c>q~W}+`jQ(qN4olZ=r9NYZpJCG5=_T+^oO9
z_SWqc(`x7WzV2z#le5<!+b?i?%(WzXezm8fdzMEn`^`UfS=oPXgh$`-m59C2UH$iZ
z`6ph(zfZnxDw@&rbNin<-RwU%zOG_>{{6;+fEZri(<b%PxNdLzSGQ+HTk<bsUk~|x
ze`@W1Dss0nzfJY%oG@?Vuk?jtr4?y6E=H_+(fDWfnje3@+Rgqga^pdJH0%F3^SDb*
z9ej^tPxYnzxe--<ZAO7&oYdRwKiB7WAM>AN@~_2a+n*cPR<dQPG6hP%t^a?$@<sBR
znd{Z<UDKOY{@!>S#8!J+<o(2@^F`kO=`sA-ViV2sICK)1x$N6N*Y}_55|K+f`GdFd
zT36M4j>;d*i{5Trs(o(0<lYpu-T!X9-S<Re|3XKdkAK3JX|H@Db@yLwv}CQ_$&h<I
ze{N(|3Ybr4{rKaCx`F>S)cOAfDD(d-|J}Uxv)(;#1+&@A>XS@66cQ%<|M8=~Bf_9-
z;tJU}e{N1?d{XnLZsU``b%N~+)PkP><b5U8&OFIMT)pEIm+<pnvoG{bW<2|ILI3w(
ziifAf{J9bTc1FCr1pl>vH@zfhKaw!y`FGQ|(Ei$tmW>x?dsyW$l?d_Al8E7W-|$Id
z#sT?X4XZy&<m~%@Q*2NEqSFG)ldLT!31=^Ovx(XHPvhIgW~SY{els{mFg94VGyZ7m
z^<eNl(aOaCbM+y{KTX%=E?kiR**7i!$IU03STuh(DlcN@&EIkO5#vU;2Uq&pFKkep
z&7?g4XWay?(i8^$gD*awIM=~o>AgszTp^j^1*2=H;u;2D7KXq*e{YnAK9cH9TB5e~
z$Lc>fUf=unecDpB>smLJ(m#LSvs^7)^}_ktpTGZmVg6I``!W{&{9mV?F8o}TlyJ}N
zpFt_><3~z`j4%H8a!xodp8fZm>W%21nw3k`)?0ndD%;+o>G$*Bjnz+#{;%A1{-)-m
zzjd#VWXy>B=W=aR)|4+NPa0e~IF&p0CsXd~ubWr8s2edy8hmKsTl;Ne@JGgmrO5}o
zGg8^Br;11}QM)dYbRzR7Q~AnO?krF1HzgH4Jd?hJ!#tAV><XpxGX?vYic@UEZ+K2*
zYF?uD|J4<izn`Qf|I}_}{J(@Fng8)B7p}x80smFJPbbOzU#9kd(u|6R+;|n|?*hm3
z&j^IIRd1g7gWoOk$JFKOYoi2I&fWO*r#Ae^T!wZ26Lg$*F>HIof8gtn|8>vqF4%nh
zU;VeKG6HL+bf^kg{9dLJ`t+jWp1-&5=o_&8Zea81I(X#iB+jXw7nf=L{TIfwU0><W
z?LYOgo9vS2m`vl`dqg4e@PF@PF&`$S&A4%PX>4`9-A8Zz-7IYrI_~}u{j)swn&s^?
zyBGZad1K1PnSbZasA)g)>ao9OY>n!yOKY5##-;z9=jw2-@8QYTpI&FbOue~0?)@Xi
z6D!aEX$pJ4gH3+@!mP@j?8oO#jh0B-HABw+*W6FRFa9*&{5#JxhACyfMcN<R(>v;#
zIb)V2e1GEHvZBtPiQ@;u(hvPP|7^u({<AbFY^dKTb(!UlgDzv_PpO}e*RENvUB3J8
zD!aL&+%v!Y&H3dW_IKH|<cPlu4#%|q^nJdk=lK8SUh19V)h*0+9}XUW@b1q~kMzA~
zmjAf?e|e@Q$JCF%zA-km%lzVunSXObm)T^_^FO`%V_uX9ZaB^IdPc_0k6J$-{96{u
z@%_oVESEpap33~0oSeikQGw~tvZrq^uzg^^z;>AJ|5ESMNg*G%_K7O{N!Qo?d3x$6
z&$FKkfBad@>nm{f+)K%i^MC$XT=;+Pdfxw=|M~p<yC_nHJE!xfPuZVE|7!oXeth&{
z(MF{YtvCNI@?>aS!~TEK35L%T6_U2@HMib-M%A)Y`!JuAeQ(lkb@@*cM;9-g^~XVf
z_MfgjHS+^va%@gt+qm!Zha->vq;A?-`}m*h<eASuo}bJy`DglMi~nD|lrPqlY416j
z{?~PK^}gTd<<(#IuTa$9HUCJ`%0G-Vep&onQYOBTO<3r>)a;LMiv)Hs{)tkI{r8+v
z?(uG|Mf*&57Mc7Bo21M-u^@cUhSli{POq6EbLhygg8qNLXMdb^mt{_^`*ZNd>V;ao
z$^Sq3NUH4K^yu%>r^b8xzBM$x`M2!Ho&etDe`V)qHEiyP>fci~{o}tM4W(&+mM#}+
zx^*RaLEnNyz7nE-AJz+OH)7`QIJ8M)^RH!x82@-pYgDwiWKjON^Bdy==Fbh9>Jq-Q
z8+&Ig`+9^yobBW-rHk?VSHvd9GOk$tXUQ>Lxr6urc>Y{u)B9!PpRYB)_T;>7u&<kO
zXY((ElN*1mK4!ItcV@DZLh<v@)2Ggs4NJPbea|bm6Bl3ZbMpISP(9~#)JcV%fBnlh
z3H|+%c2Y3-!_JQveg;JD688VF``Zl5$DDrxZ*Mx2zei<-+l|h|_6G~*Zk#at@n4Rf
zm4zEF-rw^fvQy;hB@O*G&2>M2ivF2@B>ivD>J){&e+^Y%PdK+hc*T-=8`I=p&)M|$
zZ{p?|r)K@>m>WOw)u$=%{#W1pV{f&QYcj{7>c`iAM@mkc#Kg?7dkOpXDl@rXbtg1p
zZgF<5_+fo-CfkXR3_n+Hsyy)}Me6Kr$%l*$HnI~>N~kjlzt)qr|I=H3l40Th^k;v1
zmrj-l6gz$EPmh1-r>w1CpN38f<mS5k&)uJ6`zATT?>95Wo<>UB&oesy-)-8gXCX4*
zFR_}lrs~SD-u@e`9`btq?$XMCMJu<6DD(4vVY#c%5LnB*)kv!Qa^NqPn_fISxBU<H
z<39Rt&&17tS3myS|8(y$UUey6{r|J{g=01fy@@$^=&;SqqgG{qmwB;7d&*?9wx9N4
z+VO9`kjkEavpghcFei%rYu?MAC{(+JEncxDMX2G=CU<icCFX<e0&{Cw4t`S7|2ykb
zHrv_r|APMi?8w~nXI72h$IClzZ_=LhFW|_V|Fce|emVc@)u(^6l&koQzx%{p@YMP5
zsLtg1`Tw_Xjqf+k`_nf|vg22QF53k*SuyS}SL0snsOwoNyK?iJACo$m7T*3LCR_Gs
z!i>#_6lZrFJiby_;^U37_ZL`heB3E+{NY~CjRlL>$gj*|i~aX{^_=agf1FpQ7Oej(
z_wi84tb=Oe*1J|s`|qe#S#z?j@Y|fEILnvY(qAl@aZWa}BLC0imHso{-#hqmV<+2j
z#{a*%R@&W7+2OIH*mp_f>6IH-m{*1UndG%%w%y9^>t7~ry0c~1mcxvf%KBDWivHiA
zYk5%0`;TQx($4D>qkLD?nl1S9ddIU343lQe`!ii$`_F_@ul2Vj1B$9OzfH5fUs!Qk
z^V_r|`x$u4KTM09c>4UGp7Wt6=dm7PySZ!i(Vfl_TP{wPP~Y>Tw&=^8xxZYG?)jnp
zd&la>OgH$Bp9$b)OlsN5vGvQGZT}}<o~qEiMd$ClIrlfUux&jk`M0K()okbPN`<2n
zPbas1o%wFx^p_1ka^;h|Jb!Iov*+LS=P$qOoO}{?+BosepS<PM8T!7>)s+0CJ7Ko<
z-t^gD=cX{6|2FsBFPpwb;q!m;PyKoFH_xE``@GwmC67*sj`$<{<jcmtc}vZ|&6{}j
zYs-Omf7cwC^7vy<%?bsvN7?7tKEC{$e=en%QTJzlD`S`L@h^+7PWvz4yP$c&=f5@`
z#@}Y>6n|d!=Kcn*<P&b9{(t3<E!gxczk7p6d<uuhPldv-%QiC|n3nWY{^QU5^uLOh
zyEnQ0_@%HRhHpptvz=Kv{}tve+{yWA?O~>*ANf)-NjsU+<$vV&N?y>gwO`4X!}LS(
z4bueuV~jrvXaC7Hul|u2H}}Bm-$%48lfEsiy?Xm$Ud>;5)1<n65Aycx%&7RUaAR&m
zaeLLSKk+($6+>^fNcenN@pt;yH^*nBX5af`V$>43r|pk%X>@nf=fB3+jT!<j%l)_#
zbD;L@*D0TkehYnFKL4M|{uXA}n0fzA_m=H`SGOlOY1u|r(ds{D(q}BL9hflX<EfeH
z2NFc9|C^s=$^EkNN_D50&a05N8{bu!=ii9g>3IB))hrf4oxg_veoL=eSEkGt?)}Fo
z_3O@a-`*+->Q@%o{<k^(hUdqeZ4+WzijqHvwKM;*-JZ9JrL*GmoF6Y^C-Vqzkoy1T
zpRFp7VE2E$hfIl#2aa#vXI8w4;o!$7GrphQc0#>>p~lhA=WqPCy*(l2!`ed&BM!Os
zbhN(A5dD2|`G)=fZMS{9_OapKyaluW>U><dfhqa_g{kuzr;G01dnIB|?Z-V=yriEN
zKAzRMdBXAUS4EOnAF903`SJ74yUB-UnWyr2?noE^$M`30&o3*<7y6r|Ij(Pf=5(#e
zc;44{H<>r=Ph_4GX#03-_hxa??v?g)`~QF1p>TNh=WnwoD2dM7+gcWJ@5O{ae=M#Z
zWo-JQU$)1#=jGX*tg`k0E#`OT)*SdH*3xX<z#_M@&HAwSr-cts?5%9rnZo&dL8HII
z>tl2H{#wrOT76^jp196$Kceg-*&TnhPi8P)k!SNq&+zpJ?ms8?Trpy)T)1J+l~l1y
z-yZjWUGj@x{_%`M|60y|d9;aP>YBgSKj&>d|JN!$deXb&-`~txxaa)dq<)daHxq=_
zSHwmz#+?5Cm}ge;k?Q}3j~IU@y*&M}Yxh~%-DeL+e0=gD$!n)eGsF8Gp0f8o{MFmG
z)4eH%Sw{QKZuc7(<Rg;*>izEdbn3(1qkk&r|IyoPR~Nc7$>ziLJu(0Ere0#}<^Q9%
ze?v0kNu7C%xE1fS+)<t>%5l(szhKzjjh2Z5&p2cL=)E`oVYKYY=M<yH9Zj-zN&Av}
zRvr0%ZF!1;>aS(KT;9{ZT+6xOyy0n*>ID1QH@mOzxtaF7LFxF3)$*dhR|fsuF@v2s
z>65^Lrz@q8Gwu-8j(f1=&5z}kyRWgv{)^mE-y&xC^+WWY#Y-ni<bHXxa_v8z+DVSZ
zF6H|x#dq&n95cz%pP5-f*yrm*bG4b9IKMxvNV@jRRYCCB|9ShP`~Mnlw@(#4K7Wz<
z`7f`2-;{DUFEqQ^{eH*(AM+Q!pTcwXpTYL3<Xs_O8SQ3zgnzyB+OGPi-LXsdJJj_j
z*}k^={MT-~7^6<ozuSN8UL9d7=lp3ui>dL!#~AA!^V^v&T(aH68*^D8sq?g`c4z7z
z=3n+d7j8cL*FIi}X}gWnLjA)fM~*R_y!b&%n(;&|LrX;4&i#jMI&QjMVS4oM^|hR-
zjNFXBV;Qe;9o(EVRW@vs`JTO-zWvc&apTd4XP*!B%Q3%Rxw&$NVEd<pk_Qqo4{k`A
z-?$oMGU0Cffde^L-~4nu7!b32!6K_Y>nGexn!_Nf@}I@Ary;HSkHdenuQT;`{ZPta
z`Iy0x|Ia|E@JI6bw*MCv|Ge?dl}&e(&#m+9m3O`^fA)W>-^ZI5`hV!#R{vN3#9DjO
zB=XKb_1||stFU$DpJ<Xhs8;`5?c3r1e|9Z-e*fFtJ^vI>a$7e&pU)C~?~hd6rjSkl
z9Lo2^-2b+jE&JP-SCvn>j^F>LH#M63%|AC!meVZpQ+<k_RO;QFW*f=O{?{S<XXC?`
z_me7kSPrgR$`{YNH!8(u-<MbC{yN-J@4C49&dU&Wj;zhk#J<&>f0)uCRhPVH&iAaF
zT?Y=mt%&J9Tf$;$BqVm`>+LV4C9K(}JJ$ZoxTh)a@qRwv&o8eou|CwFpc>1!U46pe
z?0<ghMWXdv|N8%s*i?43dt;AC@)MS+>s1>il@`?Rao>6(Ni_cJt?F6%8$0`w7H+p+
zfAjW_j<Sgh+z;Qc-SbC7HpyaNQpAFy|I7PsK4*V^>u*T>d~w}VA0D63c(lWL_9DsS
zr&f2JI3xQ_;iTclOAN^mo6PGZ4xIbAQzxx)t@-``%<2XKlfPd4^t)H`599i64Ns(B
z)&KA~@`%Y$<G*j*b{n5>7y7%p4~u6%{`H3Sz=|8c{3cr!ba3BQ5x&jB+P{#0#VVVH
z)2}sn2wH@5X8-WtWHsyMtef2uXaD)nv)rT*`#<ewiTr{Fng7qiHs1YVUh&7@n<?YZ
z{6GF*(-%D1cu^qfg3a+xw)`JSr++jyGbX9uSblv2W6aL^+()<<yeZkpqTKw;@A<S_
z{cr#K?vHX<eXsMxn)w$F-<vT>>(hx{57u|@Oq2chVVCCSg;_rzsPV`C<6iiSai7e3
z&L{d2d)-tkJ=p(w{q}yktNH;mFJqEW?c;Ob*kbi}tTkKt^j`2^pI4!78rKd@oEXr3
z?|S^CfVodt{!WrA`*Ps?P2Rdq0lR+$sIi3BE#13kcFc)1cd2D-7F@`R{1fQS(roti
z(zUjqK~I>c@0q>z$I>4moBs!g&2`HPk`?TfDc_Tzz2}F2XM*ABz5A}p{Nh@0Gaz8b
z*4CI^`@Y(IyQ24(@80r%KKm}27}OnQj1yTJx9Y*fjN<0RKX)Dl{XJRHyU9XtlZft{
zC(09+{y!jk(kboX{H7~09|a{?P9BO>*g0eM=ap@dD^9dKGb!$AIG+9>Ad#s-Q+)mX
zM<MDm;r$B=<wBo$Z@S4Z9>>^VJ(-z(@^9Pvrlg42e;aDvTy^_-aeDuU|F(Olbtr$E
ztGm1D`Gi=P{x5UiZ@ytQ`?JZ}JKyzGRpg_;-`N;*lW7CvjB54n*PbRvKiVm^-utKf
zYYB%S%U}JI=ABype>0;u@krl{?)WXkXfc0@=W*W0t5b}0Tb}QKA`pG&(R6QtR<Rpb
zPb#qn9{$iWPf5_`&9ZAVmcQxQtt_xMj^l*l#~b$DCdDc&ngU0aJ7&7Rw!X1)lJmFK
zUuMqUc<+jzI$MAA_q%s<Z#|MfX>jn6?7c^JTa`Bc*%y|3^I1Rlp2dH)zVYl?+{}>_
zY_QwIO<7lEy5Gr6g`;tEW=S)}?7sQvgyM!Tcg(*1iP^m1NX5a-8}mLCeqf8a`GD(t
zWX6`gOZ0DMANi~2edFPiE-&d$)qc?%_v2GM{5QGE&i`^xN51CEy-hm0dzZwW-MdI(
z{=Ow&PuwW<_^T&nz50gnM(%HS1lu~<w!YuF_@oiT$>%2;IyR_TZdBRh7kl7d`VCuI
zi?vC+H|VdvF_Y7wU|Q1d-HQ+IP6|KqR&G^6jC73OhZ}Z}enoPgxe(UClk_*{+&{^F
z#ux8pAKXduO8$S~uDtaQpC=Lrm3|2rFI@CTde-E_%^TtxKF2cj?^txbV(J95vp;pD
zdDS<jGcx~)DcZ3(eZlOHH(KP9k1%Xx6SZ96d~;{uW5ykeO&=ZdV2k~w+dqlHH0R^5
zPmBlu>ZUJDZ_W9oYx}!l-wB4$4PHNYE$)BLocLzPl4l!(*ZkEh4J}eI_#-)arG!VL
zU-+7P_rj+tn*UkBbmQ9+uY?zI9}Pc#dv)FHgY?gULwgs$PfqHTvb`7k>2A)KdtX&K
zCEq_VI%t|yctG;w+XpgT|Mj+Nom|1dJ^TK>s?tqD)Bh<>6I}h~k6!I+_NPzJ{QRf;
z-cM$7<h^_EtvemlccexAjoly0|5QJu?)Tk$rMd?ezF%4MW2W5kzk0Ve%un(=(0XXk
z0we7Rrlg%qdY{kueM4fy=XRzS8|?qTZ2P%4basQ!yNLK5%g?u3+P9SZEMQF97cRU1
z{{2p_Z56j3T+Mm-IK?91@`b&kuU}mJd0@`w1Ie@RJh*Ol!ZPf|`DB?j$!lxg#;Kkt
zE#sVU<>TE4_y4LZKIxzE>HFX9lbO`NJbtT}{eAb-Z)-n)ef;$6Z~fWt-aHZe|0`#v
ze%rJ6cV~o}u6`mOTK(<I?vxc*U;h34fBx|mFS4J1|NPeYkIwaLf6cU3-<<RF%NJoA
zt$nNaR^RyYHQM@;UW@Fv7oWf7Jkh#0V^Ye-*LR=n*Ouh`{8!}K&(FV7T+;pu?fLTS
z<nQ}`1+V;jcxCdAm0$jB*ZRq=`t5bZgbnGNchvXaS^9U+m%piB+W+jUdwin4onv2J
zZQRAF|1NzGwvV64!m(n`;`lY+|El^-JN#eq%76Ji|82H^`nL9@BKM8r{69=H9GRG6
z4%C17Q@t-n`OS>EN&GVw@=W-7g1sW+VR(Mno?6YBr;NY-x&1?W_NO0-f4~3oXYgMm
z{r%V57pwX?_vn{zd-pf>qn`QtSy3mlHq6>=|8LKNb6+-0+tC}k=gW`Se}4>nKE!pn
ze*VdCdC;N1A@-Z)*}b9ne}4Pn&7t*4$#iEi_m|%jxGNtnZvC`kqWtd<$(9{O^`C#d
ziM?5?F2}q2!N-$~k{?QsYpv*-_0Z>Q%|xkdy8_oVh)8}rxcdU1<U;=0KXXr92(S6N
z?Dyf9Z+@sre!uaM>m<XPuPdsn7mJA<xH$juq*<Y%DFPS2o!PXY_VL8)6<<C)+Wh*t
z<)JetV*i`2y7po&f9w;63qrE5lSFq<X16?YFM0Fw7jrypQ)+GqM#M5K?D{;TV&2L{
zA0ISICmr6{$#~Z>@8;LPuOnh#+VwBnSzf;9f@|`Oh4RNIsOU{lw0^_5Yf7_e%-4T=
z-IEzMo;x?8>fzM<dmsKiVY0rl*Z%j6rik5An}136zxVq1{eM`G_s4Y$UL2okrX6--
zmNK7$bp6J)=Vr7%U2^IZ&&%)szu)}yF6YzwYyTMXek$z!u2b`E^<1y;oVU+@ew?%U
z%i6D}_2R#NjZ#%w8GR*lIdA7v#fNu_wx8elb5<|w(XUIwCRuK0W;Re=^Is?O%lF?$
zjxjLq(YuvC(L?l~(#<`GlWt!K<!4SjQT{h+!}UKm%F}l~l3#sucjZa0^FPDpU+6FW
zk#xfT_}BeYzWv^6mnz!0uW0V1sQn`8_d4vg>sC7dIc1W(QuFA3*4dTvDRO&{zuCg~
zmFxSOFTYZ^*yVg#sG8(jzentOxBJF#zr(V57$dcHCv7<X-{{F-_V?3-&$3Qe5$<+a
zyZ>I(RrP4m)%%N1#HfDV8y@-J==D~SoxI!kr3y{_&++#2{ifThj4jrijpKf{O%|G8
z6}sjx$JRegTT>YJ{};}Ulu7%r=TEcUkIi-)f1T}<O@G3~ey3@BRi!M$X77);YUXo<
z?wRcWg6-gRt{J;(?uM`&{={^2Z%x$CgFKr*f4DJA=lI`K`k#1>e;@1mcR4nSH}>A$
z*~Je7_AvgMuI%uADoZ5)-kuk10{(0M-`u3~?adG2pF33d%yCxc>;3<b^JK#78M;|X
z2_F^yUY@)6K<>u>f0W<+xFFj7SDE9iiokA<)a<5i5nkSw7|$PlQZB6e-CUnvG(=v1
z;^{fl(|-3vrH_mcC1-K#cXVbIeg1Q^b{f;govp1gg55VR%n)doSST8MM6{v*mzSPf
zV+kvB+`XpgD%Y_8mwz5D;F<dKlHMi;_TOjb9Vp)Thhv)5(Hl#Da|laaobdX`xr*N$
z#vf<;EtscrIqdI+nXec`dm2tK#Ju^DtggfvS#$M3&Cyw{Nn4(Z|4Tl+>CYd|*mYm}
zUTNiTy!=GQp=sr%hgTPz+x+jQcm2tL+;4j~w{Ft)|Ndlq1gp(o_J8xjJPVFpYrI_e
zG2&aNR3hVv8|)lO+5d!;3Z5LkaL&5()|!J4Ox;T&eCtkz-e~!EVfp^2RZEsCp5Kv{
z|LjY~p1%UIC$mlV#N}<@(O~$~?%9`&&woU&DX|pJ&v>8xPxK^<<X#rO36>cbejJ?H
zx$jHPo}WIF7bVvJxm0p>Q$tX@bojRu`5!lCY+!6)$ojXcbmRP#d*3&8G+p8K{`c>~
z%V?Fje@9<#nv)WxzsWKDOV;(RD{TtDXU$&~SNtU_^~Fu|*`2XpjxH_#n)h_csy8b&
zAK$pq8-FwE&8@;`U-C|^ShV9y-l<tfo_!Rz`Esm!hGRi8!?*mWC%Rpu=gNIKUU}!?
z*W+2$J4DW{`1CD5^2_m4ABui{_;PlIeB}SNsnQpElb11ksXQ)_v^%4|?Q7Mp2CHVp
zB(sk-A3rckF*1Lsy`S{_`NzZ)8|2HhZ+ImC{ZP}v!on}qApY0zQ_Y*o7pn{$PXvVR
z&X~L~u=o7&AIr1D8v2ee-uSWUV{=!7dc@r?A5X7*lsAX*!RL>M{Fzl%7BI#z2!<K&
z$SD3)Z+9|T{=}9!zkh6~y!bok!;yav4i$f_b>UzrWc;vI>?Y%<e+;UBR>wczsO!r$
z@86xm-~av`V2_xas=NNe{m40~YxV!HsHxoc?l(_EQq1<RhX2;w{ngvB;Pt}Id$_~m
z*L}%<ef#CfS>Fq?Etrzxz7<$7C6(O_*?CFpOF=tRO!=3B$4m>7ez3gof6Vk_y6yEZ
z1!0W~-mLR|wB`5w`(M7?x@x__Wc9!GwbNg<y*aCpHbcOC|KC$vU#<JIhxhK&XIb~Y
z@1M6~%g29Zanrx%pPms_fA4?U>gdW&u`ieR?~zE^aOv#K^YeGv*6-PT$#O$P{_0OX
zmm8VCZQVcpkIc`_|Nnf-wmg(|^N-dJA)S|h!hVz&A1p1Kll}S2_USCvZ)V%2ec7?T
z=zDkN42L5O^~^it?eDGGap!BV{hdlK;n(*ql;3<xe_Z)#uP=+>xv!HyPn-3p;`$R#
z)-O}n?fJI9_S|p%f2?wC`}aHF_*1#o_S@v2GYiiDsjQ0aVfj(=r~K%xsJyVhmDS2!
z?h9;B^5hFo|2lco2S3}_vwR9=-uUgg^zGX7SNr~am=?J)_vpNmytDTX98dl<sqoYK
zl!IK)|5R?@HRr3%-^#E5rZFG?Q+f5<L`Jqp$qe`2e%<}%!q3MCHrR6iQM(?=@%`&=
z?TJ#YpBZ$2vfW+tVb(-jAtk2xJs);=GReL=@j1Na;F3R#FaIhr>AUfqY+~Y+`#UrJ
zz^*+t^JBi<xb|&t-TU31KR@r8%=GX_@!cZ~<z_Ry>QW9f)c<rzRQ@uv^AN-28?Ju}
z&i|B|w`KBIn?LDckFE$ZY_+QYy!YIv%{Q5~?tR(o#l>=M^QsNPNxQX<J!&{-ANR=o
z%})DA3r@@Df7-W+i+8tn`pxdoKeW{uE&s(fq#ysdX!g%k+ut`PTU=iCx=Bl&Dg6Ij
z@x9*<Y(K)({-xoX_P?2KlUZVK%n4od!}<BnVAJXki|&0oc!a6-Ps8rClTw>6$p2{A
zYx5<~@8NF^-A&9VUvNBs$(o|^@*>O86N+rH|DN_F{k<%6aYFIerzbU&8*QGSI{IeE
zDrKgZ`wv{TJatz3vApo=2FqtBwKnfH-pD`s{`?Ow&C`EAU0i<lciY2%&p#YFJNZk+
zFRRJig5s)ozI{8%RQUbqt&{B2cP7r}n1A9t!}D)PXESZBc>FuqpX2tmmGPUuZ-3In
z*~++KO6i+#$2{3zb6kvKImm5%Z)wq<uT_zMg5JMMxs-KsNAZ`Rx!(?~t?4YX>tR~`
zXHM<EhM-=hZ{Mxw?|k^>`{`y2{{Pdz_Ix|Cw=VhC_b*4*PL}Ze{;j(D%i+=@skh&x
zuAfw3{qpVa-|9b!Z?)=V_XNyN`jhyV3$&L$>3`z2&00Sa=6&78&l?ja-yoALzM<Dt
zOzYvyJxK=+w0`t|v*O2I-?z8EZ2R`#?k}5BPsIKU3*(w}Ht&g=_2v4}Kap8an*u&Q
z3O<>%{cl|L{NU0Q*7$E%^B<qd*z3FX(}4@myKmip^ZoHswu9A?tb03O$o~JAm3!vo
zn=cn)Cly#WR1}|E^YudP$)a;#mfe~fa-`=pOZJvG{~f1SvF3c)Huu2m<Ci~VTe0Zg
ztdje(<<z8WC%av~9S;8_eCzA$u+vq2Z+83N{(8Z?;?urw$1ky#>dJ>yGFbkt(tX(w
z#kbumvcqU!#M&!7_Se4O%~D@<On7bdmnBbUyxSlg^Wx7uCesRzZ~LP=-t0l0|382-
z|IfZdiJ3X(EB68|ZtfSn4+U4u5nQoA<oALafj127M%5qp#C3@FOEx^X`}wis{KZb?
zYyL(*@3~#;$NJIz=Onp(-;1`r*l|K8zyJ2HIdc9{6He$z%((om^FzwULx1wN@188M
z`QF@{f2^ZFEl~P$xHPQmWc$mX_4nUQV%^C2t9kax<?<0fV$^p>^d>FXbM!$+o{sP(
z%YPpp9$8THu~T+m#1HOd{!Y#7Zx&8}{9wNQ?he+CjQi4VG)<o<TK^~K`l_l(jimKz
zXKz%<#pGYywuiA<dA>r?iGxbdE*sC<75ewjP9si6<CsOO|KzS^aoxM)|D*5IW-tHp
zV0->gpZJBh_x#FL*OHsPaGut^KTW^-y_-^Yw?4Dqe8=+q*4@$f|Lo;+J#c_|P5GDS
zU-zb4rZ_#>Bd~tc*T-rM`mg`wU)^hxeQNIozv`d+-gHH8NLpdl)p_;5Fh|X)*Z=cQ
za&-NC|LoHvf2Yh%%-S7Q-Cfy#H%@sk{I>q<<9Kg@!W1WMvFi2za%<O?^l$rmuzY(Y
z*PH)#-*m-2e@4ytqVwsGPt^8i$2I?>zv(8G<@U9IJGkC2@xv<qr?(DVIv)L3`quUX
zulr;Fs&Ah*ab??^O<xXPpSvkr{wDL@zdrB1cv-{#O#1xaCwea5(h}C^&rjqj#|UxH
zWqB<7{?i|y+vR5euGD`yF!#&zy`LU#{_)@E?G?7vQ%fc_e0qJtK=AjvXE)EB?d*CV
z(SK~}69eNN3r&Bf?d+KE+i|7tzt3)O4(?4^E59uLI+1Zk)x?<i&0m&XeZn<k*+fp$
z**kVie1G%t;6|qJD^e$1-uQL7Z=QnEx334EF@0F395LhL{E4@}9Nas}=)l?Q?9*dp
z9>;#U(e}ULQu>#J>r;e$za8`!ioW*O_w`MtuS>T5_q}~?f$PVX58QuzqmF&La?_&j
z+sPZBJCl`}m?d4RW_JJcdBC0Xd4<gC50mz+zh#oh81wC#KvEck=%d3se)&&Y^U9OK
zk@JSxw-v`&rt3Yp$H(-0f&E6039Dyy94>vx_(IAw{>#eGO$%xs{qnJoU`m?$!?$+>
ztG&*JIdc*}ot_Zze<EA(#}&N?|M~vS`MUJl_k%yy|Mk&-6U*>pjs}bW>OBsj`M;{p
z?|f9hhO6Pi{2c-Q0w#O-E(tyEIehiipT9okZy$u6-@~MTR^m6m{<mEje|ndktL{I%
z)n718?2AeDgTj9e5l4TBYo)#Y;Tf`%DU(Te&dM7+S2WN5^~n!a=M2eTAt!fPfB(;n
z9}BmB?m1jF_nV*T`kuqrB3E&5ZhV)t=JK1z8-D!rSsSOE{Ym3wC(om=wZ1IRYd?Ka
z`F3F17l-Ye7i>{;u#5Y$;k)53o=;rWJ%{W5*ZuW*?Jv~)B$|o!_06N-4&0w=aevQ2
zyQFUi*853kPZI2P2>o(k`>QLge{~sNu6}vv=bwdtesZd@e0|?&o1x0Mzfu1KWACNv
z{a^0x|2A=Zckkoy)8GEi=6(9Bw@>h&tS{HoYY*-T2Ux~Ls#QM8c=PY}^DhV9>X|Wp
zTCB7yfR8Ug=>GS`_r4$4_I*ic`sanBtN;05*{<dHXIi1EM$+yrr{DHm{I9bya7|L*
z(fIOvU;WN~oe;%o9~0A(|7F76C(H$=Tb>==uaSS!@YMu^-zSPY`j7sb`&X>|RoRyp
z->-db&%0?5@N(LoKVrqMjQj`RJlJsh`9HC_{!;OEH(5V^X`Q}g?&rP67L8u7cZpR_
z2s1sr*mOmM?5tZH;cNbgUsgJ_agCEn{g-xiCdv6PqBgv?SM&@`W@t>Q|I+bCbmo@l
z-x7<z-KhTFnVr7m=N_fy_jW|(g>@JCGlZ`B)w^nzl=Pghcm7G#rf@oc-Lbk<)Yk4>
z{{MdxIze^nZ#@KE|1o{(|L4h)k*y-U=h2t`z1%zhbX3m!BUw60Nms$|&%CJXW&b2s
zPGj2pwLsr`kNCF_eNTceeL1uC&0Y!hs5{ah>XP5@k*M4$adwtKeprM4*?*E+cf0;P
zT=hAx*O#+@&p(Mvm6uJA@6hl6BN4ypTlevEU%Ta!SKnbw`O^JftfKGg?~dycosU`{
zWeGiD<b1JR_GZPhUlPYBB>(yt`&XiC#myg_pDJ$Ln(^&y=e|{uo$JnTS>Lr_YU!Gp
z(P?`m?AQMgy|Gi`{O1(5SVn=en7wQ&tDG{b^55MmyZCQnM%NCmuWuGc|M)B9nlWe3
z=Z)J>WGwkS-~H8sqxU+Noc%Os-iH<bN)x|L+Vmvj*oO~WRPT3xHDzzya6gIp`R9!N
zE?aBAt+M-gPWr`#@0JAuKeVm4U*5#P|E)jFh^evZf01DFi--5V^rtY*oTYaDN2}Gf
zZxe)=wklVDobZWp{|!ZM!PgVFC^0Ti|7zK>@$Q-pS0f&<eeU?yx3^T(l41JyviNUh
z>N_S@f1OzSsVHVH)4V+rSFJX3q_a<C>|<n4Vf?+vbn8=&<_%};Z*tt-=X|#1$MZW(
zb8mks4m-*5e9LA3+xv`ivp+mNwtGwC<NYsr9RK{|`RsX+N9f?W@7iB_;?-wc{p3{s
zGEt9D_LG)+%>SFqZ+v*Yxud%(=SPG8znfwkZv=HSv{rC0y1sGk)8}7RxBcZiU%N@-
z_}8@SCl^fk`ceD$KAHD__Q-EjYJKzV=*%OhpX?O=8{{o|bd&VuFVn9^v8Jos|MzW<
zRw>)v(^npR>$|V6brCerVYIsb`<&?pv$u#Y{v%du_i@f2%fFo7n=I_U_@p#miP!le
zRsBct=_H<wU*_z;_vS-#|Mbv3f7OdW>B=<!n%Hjj@{dO7I#<@tF4l~58{)rjz4yte
z<7>^7Khp7jjuYj&)OYVOtN2#)?$*~i(Py7dkX{w>wL<E3(zne2(l349{3|V$JDKGD
zrTpJSrnBACf1Q|g{J*Mp?a3LNzww5I&60o2{-{GX`^$!;8NXk4L?<(Lobl(3|Fn{~
zQCa`nm-RvmWdGE6JiqpB{kq9T%3X543{S6Zy>z<4d;gC)YU)2Zn7^!D?SFXZzdJe~
z8<#(8{9QX|q3fi4^Nks|UwvAye)vI3EMtvrNB`9~-7ja&{8+#FkK!rMtRH8muX}rM
z?FG*hg$iFc&SUA8SU4;9{R}a+4Rd$zQGfDNQS>cK=;AX+JNrFY+;+sb&FXSu3SRR^
z>5}R$#q2F|N&>rCO5a|8_xS0To&I~K$ITSq{AE{pe3ks<NQH@W-E-IP(uw%m{rU4&
zbrxmr51(T`)$hr^sXy=g=KbqZmW20Sx%u{G$-mEApIj=Oxj25$FC`@d-ulUld|KZ=
zi~WA=V&5-DJ)=T{iA7~|maIL$Lht7c(+H-oDOS(8-o5HwB=_!B<wgdjFEc(QY)*Rn
z>eNTJN0VCLEKU2k<tJnR*QBFwUQhYWSpKA|=VY5AOI1W0<AQ|mn>Ne8-y?YC+rhgV
zKUSxIOUgWE`TEV5<V!Y1Gu!WdobrRI>56Iin-9_7Qq*>G+&rO_WWw<0_2+~4lb(I@
zNL!d3uJbkJ+{Wi0<vud4n8s!OkU{oN(womWm>OdGS>`PMK6xScm(=HxObzVv_n2-l
zZQLQ$wLrpDZ2hN%<c$X3QXOOFvkA+6Obt7@L+Ii22ln#$57&R2sq*nA2cP8nhKGmP
zHk8zVViI04TaNSpWSvLfr#wCV=KYu2=U<a=zWrdrboyJ$_qcBTvu{6?T)p-sDel!9
zvBxQ^CqCW4`gQgE3wP~z_r-jh`R2XE{Tol?R6nfRJfqPrZ0b|P4XJHURWE-_+CRNw
z^Teg+zn-cupZWIZpYNxxPyNDs@>|3`$sL(Lh08xze*S*y{>iSYucCL%8ZS#4F8?I{
z`^)LAuZn)G{t~&n_qF34uJWXf2WmdgYp_4|N&egEYNN?oXO`_@`4+wR3FpVJE3X|)
z{%>=HOY(<a^@ldOm%)*<I_LDvmsD!`zJErX@{EPmpW=?aSv=GE)sL@n+N&>3tXSxJ
zyiu|1kzwtT*>@lH`ri1GFqy@3Md8&WO0FC`V*6%K=zl%)TCCyUpyT%roP72ralPK}
z#^Q=EiNB|DXsc~~^X+Vy?t-x0GZ-qq=xq8DUw&-T>^XYY#~!?z*SK5agwE^lXK!}T
zPmKL~cI)@AN;*c?_L~dJwmo?w<-dzZ{Qso~6}tpo4U)gzWZXDqqxQWo@nTZ7hwHz@
zpI())c?W-I_2-0pvr;#2_$J42?cBG7dyT7BOTKYVI%jaz=F4&O$gOj}sQ9gr`}e`+
zM$DJvy2+muzb35j3R@U(ed7nw4>8}5-%@2bH~FhOm;RgW&nAD3-yg?yeA;*ao<$eu
z@Wpy*8Q%Mnpm+GwiSrlYer$Pqe8s`4d*2e)O_GbgdN=&rx8m(@FL}yX|2*0L=ac10
zq2J$*)rCsl3%mS9a^4rE?Vn=O7U|@yQe5^$vYYFxWG9OPSI_rlUyuKPcUgro?akwr
zLV>bJQ?$k3KCPRnVe?h;o%QQ4SN|TB`*JnQG<Nlh1<t1<QrFmi%sd&9`~6D2t>)_)
zC!c*4dCc=!WOK(FtvA;f+~QMM-_XFs9pkt9+vU*8Z>g_8Er`uJx9Q98Bb8rLKYzV+
z|L2=W+rFN?wJG7@Wz(NuQciE$@$7^_348SEwizeFPIhU1xs<P-nLNKu_(SW_hJr_5
z9nXHhRR8{~+xZ3yb+(h$2d%^A<edM0DSK+L|DJDICwo%PZQl0%(*L?x)`QjGvL<)G
zp0sYy*VL=0Cp2HS`SJF?)$Mn0Pe&F`*z<Gk^!X_hUVpQGpThL$?i4@P{OxR4ccsor
z|5o&VioDO4)a_P(dA4sCP<-?KLD(eestF!fF8s~fv_@vdHy%}i?e{o7Ed98$+3w!g
zid~cSC(ZD9^H*rvr=;GWN)u|nsD@{$8s7h+tUDt<>ERAe?inHFC+=_9`cU<Q#+v!|
z|GqxjJ!wIT+QxIA)~3x6mH)}0{=MMVmY?<EQTnxt+TXVPT>bY;VW>VwX#b<^FZaK%
zitl=CxA*_QU7zm@GYOxp|61_Kr2Sw=&DV}2C$^THd0TUoXHVn9z<}m!$Lc0{AN~HI
zdhevY=clfkcc`^~%@2vkkCXOHk?3bqyz!@L-_cKZfBup>dQs-lCXOQ#cCWuY%-(b{
zb3xtguMfXZW3v78c<I|O?sFM@|9q?bt9qj^zjJlj_eZO>BPAHOud{wCa{b-sjkBj@
ziM{<&{k>R8Dt~^2PX3qTlTyu}86SOTd9>{NgT3!2*BsooeY=-X{=E&qQ(Bn62EEm)
z6#94~=+CdY5nB$u-~7$=`zO~ae=@tj?Ek)M63_fE=f3VsQPO??Py7Ebt)Gv!s0e7=
z{_lR|DPX<kThP~){7>^LCHgP!`qP=UNaVDh?)<w9$<<$i<}!4vfX+Uj-IMcqqIvQJ
zv01)fQ&^<G2d(||{$FhUzfb!oD;)3IarO;p{hH33pNT);{LAWo81(mX?3)h<)HH&>
zt(cdl|NGm4qt8AB&EI%P{{EYPEMGOcHYY_IYE1a}!Z+^Q0qw|&jJt0>emEc%Tf@-3
zGc0b!?qsnqL2Fa1rhW_Zty*06^}y@D4Ih*kOsltTdvbko=hvXKKR%{iIxH*y{(qZb
zBhMCwqpQ`|aGrXizVIspzZlz%68i;W_ZmbFCo`P9e0cYce|;Z0&VCJ+-kJPi@&upw
zHw!xWHokx1@#6!Z%`8^2nWoFXbFqka`Y|Nmxo|nWgYm{ODGp1UslV6AE?nLB<-pYs
zj7eXD)84p?PGq%D=EyuS&;BHHBGc+G!Lz>{xc=#5x;ktA`Y#85E_f{Z@BIR%vmXy+
z{fK4waL1qB{u9IdxBsuk-`lwLh(dhg*8^FVYnr#ddoyKL`j5#+w_d8-|BYeoYum41
zj!Ml<`*-)>wjZ13q~*_FyX@}6m~R{RPL>dzIREPAZ&R;*+c|OLySC7O8?SzdiQUEV
zY)$puPjB0{vOmqLJkh>e^z+xeuunBL-vZ0kFG#Dh|K`ZHe=zCam;Jw|{n7sBwDr#(
z)2S@~Q!Z@%lYjDr>(A}~)&}!Dy?(4`{o3Z=SM?t_{QtV&lyUYa2G6z%zK(`9UxS{0
zu(uB@Sktoh&9|VxQ&SB7Ox2&it$ypIE33a8{J-woCP#Gxzx>y3$1Vnb>dD%a!LvDY
z*1IETH)qt_ePi7Jty@)b$Ll$IhhDs%Epck@@9*A`kCitzh4&lmSu?F8X%=_<o{z5T
znQH$J?l{TF+`OUt)ro_#|JSy@{(G8Z?%SF7e}C_MpTcqbv+SDnZS%j#Z@0SqMIrx7
z=l<1ux9(2;Fd-tT(@pgE*Uqa?CqDf+;cC}c(Y;#l=Zd7f_<nXl>#h%fS0-j(+qQ4h
zm(F<AN5AX0?A`xGY`e^wvIB<~7|AU#iTEn+-L3TPOWdZ8msw?ByCyRgZrQzc?H9?p
z>y~cbcKp`Ko~}2y)82ma6Ets8^6~hcv}M=dHy0<XN65Y3@%6@cncJ&#R#ndNl7HT^
z>ub{b=^7`$$nVwv#KLf3>#ke(E=`%Nt-sOIeEpX*bGy3Z-@Lq2$k-wI??boon@1aY
ze>L-e%Ks+!^IP}YQ{VJwZQF5u&xW0kzIE?C)#>=U^23a?4=>DKVQ}^Hx9%wCWQJS9
z0dZftUneoX4EV9^qvYpr-S<p=lwWqUzWv|fsg!Xi|EqZUu~#17#iP}8W>s$wuKwEn
zc9Mtq)~Wlq_gm;iA6)0lDH(QiYQ)tCO05bjCtTfp;rOgeOTNBYVJ9!Vd*jn*b8YLV
zRcL(oF#rFpEq3l^*@+Dg-p|?iZrjxD=Xw^rUXxwFdqdLc4YvAg)LvK|l3Fme@8r5r
z3+_X=U+-alzTWPu*$RnkSNc}_b$q$EHFe#SL!Y*7wV&x^|EBuf3aiIct8F(GO_=s=
zTl)LcTX^j)*5|x)+r;<!tMYes(a`)aVy9=hd|yy~(#d-Jf~a$+-pp~>S0dNUW67$2
zMHbZB4@%zuWooD=XQA`EYp>7j*{~z&<u8B13De$W{Qb%Q?1GN{>Mzr-Mt)WK{QAi<
z_Q<Eps#kbj-Sb8BX;1IQZ3n&oeVJ-~QuG${!4<o^CE`oi4(cZ{Wq(oeVmmDK_}^D$
zKjF={cqV?GmX-hJxu=xhy{|K(nbQA#QQkDONn1!UJ@`(?N+ms(=*c|&U*}z)7W4B)
zVEGe<^e@Wm*B|9*o7TaXwTAJhoRac{>MzPCITnAJntxVq=HB-n%6q*M?|qp%JB#D`
zo-b3w-o7b*wa;i_`?YUczn+B5eeHPk@b<30wD{BSYD+gU9zNKSv{2CW_1*_t=KI~%
zK3O?oheB`ks;%43#h%)bKYLI4-<3!9)V!OtSxf9q$?6ATH$Khxjr>zLW5)5zE2@nc
zd7fleZYi){|8@RfRmS)B=eC}E>3z|$Z?UKSw}Tx=K7W}Xuf(Kz@W77jZ+e@eGk#v{
zPI?*9^ih9ubj`G#wH3)L49veV?x<;HUXWS-anZ8Jr;f?*Z>WoCDmlL3qf%4R@0s5Z
z+wNNR?#DX+`91Hf-vnCjZmd3$k^Et?5<_YJ##Kr$`+jxJTm5BW>87mtdt9$Ad*`=p
z#hH^r*0cOxRUWWE`gLLT*QpAR_k3rWqru+u_2{+$)6H)qzbyDZ?ZLT^(dO3}Z}li1
z*K*#J-}JX-Z+|D}n^yM62M)-;pW|D;+(h?HZ|wQVeWla2A`L9IhG_R+oHU8+&5@7O
zzVL2+HC-s<P3Y>?_h+uz@jmqYtaCr7UCvE^6Z(~Reet`<=SvvZd=UTHZ)!XJedGd>
z!Z(pqyEqFU?ws~!$J-n6JKsiaetGlJBF8`9cq|usc)#6|KC>d{;KOU*cyGOlsr<rQ
zJ^MuY$NK+27<aKup4|2-c_LHi`=ptIE4K+h6iHgY+Q@y!i?}t)8@439PW^Fz$2#%Z
zucyA*o^Jop@Yt(@8G-Ub-;x^D3}2`0*}I`_{>JUGCs;b(edRl~i9zsl{p^eVf8Tts
zPyc9lgfpJ$Q+@s;2D7BCk^M{^w)YeH7IfaNSoo>F{|J|x&FgI&PA2i5EbeAG_vQ;v
zXzrV>D}Ud47a6|y-PYq9Fa9b_dK;bpZrjh(x8_VVd~o96@#2f$>-I&hw@SY}W6I9G
zw-)R^@v-4;bnMeFUfZ93=-3e68?#cV{NrAO`p%D&leZdwFMb>K|IghADQ>Di*~@0|
z9^GZQ`Xm$g1}(j$S0}FS{u!?GO#Jju^DClNW&5IalUH<3t=Rs3C42frBSqz+x%>AT
zhHqku-tBg{b-|a9Tq{KE{_Qnff9_4n(>YA_o8I5r#wERG?VE|0n0O_s-+c23+x}H@
z|5K*dZ!Vu-vFYaP-;ZTF60h&+;F>S6(t}Z0m`U~846&mRpH+V0%dHd=eY|D!v$+vF
zPuTtx5bl-Py6vmS?fGxI9-nKtVE%reL73d@(EZo6Z!UOs^ovK`c9!@*J3D8sQmp;F
z*C1P0LVWdv36>jTet+|b-SjHwi|V)NcSUo4_P<(jVn5gIFP>SdOtQ|c``d&V`yM}F
zJbdr}=9R0T?|3cD=n{L6VJG|9nM#jW3yXHoR=rqy{m;eHv<dS}@9$x|d8KCUoVUW=
zJ}C;uTO!VG)S1r_xMqcL_sa>UCz-k>XaC%1`F2J9N8hk7UcyYPCAm9VZ#;joZRNzX
z6MpWp*u&fXb{}iF!M|sxUu{Tc<oq$G@5$HlX_IYd%7+_vcg=fyYRy;gZ@LradB5%W
z_uVU6EA!rkn#qi>Pv3j|?_-YX>o4B1Vy`Z){_g$N^39C@pS&s$_HBOg_4u|0mH$8R
zfAzZc{@sc<Z?8`^DE)JB?(^?nTj#u*^Udq~I-OUcb>F>qdvj$^do$(Drdf}^c<r~l
zDe-!H?dPvvx6~b`hQECgJ<;&a`xpN<$m%Sx|MPIKO#Jmt9H!shqwCK-yZ7eG)-TTY
z+mipYZ2ecdxg~q&yDPi%-|tbsCeM`p{&s7A()(NU&m9Q=_wZBF+XabQ=Vih_ZJl|#
zcHX<$>;G(BoBaNU`s_DpUqfQGezF?w3^D)zHTcTu*1k6Pw3lZBSq{b@`&~DI<@e3~
zEj2s+?H=y`bhi2Np~k$jl@UKAc2AZ6+4--zdd;_x%TpT|&VO~&3O_lk^ZoU6Cq>(~
zEwR(B;NLy9bc5au2K{S|5@+9i@q6A7^!c0E6xP(d%0-z^Z=ajlI*WJvyJ-vU8k*nq
zNc+EiJ5%!{<KLNQS12AA>Ux;I<>>TtANBjbq?E3Uo1_>w+28nm*-6pLH_y+#Uy`4E
z@8z0@NiVYfxptP_-1Pay+8ZkmiyLyCy!5E|+g?M}$R|g4Ub4Tzn54L*vEt)SLviUP
zEzeee4C=V__{>a=(vLd~_2m|K-H2rroU1W6N@nKU_nTHuKNS1q``)!%-X^V#T(e`_
zycLb5e-130eL%MSiG<^oZqtoSlI-)<?Dr&Xkm;0?e9kMqX2-i(Gjp!*Il+07Nqj+Y
z^4IjKN0~%_?fjv=Nn-k^^gbr_AMZDHiso-Le{=0~y7D9MYp+)opI!WfDVb5~e{a?F
z_wVKk%Y0|aK6rK#Bljuqk9zJxnfJCUi1AF9eEl_}<m9PSi%qOkc73q=k}+TS)Vq~t
zu_v~Cl;-XEn9;{1@?+B14A)a<a{hftOgepa-S<q<NG6*GC4bJfn;3q7$@H7g6n^H-
z(WmRU!~e_>n04dY)jwY|i|(FQP?)|)>*=?dw#VO{PI`0r){}b*lUC?mPmx;j^6=SR
z#_KrL#gp1@Ds1|Yar|Ub-&wO-=cJtq$JT^?&-nbmNPzX@*J*F(oZoPN-;D!(;wKqx
zjpUB5__1Gdsx|k98?pLti|>E3<avAZ^Cv5<FJekoX8*SorhIjuyDn8&bgxQioQUbP
z_j5L_@XY`A)qUsr9obGXqTXxyp8m4kvE)lM>(fs>Pv`8`KN)ap%LKit`y}I6ZvR#n
z@n*xzJ=+gV`eG5kDkb7ZPi6Z1?Hg30EV55neM(?h{H|~F`Or5F-<1=D{-22myM5Mj
z@A@MNUlI=p?>>>7*Zt`j^EY?9ubafg-<|y&TGW&DU{~3jv+HU)H=Ublz43*9#G9D?
zQd1{0HlJR5_le=t9oua;1u{*2H!tVyIrVGL_DiK!GM(BO{JHZ|#oKe5l}uUh=iJD>
zSa~>R_V)hz(@g3+uh@r8Jg>~c!n=B})b-N`rQY62eRFQ@n}acyua`%^oBMBN{>K@A
z>nAmGblDwGS71yEW;}8)_U*YjFQ<3=m%iCDF}*|TZ$|{vvquMPzA7GJK5>$nwIS^7
zy!1DZp0J7EjO=CXnEYMYgGuw-!4+H|mq@8Mbj^OTV8!S1H>*EBdU5XT<l22F*uvk;
zD-XNj_(Oc6VG5h-n>nXXbnV+1`*wEe{c9(`3rn`g#J`y>c1ryJ8ME*8pY}}H>_7Xf
z`}KEEG8|v)eSZ5YY*SqIUa72&G3U*zO0RuT|J>-faoZR7=<U0jY<_6P%@uzC{k#6{
zeeV>veH9G<_VLHYw-djqGd=$OVdo2$$ML)R%D%Y&_Lugo+o7=eyI<N~&A-1=4lMZY
zm;0CZsd`Ux^t;*rzWDYrzB#*pzinsW9KREr8oIxxc(lBolb!$m-1n2lWhabwTRu?Q
zf2eN9y9c}0yp5mxP5A$>Jui8GY&atS@5_tXhdE=W{rVNFlwh{<1+(@R=})hCH*wE8
z(G<bhwBziQoBwma32#s7V7sXKb<J1daIudU*Kc{0bkSD+{}&<EgjK7zK6<?MW9cNF
zKkq)=^)sqE_iEakCFSqlygT<*FmL|{-!+>#Z(NK$zU9-qD?8uZ+Vg(4s%2aKyUQQ0
z#28+1&i=Ms{pgklujg>t?wRs&_BVn1t0ILD7<_)4ag=G(!@RmL0>3v+Jr(zeLpAA}
z!1jG77`a_v?)>=Zi$L_=#;VO<gYTt(6Wl(hY0ed+_)Q-L=Ql0;yw_~Pq!S*Sc7=X?
zDf+B!@1Gt2k0pH*tUvbP%iF0@C)1SPFDt#aH=8|1Z1+hX^&E!hFBiP|@ub7#-JDMg
z9_bZHs;u2xc4n=wQfb^4?{lr+SG{exSM%|0*%#s6f8Nddn_@A`=Y##`Z^E}uGoO6v
z+WkfNs@+DWmzQ78e%94f!8ozwY{$f#AB26m#8PexS#J6qo-?!m-;45^H<@L#gnVZv
z|GQu}k;~!dwm0wQoMiT1Aoc9c9RApUVed9ntoivlat@>E`YFG*e--|(HUCY(#xF;A
zwyxX2_(QM%!0!7Kcg)*Te*cMJ|AyewHD5)_p1<0;=DW!CsZB3FzMT{KX8P($Ru`vl
z`afx-?t)8y-c2u66`1<`z3(@{{l8Rx>S%qP|E_b**VBtusp*vdzJfac|A68DZ@w<r
z`TwC`{vCMZdq`bw;j-6XME<5v%FTJBw^Zz6`KH#mZO@iYQn>o2Y3=5>cDfqe|F`Wv
z$v?B9FygDUH|yk0d}rS+3p**wx$W)iKd;yxn$7au#kbwdt2XYt;_oG1hh?kRzMZ}I
ztz2uj*Uvqji4pIXRYx+ay`K5zt91BDrsyr-|J@N%p1_{o{!)sO{h&XWeB3w1)lb<^
zZjKi{{jTwT<lAMdE1BNb-CDr@yYfKE@d<H<m@cTk-pI5gwQEh-edE1fZeDeK9`i=?
z`qzImpY3_OS#|a5_Y1B(yIEDgrgM|UlbO3`);&Mr9Qx;n!}BI#)AX6Ic0IPQEV$Uf
zcfOZ@_N4En6IUKT!6L7H<mk=)40EkcCQc|Qe6k|;+llSxU-Lcc<gWeG$L{~B*>Wd?
zwNb&rBS$_JoSt&ie^bTvDRWOpzTY0rGWE~%kH%_QyR%>Tf2t7wRWz$YKH}B|-Sb~f
zvQ9E7zjTQFX7XMyGG~6%%#;TCEjPof)E`}M@ZJB-BsA7&R`}sAxv%$kPi^>e?ajs%
zrR<3f;;%RTQ)c2l-t5^rA#v8TuQ$INSN+#DIT8Nk<Nxh9_iy|pG{3PlCGfx<j_<#0
zp8Wpg^!%p19OLQ>`U3h9N<#WS*WJ6z@qX@}SrgsAZ(Qtmv+1+w&JVwyeC=sE`|NA>
zO$LRA&!Rhj=s)}VX?EpF2Cp|0<6@GYe*5`y!bGN$H<`YS4WAe-4+K5hm}h^EsX1V(
z)$5ZivJdY>Zh5*@cVUy>({KO32<=I5?Rm6!OXBreB^!C=f1l)^`Q*?1Gw-r<-z2HL
zn0SJ7&clB<US`YmmofdG);{COznoV&N35AH-+3{qoAX-EyWI3EI^Wl9N=kk;(VsP5
z?p==d2D?q{!W)vfWA>kz*~w!pxngqo#*;3ynT;dZ-cDG(|EQ8M<FlW4{zt#bS#`R#
zApQBTSKHs+i`)L}=g0Sv(>A=^_(mfA{k^Tz5}Ka;{Av5v;O3O|;@{@KzgsQ*<ll1s
z2PrJC-`>^DU<o_;@~&_O59_z2Yd6$yewnddcxOsg>*H@9PyFoOQND4rdjEx+S3h1m
zZy)*Qj&Am|Q`U|0-fwE7r{+K3^XB$7&kJ|Bmp^H3(tJE`<D1*j^WRjezCQUO;_R$e
z<!yUIqnnnBy{&w`St(-s-tCh(RyTRSX}{$i`S$kP^KUdG-dgm$)!4S@x^?o7AE)>J
zX}{&YG5MC3)wQkpo;<FRr~Vw>e0*Kx``d5zjxoM`esIU?jbC0Ip8w+b(F02&-rQd6
zC6!*+>2&@okNv-i#c!59?r!`Sy79%zS<`-)-;0^>qvu3C$Mhpi=JKDzluI1$EqZr5
znn}5AuGZs@9hv7BTz_>!IkD&KYnN9a3f7!>b7%W&?~mK|Wb3OeuYb4X+S|xe4WH(7
znErViG57tQtJ9dSzA?4@{pL<-^;^w1@9u=1TzcnC#4Xi{8(&X|yYcqU`g5<W9>0%R
zYjbcx+l5lTExWFsesQN-NL1Kx@x3=OEUV=tKYIV3D4O&%=g*rv-{-!nJpL~7Uj4B%
zzu%j_c^|npMM?a<MqdBt7Vp46S9m91WvV~*=2rgy^moepcD;T5Ds5H5n$DT?p6K`+
z-Tk-q$lBIqkEB~~UR~eCvuYm4>y1a%QWpOC5cBrcv{maiKDEz}TTr#}#p_vb4Ryc8
z%y2SXb-?X_0mH0+?_L?NI`!tlf(ZH3A5(r>zsyl$;eP#Lp5&@q{k{M0yp&DMPiEvi
zA$k5mz^}^g>OJpXe>(RzV5j-($c8;<-`Ix#oTF~~dg79Z8)~Nd8(RNunW4gZyg>8+
z)A!S7>W6-OyeD!a-|-jE?Cx#fb@Y;H{-%P+H!u62zrXMOJHG6#;)ymM?xS7DUv4<G
zdcv^@S1(%Bo;b&KW5a~B6*{3hoyWqSd^o$}W?kK7r;nTVX_dWtIkE5r%f=@KmpAH*
z{(k#%%bSp?-@4C5TskiM|H=EXMeNZXEYb00x9uL?`B{;^<;c`cZ(e$5vLDyq*cZ0J
zX5-UeS>N8jT>0i*u?LSLOXSpD${UY;e7p5X>8+O8mL0m4#r#dYOBjB#AJqRTll>-V
z=bO3FX&W8nt=9yZwSN1_ZT9T__Ed%HcL%1Q?iYH#O6K^4jk{j3W<FJT`0S4M%^r`Z
z7td|8&|lATeVRt#o7<(UiVr1kdvAY<^{)PuSN89!)g?BC-{IfInqA7jegB+0Iq&VK
z9@u89{~~9VtZM3#L+^i1U$?{{LhkG5H&wxZt7Ol|zjxSc-Ll2RS5f=V+sZ5J-5$K1
zQ8VvF)c>3%Hgc!G{$n|@{LPzhk8io>tT;J|(UgsOg+-`9k~gz^?2-QwECC#^zUlYf
zir;O}`se+($v1PFWB;eV6kPM}`=_>Bjq?^5x0^DR?AdbO@9w!9XErAGz58DJ?)HNf
z5#6svk99m)`{JAZqknT_cRUgQD7Yf{?Ki*eI*(3GOVRsz^0#|JV%d|wOFzB;dM+tp
z=E4h4&cFSteKKL~Yq5m(m<{J^k4P2#o3r`Xgk=nZZ@z}^(*Bt;<6Y>=6c)=fumAUa
zN`E7;UTgik{aaef_Z)1Am^NSbXT|q-Tc7(iq?b*ocbDD&Nn-U)v&x^_&#wHc%*?KS
zB9uXO_pYB2Z?8Pfj}(cWwb7s9r`XoB*6+gVFL9LeJiV@2cW3<;t(X%r%Xq$D;7|+p
zs}%V9=lYvBVKt}a-oF<pFTMTbwymUv`UBSgTeQAxWVs+w_lE1um7tHjmv-y#;n=WR
zdb$1k5Xna;`FDK&|NA<d17l2<(t~?16aQ?{GWxzDW>Zr1ou_#^b6;GHdwu00W0$z-
z`hyRC_S9_L&3Mwo>W#p)H*B}qf4;iRpY-AVw(XA|+zeMqJf;=4`r@ABY%?-ff5@A$
z%AIrb>VGpjJZ$$qot?cV>FOToefhVRgk57(zR7U%eamfTn<SRk2lhXoalm)RTn59K
z_GE@_N%kGGlQ<ize=&$X2#fvy!Lc!!VO<B`5yy>FH!7{_V9?vKV9)==2OAlWuUPRa
z;0RmA9<2qt<^Q~iIp3|~!8#>C{Y}6F@59~?4AxcLd@UZwv}ym@gCUO_9sa)Q5LLfn
z8aCzS<;`zdb6;JyEn~d+=f;O!pX@u?A8|CCe{=czxtDkAkG)lU!#(Xqu>J21@(~Z2
z<+o|P<$ZVl#_R5x@6GSO;rX+2kpO?v%?mtl_}=MRFWGDMj?a3*{xzlBw%*_W;LVTN
zw=TE0rt6<}(0v;cH{Y>y&znEb--f*PV}GpMl^Fe&x9*2yL|oIXImeYZ=>47OW%<eb
z{*#W$Pi|aamBRG@oxA=|=F%rO_P#pR;P!LD*UeLp)`#5}<4uY`5_2>l)P0l7?vJNt
zaP_?mu`gqOd)a#Rvzy`DU&xw9N|>$G+uYcqSN3F)?*5fwH=i%EUB6>N+T)ww|Gb_3
z{V2<#qrZPP%@MD=`)>B`dv7GKRB!k%{(e)J+NLv~*f(8zAF}P??DEa4?xw#HiP(R&
zTXyx^*=w)Vt$8u2>)@2|k8eZDp00dte)u)xug%^6PHJsg7;$4G$N6_NtDimj_ig>|
z@Vc$tYbP1?yuO(@aY5SkH5YpN-_Q8}?fLoCjkB-*+0q?1_l?NO_cBqNxD>ZC9J|`S
z@7<pF(OW;gnGqLSc9qAQ;r+e0Gge1cT>iFd>6{s9f-6%bi+6fHW?cX6?b=QI=C8hG
zJApIyzeCeX4#u9xcisr?|Ndge?2F6(yg9jT#-h(F&(D50<Np&W^Gn>et6$DMDYSQa
zUH!e47x!%3R5^2t;o}S67POsh-}U>1(CZ($eL|n#Y(1Rx@YmNLv2SOdeST>B&BpT&
z*B!ohvn6TqsW)9(kLIk(`NA1C*=6I)S+j1X-T3@r*~GI>Zxs1s|9>+%Ie+6sYyJz7
zTwEv4`LnRAZI7;;qPeNZ{ARG-3%)fCv3z&-Pjr*~p_nMOnImb%2iu!WoHtgdSZu%A
z8-H$V&wksu{1p%CwlYp;3jTffpl;H~s996j#XmNAH+!qN)KbmHiK`wZGx9UdTr>IA
zY>uftfA;g3rZ8-MJ7@2yRVU`XQ`oQFKhtz8!{0RzSonB<Z(=%ZeL#BuMy*R7c4b@o
z)=p-4xW3N*{kngpn}lYbnSLw!y~69sB5RNGt(_#Z`OLNNPd-+>nX^AXQowt=#`(8%
zvZpe1uQ~hid)T%1rNN?C%eM8b^%u>Ie5Yg`$x(ZL&)dImW?fg^^m+aQ_6@$~_n(-a
z+?=$b`{t8}b~_cXZ+Y?ez2Vj!@_*iNF6B{usvUett+wVP`?u%6tZoWle6o6)0PDHa
z-&YA)En<BCcFx;9av{9uH*s*bb849N-{sxD^@4cKyOl-Kt-F4G+dlp6=O@#$?|TZb
z^V0c~^kl*;?fXxxT%S(6zv}y&Wl@iGWs}~n+I#Em-u!Q`!{2P<zI=;E_tTzNCj=DV
z7)IA^U3~sf-oJ{^d&Rf1M++sb`L@4{?PSr3x^M3c`;y+R`u^>8jhf)LpWGK4R?Aij
z9RA>V_E$;zmy@+8x`eJZZvTDrP2uUc7wYQXuR47obCst-Z}gUPx2z9jzK(d;w&v5J
z?zgM%Z|jIZ!K#15?04h`URPPKPfYA@S4B^kT2V1&Z{0+G*0-y#3Q3(^l7C|DgqJbZ
z+$S22#6JAL;PLee)eoPHC*9j5`Tm`;c*su{%`baoD<wqVFxyv3$e&@<tzQ!OZBL2K
z)AxH%GK3pG>AQRP^u|3;ehA0xf4BAP^rB-vf8w65`q28f=*yoi^P^)wRW!UTO@F^_
z`>#nIVTbR%`F7YlUU$i4>pdAa-%NfxZPD2$DJx&!nz(w#^AvwZmp3tO8*U$akn%!y
z-;Fnu-<v<lP-lv?KHOXP;OC#KwfC1j$>@2&{Ul@OpIwI|W~zLAa&php%##N~?d~0(
z`{v_<d3)E3AAX$4^d#eo-2tE7FRm*w%-;QO@|Fj=8yjNn#2&o;J$2Ex7lO8x7oK;R
zwr+b?qFr|SjkwJ7QkC~V$}OIjoql$B-IKCEufz-N9+j=x^J21_FhgfqmwEBi%DNZQ
z70f@vMb~#*Z2Y$2Z(iJ%*0XCCMaexrc$3-m!`9*_8}=~06Ti7&voOb8pR$+-E)!X#
z9~?dU;lw)2M}@N|ggt$I{OR$a`kl{m{?&(>H!y^ThK4e%`~RO|F#`h_b1*P4FgV1U
zBpD?!GBPs6NW|<)VoVlbn3%MhiI34C=0*}@j0^)eLu%5mm^Cqsjm(Tm@i9jkgc;nE
z-X?J-F`P<bN^*?Zm&C!G#LmF!8^f?EhAD<4hAD|Do+XGe=}{8LuB1#h-f2k;EJ-p+
zEHPd&4AWy2V*hI=GcYWVsfzvgD49X&IfJAigL?yG%$peg7+!|T4h{xpc7_QF{~ke|
z%*y=#ufTK$h8>~|3=EtgCo_bp3&=9Xyia0ajIoLN9U~FLARA+n#1X?7!^FhMn#7pI
z!O6_bAjlcZ@ZUa(HHj&if#H12mzaAooG}b)F~5@JllWsgKE=o|XfiS~yD(U?uy8Y8
zh%ruL<VgPah$%Jc9ZQlegZ%p>Zk8A=25#Ay7)FNDRY|%rPgG)j88{g-1!DHZ2r_Wm
zDKa=7j$y1+xD%tA#K~aH<isGlKZZ4?Nt%IqZ%j2qHA8z0R}5<sOHm9%?86vFj#!45
z43hjw3VcaSN$d>FO>C^iG4Ep-rU%4Wv)%S)C}eo<#NhJZjzOn|h3R3^7lxHB43Cpk
z88$NfN|H<BPg45Cuv?m8zayvY)fgkj@FupKZ<B19Tsht`<Z>l3X)zSPO_ELGh)G(_
zz^RkO^n`)cCy6sjX)nWH0fyTgc1cGV@5bB~<S|rce4M1<6tgginWLV8B}OKOCFXci
z2XoSA2HPqI<`#y+q&5yq4lV}qqF4q{6s?SLieX?$I-K-1COnB@Q<74WRnn0pCRdKt
z=ac^nb0jmo=DHI5e-{hSRZk{{9Nz0rjK^cxnOI#^IPNntG^@z+K4$nU$Sir8N#1ZP
z-)&C$2{9|V?=o?Bursml=6=}1D42AJi`C&y5>pHpqY%So&ei)InW~v%q*>Tq_A+%>
za()(K;uKV86o_F?Dq<8*X8HGkQ7)F@g(8zGbBqA9qrh&)BsM12EvzE!Osy}h#5h$M
zvjrR#8NSB;7hL1S$RnBbg(<$}F28J&GLvA(PbNbdL3tM@MJZ85B_@4gj`N+Ij`GZJ
z1T|flQr|LV3vecBF|ohl6xL@F)=pA-!X)i2Xe`K7&M#;hqqK^_keS0<NmNSuzCgE<
zqLl1Yrq>-&G3yvV#|R{<NbZ-M>?n17e^Mn=CKK1rr1lt2My`iUN&jPt8HK#JBr(O9
zdNK<O*h)Aw32>f^(PHrC;9;oeNb0zlBq$*FUqY<m-=A1U9*%Yeju>WvR!?P1#-r+%
z?#T+4D$LB0iq@Q5n?#b8VwC=HIZ6vAt0c(?X>#s$6y#)#xx%!%Nl}<7=0C$-1*w>K
z4Evk76ar%zWtbWkB>%gl8Y&%g&y(RJx20k@%R#1O#u!;a7WVy2#pRt0tcnvmn0Qqf
zIXR9q#RwF~{(G1tsF44fMVFtGc_T+RV~nSwq@t|A%OE~^wR%sXzlu+V1QiuRlUe>d
za6Ds5V)BS(IImRCsjkE*uf*|kBB!dfz+L551<sfo0)mqSC1*={Dwek}I{tg1AuxfF
zn{zwA>=L1csxwtID%EDH#Q1OoD$Ha_5)kmWi#ak;>+gN#nHqOlv}$9P#wf`N<jONJ
zE1XIC7E{h(*wii2&zMxq*(t#AmZABCAcJ@?L-*E><o{k8EsUIR8Dbe(lTO7b*=wC-
z;?x&Z+Wkhsmqn0aE2qF(_LdkX<<<YLbNH)>xpR0baZByzU^ppg8vcnvP{@&adhCB2
z?m8vm;KMrZ9xr1Q80EQUvWT&9a>wuqvWc>?ak8kyFmYO%&6avC<{Gn_QDrx0%-$G5
zM~0(kVjfCpDseJ%YSeNZjrqnQ$QZ++5p$4}Kc<@@MmUBk_P<vQr^EsV4u*3qF<u6N
z{~pD%Fl<-)YZ}8Z5_4FGT}hB5@H3N+kW0)VEqNEg+e!k?SG9CK4Kf81wTvh6C^CpM
z7PbhKTgE7)D#S9}5omY$&up<-$-vNoBS|=kn_<2R2gg~CY(cKiY&_yZor-&fSRV>V
zs&FYAzE#o^%zPynEXblRsgca#lJtQ)If=!#A@<+1WJY;r;R(tAge0VXdL*fwWC~|_
z!(z;lq$kK?``lnElR%PG(szdG{~Q&vnUaJU=X)f5VqoQ(t;A9LSz!4rF4o)_fl7ts
z{~=t*1ejwP)-!Y~PUN}!n}ORv*o84ahLKxHqLR}-$(POX6N9mnh<eOthPgrp8yOBK
zP5Ps}-u)$~sRuj9R>61=rWmeFfya}iUkXg;$?jldVHa}vtfF<&p?o4UyBNnsM`0Di
z&0LmSVm`1Mb68G@WfasmxH=*BpXNG)dn(-4;<*ZXNw1QWgkF0{#(d}SX51<8C*~hV
zD3eQ!kdXKvgVRmSbN?iL^RVV%VOjkrW)e4(yyA3Aa}}1AimsfBjtr;oCN=%=u$7Pb
z&NAKOk;7TRc}kY4q71Jac^qS2#)vXrosjfUsGpNxfj35kgL|dIahA(M#_VrAnO1wJ
zb4E^JixC!(<e05ul+PKeDBv6;$#MRr;#Sp#oq7zPUy4{O{SmZQis2BA;e4+2Il!7*
zpiq&;Kk2H%OC<$I%_N3UhZydphe@Ig<t*<6CQBxL@YH0r|F0IW#QB|-K{bexF_3-k
z1mPG)9ZQePDgyk0YdLuqbM_`lcyjtOcrzYlVN_sdiTUd(G+)D3Xyzo()tnPW++CQ2
z<(ODoBpA7qL}kvZXc%+d?R4&EoXo;HIZFGa#(ySv%T9@G0mT<GnTvk$=yv~84xSRC
z$SJylnZ412Sx=cqP)K5~_|_kdyepIb#qdaM_xQ)rZy`L1gOTSaOR<aNLB^PgN}SzH
zyxoivCpnW8lB6cGyk}v2FTuFPc&C`S=MM>KNo^&`zg&W>nJnfZUpqNovUnG<?G%$<
znWQAn!(Jr0vy+*bW21SJ?i5yAr3osMlAO029>tg?ok_A#c-X=un6#2bwt*wYA&Eue
zyb80Z4x=Y)q5!A2x)$5ii3)Ee*(VBEtH}AYAJ-Ie<rlunn(Cw&nk2!V9wpk&lIhKq
z9lG30G?z7hE8Epwt~{5;SZ;P}Ia>0bkJ5;@GTj-=@=(`;7qr&L;;E{9r-o&fg>1VZ
z$LpsW(dH8!<j-o>Yox2VRBIF}JdZJq`RKvTAr<qS>u5~3phlaG#XQT-KrZLK-Jb6|
zk|O6?Sfq0Ba=ne|Q8YR7A%;P-)3V0-WtVEFiWEOXv7p6t9wGUdOFbG7SRz%0x`eEl
zTUGOt78>Uywd>q=l`1v!5&y!?n%>D^XU&?rgf*V!V4kOpACEjEuM)Rnr}1n?rk&4{
zEMm@i@=RtrD!6qL$9j+H+{P~4y&pM~y;$aR1PgiCGgvb!CGqrj^YnT$X?nLY=c;t@
z^n0G3!kEO{&l;_z$aLB&h9%aMSCi$WK)lOyCIvGlRtANn^+}dV$6S&;nI68Yc<b_?
z`E(b<Cl#9+3_|+8EQ{NO;+K46xGECg(Ipc9iRJe+E^CIr9WNMuU*K@`u;A3^^i+xI
z{O{b$64Swy{yD}{C5ex(kRea#C4(5_{|gfZHb`VNcG$ZxPw!{Y7xMaMej|oKEXgM3
zSS&-UD~r&Stl1Op#xe-}v}2kuH^x(G!VwnHrLq6B8)7VCj>j_0V)12~8OzY*X>XeG
zHK0$xJ?TEf7Hh!;0!#rRye>)pGZ<I{LR?fcaw2V#6fefi$mHbEt-f+BM&WWye$r_M
zVGfR@D>KYElO}OgJ!s0R^$<vU@9^)D;Dj%F2@x_bNwX~Kjtl5;oR3M+j(Oseq>&Ku
zBjKORFM%AUj-V!Kv)KPZoaQb94KD@aSj-ui6=T+SayTbgC3!P(ByrY$PU@6+$8FxJ
z!ss%w;|0SPm5ZDaRtBC61cYA;*1CKW;N=U^^oZ$XPF5)DV2t6|6Qk_oktA8nq&`XV
zq@^eKOqH1@CO#9g64s5VvFH?t5I%NJ$gv}s<Mm@9Zv}~%p8~;?eq~$}&SMJp_{dnz
z?mvmOHe(x0t(e07q)sMH)}>u6Hzzeu;p7)!j8Q(3<jJP5TrTW7NvKqyk|kPeBFkAz
zVMT$p9nUyy&kO0RFr-UJDoF?&{LJk*k#Y71{$ed>6@mMivjPSG@Uk>r;+oH*?Zq=c
zP=bRohUsV$k7vv_rsHhU`mCCnoby>DJ-L4KPLEwMNr6F7c*B+@I;&Ygx9WIn-ex=a
zcalh`!4=+4fuyIxzhjina?g%ox+o~YFR>|ssZq^8>35QZWK0X!@7VtnUJJz7XzYIP
zm=UfQ^GZR)gDEC<c7%Tvn=a1`ZiO{mF^-9IU&bg+;t`58jm}QK$PjMJo~g@}t;(}P
zLxzPjCQ*?w=7lF?p+-lv`zn@PVT~$lPo~1caMtUd8Xvs-SFxlA%R32G1T&R$bTZy%
z;(X3_cb%*7k(g-zS1b=Fad`7>UpJX&xAH5MLgh|Zg-1zdG3r`OLW?zc4oWgkh+&Ia
zqa{)yIU&Yj#z!V?ju_tS>@h(?T9rJxb49nuWnM3dk?rT4;3^y=^h1KXx0{Qzy0peQ
z`Jd-aW);T`3_=TfRosJCn!J!--;p$7Y0`v`DxL8wek=W7QOxLI=D5?JDe21tW1$WD
z6Mix|&S2(@k!Mm=kz`co(f4eatr~h@?WC35Ec01fJy;};s-N{_x@?i8B=JyihVP_r
z5-%6{u4q=^j8WK`*m-Gw<6a5Lb`_S)&i09{&skQLvSv<ey?^m^iHhN*6~YT04_=+X
z7}Ie4##NP-iZjJMcZg5$XJl3CR8<tvbb7$}J4sW(SmmC^rk&<W8UprL1l*e#IanEE
z9&zz^D4w!>w1HcIF^SRiGe^wZBu4cYNsJrTC!I?YjuGHa{`Ymm1V#_x7!Ky+C(Re}
z8M3k*SDn6C;ioN+@$Z#N)A@}jipFddpYuM7*ItB;wOrWqpv76yi}F!-_c9d+xhLq!
zdWv~7EEf{>-XYB>c%k^0L@k@(O82CL7hei;%E#=y&M3&Ge~01v4}}=@q(?CuKPv8M
zj`_3mx*&t`YbMQ)E=l4HKW{|*XHno_e4E7Ck^JxV$wHZ9?ka)HL}k6$S?}I-oh12{
z^S2_q2Z!e!gJ_`@+jpG!H|c>`636Zzy)GKHk~<8QR=#EZAUH{wVZN%Rva!m7{S4C?
zyp#V&$8g6ocr1?D$FMZ;h6|&`YH@?j4eT){vHvqZYPkGZBV#8ga5u^CN6?<mA6}9<
zj11BYu`vr{cw?AMrIs<ptd4DB$aLw8sWSM^FeT|$jB<=n8RPs*UpEN;SRKKl!OQ7&
z=Y(s_T)~Qbs~7X9Im8sjoR1Nc@C@LL;fURFNK#)msX?cc;Ud?E<tj6tJ_zM}6z`n-
z?^32vpiTP3m*Q_<*f$6<C#{Ono%qAm_u|SGFFWjK)IJhuY*3GJi8*rObH@>m`6U;Q
za<m9MU}$D!sEB2Fm$aXeV@qJtwwN%%D@~U<w(#k05lRa5WSMcI>|>SE$2#^OZ&WyA
zBI*tPacIQczYruHqx4@u=c9*=5XZwhj!&^m7-jE2kWul_(zx*B8`A|V#u%L#r6(2+
zJ`OfcjGqHyb~|_oy_!?9?~tQF7<<eEr8g;>OzMrr3XCy}6>2;bVopokc-SJuVw<p{
zmXlHW+lLh~4X!aql77SlGd$*yFAzTw!{o?t^g`@^A&FQ<#)kDSf=T>w$#QQd@wcqX
z5;)Pp;&6}iWsHl|B{mMul<&8t8q7SHB%dAKH91DM%&*R@u_}d;LAK#_i=s@@N}f$B
zT)|9&Lc4Fd{d{!FDM{dMj3&oErJo-T-`F<k00;NOu!N-oF|}L=-yccZ%^@G$Q0c&!
zw1GoYAR_5Fhrap56LlO0N&;~TkCPczuqijHt6Wg-&{w*!mi;mB_nz)DhdUnc18(qb
zR8qR2EpTt*%1ho7iZ6vY7+)0F$0)5}Xjb@OqQDrV9rLuHQ7M{ZAt%FhB~b&FlNxnO
zl@=VG5h_h34}4-7Rv376K<ED<Lli6t4FCViKVV>(<HW$gzyun$V3A>9VBjfBV(^e<
z3TNO}N??#>U}U+Wz;M$+f$^pS!wpu}C>B9h*)81cYRpDkSjCy$9e8gjFtG+KVP&>p
zVRdDa;$Tw9VwIi6$lA=y<jcX%u<(Y$1m+tGEUYnXsVa<n7)qwHW-~wTU}c)b#1c7;
zbqV|LhMNk^Hx-yQRTxgQFuAgav9oyXWeJ<cypS_%3X3npMHP-3mPu>*HTX?bxW$<D
z@3NXs;-1C5fW6R*=jLmk&=A%cQ7lO;+>5xZ*!a^+*~BMtuNPtFuL)vF)nwTaCCIMN
z@3xw?KZBd~hbrG1;mMl9+ePQ=vfLHb@)U68|H%4JRp5!I$N}L5RyBUco8cE21gG1`
zuF2w+@DyDu;mq-N3kORy52q3*?+s6BABGOOO_S6(WztzPqJ(z_Nkqu4jN&tvTe(On
zmW#zpMPdqbi6;lM+yxoK{+kLiTfLaBN6Fk&F^*z?FJ9}-`&^ngNbVhLt+(86>F-)R
zf7bG(u;xwI&)}M}MCPxI%vDzRD4y3MOIC{-g~}FOVtL7ux?E&ll-MCnUL{TDXY!$?
zENxx#-9jus>Re@{c-Y@)v;Uhab6F-Ph-IxNi|kTaWwy;rWw!G7Ze>3uyKDs)>(j0B
z$5r$vNvt#xRbh&h-mzBRL?(TblAL9v3X_G|&%H8S;uez}#B{zaQA*Q&F~x<2)i=uG
z*(zBd6{V9F|CY*bm6zPA<HqwRiltDM%cRsM;F6ZF_+u@TpL_YfuxwLe^R4*H(lCjy
zn4N=%{Tds$ws^u)(-wC3Fjk$Vrsu=VpI@@kG5H^5veicXC97r#tG<`1F#E05wuLH!
zSL1ls+E3+ixXP=S#r`{%=d~95eow30Dtq~_d5WpT+}E~!vX(!ERdA~4RW_YC$5b!Z
z#q819{P!jb{oqWhRq#-;eyp-f)8dmqUuN_%`7BT8M-FRT7?=77t1?9@Z1;3q>F`w3
zPUU8LnA)Grls)X7nF-1=8e4sL`do~1D_-xT=*oOf(CzP}q<;*LS(sF^wt8;&l-<NG
zr4%@cA%jC8;kOFAIFpel1D~b~o9`qp0ghIVjwKwPY&sdDEF}|}3i%kySv#jOnlkmM
z7`rh%&{Vz@wskGPfok?r4hh!3TX=SftPB(1I*D_y(l+H2OS0dFo(+~)pHv*?F`MVD
z7kjp6NQzX>BxSX*k7j?av0G1Kt>T*yE-;0Cs{Gz*+*{a}ZxL@`UwcjDUl8|6d4Wq3
zWg-?<A&b|td{v!vMJ6uD-HLzfB*RxsB4H+%YGg9`8JDuQ@gJYUIz!CB&n6>Egp<!_
zIjg>xn89)#|D_Gb`2SAlTEnX8$CkZbHfCyCmHy2z^Us<5{%cv{`Ma;O2<|fZyp_e5
z{pd8Ikl2ku{KczX?r)J{Wwi^FEwAEFTpIgOb?G%$aVMR`n+nPmLCW7u{{&4tDYM4Q
z^bcEr;!TC_#Fd(_WyQAghRA%Ga8tpew(PD7gPpe5RGW;T#ZyE#v%HzaV3B(%h=+Zx
z4!4Sa6|+SzJL^pa-Vb~?6g(sBt0N5By<~6d=e^W<pknr6-dE4+cWmOhCYm<Qo|+a6
zGotneSu>e2M(KnZ+}R>5TEZqfX@xPXzS9i_%dknho3$+%SE)EvvTVLORdkZ>_nszE
zzG?ki`KB$|Dr^%IuKp}Y#E4z|Qh6lLZ7=f{^N{Sa$hD$Uv34Q6;ZbZ?Sk<)qx;-sp
ztfWLwESGV(%CbL*d$QhTEt{C7EGJ6bcYWpS%aWb4RQ>JJ&mk<PD@)2JADgY$9p`k-
zYHi6<cI!3s{KO4b^KylXO24uRE@2JU3=^MP?#?<R#N?_Md%1n^Qr5?zK2BM(S2C0I
z<$844zp~qf&NcO7xxe9p*Sh#H2mK2(*4mZu9}AhIKZ)y=+SS66E$rXL`KH|x*zk8t
z%vJ43746H>EwLi|gIHYn^MleASQ=LI$V}5~WBKt#?$;%ylPZVzYbk`-oX8T5_tXtA
zb52oP%4QwT5_u_;m+ykN?tT`{?cyPm1egupmF!`*VikWW<;-4ql_fjqhJdJnHm`=K
z@pRVTj){{37S_HtyLO2^z)RJJ<&!#Z=+a~Q_f?m&#ndyEvTg{n3{f%5WWC(G?ea~9
zJvmo+%qFqxcs-Eb@ufX8$m(vWp_hoScA{5Uy1rzTeTGoy6ds<dA+MLXI)}Wwd?ob~
z_c8V#Q7m__P4#5n^LJY&kNjE@H5bXHtV`GmRh{1PefAU#n^a-WKgo;#H-}nXiFlru
zXoLF#Pw#MhsiiL0wyH<5f4b(+HlM8_j%T`Oivi1qsjQlQJObJ*kxO|Ou=Ga-z0qok
zs%5d(5)oR;-@)?!63hM|rqd!kx;_kR*?+SX#<R~}DZg+F+wwh5Uc7a^Hx;%V*`mIe
zf8#VJ<<8R=Sr=SVS;3-ziODx_YZSi_tDyIb6z7|6eHY~xc$#JE|6R)7sj~bF_p!As
zo$Aw6dFzzZ)~dPohb{5w6~8!D)rLJUj8#yZ|L4l(uVpmCc#Z@)|5Dkzod4HSZdH}l
z{ua-)*fVNHVuQ4A<urLp3yUtj#8AkptjVsq)J)Y>{xYk@B#!Tb6OKH%v?V@UX3bX7
zhb)oPE?Ej1FFEu^k9*4A^`_chxrs03wy9oP%D!zYyUualYreNV6&K|uYVzC6WjSTJ
zbIQCnm5OPzSQX4vqPKf9ET5>!60=lkg-X#R)`o7rB^NiST#Accoz^l#a>2pLDV_>x
z9236iF4fh!W^>m|C&2rp))e8j?z}29r@1W)6^ybEc%9=Pr+Q|-7*8DgV{LZ9rE6VT
z^}|GpqV6yEy?v6Yu+?%Y&)gJ=;wh?LqUWtxrB({9W+@Mo4Gk9HVP7gcak8{#_Jt50
ze@)jZy7H@bPhZP3omDyLEq@h@`&1Rt@GsYR>-46Et!1Cfs<Sk$B+qRr_Y;;oOPNyt
zzMtkkmo?stXS*s>!1XC%%X!+b)hNB(61J6no9m7(Y%Y1)Q{2C&+^xH-66pQ=mPNp?
z+&C2@CF{=6RCh0aZOyMyJbJ9$>sd6TdHdG#7l*jt@nX?;c{Eq`WJrFfmZ<L3_Zvi#
z!+7;pv;Qcqaa_rBHcaJMruvzcqEA#-e__?}GSw52crBCayJbnHO!QtI$(L#^K0j_K
zY{=N+_Edgu&=kg#OtYqiu3@*B;=65X=*tjRFQW;YjvQRdQ@xelg4c41@qgQkmt|Z1
z4yUs1&zzo~$?!ma`bvdGHvL;<pYqkKFmkAQuVwkls$aBJawThUiD+SwtM=@mNgQ(-
zRxvkB;T2;E^INsWZ%dR+-`W%})frDXeyPfNGVrNSTgy`78I>;96|Eh_%6G<mCGYc8
zR`IDjf@CLXv%B22*{`MAyw+~N+NyAo>$`0C2eCG5w$)87X;3e`CORogR^acFxw}#X
zueVK8SLRvDdQK<zDl2ys&mA7gm#w8?txK1(bcV9l1}WcI`u7!^=2rQ5KH)g=G;Nmh
zuV3_D+Uk_rTwE=?bBoISFM3{V<x5!u!gv;Fi)QZSJIg2=vewvhnh-OG--OvyR5b0b
zzfG_0DfD8UTco*rE2rP;9n*Gc^9P<>e3RMY$|Vn`*9_%G7t*F>MV(p6tmDOdm}kXF
zh7C`*ZIRi1g(t@-?&Omzs=VhloqyRrP-Xcc5bSwFoK0CQX_E1IHdeOyE$rfKnnqhA
zJ-IeH8yY$E&6_!ije}tuTZRxz`OPT`LVWLdUI#6eFJe-gzAb3dDb_Hz9lR`Fv#0L9
z8agwIMf_Q2mH64oZ;w9Dk2A{2nj3tQTeo1w-sGh$8&0ieJF%{IYff#HEz|m=QQ{3!
z_b%0|%Dv6DiCk)*D*9N(s#(O;Q}*?C7ORDRulGs>WqrH7mc5_-mMW8Pz1UvXCG#vj
z**C0a7YyOq@p9_b5LW9|tomPgW?60t-^#j{NB$D4W~Qw5=677YO<p{wyx7ZYH0JVe
zy~e)3iuGcw&zVa+A6XWj64!lueJhK#p9$9_;UeZR-m*B+S1;LgmNG6}%In2iUsRw!
z<<KSD_&RN|Hnyca%&L2*uuPxrKG`_x+<_?8TB8lBUmjRDTwUCJ{dnQkz31H;-fuHm
zYIAWqQ?2!+k|Sy>W6y7Dvd+}8ds(R=W~ZVSQn6cehnc8?uJ~ioTF>ery*4(ND49xT
z<x7^GtnRDrmw#ck@Uq>^qBgZs?+dH1(T#VT=S||?<Tpp>%@W@~J}Xk0l>cn<V%fA>
zX1nj!<yovcCvVAchfGn}t1cK6n&l-UTyGU6^H%ofT9u3~bylo)VIe`8tf$wu&$hcV
zRlV8fN*w#DY!-{HtR*5AM$=T|e{Fkr)if}RcgIxTBU>}Q*{t=Y;$HH}|FfQI+9mEC
zCbLqDz2Pd0W)<(Fz5gGGKfhF?I^Cvcn(d7K*<PX>wfLQ1T0N^(4Ph&fk`ZM2mo2OL
zQteF0{;e$Wn&lxXw{Nj2O=H|ve8p!kcNF_9)+y2rTgtbtKE<NBbixwWm8x<D`g2v;
zlSKBMWEC%zy^tc=<fz{ErDC6FnJF{JR`%trSHjrKFR5Q*F<LH{zQnANhcQU@&dWLf
z;<^02Lan$HvshU@g}fH8XEoi<v+`Q%>`a#0m9p<xbtdN@RMibD`R2*0z$&%;&kX-k
zOHG#Y<sYv5n0;m8juQFI$~|ea^TBwrlO=r9*R}J^`E-K0GvvtKD3Q-BnwoNvdwC+{
z^+S#nM#=lIzP=PQhvl-A_@|o+%YW#*_SsDNt;rW2yk&V6Yi<7=fnT2{*L|72Z3+K6
zzKToy8z)JLNgq!(E%A4qWIc(!M3i-jf@uAYAd&0qmiR}ry3ZHcd~5!i7h<bYv^PxU
zUAIMEgC}}Z5API_rDccKTCpmxWsNkg3}N|{X|ghWs#GRRq^`NxCo#*+O{ch)vQAR7
z^kT`Ls&+WaWUIDH(ONww^E;tyT~G6#nc^N4#ab`YuP(9J(_}B#M(IsnvFgm?QSy(t
z9!BLAtN**Sb~THImx#!-b<<hmr)}D#(;CORcB#wa>*A}lSl?)VZ(%noO^Lk3#pSwb
zudlk7UsROImb7PHtg>v5>-q0L33lJ+8s)od7mMaro<5&%Vf@S9wZ?v7(ah#~v6A%`
zKW_-@yk+mxxA2(rCPuMFs;V7+YWH*N|0tfbTbIwVlytwdZQD}*Um_tvYEPo%-Pr_Z
zFI|0p(^AiM9{kLcm@1uZ59m$g)>1o@vm}41=(C`;;qNCqPT{$&>Dx2Ory<klPKhTk
z|DM}k;v(9$+t#*j;V)IMo+N*qsnch>ktWaNyJwzy`&6^eThFs*t68^*<~0__^{#WT
zshfF;bY5Xw%C4_+%=$<nC##)f#0>>iz6EZ%S6L#x<V+kEXypiRW!=x(HqGJAihft-
zNtaw+uvzT<lNiJvU^Q3KQ|hL4JWKXcHuq4OYf&QNYOJSUUZ1i(IE(e{QWrOueb-sy
zgQVteG4hn!>b}oQ=7`GmrR)JEZu>l0FDkBx;%Bj~(p5^BeC?ab(kUv{EP`G#-QK?*
z=v?!z>o5tQUhw`)PoBOIXQ|1JDAt#DOSJ6QZWYO7`H{DlwUB+uCDwUaZPH716inH}
zQny{={o9hSEk3i<WuKO4_5GG7)8+S@t+<sX`ed!>AC>b#^0NC{pIl}sU&*^5OviUE
zi*m>vsVM$w;`=>0Rus(JH+5&QU->2FV=OLSBJRvyc9W}C-rvEhqbk}EJt4C~$;WT`
z<PEO-rd9a&PF%l~!NO(7CDyN2Ds`63dmcE2U+W7xvBPyuktp{J;Yr>_4yV*yQy2rL
zrBAV0%Pt<qrg@3mipA~|r_!{IOIRXV*fnn|2v21dpT2JSHJ*o2{2sFWpBf&wWKEH0
zz9#c|lBlnC<!!M!vmflWdb&kqztHI|cGfIw!`QQ<Oy<~IFJ-q@m9^rTxs{iTwZW_I
z%UXT*t31U)(kr*EnIvP&`z`#=60Y>4Gpg6>YO_^s;mMl%|B|TFYBtBIB4%&wtiH18
zYne`1%f8>%$m%bTq!+tp&G%4Hx7KI=C7C2!_fvc~ubM1n{W?vxEMLP*)qk}}=4Af#
zqsJ|E-DmR7kz8^5q{;Ix@eUo8V3V3h{pZYO_HF8!rJ>KUdD>k5Ki5S0jx|V4nY)LV
zVVd~?_QFXO*QU(XT&t3)zgAUfQnbX<R({r)-i8d7%u|zkPBwQ<@6<HgZrQc6p<Oes
z+Sc@B*KrGu?T01Tig*^j;mT#x_jL5J)6_rYmAPYrou((N_=Og`oj<qu&6y<d`PUO~
z<Bj%DSWLHE)m$sjpnKW(%<GlBbx*2qG`L?bOPwUNBxS2eVVJn!rNfW*sH`^0oZ6>k
zr+JO_mRGKssIRvl)12elW(PEFCb0x(+H10AtnJ&uzEjn8F86_@Y$djulbKAnn`-Xm
zoz=EB-;$}hZNl8%r~V#ctmjtqF1X6-xJhZ(-iLEo%e`2Z&%JK#$8zZ9{R>OP*zW$i
z%(AMA_qC>Yls?DWJ2!0<{MZX$vjwX>u{715+HTK!ZVH=ekL~*@rNQjKqtqE++p;cE
zTIZ8?UF6~Jr{P=p6IcYJv}LU3KAmbCdEnVaJ1;N(|C^^<g+xrA>M3dwWqakcBL5W6
zKUppUE7uf?F26I~C7ZuU*R@PfYANdv7SpNh+_4|tO_jMXlH|ox<|#Z$WX4?HHC`$c
zma^9_<#k}y@iTGrc6Mtsc*!cVM9P*m+y7F}x~UeEM46o*sVF3S*knov+gU#4@B8<_
zi_O=IS6j5vn@ySb&PvhzrShp$E4)k<=WW?>iT~atRvqnhx4%(3zdU8MRhYe*WRr`v
zrby3ayT82b=~nq8dM|vYg<8q&@bqT8Q^~$Z(=;<vRN?v_P519C%Ie$9;-Z$;rMIY5
zPoEm8w%SkUgu3|St1Q-D{9H@LUb5Au3hm!=G;b}-3I4fLc_!}h-on3_-4WD0k>S|O
z=FS@zCGzLzmhE0VQ?`mG$Mr3VZaA=o|EbQZD3QZU#pObtNJq(Yi~jd={m#B2Tcmoa
z+jkY2t?a7=B|=m#d8r4A?ceu&Nf3MPzBh5U^Y&(`ZeN?XmGzpbnb3`W3QH>|*IKa{
zO>;}+zj{rkLvz`Yxw*f1{!e4w;Jba&qV;JdlZ+Rs%<&6(G?%3$N^EUV{zUN-D_)kh
zdy|*k4`=bsW_h`C^?VlVYb=^;MccnG4)EZ(tjns-YQ2?BS=Mu!fFhs#ss8ht{Gt9!
z%2%@P+$w&FfAKWcz!P&P3#xmCOktbBzTAuJyOpovR+%tgEk72WwXp(ML`0)_wU<^-
zWu5h;An#1mMRBGm)#MCbBW;=Kwn5DIRo+zjsV|<u$a>*2Yqr~kv}MyJFXVeNGcjza
zxignnH;QZWvMFv`SWfHnMX??AVtudkMVq~L>YYa>D)-JFTO&KYXxqd8LD9$fD>Fq6
zx>v;K6l6_JvwmwD#2;kED!WwW3`;|l=;XIsZ`<kGyE1R??~vX$!T8w6srs|S<aKV@
z)UDgIomD4Hwn2+MGIVQ05bKLA4eG2qmT}V-@Sd!>qvX9LcO{G3R<;*P)pMGS1DtEF
zR4(=DoXQ^1zI*u>pQB3@%yyX_3uCpG{J8a{(21izriee&Gny2pz{eG|TtidF_O42o
z_e>S3S+7_cwuqi~V+pZI)h=DPlqYVDqIYuAD^{H^K6AYIZ{`+fsxvPY;bhee7Jv21
zPcD_)?TY;CVEw{NYBnM{OIs3y*n_ol=1yfR*XO>*x@0v=Z5WHPmde|$sy3{}O9ZyD
zGWFar>RWj7)^8>0S>fAm1Zhn9``b%il{v$1^1}1eW>%>_oZR+U<Co2yGeum+)7mZ>
z2%Wi^$#PdSezMHLl?;yR$3k>jCo!I5mGX7+i|)O|<&dxH#a9_1HOb(H!R>+@OY}JS
z<}Ue`#V$UTO>@fB>YIEw?>w6JYvaq!TX?=V?cE|KEh6zqV9I7cR>#w;=l2~^VF>Pi
z&d$<5$vBj?@DfY2<kh`vbzAvvZ)K4^cS?P&jW3(aWt)p@Z5~gRIoUpc3v17w&+n(&
zJl+!fdWw5Q-sdeMGkk*M*uQ#OUlcTo;um1eSnE1vYH6X%`b#z!^{=hi%If9w)yrQ(
zTgUUI^%a(e>#Xsa`g6Ck3i3ou<4W0<^rc^U7pwkPwq~yoBimc0QS!Ass`ICuczv0l
z@e=D-n_FvnZl0QQVyei`YcgJX*N&`ZS7X%)6+a&(w`6U_RPE4|7l*oEPSHs*i<)ye
zWwqu-mJY909+4z()59tAmWp@IY1la9q3b^WV>7be?OdGl`zmYpyE}3@=N+SXi_VD^
zaetnARM#S=dycw{`6L0ghL)Otxwp*T-WKtYO=p)qZ6UkOBezLeMcdcTI@9vHO?fWQ
zlov+=`4@Y!**OY-vQ@izIKwvSsqMnMdxBXDi#7#JzjDfjak9Dwn`5TsTDD1_m$I$2
zDU4QnoY#LKbIQh=XiqKP<ASDhvX>?Vf2mowr6Rcg)TC$C-fAvQapG&ewRUhn$+9cl
z%9_U-P{L9Yv}T4+o7c6ecB!>1pI-IjuUwnverD>6skV=!*cL9YvC`UVy6aF(Nxk`{
zd`Y(bnzbfZSqiIIl|7AFv^C}0*4nIH%IU0f<C5(iZ{x=7Z*sqVX0Mp_I%~<iH*YJK
zFnR5Zo#enUnOpq&7Y@nQuTHOh?6yPP;Bb`v(c;<bmYUf9IlH@c{ukF9mn>@S;=LWt
zu!ebx?%eUjZpGuw-%@41p*Hci?6@b1D-}%5G+bJeUCAr+dy~$eEm5orC8xJ-4g1#d
zPLo}~`_IM}+36{ZHChh8-y$+sX8x`A!~UMyo1_vhIlS8D6J*UH|ILf{r;1MIKdH51
z-))(us`%P*TvKiEnrq1Z-rKZPzA=i$F7%IeU)oLXhimnMSWH#Lw(YfHW!?6qZGYR@
zqPLT;?posa#a%UuxA;%YdLEyWua~0O-MN~A+?4)pJ1yEiW&ITK+n;)8O=d0eN`7s{
zsyS6u`r9$1{tdgQbOvv+nkuUwW%~bql=x4U!t9drsjQkjhF<KZr`ZL!Bu(MJ7xXML
zNb?0tP4<;5o|YX<Wfx9I*(tF1t+Y{4QJpYNXsU`_O-oe5_j&(Trs%GpxWT{l)^e6l
zxpUU5Ybkgdhm^OeEZwRVxx~hTckeabB)1t#b2#ebTDdRrn@90_7Fb8Q9}#8F<gI+k
zEam!ii)rPNjMrCqB8)7`=dZuS8q6Z{(#KmU{_u@y3d?PScwYSez;h+<-6pBx<_C+}
zx0Q;X`8Kb43wt5!r<A})Ezg&3wSORce2Gu33hTB9O`7+Zr^+ZXpIV~i((@}yKy%ts
z?O?g|OjapfzE?_zmUn*;pM8lX$&<A_*u1J{nXc;#f$Z<SewqHsE1BNQ)?DIeiDC)X
zIlh{eJ4F2VDR$qfkC(8<8%_DTly&M-j!+lIODxLztiF*#x90rxWO+YzPVq!0%{QjY
zFPq<)#9JIuz}&DTIN-_2AohN*w_jM+YO@5dUAC3iDt4)x7K>x?QTZxaJ?|xwnd}iy
z`Pq76<5<^tuV^UAIK}U}=Ez<ip<8{mOXimcu{U_RbsGz*EiGHi@5;VbCAo0r&b@5$
zxh8R}g==G?{G^_)y7I<b`p&bKmP<B9lNh+Ocf?H&(|4aF;a|OmT{8|e*mdwLyJj|f
z_R1z*wsWDZ^H!zg1+hPv<d^SJ(I0G?skl*m^;7c;M-}{JjIz`7=CaM!X$WKGu99gB
zotrmF)LpwTpnlHm{(ugfHZkdAo0qa2pI0(1>S1!gl@M*oww9B({I9h&WT{z5?VVG_
zlr+WGf+sm>u3D7(I^JIC<)ZytuP*1;e93jjbmkP5ODw;)^>R*A{WguS-hn-Y<)Rn=
zG6sDu7hcsXC5rsI>|d`={W<6Qlx|PH@9)+uR$-aPY!`Ji_9WZvldQ^9Lhns7bC~mz
zD@A3_W3B?_1;=<9KTlojd4olVH=F;)R94w}zo&8OhJO#c%W_IbX^qMr_UthI&`I6q
zdKZ=`&JSldon*%?s3~?Xj-S`lzLRy{S{9?LELB#voom@GSOtxi{mrtyGPUe?3(vWJ
z>pQd076kKpzI54iomW27e%@OCvp(KaW&S?l)cz~tq{VwdlV!O|a_Mq`EY{PWs)swI
z{O#41o#y&$_5OdlMMr;WQxvOm_C0~UY)9p1#H^9elrPieIb$^KeDB|rrylMrUy|xF
z&H6EiO3WUul`MBu3uau4^yG-L>1WYgo4Re=R?Vd>SFVaT2Pq4=+5X&O&vipV{X{w2
z22GO?8*xv|cwGf{S#JhGZJkrBQeCnu?r`;VYfe)zO=aOYpjW~YQ^Zlzr|W$;i(~q>
z{(n*Y;n^l^JJ~+=NnFu+9VBlrbGzz}hAz(mChooEJ4LTdTP`Qc?R7BalnnbD$xl)2
zM`f)psSC1{Td_57E!)Pc7sbQw?c2<D%d>2=Sjj21<;zZgU23bKt;?IspT1O_ZN7f-
zH32JD&9&lY;s>_+<clf#-7$=h;$gpM_%(~Qa4YXb-jz#cev+|{v)!|5?xZa;uh(jv
z@0AFRa<538YvkZ@S7;@RrmAyJrk`$VTj93q4HL3hzRcv{`O-g0=!%V|7w?nolI15I
zpG$G|N$j0pnch8P9(URn^Z)j;=YH#|+gmJOw93vXg`2lWa9T@9*}N34#5G;om;3lu
z@+zvDGV`h56li_==#u%LrR;WB?#_9(WcpV2ZQ}8hekPipT*C3z<WIEd@*u~1EOwW2
z4)f`G7HfWGd&%M$Zu&EurC*clXWsevwH;9`d3-n5v&c^6u__VY!v2FN?poaP)vS?A
zcpTW>r?O<5ep|OiWF0Ga6qljj6GI-xY<BLwY?`e4Uc8LUbzG*&mG_Ihyu$i*l4+Mg
zRH^u@FQST3Y=ykqx~m>96*cA$4PUeKB_Bg-nfRo?XBW$!RBm6O>={<9$K<8Dh$pJL
zIcBnu(GmtP5ii{b8|Hs7?TMPqwbbPE6_%%2tmU8EzeUL(XX*(u`7HbN_g<|n(=E$o
z^-4|tf7*3-gM^2}H)hr-v;RyAAi^|k&GU6zeAleL`*BUpRMj`rjEyI|8ZYZxD>JQx
z_qLIcX-nE%nJ1s-Szl#fU|@sI^)s+PVEF%EoPmJ>gc(5d|BR^f|GT+%Fo5R&K@<NB
z3XGs-01Av-S-Dwcud(W1VP~`JWG-Q1D)C@q3SeOkVisi2vtnH`k#!p@!y%>_OIXga
zP4(krQQ=_qWft^g4rX4<qvXvZ$S>o~t;Ein&7Y#lUB+Lth?%v4l_z&Aiw?WRHI}?6
z*8MD-CvolIPG=De<Ne~rlEi!SCClS*)(sQcSTFLrUE)`YVm&H0c`cjub@6FcZ2zXR
zmh<ZQu`J&&F1Lu8efCyyHm3b0teRS^cc=1PW4Rv2T0W6m>K}`>)mnC6*3;K`>%C+(
z#gBROu3>35<ygzoEK_xrh1*N?ExXQI*4i&@kxY!T;S86>vM%V@ZRHhW4%))X%J5M|
zLQ=D8EqeiLlNeJx%SxsNI*v=2WK~yBVbNE4c!ec<5=S*dFn8k;<``4nEi8NZKVK7J
z6=HqHafV%0&z*VmQt?UZlP~do$Yz<L%DzO?U6bjJuKQY%&3q}74Ft@DmsqN>bWYLz
z&DtErmHtb|eLB}ZmIkY}{43=ROWF2#i88bN+s|Ge#J)C@W%*Xth3Y3KS*W;ezQ$th
zC1S>^xtv|Ul$TeVMSrTkJA0(oOFeOs(jeZgTC5i{b=+BGO?g{y1}V6hvgoX5sSV=0
z@2%s`zbl)4$5vHNQM(q&^*0q%_Xe5%*JjUK>+>XZ(h{XQ{Qy;tWSe<w#rLR&s4`4d
zYo8jE%VrnHBAd<n&y)F0;18Z@o-9ZCH9UR3@usZhiwJjh_7>vPOq#@$XJ{*)eT{v8
z7EAL~lj%%{zUZWS2gbA3u4Ug}%69RR_NTB7|D(itgw4FfRdnrMifMr(DspQ02G)gM
z;Tz_%278&kcxkde$W=C*<@!?H4DpJsyppoZ{dmgO+Rh0JIpHZO6}n+1d&kvQ;Y%Ku
zS~RkG9xhc+3tc-|YE#HsFV=Z$Mcq}yHq@x?WxFEoJB@XD-TOss?Cw)s_wjT^g~_f|
zJ-=1_eel91Y$rnVwy2zEy%p!bXep1dSKVwamfF319pY1`F>TiFnPOUOE_uyFyNWG!
zFPpVU&NQalTGJqw@};cHz1Xu?$~J|D%np)1sD265(qh#YjS6DDtIcj*8a~^LKT+(M
zCj(zTpStI)P@dyjHFvj~u&@<|@oIZ7y5thG)I4IT`%Yc8VAI}A`OBJR#;P7`eWY2|
zPZbvo>9kT6aSsXJE4Na#T%}TwNpq@>k!_wA+k>Tb54Nzs4=?v(E!34(oy*p*XAvc1
zmCc^Ck~Jp0WDC0s%hYL1E_xQ%cpTQV#!S+&;O(f}y6=#PM3p9kW9s?6rUiEYr_7CI
z(brmPx{}9ds>rUKV5^rxuX1arsQ(c;;Kd`nRY*N#jm%R1*Q&hQ8t$b!7FBF(HJRS8
z72C^J$nHB$R?z?E5^W0>%_w$jui6tVLD?eb*0N`@%C;J+-&82Lx`m}%@NS5#VU$Ui
zu7$ePN8RvL=Ipt=jl8bYYK{uUEMb|){y5IBbfu2<7JhTFTX8J<t9kX7D*e&1zRq&4
zO3iqysJK*R2v2$x|8A+pRUzeb*<xHPgV;9&>DaOES<NfIm1Q2=k2p5R<x}~tum*dv
zIO^CfWm_1>GIiw@!!0ZqSv0ej@`u{}pCmtn*V#+QZZ3c5HNJ`7tnUNbtmOB+RP^Ty
zUCQ;he#s@4!e1=2r<lGI55LBfeu?v-u3aWSk1qcrQKpwC3jZIU%AbGL$8IglS@Dai
zqW$M<m$Da@WcrC-pY*d&_%Rb}NX<Mg)_zsiR9?5KF0HKRy!6$)SZZZ?m$NEgWBDB=
z^Oq&~H5+%xvRkv;7`E`(zIt17#N(w+%T|#IEJw4JiX2d#|Amk75|e4|?B%?<mqa*&
zu9&lHuH}1uomY6NuJUCO#pNoQlPi`~EDs5bFY?Ul`(wqnd2anwmTWJbL%PgrQ|tTr
zY{UMfujEbGDsx=sa#U^qa{i4|S-h@EYH4QovA$R;E|PD%wQjbUdmQ_lwKcP&`8Bd-
zBv+c&sC=6&6%-Z|#kzT|>7y|A*}qh_Zw-r)eK*Z+Hao|(jrT;FCxry_3x~0uUab-y
zR6apdW#-h7V1DN?m6N;bCY7+A{>9Q<%DOg7H+!!bKdY=)?WEXA3$84<uB(4Zv@S?T
zpJnsY<?>6Ib!2`ot&NQRJK1zPbN?5aa&N7$P?t=WnyIdjbh`amUbxNW&b_dlpL42B
z@HE9>op+i%PIGzl**9F{b)BT0B)5iPi3;O3t~qPN%H=11m0Rqkze?xCQr3*6#+O>R
zUSV~~5)oXR=O&sI#(KhY-DT^@Ahv(3+q^}Bd0EzqYi(uG6#W;)Z}Ku^+ubFmev7Tc
zR;}mLi_>ZTeu-@%+i!2yRf_6WYAS1aDtY{)*dkZ4giU3MS-Zeb<l)-2s{XpN+ID7B
z-G7U)U1PhF%`bmdW?qzTp?v)NeGNgpQ#8vuN`3DCVp+SC+h0UFinG#6_vK!(7}Kt4
zEqbgX*LZZbMK<5Py1+|}+vHM|42zUN-kj%}*O#%Yy-cx_GhfQ<{pV)5Oug2ZC2M)w
zWmftuHIHIZX5rRk%iGHOlJ!s!Kf4#N9#e*wZKK)T<so0M>y})y)M9_UNNF;s$*(B2
zz3W*WqqVp%ZJwa+<ju>g7Q3EB_L7c;m-t(rn^F2xSv2?S&X{J%$meM_b&VdIyOn2{
zY4DW*7ptBRp3KY5*RyR_pWmv=_9pD&UejxAZ6&5+RVFusr$k2CSo0^ZHr2g&Q(?L3
zswZNls;`2q4t=?+ctb%xZmUR1-1$lW`c6-p68qLgHH_t@?v2e;ZYb1!J^gY^{6UpK
zFZQ+G%=`W32eI<qoB8re#8<bit6VNW<?@`<YqgTA_*%qLwsR~Tp}rG>YJRitU(5d6
ztLFDyl^a2S`j&?}UK7s=;_|$HSu^Cs+^c_eIIf9vF8|Y~zmnIs=Jys|ZcXJWavZFu
zz5aGAXUpEgZkH-LP0uK7&o%y)m-rKP^)q#DW-{;4f9=UCZp{C`X(`L!sVoz(dhb;2
zEYUDp%Q8t+d8@fWxKs#hvsOs_Ue-?x@l(`vO*E$P7*0>pT+7eJ8y&7^UA10&EsGbg
z>NR%dAT8G3s3`VHET${hX>Vn@%gP<a9`ka&Nt}T3v#GvjQ&Xq%dHT&+ryUx$mcL%a
ztb|oFN@P1v$5s~oF!sVLJSqoPFD;zJdT7Ct67ig%z*H3tttHnrt#<wG*vo6eSXjdL
zX3{!y#Y8^0IJT*)|8!iDWr<?3Vp%i!re}MfU>NTiZI=4dki1hO7EiA%VOg@3b;i!g
zlbN3CT-n01js4a&_A8fIcmC<nX4zl5=4=%o`&8E1;gMTp>RI<hvAbyde0v(Ue`%7R
z{EMAe4Xs`p{8bD5%J#lWj%6)-q)1E2-|nS6)BVFum&%lX3F*|H8o!k{nswo+{gX?x
zSigF*W-D&tf4#P*CtAg4s`Ae%Q>|IPUQ^kfw}0|l9&?uL@X+iieGc{o*{lVc=l9pm
zUdz9cb*s_eMs=>wo45Pv=xkwWW1lpw$|Wr6nuyCPmg`ITKGgSzgv3O#$M_WO7hw%!
zzg`s{vsKhZG$v%t${?X~U8D7^ORljzTd&g@^1pAc*rIcLs;BZE_hQvt&iDPgXx-AV
zuy~e?Y>~ZR_4KXSw#}`ddRb{nco@rUuOr8!*fnJ?uGiHM=IPayWjq<}%CKyTOIQ}`
zrll$p=XYLW@7!@iVeXAB{GzIRr=8oz#&Jc}$!|)pX!csxFjbCe3_mg(CaO%xWXoPI
z9(>-%OJDQOiKVhilh__SyAjPAyp|^@jP>{GE8SDVo0+XcYB#8Jb<fQ|{{DFg&+gT%
z`={_Sn(Vm5!>DgO>n^Ll)|-t>*^kDGU1rf-Z_~9^-Gf&+N~B1e$9%7TJWooUE{i7X
zk650MRo~w16uz`p7&I@&BRP$Cu1n_@d0%#yX`B~o4_#tOU(dSCcFwj{tjl^EO#aIF
zaWUVjS9p4FsknvZjf<@-ng53D-@?N$9u}rjJ^NqjmKbNA<S-GDOrCXHS-C}iXswKo
z77@97?TeL;-CCCGGFc_MU!z27g*unDR$pTO>c#G>*RxB{+MjpjTK<DmmY$HkA0;#m
z)Qe*`6*)GQzeew3oSyY+7P~MXJ<zOq#&Q`oziCw~S(~RU{lRM$#X4K7{)#Tkv!V-A
z-)&;Qeo5DQCHs`E^1J`kzn-GLbpE#GA*}jVJo7)sT)i=|#Z$*c#CI#p%P<!1(;f%r
zZQHDsy~Y1PXs6azowf5;X>M&>tRoU6y6qOr^|=*$L)z;6*K(9<i{~#5ZMHu)mFGCC
zrk@D&YLUZt=X_c%+VZ95@p{&Ir75MJB|dEDmjAC0Vk?hg_u?_n=5?ORQfuOWO>Y0Z
zsnM7DXJ)Yqrq8{-1eA+&SvLiRcWR1@%nj{y`FL%u{JdVdVAjXFr~5S(R(xw)er315
zc*)j_QTnU=SHI*vyoAeNCpg+f`0Zi$5E11du9YFP)wwQvZ@H4mp0`!@4)4lo27kk6
ze_`DmWtPIKxt7&2T4dVGm|5Yq*Q3}s^IllX{$q>$nk(<Tc!ig;YF^d}UeDe?m3`ql
z^Q=&ouuE!7b%NLOT7|J}(5k<_Ry0cV)s%c4J(nQeU@sAaJBL*nQ>Xr!#hV$WVwTNY
zJvHYqOJx`<_sgrfm*w@MSW_3C3lb8!GCh;UI+SI0kjImMv%G4ri&U-DwZ6o*Kbt4c
zlj-H<+#k{JS`D|b{Ns^{vWZvaa-OSe&67AyDz5f=5WDQ97%u+lTD&Wl|JyBk@iJS|
zYS#B#)uox;Ls-SNV*2K?m9y&SEp@%dy4h&yyXE{oKI@rR@@9qb%+g}*tV}-NE2_1Y
z{UTfBWIkS9yQ;O~oFdXCwYM$_+w&HCl{)k4>55hax0gn-#p~KFXX&_Bf6nds&L5ki
z^o>OJXS2)Bl+ymcG>E;;>+dGkU{wLZ{$=M`^u1V3*Ya=N%Bs0<-TJ94!Rm9oSZlYl
ztevDEz%Lf0dh!zU1Nj}hY97RK^}Wh@_l4zaHV@-keqMgbkn$Wa_HtJJsr;?i<PRG0
zo;|ty6^nit&)?P4tf#1&sU$}k2wSl*Syn8+F1A&54sV~{+Hk=&SwTFHc2D_yYmNF8
z-if#N?F`G}IkJ?sR%Hihs){+}&)+Q3ZF_mo2pi40e0_`LWwuIfcCWo{!eW!AvDzJZ
ztF5cMN?1ShzYI_EUbbiQhSOL#i#JbMv(Nh978AGJ7nfMxN3nCu&fO|w>(?f~R+cx+
z{Ib_k4>sBLvYyj~lGfI|D`l$+VmT!1xthf~jCbYCRtD|8YSZ{TuJO#OlDQmz$NM##
zX(;Pgtt-)gdFp@h<Std3se7n|)moGH`(0M+DpB35EdR9Ho3^r?;0+DpnZA~X_ba=;
zmF~s0EHC&H%#R5#Wp$axbo{QOov!#@?w^vPYp1PU8B@Tjzm>(5=lnHR-*+vBTjl+k
zPM5S!tYXW~Wi5Q~`GiS)b<L8-ZnhuS`Ng8dm&kUkWj`0ns=4oKef3t^Q1*G(#F$^p
z&OJNj$I6s%!6M1KSoNp+#>%@yT|4z!$NIX;<S70Ze9`OKt*^59>M~888lS~BJ5J{A
zYS9&6S?+qXI_myg$!b^9`Z|j@?(^9*S~jA0dDj<lvd>{%%JXWgI1`V-HCAr31&@}h
zW%39G@mH^#&oPDH<E^#oTAq`v+`R|tXY+(?vH!*LU@L2UV^%PWb>5K;Yva?eTn=LY
zttE4OEq@$O$`bvZqWa})9JY%t^b!eM%AUQHi{*$$5NmKYySOU*{_TEKS@T@i`|%sD
zW^<g*w5Rp&x-Bfrg<Y@kHdXQIU1c|oVlU^*UC*;)D~l$pcofg>sXZ#IzVLajVribD
zXKmw`HFe8Ueu1cd=B516TSXMbbmKU;di5H!SZ!4{-ohHut*djjV<n5))G$YGgFAN(
zma|@-#yVqWc+Y{Ark`!TZ2cwDe3d8Ah&lM*!^}MyYwg{2c4{q^*Y1-J(><Nd@?)*I
z6sv5Q?%GU|PoL-bT#u4*;a~8YZ%QbO{%YCprL5(zWlY0u?`4L^Z@(7Ba;`3vwdbVr
zj(L~2Se?H!w>*>oxF#>V@2Rc4efq1esV}Qyv7W2Dji)_~Cn|r+Tg|oXF6?1no~FLk
zYdCx4U=;f{@tD_aw+wG696RXkJ&iX%we^OdtlnBS@##`rI;-MXZ>?oNcWsZRrmN&!
zx%w@~{zZxOu2y}rRU}+oI*i?PtqgY*Tl{*p<m+lmFZEYlWxW-}{)y$pYFWFh@~o>$
zLPK>{Me#GTG<f$(^JcB(afs)6;mvN^E4`BC*EM#xd40P=RCG@>DYxc_c?vCw(Vlzc
zOC-z3{MNg^qO5Cq)XjQW*Rwl5WeQ&V<r2GZ7O(tVHqH3x5Z02QoOj~Bv#&94<@v}K
z8OJj3WshjQkny^uK9|_HMX~=7X`gSr^3D>&^=$fa^KDnN3vQ8jm!EfyT`-H)bStl+
z+}b#{=Jg^5{>|OL;-a^*?_sH`+p5~P<@ftb;^A5BUxRzOSF&Ee$~VPIcf(#j6_(@M
zHaDNxRo0W`pUGpOtDeK<SAO@rck+qQ6PYY)EqNDBw(M??;H{40pPbEaV9ConC0RA*
z;B1NIOPpD}rt&!4eeB6E@S0C4RCP{}{vp<ql_C~f*st@<)mq#CmR;~`kKF$(+1+c!
zZ>X={D$c-anzvSjjfFdky?M1vLuq@~u70_zEN!ZKNlR@*c!i64r02?pg|UY1V%>a|
zH{uh2(^SULEdHg@bA_YSrA0EdRV=?RW4z_h*~__<HF(m2d;_s3+%untd9ga`vWn}s
z8LpNMD)HSI#nUL;w^rUkm1}!kdghxK>{VNNQ`oM=v74@zo%ocg?ZneB&mU{C9?CuQ
z<Nm)Awmh$^+Nx2YN{#8nvO7CV)VlKi&!200mi1qhp449bfUHoS4lVw~wc;Cja{ae&
zT+g@TI-lEXo-fl`HC<}6rs_<R4U1w6`z2GpRem#@U>u{qS1+5~8hvg9U7q>8PA_Z3
zS?s2BRmb}HF&($k?b*uul;!WV#~EDwF?Ua9sa{>nYOU62dw80c$P8U&O_2?ux-(X>
z98DEk*vB2ydmu`D56|3e{*JAz`uvx}Wb0q*%!m?N{;K867M33N2iN$Wqu8IZJI>tu
zB3Sg^%6_>ks_Uxw3f8j7vM&trx&7L7M+lGbYS!ATy#Bv*CiOJ$edblSmOX>-LO9Ee
z{2q=HzKQ$x#$6T-)Gpn+m+uHq#5MkuDE>IcRaUw)*7EP=JwLs<{^;iSTSPvIZ!mfu
zu~bASOeR`gR&x8ia#_PDUISg(#O8}PZYaz%H0=JLrK>abNw92v-ctTEIt#DMU(4b#
z-2Tpl#Wal7aW$**72SnvSto_@vupJn+skIn>Ue5F%ZoP=p{#|^o@RyBSg&M#{8jJh
zDt6PY@<&;GcZHf%&TRV@#`A8i%&K@BwyoQmJw@}MZe8c6%l~%UIxiikt?ZBQwS1ex
z+`UC)Ia^j7>+WZ*-?q5B$*=^)J7mi2xXF~U#52%+)~TzEb1pvZUGjd5x)96qsFt(q
z)%|p)9`IzI&AxM`uh7=(yTxvW#Oh_Lhi_$VVAG6aF<q(C6SL=A)VyQ-{y(SdZDA>t
z^NC}h?alpy&2g^%NiX)umrq^7^qW_zm|bJJ`-?~Qs>q6YOFFKzG_PmxoXUTpUB+zt
z)TOMo`g3{eWmBVg*6g0MGl>1)Yu2zUysBBefA{h{eIo9q{`2L0Z=ES_bM*tJaXnW)
zu;jNJJ8SPAcV3lg0{>XWgGAnMTVk4OxBTvs)m!*`dFRKpJ=JPCV##%~g?+8Q2y0}R
z%$gv<D<XVRdOh;XR<ovFm0gx48?lyswoKC%ou2S0{)swO+3LsEiZIJ93zuzPEqkZ@
zuW^*Q0PD`RY!|~-qgLwoTxRK<`;YsIs=Ss?&tD!ct9aqPY+mNquE~`%goZN&IK7Zv
z!zk6juxiz+RSc30qhK@yMnhmU1V%$(Gz3ONU^E1VUkI?n=l>ZP82<lf{K&un!dT}2
z*@F*Sfam`~6aNg5{r?J#YgxG21(z~wvMVoTwq{{vW$?PGz;u;G(~G^Ftv`sRht<WC
zC5A0YpSfWz3oFaBQnnK=%)GoTtVU~D!>+L>MX@xnb9*!Cv*iUbe`PJHVhgBcxp;}^
z5zm?^o@gFbPsZOYVVZ2S=kiWx_r1oFy^D3ZD8B|<rr3P`3v1ak*s7)r2{8tguujcp
zIl7mPn{85@`1XrTEQj=YJ*V<qVEr1!(zBI!Ul@B#CePfLTs5rwr|=(V*=F^ZWkVEu
zJbR(1g0{exU2NqcEb%YZR+h??Uy^YV+kTNle2x`c&r1;}mJQcg;;Y2gF)}U>=X@%7
zK#Ng_Z!7B*nWk%c%av~`XymOmYh?-Z(w;rZm`mD$g>?z*B;K?s;+w@pqFAq}8j5)`
zU1#I4wS37}y_H{p_k5hiv?!KHwsI|-khMI~?A%xRD=%4o(`WT!`N#Iji)|8b^h(~>
zU)lPzSl7-Kd#1OoZYt|Dwty+F!EDWby0XjJOs}(CkK!rjs$V6$uY^^9wW`@w-mO+*
z{7X$G<*$F`WAtMG>gv0a&-OZtsk(y3OLKOX>~P+#uUXe#lTi+mEoAwpYr?*lZwl+V
zuo&x?Jke62;SHQgwl`!!4PMYuo1&u)-r<+QM}0IJ0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?VnP6PqatXp
zKiI?n|HwaqU0G-&p>~gBwnAvq7K2p^)=9@=oH=JRK2Ulc`(Gs4h2gDEQnH<i75nC^
zGIEmwR(#a!SmYREAF##abdrG)!)6tifCG|hl8OqAtb&Zn?Hu0qj&j%DCGBM37YI$-
z%pe-2l5~_onqj?imx)HoQnPP-v3?OwlVtf{_(cRT2qf9ZoQY|=WA#Ee$wi@&gDD|F
zA*SiL#jh_()ecb(?a2&{JOKjkF^4|HhBG8>icyTQPCDW!@Pi}f9GAzG*Y34E9$KD7
zQv@q!{A6O~PI{VTsuFXNS(0N_Ve6mQ9q|GmVq(}-mwSp{VRTLqcVT7m-X(mih>5pT
zWWtpgw}wv#UbZxBU`l#<l%+#EmZ5=@K~Ew$W~EAut<?d?2SSRBN*ZBu6PegwGVwYx
zxG+l3`EWsHkK&aXT%1f+hM$>YRsMZ?@xt(yBZGZ1!%PNA8Lp1Ln28$PhB90e-I+fm
znI@^E$NYFM)z=j5v6eSU(qN~B_yl2(_sRdNyJdNlnAlAcUN)sgd|ee2m+)ETX7Y*S
zPBE1`_+pF#CuMLtTx6_Qso|C^>SRez(2ZqHjuP3^?PO`}WY3YRB(^eUQk;|Tr`HlT
zDx5PdQztOS@WwE+TPa$^G#Ys@#{QcbRMY9j`ZQr}NeugAeJ^3vlBr(GN=71@Da`CD
zNh=uNdf5tojpSlc6r0GR$;0^7D_%t?mZR9w<z?)@56ntM)f*?Oc=0^u@-B(ueR@?W
z=y!PgvrY+7Ny`gTe=Qgn@^mV(OPYJL2)h`DEaUz;iRY>GBk#j5fr4KY{t0<qmq_OD
zTwx^elU383K|CPqkg#&>zX{qN!g|8oehum~-U`XQm*bR}EBkilg)cI1gCw8Jy`B6~
zIaKa#2ut!QnYWAgt(1SOD)5ux>s6VzlUQoGLm8eg5q{79+>SGgb^Qs`q)8_YTmw!@
zob>Q_^vX~bkcbJ=Xb$L<zAf=LdBvv5sf>*5Czu^18pSPFnkUIBF|w}Mq+&QXQCW#G
zA^)0%kcUCi`wRPiSUyPp_dj;R_Bjh?7{pt=n4qY{q#@4a5HpF%Ab^QmW3GzlS!HGS
zmENEDHeM7rWuEv^<fJ)sS;vhlF=C>VSdz8QYqy55q@FTioe}K8kZsJWWLavW@Y}K~
zd4`*!3~SPIS^bkX=_?-1=(3#ATF9`JV*_)Qxir&f!PQBtli~ukM8!;hD<{3=*vfZh
zL8C;Bl0OIIDxLO2(M;*QF$@1ply3;`Y*Xg2(6>;JdC?Fg^xoqF18=g&zb`R+W@r37
z5%Y@2afY)eqj$n~Aq!87e5Hja(%F;jDpiD!ik<Eg+YrQfZh_H?4Jr&r4FYB?NdEUY
zMwQL1r{mKJ-;7->q87;vQ)lULa(Qx<&WTaFvf|sBRlh8wu52)QzGC5oRE0M&1}8Rg
zFva{bs5Osm_+K3JKbB!4d(sgFZ>|kq6IXKW=A8L}BPno0kjHX|`z*0VOp+691!Dil
zOHB)&DD)%W;Ed6YBP{p6Y{)&ZDJfz@!GwN;XBIyKVkB9PyDj2lNK#?lX)e&hF+C<X
zu{mb8po9%umM!x{rVE?`3mD5f{&?83uyAv*PB37L(U=mG*K*A>@-PcC!w#0)9$i0@
z>{4QOGdR!e<*>YvYMkUgL+=`ElaSLzfj^dyH)yZ?F@Y=QMudi&f?-Sx!_o;%$qbu0
z{x^J@%+1Qu;=-H~%;3TCKdOP5VYQ`z@@_M~sXM(jT2*#1en@!bA(C|Dpa3f~6Nk4}
z5^s{npP2KzrCG!UeHol@yCiuqu<rL*J%@3|^Uy?@nKev;0W$9y6sKH>35i+H63CI1
zsPUO8rfWWHs-?&ChJ!I~NrxG9RSK%uq&YoKc9b$EG0EHr+Ly$eJmJ4aEW<%19s?I9
zXXc8zN0<%V1y`^MzMu3xmgV1L1zDS<SDgZ!5^Iwh{!fVU4~UhBR^d2d@R~CqH25WJ
z%!yA)sth|f9Pn&9dE=blikUMvOj4elv5eyv$IFHS#TdR#8*I+RrZCJEjO$#?B9Qhv
zrcjcJcW0f@D#J7eUasZ|nv6+`3=({^)tgsdOS;aW-k~qx`tD|B3Wvo3){eiOJ;Dt3
z9P9x%gpyQ4o|#N(`@Eqvozu!ipmv3VgppRpf>I}mXE9O?hm<V4I5ZkK1K(*kFveKC
zjs3Uth-yfQ<p!r0f^12~Osp!GEJQ5daWE$NR{l=fF37-N*pd3yd4*ExjfVo9F}w}7
zYMw801QY~v3}PAHFObuU=}<nfG;)gyV-mwp4pzy8ibIDx8Gl-;@J+1a*)->!C&T2w
zcenynk|M=5-Hg&Wr|g)fWa-BrvCW9X!0N!HluwKH9&C59nrFqFDa3SG@=mNI)7c&G
z5*thzlXjQ&%)BP}#DhgBOVZMVF-f$~V8$VK(U|KeSUrSSB;Gy3C}GRIk?~>dzga~r
zQl}V`86~7+-tAP9WbRxmFDSxwQh@KGB%|@dya_SxF^`$J1#%2xbPqjLI2g;Yki*C0
zWm1}ejOIdt<d_ozYK9X9w0<&tknHYAV(?;ZQ0ZWjc*VHF?=feClgz@$PL|pR2RMXS
ztRp?-SuF+i7Dy_vBppn0_Rych9JAp$(*=%cGyId7JCYezW$bs{z{>GHqIY(Z`hk-S
z%nV*hmy^_D|GnghWmqm?#2M3&nf&j0GQ%@z`HugJM{F9@C-NK+IC3IZ;KaeZo`O3P
zPiFD$yBKjRiFcohgs9Sj1fhTY`z#h)dorhT?@?w~k}!%AnxKB@`~vfnf{QG-HZ1cr
zDA!zlDaTdhjAio@MWHh>sbUVCY>kYO$^RyO6mfD;WqZC##qgQdB%zP8o1_YsdrFlp
z6qepqWNfs8^O3{uFBhEWY>Hyi^x)9&R(w6l+dC%T;i1QCE=K0KN%6Kye-$b`o+;FD
zwEG>X5)7WiAsFH7IJ3jY!F`9!H7=<nPpNB?JPT@H{QDq#%kzU`mBOt>0(+B0B$qmV
z7n7W37%VEeOj1m85>qOd<`d)f7dLt^E^ZJJouqWjpkDn^+`+v|7?mH1h^nyFa`rD3
z6J>pDZ)hkLGjWlSL@IZ2Qq&3=Mj_>Mdt&zScn0hhvR2`d<YeSjzoDdg=;VTRBHU9a
zTkgrUG-x=HEy!eS#GD!vl%f~L@_!LG;|Ce>P~NAplceXpFcmqk!t+|<??kSX(R`mn
zj8wQ^Gl;t1yzM4&XAb);M}u7|GXezucRB|N8A@jOKXJJIKu(2`b>kz!nR$N{1!5=t
zyOVO_n}*R8HCaJtmkkW2D$*W|NkY;Y#sU&CyCPRilr-qL@lyF=+YA#A-=trLGh)|D
zB*mXlR$N)>o^-*?CFzvGea91<jyz{LA^j+Fg0BZp_6dc@Ni}*`6don*O3GyAHHc|S
z64_wDB4gMUGd+d@a)tHsn53#zE1z$$b-A4M#v%G#$HH6dByL<+zTcQ}FZtZYQ=exr
zJ^NIZcw!%8(xYaNn3MdRtSNgm>Tia0*fIP+{Fqtr)g=|@XWthV^zg_&_%7}I;OB{g
z8AtLRE>C>&Q-$$olM~00LovP<(>kmj^w}MZ8Q7A}CI1&W>Grzd!t=i+Cmq(j$Wci0
zy^*baL7wC3B)bheRt7y(OjLfE#q;3DLCFJmAAUQrFR3cv^G#Wam)SflF%Lh*rZBud
z@cU)voZ0O*HD+(FOnvgQ^FaWwgvyQ0#?K#LJrH9U^CU_3TGNT>S#K_=M0F}A|Ikjl
zaNg6xbi$<uwYEz>Nf$33IjPJz^Lb~3&B_gXB}5;+YI|_vr_!st6KBXZgmpw|?O3a|
zsY5X1v~0%t4vT=LlQRl=PLw4X6-!=w@=PF^VWWg7Q)9sf=H&mPFOM6p_}KQqgSmle
zV&?uQZxfRiOmj(C#u%vCll;s#WsOQ#OT)S3!|T6hd8vdPdzJa*yUcy(8@<YmGml-c
zc>eLs^$R~wbZ>g{KE_H^xcSER7)24`j>88m<PO*<97w8Adgc6jM@Pjf7bX$$w+Et9
z_z%QAIBJo!cuMlYl{crl{Js_Y<JPh-&y$irJa36y#+3Z&h2o<GV*{m_e@PFIBps}O
z``}p5!<~t*71&~K-bi<oYdBlIGKD4b_}5o)GVkX;{w|^L?ftQ(H#(X#9nQq$Oz2QL
zSU1IEY4Qx`Cp&o_8Xs?o@p$p%Kf}w@h7YEwFjmS*nk!!jEm`Jy;>d(!GGEhMbyb4p
zer!+s|8$3BVPeBV<*#2q9FdHQIk-(xVs`Amj8C4%LI=Nbin|>?{P3sShwm5EdRBia
zzxcT4f`Ei*&*SAkta+X-mG#V+&A2fjuI(OI?1vYMoCZ!j|NU;BJnwwtJ^ze6BZm6-
z%9Ccy(%U_uisxa!@ZkrJoF``~#Qr~6YRGZ?!i^m&vL7D{-#n<rmi+JRqg;-T{A329
zn?h%ps(vJQtUUGjh=!@u{mT+JtvaV9o}3_SFkj+ogR_#x0;xw_=7NlzcdHh@S8lWw
za8!t8SQevMvDxV{Z+Mcf!Bv%86-O&KT3!rk$n7+kJh6bWsmg=><e7y(9FqUNNoF{4
zQHat0VLqdgK*jG@DJn0u4}Z#)^!jq_QSy!YJGwoMFJFa!Y~<*OeD>*9^u)*yXJdFa
zOo&x}a7yrqXUB&<ixxbabSj4_{009+=JyPuDrI+HeB@lx<{*^BGSSFCX=h5R+L<R6
zoCXIOlY;L&R$|Ei+rZeyctiAC(@Von7b6DV1#2cTr82eOy+89p!_8!c*M=$#Yp*ED
zBwcE_W6hKF^uxLL&M(Y)wj>>4d|}CPK$}x?C1aA?y&J8EY}h)rp2a3Vb-3WIz#04R
z*Pfna#>J0S)XnbRl%CWi$)S4X&fym~7%#rea0^u5cv3)H`QAh^Pqjbyoh2W7JYkxA
z=hnlA6B#6L+-?+(5#g9R#dR&0Xo~gTyEm_~&2_tZRB^goqvuJE$Q3hwo{DJD>iKk)
zd$)?gO`*Ayug-a~=t!f5#GRKhlOz^ONH#mP${C5KbbWrPnxayDaca-CPYqI&MV3D@
zF?`bKJz=5KqD#O2^El4D|Kux=qmu+@(ktbkZXZ~M7$;@OIm$mQPGo*oJcaL~mqJH!
z!zNoBW}oYyPKlkq|85P>#h!<+S(;V4Sy=0n@4tQcqv!tH8P_hde3hKhb}Z>-!^@W$
zEQXU}8E;E$72{1|*}u^_MZf)xtP1B<$w1}%?-(qD@9&+Y$;@)_DeKEg%F?XKC9D&@
z8T46x&rb;KxhKS$o_A8I)7$vP<P4tFm0Gt&RYTaW>S`{Q%+S8~?l0?2Bdye@tn+!c
z|BEkp=yvZN-`1^GvOdpQ)h(yH#k^Z1WO?q!hpS5__IS$eROz{(-f216!LHceqsyd`
zRoh#NW45H<#XVLMMaB8gjI3BAJ6$u1=eG-AelqFYwbv>Pz218|8`Ku<OuDsjGK1xh
z>dP$Yxp#iAh+#b##TxB*VG7IsIhGGId3+~jKH*Z0dCKy$p<&LQtfwrywR)2JcNzW)
zc_Li*oLQ)9%H+lif9@qSbiPVw`P;-8GspcAt9m?t6X%WC|Cx{3m1m1yaK8~S{lOQZ
z#LrI6$p`+2#<(Y4nsLq1J7#&ujRP-}s$(WKcyC~CSfI!h)4=mn=Un55o|z>D83$r!
zCWTC>NpE;G`Jr`D!iHqVNeVl+u08XFF*IIj;&+*Zu=JVP6Ayn}utxGmobrODMccV&
zyiGd3Q<8a+|D(y>Dj&W?EO2}HfOAG6Px8(9*kg<{^zJ0gdCL8B+MKOR{-3$qaWaGJ
zpQOcvtHMv-B`FCvSO1%FT{5kAjY9ghkT3I3JrUSaq*}qg%7%k&M<jQQ;mpS`AF_DF
zJS=kD_><?(1kOk87wuyd1J0e0X=r^YAn^Xc?vDqfl!aq%oM8PRzi{P&*nh9@2tU1N
zCZRNA&*7&$920jdvmfqkyqsb4{?Cq^3-S_$V`gNhFi5`$)S1<=>45kGD}$Ri7xyh#
zB)sC%@#|lCrIN%BPbialu%2OhOkvX7gAAwV#N;*nKJ?_rOMzn?za5WXn7wVrqX}jQ
zdnaTEK2M)i@_VQE>!gn>H4Wb0Ij+kv)AGUX8FK|WRn-}mJ3n4jzK}=p;ElU|B@fJ6
zXKYZe6-eYuzHyo{NomiG&jAeQ(`P*2RHt%c_paZaKc>vS{%>b`;r%nNNe7yZc9=hW
zl)`vn^Nc?#jJ%0+``7%r89Vu)`idrZCQ*skF%PbJ?$~z0ZtMTkJcajIPI6>k4L!Ni
zfAyY6ckbR>a?&|QP*Q*~$%!d_k!R7r6XtCFDyOOhE?$1zdt^aa;R`9bwd<6dvRG^<
z9sa3U;jr<%#rYerlwU|NgjO;(ul%+lma#c|=RN637tA-a_MFu}qf~hJQEAWp3q^Mx
z&E9{ZYU4_FgU$<eeRm)2V&Jw2zR_&K6ccfy`5e>3pj$eg508G}6r0uCy<qKk@00gC
z=1%n9!ngaBr-k-Lhn*bG_a5<Vs#Iu-`B|?M^OTWSAt^eBW3A+i#}}`--+Xq0!IUTe
z#KOszCnny0X305wV{(p7QfEpNqqT3$C64DOtfkC5&DAe<TV6_Sw%YziYlV6;_uQ?8
z@9d(tEh~{rED{K8o+cxB=fzz|j!5ko7lF*an=kfqq|4lW(N@S&Tz}_<;0})FP~{sl
zEAoyv*f2eGu)Os`<#mjR^RB<k-_*0M4Cl!_%^-Z|g=o_J6)F>+9MDN}Nou^$d2yyf
zlG1U>`XtV9%a3b2Ba|2$WDd^Y`Q+Q6w2<k<%ghe-W3dl<Uy486ne^<LO3PKnmBsg7
zu*NjU2H$($9J4o;;qJ4_*%Kq~KmEDReBy~S$@ibWo%G7*?o-JVfy_5W-^A#<Pf!yo
z+{h90>p`A*Y})_3FJ~ro-+wuYDgEBd%9#x3@4YlWb^lnW``woYTTZ-ucIVZc9}$=5
z^xSy0A+PZ9KeyYjQs3lUS}ShEw5PL(?as@jU4}0LnY%)d-Fvm?r1HzG$@gCGU@6`q
z)^_KuLBN#<Lb3lFzxB+RbLai;#M4PCldj3!|DYn>v6cDwt&jH`COki-l)|-a;ljfE
zA2}IT3MKVf-}|`d#<$19jrD>YzD&v+in-alPb5!hGkh3Qz?Ag)0sDbFNjs9<7O+oP
z&-|BBdqPq{LK>4|K+Jp=(IdtYQU^P}JMps#vq&~DoJ!)I-4Mh&a|hd<H@|lkB)vGX
z<lIe<`>#JfnPDpV)!6**>*nqgYyTJ)-g$lOhvBW)4`UhbzFsx=LUxBB|L>&!2d2;R
zml|A*c~J1~E^lf;%E#20`>(AZbXcCMzg2l8#%Y81g6EdTG3Tl-Y+2ZD$o1g*p@_-J
z{~nYwB{Qs;y>R_gOZ5n*q(cv^ZZI|E*gUg3d1d{*o~fH!=bU`}tTNql=hed}9x+DV
zi@E)!@W!-xPit&$FA{5%d4B!d(^}2)tDk%B%*eg_SNQ;!sQ)udi=@gEtnL^2+PIA6
zW+wD^W<IQCjb$i$#bWq`^QzH>oh#fPUB0b+@p9n_N3jQnp2ECrlFXmQ9vFH*`)GYK
zZhp(VCxWX_t~lU(k>T+p;hF>7a~N;eyjW9s@S)oR-UGRF)~vd*=#>8jPotNq3xv!T
zeCeyaalG@=6jw(6nE%hDcs4DNF)b9zW_luRbMCR>xkIT>r9NKlGBfzU(7@);6XU+!
zr~W;a{9Td!q+`}SrpA;<rWZL3SNwePOQ`b3>YkM~C%!66Wz6HAdHvc%DRDst)@SCf
zmllgPEPuQ~<ick`uF}|_Pu4E}aO7n_<3?VK3zGg%`>aHZkKJ3a{HgB5LrjIwtki3g
z%2zbo9W>}(v7A|cLsHSAO($RJY>13lJyoT8iQw$?JKK+@ZGPLoXWgfE#uwExH?}f8
zesSTJ+QTm^?g%pHD)C=DAJhH7OtC$uL-)yzf?XG{3BI&{Z}@WK%QZ}szDPE3ZCLd2
z-GmQG3(_pU>&;2>xv=D=_t6h}l@E<|I+-G34nH?3d$Fg0F=okgbGro}l*(BwyBM11
zFvh%ads2CPK}=K9i6>_1o0ypnKQTLU>8WvZ5~ql}#m!64``^u(&~^0YwTX-mcwcU6
z|N27OrJ=(9h0+m5ZvMj!A(fq%b0)f|@V(m4oj7|{{1c7Tok|CvT0UX?e@iZ6#mlIi
zj``;<F}$#UbeY9w!BV>gH;-TDI=RX6@gx0-O#gr0v^aFzKrH5gVNntX<4xxzHtUX!
zc8#(BeLM9SV;RmRnR%^FmG4;l;rW9rPxUsgIudz8u;=HB?h~!C56m}z6s~xrk;2W@
zpd@qhfyD7msvMinJf5kuapRW{oHynj_>%NwgUYiXX%Sj4qd&wlJWx3y!h18z@<x>3
z%Nt2N|7|Wj%Ut&)R_1~%_wyf&4<rgV6y|)}U@5ooDEot`<p1I74_9|M$iyhVC}6Y{
z$p2{B{Y2o!nr6<JuTrfa1Y&kFSlp6%@Hm!HJm$qZn-$N`?cUYB>2YIw%u|<7T*lA&
zpZsuXVYr#}rK8HG;q)T|cbTTmHaC{Yb@cB@W|aT3BX!!92EQZ5i<2(gQfA`pzaem0
z`tvikFNY?uaVEbgJSnjGg-G%NJDn#iavP4zJ)Mxz|FG}FJ>vsjVk@<}pD|8Y&@S+3
zaq+|dlh`(j+&;PD=>3AoyFaZSAE^6Scae8{-gA-j_r5=Uv@qt0nDxzD<#+d<k_kN6
zpZq{hP-+^Z|DB)vIWERL;m~HA`utA%jUN|zR4ea(wX@{N?!1$J?a2)P;x{wrJxhMd
zQn~Z|Mbl`xd(}_H8lSUldy?|x3Cko!LH3_>p0n(En!Y0WKa1@7J5N}q?fbNa_a%>|
z&PkO`iqG#JQ_)P?sdV|e{pnZdH=5s7-eVQ2^MrN&GnUuykHz#i&3yh_cVhNgM%J7s
ztol}FHFsj4v(9h0?;3D9higH}qsGEdD|USRQTXE_TSd&OTSpkASyq%id&bTkbJ3E)
zct=Y5&g?_Wl#em+|F{&Bf8fXs#vSJ-aKv<WZ`?cC^LlF~e=OrU?v1I>xxeq9A$xwq
zt&>^$x6Y?MU`&pA-23sh<4KO_jsKJH+}eEi@Xdz{x3Fl&_@^YkZ(ecy-p%PZ&-=1P
z>U)abWNv-vvxPM}Wc~@uz~x=`X-~MdXWv}Td^G3Is~<^xPmOXO{oEv={DkM`)0jCQ
z=ic~oc7?!+F163oZayj5_Jrr@Q`^aG#w^iw(N99my7JEN`(yTmM=s`d-l`<F#5t|S
z%m+2vdAdVc51vfXK6-dk$C9%*jUKN!slv68>7sPUN(t^CSD0^dUw)~ZbLHTt=5Nor
zb1%hwzV~n{%e83|AMRcA?U3B}OmY%~=G7(o-7{*r-qzeR*3IL)*)4WxQp$v!XFQi#
z3v~-lU1hs|vcl+@c=#l)S<Mr)&ZTfTpM7q4w(=#*-Msd$$&4}2#Mdt=JI1$tb&5>c
zbDq^l7C3S|<qlsh+h5JUqf|Klne=*f#ix?%pNjtuQ#*T_>GX?F$_h`FFFab5(m(0O
zh7UE*C8z3eMkyNZdm<jag6GJ?1&g?6<UeYdd~|_AMdQuntC!S1Hr`2lBKCQO@rTC`
zMLQXEzs$&O*Z82rDxYL_{-MZ$*neMR8AYB7hp$%MIE~Br#(RGrV^PugCzAWctxr7@
z4wqY?Z};lKQoBcwByBWeW}Yy6CN%NDgTFidBtHLm{a{_iryZ#kH#-y_D|9?KJ0q3p
zbu!~W**<}qC;U?_v?JK(Sl)VI)#3QvdgkQg4O8YfF0tRZa~j)<fDbY=x+NaQ&%V^m
z-I~J0dLh>!$@_wo&i|eK3S7wyi!Iu_Z`kd4a>Uc|)sFthGgp1Q(0VZTfBJKwZ44n5
z(v4RH6;9ndk`!xkXu*Y?$BzV?W7a00D1O+#!ZdN}LjmWcvP%Ir8xOQSiD0U2{ri~l
z3E%2)nU6MFw;zc8kW<n<5a!Du>3nzB?i)YkZo2+pOgi<TJb^Ju{X)=@OtwQEZqi5i
zXFMo5%A(zLu*9jEQ9vg7?xi=kpNZrz+%}nOOW?FU6GTrktb6cLiD8S_-5oQ!AIxOf
z*YTG{`Te60$B)Xjy@?T&d%}7C#YL6-`6gDXA>|wlWt<(`js$*t^Uy~*D!BXLnoAd*
zV|;Ez)^)x(@;cCV#iD03Pi}r9m-?VHdFhVb8z0I3?5QrieLrW_6Upy#9c5-54<-7$
zE9O7%k9q0k>U-@L-=T@>T!Qm&c0cAld!zf<(T>`#2RyzPH`jGey^-`Y*P`~}3hPOZ
zIq#nG{XM1sr1N|Co37-Ton;3ZW1iSejFAX?^k3y+_sP_cf>p~GuzXbLIIqm8D*Zqx
zMk!ig$D#*c53$@}Rfu^YbUfznv&N1X?ihgyIcw~WEN9@gc_Q+Cy`0%3{^Cah{yfD;
z_DQH!Znz=PA)#0AD0S(5(oTmHIiXMZzD`n|A#v{Rv*(Xv9$cw;d}ort+orn;B06XM
zJ7RVzC<t_16usH}_2Bj&S02fo$b8XqaLqB64Si`Vt&8uzEVSElLWRjfok8LJofpor
z|0`ac&`~<jW%fw#^1XL|k{i@dG%R}1<HX4jSYv&nW!wGNH5asO1UL3PNZOkeaG~ol
z<A%=+{7K@;|7$)f_H~~!-jICKyW`O&g%cN!&-wM}L)_+9JvZ-soF>?(#P&om<?g4~
zIoEu0B%gC@mv_y-TyWxxt48xD#e#!-A{Rb%schtCs^53_lQ&zfuJ&_Xwx=3@vnQV1
z#qxL(({`P>Nlg6rKIyu}bfz%8{luhw=hN4htbeDQ@ErW_%k%WRI@fd6DJ>4}(RV(z
z#w>HlSK<0;)6xI<^_@@af62!(C@*@Q81{JP+dH3L7tL6e<aCUyW6#I?pG>(YMpUd~
ztoPWWz>yRb(RTaZr#Xx_o$r6*e;RWv>z2=*Pv&18Bld1eii|kS^Wld5Z|M(4x$_sz
zxcf!-r<KzU?ju`HO~3o)+9zi96VV^p7S1@yH0#cnZ<F^h{@9_zbMN2vpTSOdKU-LJ
z#2#K~#dYs<duz;&lkHnhaJfdWnRG}!+iyp)MaT>x`P-k?^q&x#ecV+3{-;R(6XFl}
zcW53txqI&3#WTw1-}&^u((i<F#O;o@W~QY5JDwiD_x|UNyK`=Qy>&l%%7Ta+9QQsc
zC1psZ-+wvF+@?eDgM8A$1(y#ViiumX@;JxONlI+Zx9@z;*?aSIj^^FZcg16pRyFV>
z-TB<Ta?ZhzcRt^ZKX%{}0|NsqY`&j?rGWu?{{P<R=N~veKYjV*?q|D+0{i0%^mkf+
zeE9OlN5h;upXYv1J>PifL(HRBKki+znaR5ESyj%xFZUK?*8F@rY3ccu_a8lUFIZc{
z`=ny(-Osw(6O1n~#>BE#f3mE(^La1(<E+3tPovnLpKe-y_v^HZ*qo1k$9AUAxiS0B
z=eKbz|Mj^UH+}eBHv8zaJD-Djp8oIlaE<1B9JgiL8;c1Ke!TFQ(^|NL-GAa|w~k1`
zdb76~l`}Ri;F#0YeD}-Sf|$mJ$u;#29_Jdr-TBHE`@dc?GWDQ)_d<q-Oi_o}|CO-}
z&5YIC?tk}|GD^9{uw+5)=NSi>8IydLcWgNmupuda(Vs^PxDO|}?|ARTc_3{KQ^#%w
zrlg3Nef&Qpq@?fs?6ZhbiRs)gCip`@dzHS~3^(<T>r8*xA8^GyNp4|i4ULYOc4Ml|
zt=|)3|JTiUcl%H8iVk!8zJf!?maO2F6_ac@8-Mq2--VT$99}v1|LuJu@OqMh#f^h!
zZ@hSR`rDd@%bgrg>^Qd7-2P)X^YZgs?T@%`95`|2%}V)#eGl1g#B|)SiC|e{)A{Pe
z<@0N7PHn$g-mfAst>X6z(~VdE-s~<pcrE6}v9ymfC*PZK_p5fH_OYZpHJ@(ytgulQ
zJYl~eONQ}+{p$V)^Y0vaJ0U45`o{|HUgi@UBbX1)f3s-)hDo=Ne2iKA`OxOZ-^sTR
zJr?@G_W8n(pZ5;E>5Tk&??9YHz`4V=>#MwfuCecYXdrY$d4W{nbJoq*ZtkvJSDCqS
zQ_jjYc0ra4S<-KLtg&l7c%gOUtv!k{6$TT`x^C{$UuXSufey=qNlY<3vk!f`^F;Vz
z5M%WHeMR@A-?6AGWj()j@y^3@4}6~8xoh#~#iLLBMKe$D+bGr1nEvqW=R1c_UOK*_
zxogog@$|blCQq<=JL#mwqII@jn=H1hZT__H&as>aoiWSqguKb=*gkQp#fRG$J}+cQ
zzIQ}hd%>l$HS6DWpRzcVpuKL-&%U>XH!j|Zy?gNquR`If-A5O$KB=+i#&OF_aZw5~
z!i?f}4^p*P?drSbw(73S=2e`B_AD&!x^wvSy+hA#I{sN@YjN}XBlb^nYaYItko^CK
z#e+{r7tP?4n)soEkFoZ`eaC+fY^M3mY2&$H*I+Q`#=1q7@|(UgXx`CFjQu}5@&?m_
zPmdWcMtVqUZ)bWd!+u)wpZX0|!y?6(g|Q5pH<}mBPX2ds0Y6ug{=}1YOC~(~w>aAM
z>O!+$nm1&Vu02@tL*s{*%*tgNvv1^R9(Q;j`#*+xzuB#$*&QcqI47j+xz!=L!E8e6
z$%mY`4yyl{V8(Ix;D*?LuVZf<+^GF=Lc^n2Mt;VREKG}I|DFFScX;8nj|;9UGw>^S
z$iA>wf1obFe*9*PNXO6lE4HyF|M&B~S;YF*?|}+~bzG-KiUH?cr)ZwdaZ4(0Ej!=G
zP+oI)jk-nI@%!2rZmxRPv7ndtX6gBk;{JQf&#t(~uWCP|d(Vdjn+^yjiQle1X(c{6
zpzFqbUvA$bhKKi8oV%}acR~2czOFk9<~}n&e`jgfa^C(w%TL_6cjnujC91bt>N{Vl
z{AA%2NUMvTVmrw}dt+(wz20|s9g1$M*gY0DW86@gzVY?_rKi`(-@MzpX8G9+wyoI>
z>X&4sP2b*<<Gr{1nx^Q<=sU}niY?uAXK}5UDX*mCwtGt^1?F%pthsOT^V#v7d&`%~
zES-DbgZ1Dv=I$lu=026U{VXEpUa~i1qtfP{*wvfvyF~MAd$a1RZ7hmZxszDCi*;hE
z;Lj!J(o5NXo@PkDyE*J7>(%KzmzSKIdxh<$u9A1Ap1FwaOOfNc+##Z#ulcsu-7K(L
za?X=)>)%aA%*$_oN=$lw%qc~wYWcO)n+Dk%?-zC~-jlTC_LilGMfDd-PU=jVEOTp1
zYtmvT(=9PQERo7?f0mxSu|Vn~OS~u3ozCO`7GzFgP2`BrX<EC9iT%!&4N1#yZ|0Qg
zIrfv~;J*~sy$51LSoeP7nsaOOf*3xw{V7a4?{3kY-*F+pswF5irpt(H&w^7COSf)Z
z&6_mA{OnV4Wws}l1&?;#%WvFSw`^AmZ~v0p>a$<oV~NiD$nq#=!3<@g4Esg5y;wSx
zrHvY<9+dVw@QUH;8-=B}zog#Vvhw?*o*y$JCvzx#SmN&NCU$S@@mX(|{pfal8B@*S
zs59~YwzDw{ugFP7mhWzm`h1|=a#3Ae^5(5RYyaO{erH|rov6~#=!<7{?i@~xvAvM{
z@y?+WGo%`O`fnX_U0t>5f%Tn(9V_b?ZxkKBa&Yd_$6F`m@^5Gr?QaSSV7v2n@6LNE
z=Wa46?Ud4Pi+cNIzs=nvx`~~YFBI=hPT6SEV0wJ5&io~h_v-xen0II2p9OP2yjpPg
z_@ha6k8h?vP>6YS<9G$f4F4Pd5116+Or7vPNiOrujp(BbM7tYziErc(ymn)1#EzrF
z9MW(4XTCe6B0Kp?%$)<v%bqVvpRmU_qG;Z|L)K4u-0$hd-T07H^x)8ie;e-{u9(<8
zxuR=9+|dpOF2+Ae2WLo3D9M`Hl_B~kruo1W$qO;hZyZ?spFf!)vUA(L6Ly#Hnw&_w
zy^7=3>7)hG6F=rHc9;JrH(~0Wp9&|N5`||(^}fAnk@)6lw*Q_*MhyS&n{K~*O4WLW
z(32&*Hg#~ja&T`FcE5G<K}=4|hm9RdN=%BMoEi-ds6Ais!u`i<v6URt&fhy4cZMtX
zj+I{bt(|wQ6!%LAb}#U{Wu@35A-nmG)!ki7{pE!t4<7scBx%MSt6TT?ZMtQ%@n*&b
z&Ra(-?(EyMVMD~CrxTJUDcpU~W_-u4??747-2=<L<swt>JJ{UXvx%qv#-54;Hy?8z
zxWUVmlzi9W&aR!44(c5-I&;@y{+(0p8A1<jR%}+{C}ug3byGq7aEBmA^UCfUZ#!Qd
zz2_jC{O^C)kv$8a-@JWGAf}$_7}NY$_REe=_gtb-^Rlq+;EV;<Nng*r{j=z(MMGNh
zJ%<_QKMEEeZH)b2`{LQgS()sy4D*Wb+s3O#W+$)g+PLfq6Z5hY%cn(d=wLLrUwR^X
zM~Td(11#OQ^zY1DZ+_2FohkM5eaCYve%)~laho)e@!hsN3%s%>_AuUb>OAQCXvwxa
z3+~S_JXxiFZ^5-=cU<l)xYkn0>6pxL$MbdVo%v@?I|3|jdhfe`XMVR$M@HdoZ@atm
z<(L~D_qTf8p6|;bXf}aOj_E`3{2TKwWZIhF31XUkYd)i~%>7^{?F9>u@D)5<xWem$
z?~Xejg^7i!b~opXo!sF#iLdZ;*5|wP*Y7L7=URGQX~$8P1Cl=(n0H%0PP*rED5l{=
zZr{ot`R-G{Zn-2@ok=@>&xIwHVd?P$g$hd^Zj}9Q-LXLE=?XV-xr@zG_RG)CyywyP
zEINtjmKU@3vh(*ga0$c+?D)^rJtOJPIs5r-=66@A_a)t2B7C#p=#9cdUiVffUHB^K
z@$;+ol8bBBUbwYUa)Tt>qa^)EE`}wSHh%ED*{Si6{Xk&9@lxya&-kNq7b@+!)4oyV
z-j!{vTYE0-4rxwV5q_}q17pm+t7S$f9-oSNb~m)*&WtrSxANp}ZP>J*srk;8@W^>f
z*PPrMxiN3^6Or%g{Jbtn${U=2U22S5G&N;I-~#cF3w4@ft}?CPbL-;FD-V6{Wxns^
z-+XaL`keyH$2YR?UU++COI64Hf}}e|DG9&tUpRZGc*D7S7rxase%D#%a<9|s%>9y|
z3+H}(A~XHog}sw+ES%BozGLDiZu7etDGLs@b1mF__U`%nr*GZA({jb;-U7$8J1zZ>
zS?*ulD)L0Xy;7+BG3y4#m>>Elo>^Wvt1L8G`H}$(=i|qz_p?2ZJQTak9(<SGNJaI|
zL>`CB8Rt(H2p{a<`RVbF!)GtvpMPNMn;V6ZHw$!bZ!cRg{mtFWs#)KY53jtv-QwSd
znCyeg?j8KxbD8Ddwx?_EUajRWe8Cjkf4}(F%#WQ@l5hX&;B0bb`)SE@ODgusziS_P
zFEJV3SmJiuPD3+p;d_^pE5H0O+#_^gLgD|Hg=U@e^cQS8a`?o}*EcWEI`MGLVmAlg
z7(ONYwnq*z46!-u>i_L9eZru6Z~Mljr#5$-7LBt%ptk4Eh0;%#&2BWXpNsLT><(Gg
z@^I^kr|tX?+U(mnKRC%<FqPHrjQ=C_pkSk0=e48@j{R33Of&z)$?~$}Qs;-C)(b9*
z+dq&!cBlVNVX+XGvS6x6{zI{fq{lZ6g*lnEl5aQPI^)am`-$|++Y<$kKjfLU;H7x~
z4M#26p3Og&luR|gcK6t={rAfcCv9BF{q<%;c1Q8YN22Kq-n1s)IA(scVcVT!lR2_)
z7TNz){C~4}^Sz_$m;Y7ow0e@nvHVu8;jR8ZPp&vdJ~1}Bv*T0K&O3+0+wQCr+2w3s
ze@Mk_#aX{k4WdlXkA3=hKx5VlHu3Ho>m`?}Ff3wzIg|hKy#vKfLf`K1KX?0M#LdbS
zf!w>5CvWu}esXi)tcLi{J5N5lyZhsvz0(9FEBNnLg|KWqw>#s7(Fqm9JD0cI3s3KU
zn16rw_7vg94f~Eano2&1IellxvU}%k?lnzsyuSJB>k|uR+_eh|n~<<(^RLR~8&2M7
z_^iuyvj6_FJA3x~as2&uzh=`T&F6QUeoo=#y}x_oodtWAZJs)(>;HNw(eroemYXK_
zyo|Y5zdS`m`p)jE>NC4kd0%$lZ_;txbMM>9Mb+oOm7J71dT)14XmU*dism)1I6VsO
zSeUQfJGJlhy{&hj-`#2aDfZZg+xJe*y1Vo2z3ullWu=_*sW`#=ByrA#<cR?jHiVj=
zoN17~{-N>wdsnw#V~swYb!gwj&G$~)N3tj0yBHn0X&3um)#xzhgW2~kyk?1?F8!Qg
zWzL=Uxigd83%70JH^1L8^`6?>tvqu$bk^KiugWkj{$Bh^Zo3t`q`3P#KHj;u--~bA
zX^t6pPevc>@w#*U{#1@%OGHXouUAKMIX;iPb0*+qNAgC)pLcHT4r05zoguyENXAN@
ztxtDy-<zI&S5)&A-}dQi7T-U)-;e3<8n%0<LRZW7XWhRydA(Zu_j}i_ZxtymQ32`x
zeN?FP{tS(W+(`?U9b}Bz+0k`Vdh^0nhZ!II??35({?44~Cnnn-pLyru?VIz3jz@gD
zc}rO6;&b(jOAMs#&fZ**f8$mYqev{H(A``6cVE@h{dea^l^~bs3vSVvNe_1Qa))2|
zwfRQNqkA`fnbd23-(8r*qW@tt-{GX|H*d{j^h{Z?bivZ2x9%QHW|Y3WG`w47GwaR*
zQAsxLRaW}T`nzowO5VM_-|9(>ABVtA@z&iPT=qA2KDl@2^uw$JF{@%18uW8m-stV<
z7u+~?a`x@Jn;eVhq@Ot8dEw6E+pCLj+SDz)I_LD|J9qc%WjftAJGe=UN%UUfk9#XV
zt`x0U*zoYo?RA^)-Vd9~aL?so)gFO)*SaeIY<kmilZXB8y}d^bUM;zBKK;%;UuM&=
z7qL9|*RIUIbNBux34@0LKW^L+mat-d{IY1y<J$*?=P`(H@mX+Z$H@aX=RFWR+A6#u
z>HgaEgEHqAJe<c6xMtzzpLcHV);ihj&e3>xYt4_O<##sh+?eFieBk-4oTE1@+*&*$
zQtCWnZq_ERywPI(A?_0s@BIze-t2qmePdE+&WGT8cQ(wLEwE!xgO=K(-XBp(NB?jn
zB>$J0G5!3VjkBaVY&P_k-FmPg$^QX26I0TE`P&t-HvelrWS0G0^x;eLgZ$?=H}@ys
z*!J;WcjS)@Whn;j_<PlfNvnP+oPW4m{pZY%Juv|%!k06=I8h+*=+bwo<dpx_jE6s}
z9G&nx>6AxvGQ%7Wt~WQ2|GYox&1&x(caHC#Qg3&sSy%gkgWb-f@%NjaU&?Hay?cFY
zekJ442CII~6OU|f-`V5Yq*8b6_q|=KC*P1}`@5>e`)g9vQ<kk^{VKcoo_g2mTF#jA
zFXqm!SDT-?{=BnRyVBsu3%`_K`=3~xeWR58#P#;YTV3}mwr;z%dKp`4*c`2U_jeh6
z5H&kEx$@`ThZidtUuZx1`SR|r_m@~7>!+;0|HN(;%kv=F_cAYM_?*nYw=4fq)8nKD
zizh*^Kb`-4!{gDc-5(fZE(*HKW`+N|vul<8$;^Ic<~zIYi!#pcs!Uv7bEkRhhK8dP
zHdfx<9nI+Y@80gdrwt!XwV#E5YBIXvn!X_Q&&}PPj5hrq@oVnvnacET&7D1=n~Ij*
z*`vn9oqc!DR>mJS3&P@lm`Yr^;W>dhmf>3C<;LrKmLI$^liheDpAA#P*03KMEEXw-
zF|G4&wjPc?`thu?;$BWBW*ce7q))*X-3_twQPM9Tt&U{mKGb%YG3L(Rd!INg@9r(@
zWHh{eW3T;9M$7x1&sa8ix-v@snR0(`>YYw&?GuY@#P7Dfeen1E-PY^1Clk(h+NIxV
zyWJo@TcYyMojs-L*N&aOv*&jD#s8D9gkL^(_TJigcNo^LxwD|NVB5+EGi@tZN}kf&
z^TGKfBlnHy*&efV?l5fKEPC{j7+=h`)GG)6+}RVliv9V~ioBRdLS3wny|$HJySsPO
zkCGd=7^aw*HAI<yv@rg1cTbsaQK9`4^SAf*te?cRbLJG$?#??8EoATCX={&J{le8&
z|ACv>sf7AhTld{-6|2Z(v~SA4)*8E}vHs@0HalJRYRd`rclYGJbDV4;G3lr;%k%P0
zF`ah~baMHxNN9i4(0hW3`)*s<a+d#MjFrjz{@rPvro4341^K^xPgOJi2Spb!i=E~b
zjPZ4p_`f$MAWL&kLBnZ5@d@vfSr~deos<84vWR7vdw8A7|DcPFS6bumbv~N$g>lxe
zqqCdTHCZb|d^R$CZcyvH{C)GC?t`)avrhhFo*i>;V!?J6j{OYGGPf=_=OoqL?NN?t
z;JC|u(y4Ouoolxbh;F`rMS%0qN2Q;QANZw`-Z$^5+`X~zzS**SH&Z2I?i^X`6f=+E
zoDJuTpHKKB=G;8u8@QB5^3JvXH8IK^yFPGq>|%PFRCQCX?q=VCr^yU0_v$ycG+dZ}
zL+t3yOFwTM+0$^U^5)UcA15EHyxo_!qobesLzaA-|D6jDe=yru-#L~Tb9O<-*-M_u
z_bzCCdZ6*<ZtwIvr!3!Gin-rEmEpF{r&V@$!?Igfr6e5;{J5EyF=qCSNewabIV?$c
zB96yAT*S35y{q|7-`k#3c8%GhpEhy0-svrqo0+^ZLyql!?=>R@x!v#o-R<#{wpbIp
z?7S|AW?RzDTYp{{ir>FG|J$AJGxx9EUV7F#`QoKpdVg-5xp}9{Tl$dL0ggJZ2~2+$
zpNic1@$;R|>#27(C_S?H^iS!2r<g<^d;a~-q#d2Cr}iGd#&lxG>?`#;l412Ph3`FK
z@9D~zl=P<QPvpWQpBqwUNwEGnbj$F~-LBNGx;;y3QWs30IDNs6Kc<uK&Hu1kR{sTi
zi^q=V{~0>h)%M)rI-uTjXWo^68*g;0PmzhfGrjVD_hh3vcjj6~dT;trv+;p+=Pwbd
zg?n6?^zSX*#o~1<_TTf9hQ-{Xmy*<(l;>YnTi9^)r3{~J_1(U$SDYGS8CKtp?Dx5R
z{^PygFv*#0(j9w~rEi2uCEt{|c(CS9$e;7Ge%`#%dpMS1dA;1fYd>y$|FfiYYEQfE
z&wvXzgFBLDt6jO-Yc6TTcHz}e0o|WB9x^t3^%dmY^Zw`D6P4N>dRk_8!u2neT|3b5
zgvqeu#_`VSeJ_vNDxZ8WkZs3yKjPZk1us)h9KCzwbI-w3`|gBoUZi^L%dMdKnxAr3
zY>t~LnbUF1AeQ06q%~om#d>Z$W8!@xG_~`&D`$Gpk5-=DMhv=VpH;59`sZ#-t&xDh
z4UJ>hzTS#WpTv25Vc5niKX#?xZPuMAV5hR6X~JLAHAxR{Hg$6TeR0cG@aKcr`Wx$x
zy-B#!aJzmI=S>No`yJ=+#9lioVb}BI^v0xHjn5YMow?&tz0ghAB3wdyg21PZ7gh>B
z>$96`c5%tR`|*<`PAyL~y?rNMRfqkptm(a`x04v^@7r&@({z2M_{KeV8bg=rw6D1n
z8v9Au{eFBV&(!?o9k=+(U;qBG)8#Uc>%C=taRRpY?(%-SvvD~~YE%qE<dVMKlN8tY
z+n1KG{M;^~{^o9f=_GD#iPiU-w)^v4{<}$m`EGXYB-KvQX1?varfDQD`?TF3G|pr7
z{C<DAr?WQi;mW)H)$(^zW0Ja^60PrN>z>q{5u|sgf1bKtO7_~uj*ne_v+mB`9>fy=
zNyqWt>}{bLDNL#N`u!Pol$aB{jLzO=IoQoQwUZ^f?xyzHj;QnZHs9W4bL(z@`7O4S
z_p(0Mi**E6-|er>y(6}3-S>-46?dvOO_A!lC9(0AZp5XjJrgfBIRD-AaYp1vx6St)
z)n?qSzdwJ{&YBI9OI+2hmlRa@20o2uJT5l##pA|TJNItBwLm><X8j$r^d@0*`!#vj
z?k<d5kpJwf{+^z|%W^j>^FL(CE$qv_Ge4<F`b9^XeC0~U#*3dPp6go4`{VZA`O!tr
z$8KugTX3s%QjH?_?6qy;#n&!uTJiGE!tnVg1ap4M9=jDe)B4fMl^bt7dVX`k*%N6G
z7^Ua@{CG1mZCSqV<IbjDZ~MrGf`t>FyevLsc>c<x)Vrl88-L2j*e^K#X@}+g(w3wg
zX4e$P#zWO-JB;$`COtplbn)k%^5EQGC0oumwr_mGz4Pkxyy`o%i+1e3_+0EpUHzg(
zdpcLFjJPv<x7N+IGds?^OV|qCoP8qj>FXY|JJlU;CbLA}_+7zr+s|f$`UIw!x(D2s
z-zz&m5<P#<|K|yl9||4cHc$9GYlEw3B$Gvt+4`Ha9vtdv*eT)k=g!I#drn<@H?>jo
z?~xg6^E=J6t}UogdG+{`cK3#^%kK>*rXHKg`=RE?3e$}ZrL&gYJNoIw><h+wW^yGT
zd2#0YyJI)xuDzAGA*cKz{X%N;)i)h0-YjcLx&Hdss?>{>H)_sLes(f&VxN`$)=T^T
z^jmGdATZJS^bHNyLdk!3YVwjV>Rfy8cT2BsMfjT~bw2qX>~($Ch8?ZXuD_piL-F#A
z&v&9iKi!^xJL=?})pu*7bFY8+bf>ob*tL&$Vy@jOzWTNQbkYnK`AUu(%Nsx6tW)QV
ziM|_m)_Q{W0j8weSH9o87eDVallGgt^;>VGpTAdsYfnsrt$J3->jsUEjCW528&a}T
z?$)jSC#0zt^Zn|l(&rD{=Un;N%r2V#Dx;D8_`xq-8?Ko@p3^!xZsOq|*T3#Qkr`!r
zVkPtJr1Q^bOt?6)m1V<2!_y*rm=`Fh9o&_@yyf2w|396Lhdxg{WPhjS$}fTB|5c2_
zjXM{bUHkjzgV>E*7Jp{1+o0HWl1tkD%Xx|9fBUp{8lAn%pe6UBAyZEBPPSa%h2GZ-
zoGWf+uj!IZUpP-RG0B`UX?cgP{;nBii>?+QnC<ss4rl%crWmi~o#{7veVMk*skp^`
z%KBqNOGS^%+uIl2D(6o5eBXC^@V1myM{hrJ*=T%`%j?^H|5H0I@4O>;Yv;mQ3tdgC
z@A|1`Y}~Z=7V{myFw1*VVw*3#&%fiVzOgg?mef7pw@(UG??_tROTITXjK?!>L2@GF
zo$~Xyyl1cA+xWxs*@r$}#+XglxNPt0onby;&By$Ej_8jLqr%;8-)1RHlx*qc`Mzm^
z<+0Vbxn|8^`W~@&;<f&y3)f{X72NB-tJ~rI|IdBT+M69W|8xsIzvKDdt7`ALdloaY
zl@u2o{=I$oihVyiInO_|Dr9^xSL|k3<sIh5n~r|@A-6dDuJ`FC{@*tYI)5}zV2mkl
zlwLOT=!9CmYj=IjZgfn$qq3&q|MR;_8?Qe5al?D^lbK5s_RcvGc|*~?V%N31K2H>G
z-cV?aWjOpJ(e(dBDT@oI@^5&{eR#w_NsWE_o!$2gw7F-vG3GD+ajzq1vcjK+yL%S?
zsN8uo`ScyH+>Z@kkKFOx#?%|Z*wE1{yl`Xf3Gar$AD++ed%UlRIqm&8c1hg%1?%i$
zlJ6+jEm@Z-J?pG!_l1Y|JZzue;lJy#wk!1ZjL-Ky!o|8CT;spvnUs9P^X!3u?w97u
z_gNlP|D3!@jd4k}TGfX2%U7sqbZ6i7oGKt5bH_wo$lm+DxaEb${9EpRceYzZ{E4~e
zUaS64d*_VZcZ|1PJN@J?U!>{Yb$8UxJv6CYQmLK%$8>_J=*|VPcT~UK_58kEbnTq`
zigzORf4}9qOuYNk9gpy-I<7_c6|dLcyBYQ*>Fok;^XFfCC%yV}H*9OB)P|(ncf!Ne
zvr=zx#<<UT;5*Tw;$zIRwY)L@ky(w4&+VDXnSMV)w~$HRW{y4I28WnqADIj<-i%OV
zkuKwyAt^X<hV)J*@8xkHV;MI-tC;&Gb@lQMvPnt5CS1^3@|r*P|E8co{RZQSFB#Tf
z+{UjMQ?|Y#b@M_d{(t@5F&DR=UADe{?$ul8U$LJIm^#y0zi!d&&3C-EE!gx|g-tys
zp8KfH%l8X5eLU6>d2=P7^0}z${slKSsG8qgD6n9?e?w}@&(C3#UYg!xd{M?SQTk4j
zRQ`s-J^wZod=%PIvXOm5@v+Y{URVF&neqBK?~EDFUm4ifaCLYe`#1A<-M<qL9tg+0
zUce#$elp9AN4=YuZS<QI=@SufCsBU=(%lg^Ip5rf{&CY|<Fb8yT<>Zw=a_A_ujKUU
zsQ2e~`NU?p)@T}Aq+g@xr&Sy)8Pw9MPEPzGD71XD{(6?VPkEc}CNBSbFHM8xXm_W|
zlFjjN?kB1&_LgLx`|9M%gc6qMNm4>bHtYYCQd+XPJ!Un}-#zyeJ=p)V?!9`8<>05z
z7c2*-@pRrzoSyZO^JC1iMgEyQb1E~Q-n0I5$Gj$+Z&@Wn_8sd_cU_B43v1uYKkZ#)
zt@tvo`N-y%+|L#q6#dI`y<4cj@^|M%4#zu*)2&_#F6Y}?^^@u5vb{^UsOX6HzkABJ
z@xJxSJvZi5+)dnB!gq83g!>zxX;0z1e(Rp+r_%}>&no>~#pJq*b&urTyg%CZR&!;)
z-trRt^i$~S{en-^4PtGc%zyfj<@lYv)7P_Y0(FwakL<5I=)Y#-&7X^QAN`OsEAr)<
z8!VB%IZu>6H%@myA!Rn>*^&Q|T6vr|3i`Cl_uOEsyjKvZ*}oz7f7<fBr|Rw&h-qz`
zzb1xv@!q66g-h)!8K!M?{Ila=<PFPa#-!7C3uF(kFg<*?po>NMO-Ij$<b!2tcSIk&
zP1?W0{YKz|b?sqG_mt-yi+RaB^S#p}F421vPbc2H@YNl4{(k|={QnD;j+lQ;(jR76
zh40y&9<gZt=b1ke8D-YC%f9ZD+H)XUHjMEe-<zeqN*{OF-^-3Y`FF-*%`7KRuB0}B
zc$QjL@#KH^ezJ1S&^o^8$>HEbs@xlnZ%~L>a9KGq@e$X9yzdJi*sor;`;Xal%g=W;
zeos*MuS}`CSER!19g&bdSMFLvi*Q69zx`e3i5nP>-YgaUmc4-eA<N<--lW#UH*}Z}
zG4QX{{}DQYB_^D~^QOD_{D&+{=fqYpZM^OOL*d)fIi0Z`TA!C3ihmP3!7Qn<AcCo@
z>*%sM`IF};*ljSrzUGG4fqO|m7W~qFBfsO7!m*fCGy4yz=Q`33K9^o?|080L?+o3@
zo35L0_8-c-ZESg?cJ~CEh&!&z^A)(~-%(km@ORAuvu8bf|9t%V<08+WjRnuH@k~xA
z`I#sCdg1F6lEy1<K7LtpbfR_rjQ=rr%uZArXx`F#_8?$#!ixLCy>l6M|KPkG{rRqT
z>K(gJC*snU^&Gt$6Q!?o{iMk@rN?*uqb3O|W|(YTadyYUZY}0L_x!J(%viBQ`Pr(v
zk4rY)OFvQH8+Y~A`>iHEvxI6>CMqpkvc2cVzljz#JEnbN(!KA0Z8^)|`j_srcpg9H
zlHED$GVjy+NnFp%*Gwp~kG><_8?#JEUWMzY$mi#C7azH?W^s|a4F5Nd1;HPeExDyC
zw)FOWnX7M4Jn}b;-sE+3#%iv|TVhmGx;-w<>3BC|&)+qjqRN}vJ~GNCFJE#i^5_4L
zCkh_TSSi1L`I5aGR~<d^L7kz@j^pCx^%D+GbYpK~oUXz!LE-HBB};s>nT7ssd^wSI
ztwX{C#+XeHRzGCiP+}Xw7&EWqz(ckh-o1=3+(gS5A0$0t+x@Tp?0uQ?PdYlcWKObh
zFIk#rzxO7GYscc8`!XpvIK%ErPj=kUAoxA!j?~Q+zWrNQ+^e}EFv;pAS5}n&iO@o}
z6M@Xh3~PTz#6OD2Ike^vV~70n1+lgplDcCumoJHbWBy^02V>0zw@<N?5A+o<-Y`7k
z6|wk6Lz(u!<%^S<C-k1(!Joe;>5iXh%(tSB*Bf`l%6-V6oSww)YuWKew)%$uqYZs-
z4dtTqA5`41J$uhT{`P`dM`y0Fi_nN&x^(UC?GK(FXS(B<dm%))YhTj+J5sgjHxoWD
zf7Exw(Z2eAK<Fa}rr29)o9_pNshV#5Kf~eYU8_lsoOh((=iXcR^G>i`{GDA|tj}-O
zl-`lrbT{CezSGBBF}$^>AOE-`703Gb@29HLWrt_o>Dga>@ryz<+jF^#@#j7^8}6Q2
zb#KYx&o8E>Z@A#z!74XfRw*E0$#RF&p9(s}7k!qG?fGBb@lHUw;@yHbzB?=<80H*F
zt_Yf?R`YRL-J(mArD7MT)bIHyA92e#(SOBt`T0L?cO2NbLXbap)BgoWX8xQ%G5PGB
zfFB=KH(&Ygu~BTU)~B%Y4R>eX3!i)bo_ETQdRE4yd$kMlKc7rL8Mt+!uoPoKOk*s=
zUH49=*NwscdzP-8w$QwE&4#S!M;30JxX?3%G2&9$vbMOWG`R+@<eRK3G;Px_Y`GzJ
ze%Y$*pD)f&44igzy=eBWNQ;=Q9b5SXPyBwJ^et?I+r#H8oMoS%kZbsD`+nxHjnNBM
z&!4eI_U8(N8!B&ZBzQ7)Bt*6}B*rEs+(<wAgIjsRmHi8HIz_l<Tu^u{cf(NTVqo#_
zWotHWUg64j#WHE)ZI?}J8MqhBoxS6{=s(7W4Vx#tnRUT>^U}^oQ(l|TU!XHV=5UhH
z*X7;II;WqyFB?B8){WsKe^ABcg>`3_q<dXk?ep=<N>znT_RHGeU%d6^j$Eh0y+e28
zwtl;#>=?`NhC$Zu(qr`tTR7AAAN1J}dq?)#r&&777Q~&@^qBd$|GuI(!`HrBvVZSO
zn{QlDCVgLedxzuw3eGJRsnr*!7BPk16FVuDb4T+2^jkvbuRff{!M|n$!=u9~;?^Jk
zT|1IpIsfWI0q->jvYj1P_}|+g`DW(hgfB67q~30fWjw&#|Lue5>lF+q1X_F8vO1*<
zSKeuxEUCZPO#a&L+tMjavOE5{3hazqAaLgn^S_RGVK&{Qja-Kr?#QHFzjwR&!n_@4
zO&?F*wfErkwaa`X4ulsj6xaFkB3S65<r=2gC;v?a{4PBHe0AyDrCOg(uip6YmYf>X
z#{Vlk9wak#I-g&#+WN>3u8W+F&+{H_sClvP_tf00FRNFS_g=drw|)D=r$4VgU2`B`
z;?<NjF?t(X9<8c2{l6mi=7Fm7SD!z-C$IZ@al_38*Y+%Y^X!KF`PZ8)7IggXNRXWE
zJb%`axqD^juh3dqeE!1q*@A*LuVlj-|9rluaNPU0S=v3tx2LX5ym?>o^^;A%mPVV_
z-&2@=z~;{qwmtXd_uAb&bgsY2a{kJ#GNpg!eLK-`;L3w?RinuCmD}$7p1-4T|M{1-
zd+vGfy{oi$-4xNxWmR9jbY4!>yD;sDWUbnd3(M9ot(wXZ`)7Gs*thnd(N5ZX7?*de
zx!m}@)6HVzgw_4|H~Tn!B_7r7_DGDqr?mbW4`UQd_KliT^7bv-&P6QO_X=)RVKo#k
zu4K8r+~MWq1su!#zo$Hkx$C#ykNNed1tojG`+wp{zpG!ql<j7oF8^f#`|7*;^`Hrq
z4O)A@*I(k>diT?>sO2qD>Jbymq>i)hRFTzCQYlNCS^Olk`JVp!y?0Dg8~Fcp>Q}r-
zxRG?6`)G?~<$Z_f#Iwg5G&YE+X`SxB^7)Sb)*$voZ!H6sc)!K~=Dpu`{hz35Y^1s8
zuKQN@z4w|bf8NoX%pQA4yf%g9;9dQ<I`@1&8I|q%)6Kr{&yHJ43^Dn4^p$uGyP5Lq
zZ|PlIxiN+L^ier+{brSGcM~@qVfFsR9(mhi8GEEEPd8JiC+~KqXiMK~cl4!VJRdUt
zOL{ls`<r8|o9=qpzTa``1c%bb^VxDQ@6G@4GFoI$>)FGfPP~k0zIS%lBdtf3mtP!X
znZ9?|zVyvHvMw<lR*OEfn{Hw{{c*|hn41l=j<LjVTh`*Y*HPq%#k8rLrDnhS=-xPI
z591v@RUx&wr6(>ayo{+9GCDWm#P4OTR_P7Tk6zqR+40XsD2bINC545Veac?eWJddy
zUiW5pP8Q}o!gk&2`<2btr`{9Nx4-N5y=YS9&tGMWON-yMM)vV9{e08$XJ_}sgWL-y
z|4*54z2orlb?57T-skq3x&Bju`dydH>JucdefX5|X2Z|+nCmxPWFseN-{AeYWcj>v
z+cz$m6s5aNJu*pZ&e!5^ag|-lM|Uu2f8yZoFza46`RcE!Jnr{g=08cOzFPa{<Drd=
zI|`aOlJ2>PPE442xMF#ymu%g^o6ad5hc8CnXu0XUv9U9KXLLV9<-tGqokEOQg0D57
zNV>!2dB>@Xq4va|S^Mue`Y#pR|K_fsia_oEKDMn(yv{G4lplB3;or2z>0QAxYxNkJ
zF9<Lt)y`xUzt+3wu5--EwUyU;OQI*eI=^U2-HD{Erpr4$?e6We-?$@c-iMF&&JCNN
zGAYlASbczj)#rMD<ieigcLQ%dso&&xWx~A!4Uwm&`@dxRwvx@`uEG}y8@>yZzs5Xz
zvF8lyQoXZR&7U@?n)W4KnOu9Yp}(``>(w9gZrm|$j4L~_|MLMmE~Yg};!7qaPdF`p
zvQv|Rxietr^~sNtI)Yqwu;eos#_gK)d4aC_tm69S!zuL)V%MjvSzvtP(bg4meOLbJ
z249`r)^WVxj=}z@riW&CcFtttzCK}B_RNcE*C+Thy4bxCe!ury&Xox@SKrRPHbv8t
zDf#00<Ojd6P4P<zs+;L!cxB4eiHjyW+Wh<Uc+1kNz0-3VE=>J6iD~}AZyT??|7#Q|
zb9HLvg*^A*z5gZ}y*cpwq=)sD85K*a*1z5H@OaFQo!RTI%=>obwdJ+>Wh$FOiZ3pB
zbAMUYv}+4apERGpsO^5i?WBtfHtb0fTh)G;d3j}iB6HG=WZC+A%1;->?O9$q{nC=p
zJD*p~=yJ{cbZoW3ZIyX5CJ6>u@}A^s=M25868~2<<5u<(<DFNhudZHwKyc@kX&-80
zH*~f$#$28Dx$DKx30lXezOE0OwPWL|$mdsQ-MaXDUSL5)-VBvL3(bBmh(3GeJX<nD
zSN_U`YYQyiY@FKZc#!i(=GC6v_b#94mAZKH{F-);g;I>}n-2czXNXJPaadHpaeoR+
z!2JUPYj%88UQtrHAmfAY#v_;K@BRD#&qAxp8!R`P?-_V6+;ngC?vE!_y05M3T)OD|
zoWt*%PM99w!Yh5(C{C5hsrc%K$y*qvHl8WB5IhjG`rx#gS2pw9uw-gWy13~N!_x?s
zi+|5t-PCuWH2(6Y!UI(*<%vy9jgzJ`K4*CSS;w}Hv0-K+(*?PWhncx!|1hjNFoo$;
z^8fV>tA2bgZjNPGJIB>O@?zNDQp2m;|9oxQdid&+2K!?trqA<8JAV4jQu!$n_bNDd
z9y>bo&+J=^|L(aTAFh<;#F!X8k?rIqrt?=n?Db|a{&&xE-n|R!46mO^ImncJ{k^W`
znXZ}Bt1rAXVo~0~@@-1xM1kU@eQ!>0R};G3e<^GUn|S>EiQo48)_lF^<dz5P#j`I|
zhTTp09nE<3-<|l|v)=ce>@WY*{N?f8`0ZW=N>4s#O<%zN=Eh7l&Q<&uw<QZPWH=Yt
zz1n>{{$zni=Y{G=iYs{*zIwuSu_xJfb+h=4s~^8zd-JV&fx^bdXI&TG*lI807Kmlo
zF5qs~y&#WqX3av`H_AKSK3W<i`{)pZwCm+J=Wi9x-<TX<vq0^Uw(P%6BK{1vf2=OP
zmArB`|LR*+E{4OmorDi)&09F>@uLUt&fiGtsBrs#W8$BMevdA_^Ht(3TkO;G!sLL$
zo~7Oq3q0HvRsSSUJe#z*YR__?oTFPe?SIsD<-_))Or2jYewfcP`O}TLvoC(MO}^5(
zAY9xfNl|IypN5HM3*+iv=zMCJy(GN*VAa<ntkYvY?nytPmC_)z!RzSFRZOw}o<2$X
ztJQqv)BEYC*lx%Qa&!vkZ(P5LW8&PVpPf9eKda&v<>_?x3vsMv(v02n@A-u<Cr>)G
z-qe<S&YFGYYv?BS=?f$KIAr%+`>J|sU)bZ@QIiE+Pd40NbLHFAlTY5vnBXqLp}fiP
zZ@0}Nmc!GyO?%~KH{aL3wk7?e=4b8~=4p3}^k-fOx}$!3S+w1B34NREo5Pk{>s-5|
zE+D_Z?b98*l}!6vK25oP-CgVavBvXvgtsh>j`g!Gop*=9{9@?0tKWA`Q#gEI)I9sz
z_vNDU#&`H{U-`CrveeaUsyqE09gPf3@9}^Cd+k2+yKUEQ#inj(@XffF!ua6YrJI`{
zh;p;!PdK)Q<wh+#cMOmGrR$utFI}H`q(0}uHM1Vk`i;VNmrmclvj6?nlf_RHj&1C?
zay5MKU5>ev3tvCx|9tK1=AhYU=IpV%#kT+86N|^{tM4RV`L?9u=G{!auW?K30$KNN
zoxOF=<9YXZr>D+R+<bk{9gY~Kf>I`CZ|j@-Wp_DtJ!#yv@9M`pIsPXLXYA?H-H^2Z
zUjCev1u=WQZ%!!6nefxB`{C+41tF&=q|K4Mf9{CV?bGTVcGh=9!zV3#FyYF6t)q82
zyiay)SypJZl-D<!^}hZD8IbHMo~`jh{oAfRz9ibOFI{nyWB1+6rFwVyZt5~zkCp8&
zyDyscQZr9x{kA*het-2fe$IcJz5H_QB;(6>%gR?-OMbDvdh+)o`xBNC`;_bN9dMqI
zbjBd#wt(!XtONIVD$l+l`sPL8^Ugc6%9rAq44a%h{xBsevMA>4Wd6jc`7!VWgW-jR
zc54(C*dIQ9!AkvSq5Oq{_pdkPMxL0_`tim24oN1<j$5paOi3R*o5eq#db|9@5$+%P
z7xsSONSb(asdRF~f5TXY7cs(1(vF|Z(h6I+d(Zs@BbJRGb(|k8eq5f_^HR2vY4feB
zZp%k19&;YHwYRK^{dY+4uL`roWoGpTbvG{St8f3RxG^WQOuW}|GfRb4Fv88~6vKoD
z#<GPI_H0y8V_LJ(vpQ*^>GK;i{~SoVxzzMA_W@)3Fvb_|jS5Kz7>+8GB~4*C{m=J?
zP6gwM1s^BgliqNU;dXe^iED4Tq_nTU+2nQh)`q?nTh70<wrkty?{};E|DO$V=|5sx
zSq}7`T@b1j*EwJ3;*$;klD-{yKkE)t;(}}UA_Kmb9jMte^Ke>kLW+0ulCbG}ue_Ub
zVaojpF?kV&cbGojh)BNhXCedt^^@)MZiKygvG&m&hG{91D}UVGbC)&bB$xPIo~awE
zum2D{uzBOP^5h$ZA2-CDNceekbHv8jH75-pU-~8+m)!kWXR`k%Bi=g^+{tkaH{|~;
z_r03M6Mik`PR7s4Lb4`jCkg3Z`!V0@qtMHktADnz{e7icZpidq`;KY7ko3O_!>GIL
z&rd7=?3rm7dF7hkzodJSFNGR{V=K87uUxfSeOpChl2HH6=~r$~KX-y>p5pfvF|4Um
zRd}!eoZ7SD$;>tJIgP@0jT(=e=iH5)@6Y!5>nG#K7Z@XNmT$b1ao$tvVd(y_D?hdV
z-;ezAd&Ys*I3dwLcOoxUzLK-q5WPuE{365S`;qg5*^;$Q6j#4Ea?)V$y-4flPp)jd
zv+#t$>zi77VJGjrxz(d&@cSP}!-LQFBmKiSg_K>n`o((U+)B?23tyhU^20xWW#^w2
za_SD{HyO=uMjc%_>Bjx2<uwOFrd_>u;>$vZ3)h?@nAq#C{_rw76L%--<r5E<dr_j5
z9s+lxmTp`4v-|2*RpnXzfmiLX{}B5Wv2oESu040<3?(C`-w?>2uzAvoou2KTk^W4~
zk5sf7Z^(YUaC$@R-RQ21=Z;^!wTbzc!k45Y8!w$?Wp>cKa_iE+8DWA9inr}AeBZ?A
ze(m;~i{D)t<M&Lt{@o=pL3_%4*7%Dz+YjE2D&IF*andc`yL;|NU7mXO{`&}t+p^~$
zc|C|_xF0q5)43(8mO8)hM3tDG{J(mMM4|Z3HRm31-*`2D$I{~2cfQ?tbHrrdr`!7V
zk1{T;yuSbJ-6*@O>eB6z3EWp^+`Jp5UppzG*mC>Tty9-t`w<g&?Z}%uQI}5|N?x7f
zA9g46<>cn<7n85<xfeBw`L&AT^Nh^d-6vNF2^w&G(Z2fQ`X+~`%VPU?RQ$EMc4hu8
z?yrAV*gn2;>uLP0-QVs;dGaWS-LJWMFUmUnWJT$UDE&`Dy;r^m&lOyn(!hDw<hei3
z<0sKl?Xx@2Z=Ct#zR60}&g~PQ-V0cj@$u@b$&znvZUlr~c|B?3?#t_a8@|V_T=n_F
zu^)Sj^ppG-7_XR6FY56>DZfL~=uq5?pcH{MGY^UPPtw0~`~1#1THmfbu(@`!BKd!l
z^V$2#o(gl==atR;B6CNsjxYJ1WGsW-{2#m4zZ9#y8hQMlz|_g%XMgN?ez5F>x89a1
z_mge}iMt<c^79G#c8}pkPUq3&*awqLKV9f}GxO907LT%nyoXzpUgq*MK8cy^)Alm_
z^Yw=ldVS_xm|=WJPC2ICL}&NG<{9_pI5&7-O8y@a5X<oDC4Y<~|EAfG_TQRb`H}tc
z@3ZEzuRkoQxU}6hkY&?~Rd?9JSm!7C={K<M*|VX)nJMWen`zsN8<QoP{?<Qukd%8j
z)inCb^OVL-iZ^9?4k*sx?71g%L*{r>@~nwhde1b-nI69<5i{e8@`kYP>(5VEho>l<
zYRLAT;qgRwL&3@|qTLr>?8q;bdCb6GeN+F?h7QrBcY^tKE&pD}-WC7z=*o#bcH1gg
z?r5f0^7uDwKe|n%e%_T=pYCM%KfkXz|MZ1hv#+jGSKRFM?_y8Q_q!~X6V=||(=3Tz
zDEsG?#<K^)Z!RDG;I~-k@iGhf?Di#_7Vc@Pn00OC(H*^f-(EL<`k3@XN!~K~!I`_7
z^Z&kml=$!5)uXM+bN)<SbLHrrO&rhfX`VirdHu?w%{O9dbr#-wbL)nLlc`1Bzk3>)
z>la!?Twe4e_J+pM)(h3=uAe)*q;k21diDbuxrJZ<eQw+`+2YY<hs`^F_gy$9esV*m
zz@$shl{b~Ve>C?bgYu2wlc!g_ahuomKK#kXX&;vMCoNVq{rz0%#=iSUSMoG7CS7=W
zeA3PQx~nJbPHy_fH20cw<)yWI7Mh=6*nVm1%?Et_oDF^U>+h<mFkB8(Uf?cs$s(!j
z{>4*Im{(q%^XG<P@@+NI{13;JMBZNFVBf!I(UxWEwcj>6%wBkJP2*R~8v*aP1n6Ek
zv3t+rE1y_x7cl<3tGfLC(^DqPqvZ9koSdsY_0Lk}EgGBGv>m&4is$A%HM_s371ZyD
z>0du-;r^v}))M#7tjZb9*B+^StYBu2)L`Db`MFl2ml$Kxw<Wf@DhHR}-=a3P#lkm8
zd>7y4Xb#SKSNHfEFTBJn%j>PnQJwzGdClBOkuUG9oS(w8b^pEjUUHq^PYWEpXRLo+
zNcK<AhnP+6A@8r=+awY4gYm|O$(ft(nv~jUUw!hVi~I9k<LO!_4IeS@y&Arpb+2Bg
zjW?h4#^fVOS8o(;yT0eu=MUu(SFW$TeI@m8y!uT6A(@jqcKLB;U#h<L>Bz*YZ3~p*
z|4g)cboIG^!kSMTF74XZb<g?xy2-P;9=hCMygs$;YKcClW`09p*~IA^?>XO#Kl#M$
zc7f!{ue%>kwOlNl#Pa47<I6qfZ<7BtIdC-Yi)DP@I>Y18lLr-LH={o<N$hXzuKn|U
zzxv}T-TSUz^U9RIdLsSsq63T<H7j3LA77~ak+FmE*#8cuSv&XMUGs7NNyeEMX3u)?
zspp~xqhbmp`;Ud9&o8=kdG|9;sEJScDYnYUIp)--S1dU%l$etLO}-xf)8ovQu(E~r
z8&@8c&aCfXS6H9?-}uq~-5Y!=e@7p`9+Yz1-8`~q(%hhpH+O3Oxm0|`SN!BhiJxqX
z&AYDp-n`J!oK$zk$8z_AgvOY%q{$ENNcf(Z-o|vrXZ?dWKf+%gKfhyUUEY;fufJ8D
zR7l$KzU~o6gZHjiM;|aAO1j`zaq04!&Ws;F82u**u8CS=uB_1CfBDG7?s|**D}nV3
z+y71M`FL$#%8Ez*j2|A@ZhA3Cx<$h3lfuO-YoD|noL|)NE$>Rm^M;OVA!&239@9*2
z`o37uRp@oy0=75pXOmyf6pfs4>)QR5sY`TM-u}~gBY`pIZ1AVXv-6+t?YSEA!1ce+
z)!=Vk%W{5K9D5b{WpUP?*oJe*xqe)j-FEJ}-cJL$WvZu-oqh7L&V2Q^psj5WzV107
z^r+?7*3~JTl?|=`*R);;U&Z!!x<%97Aj{WZ4qfd&q8_zWe|s3;)9pgf-^~4AyX;Ef
zJ}=MD-)^Z-3~9L*_%emb`umctPYdlHdmmkDZ*R(#eo6OQs2|hnZwqeyS;C-e@u+$^
zLsG!uFV{nFT}nLRne=sO)wF9{Cq-T`sL{E!brUo9hdlZ3>kn+Gn7nY$HFGsi-$EXb
z+cR>meOUUrQN6FR%Xam0hdDD_CVPHZ?KF{L@;!~(va8YaukT22Gr4s8%Y<`^Pgz$!
zv<PBKy0RlPd&26X1kS6m^RDeo`+RBRo4XpH)-d1ID4u>j;`RQ|4Kr_EJ>u!v*mo&>
z-iCO2?HRXwVy<$osQ=R;()HzNZP}IR+=VQz3tv9To8WLICZc*m%ARX`ZX68ReEGnS
zLzj=gm=|$%)xB$}HY=-VY&v!&b)xQJ#!39?SMRto9{qQz^z&Yc`(dB18!l-Qn^G7#
z=|%fF)rmpv{7kG@3Sa)&$u=>Y!DOQA@rJmrizN@wpZKjZ({AJT<in0X<K*iP_CJ|$
zX!XH&=I=XmI5uDBjrqns<IEAx<c<XkD<&RFiMVherN1iZ<qfu34<3J<HRt>W9`2;w
zopp|kNo^6*DXc8sFH<%**xp<w?75QTh};F|hc}oHIb8Ub|7J(S1HK#U7d#Y@-RAz{
z{*}w=9mX45E_>x(soyif(vay=ec3`b!=L;9NL-kkz?5{g@lyjw<;<V0af^gSU(fut
zq3q(r^ozfZ56D%-oOx0F_|t`lw*Q-~nvz_8$c64%bs~9%!Gpgsl`|&u#xjJh+pwvD
zG3H5<{tBy&jpy|4o%Auh8FTMa<C~8G&vz!;em*nd-Y2ikU$)P?T6c6sY{Z2Vdm0bU
zzxwg|KeNk~ac%#PUi(<L|6=*ktJ7|ud^mf~*L$C&jF$;c+vFCp^6E$510Ro_KGvZ8
z|K=|LX2zIPS3i6glPEvD{^|!^Hsf!dC#xG{|NC4_*?8qc^wT5z`(rQUf9$l<y4Ljf
z_@kov8*lzuB3yLt!h~xds!j@SpYdY;)em=9od`SmXOWQBo0#h#%1<h+Y>UdiH}hl4
zCcE}uv6~v6-?;i=?c^Q*=0B*N^r`zwk!Iu}tt&HjAK?i+ze70U`iHmgQZD&j3A=S|
zTJ*`D`*Z%@(-iC8-M%6B%E6P@K4kyRmiat?iT1qD`zJ|nKlXR!v=0}rh5g*W`O4q;
z@ZHzae?=yBOcvdE=Pb_x>xiEmH@<Dqef)R9YkB$0X`i$Y9OL1glyl`H6RYeF-u?^G
z;YYj|{=1TvewShD<}0jkul%1MHF43o#jGcvrQc(idW|*G`t`N*YhL_oxZ#^Q=ijA?
zb6z}c$c*3I^J`v<_Vv%5@>+41{%*X(aO(YCCfRdcZye@pMqb^1`r1$Zxpz5g*Zewm
zGD>XWW&_5JGMkc$uFku@ie+zH@<Xw%CC^`;|2Q`#OJj-L+;bl?(tFfCUP%kxcHQ<G
z&#X-geqWpS?c_@HYem;Li4+{&G`C~r*}LpobAOnBy!PLJF4OgzYelI)t5djk%~xG>
zm*LV}$yZZKJg@!IeW{|xyH)Ea*Y;~gUfkO^>9Ad!SDxPB6)3iIZbQn^d$PAYl?vqh
z@Bh43QTOwLYHQW`E}h>ev{SFHy|tgAyyngvRZZ*sOKsP#tX}0Oem(!nnzyf0MXT@d
z&VMz1%km|8n-?62xbW%hoL`X_EfcQ9cr`3Jf7RTn!{fo4${kyNJ_y)+pkkt<$GcNP
zYdX&MU6lRY*sotBdr?2Ef${uB)yRhJ#TSKs#LT|DsX3ORc~@jFQ<JoL^HsT6#uL`D
zZzd*GGziOon$8fgz^rS7%KZw3h6(mRIFdvgJvQIdm3n#e#hWW;Yd-ROI9^dW^oG4j
zzCYT0&DFxlMY3-$K0RTj(AXgNeEo&15j*envh1il|Iq%#%Z$Y{pYPoHv2E_D>bZZ9
zx6i4sh-K_xlrQ?s6tnnE-2-Qvou@Z3w_bnlmw)}h+DgaH^usX?7anQXb@8#sGTdm7
zL7o3UfHMF8zM)2zBbi}Q#%`CGxT6cA8bgw{c9_L0I&!Goc%O9ghC;vSgsAI2*Hyyi
z-cnk_aWXEa>0Nun%*?+P?N^LWEaZ4_O=8l?pwODhiJuCDx|H(oU3(O55H{(<DT9~>
z+mBsB&u(*zZt^q8yUE>uCE)0!t$OE96(7);RZzNtCFVlljBC=hD@(s!oiWK^)0Lp~
z$4wir%vc|ne>d{XwoS86&b~Cu)H7@97Z!%@6n`fEgL6b<{>NOC&8b?jrfiYK=Z<A+
zOs3nfC@vKG|K^88<kdN^r*WuW-?aBh49iB|jSJmxUhCN{%&|2#GN$Ev&+ExtJ2&(k
zJ!kc}@zvcs*Ed(5vs!bPCu}-T>YB!Rvv{7qdm{Axs<GYwYj3|wzi^X_J+=4rb?4Ch
zU2WozTNYe1vASusyzT4WxicSMGTywPGV;p1_2wsk*Zn$Fv!J!?;=9Q!e_wM--@UKx
zi2s#$d8!+YzHPejPI#l$hxH%AHvIlk?)HOWQQcwhjT;trTPU1J=s&%yT8Xjhb^Eun
zNgGuxVy^Vsr*~Pje7jqB(qkIKwS{>%uD*Xe_vbGzvp-k+-cIQ8wYdE4_NtFf<|nN0
zHn1EH>8!4};wrJ`lHB>Lu04@m-B(<ftNSt8m)-8XdA>pV(Cx3ebzvP@?W~J<{%>GR
zy7E5$bIO;Ts7;Ksuk@!~eZT#`&K0-Psh4`qHx~blzw-WmUE{a&4ck^nbY{ptvQtQV
z^T59MTvz+m6Hdx06Utskwtd@beNyT;<MQin!Li>yaBjT*{?`1(>o;Dj+qOji>#3`O
z(bF9S|6H4^I<bHM65hSndbh_<e0*;P*P3NAVULQgTV3~bcUYOT;%51#S+ZB(->Tol
z^#5AjG``38e!Q^1w^Doa5}jEy-k1Mbp{?$*_x-`+kq^%&GxoCnfAiqw%}>7t8)m=V
z-6O^p%P>26jr)ZLUoQK)({Da@=~#bT?Du(6TlE{ChI8C|KL!Z;Uu`Ha65%@hW8O83
zy;~e3E;k4>xUD+LxG8}5;O~cfl0KZ-yzm2elK2&e+Pc^okJrq9zhT~k-;b{6#9TKr
zdy#HwD0J$dN{lz-DlQi@zZai3&P@J4F~ds9t0iKQNB)O*kF@47O_&#-;<0MRhxXVG
zm(YoUKRQgyCu{GR*b_UENubmH?#9b15wlV@etMbqLEZFtmm0^T3rfK}1}|g({cPq|
zdG&N5hoAMluX8!tHn7K>+TFo1fyKb1W@evDt3g1u(Wz?>%s+4Z-^sB?;);E8^TegG
zM+^$su2^nu2;R7<>Cp>c_lUZG59j{bboEB(YFqv53+#S2o@9QvQR>=))2BK1UHPLu
z{fFp(ol9ZoF6#W?<Yrpkka~RIkCwwy7qxFrXtg!Vd*NO8=z;ylOY@s!+Ggp_xv0~4
z?0O?(QrQYyTjQ&0$$uEOU1U<?VmVP3Ght@z3B4b)p0P8Wp2#FBEf96e*<T>(-^?=y
z8Dly>t%|&O;pk6~?uMFDmo-N(3cRela@TV66`hNFL!a!hx)#6mVKSq_v*otR6T}!w
z?XS)HcV*AZZ8Hz1&G>)*8vnCvd#1-7m>G5<=844p2JQ1rXX?yObtmt3xg71vVR-FD
z%!FhH&RYVUd#>!ctST66e>JM^+Mb=;PU`nvVE%Y5qA2yu<UQ9TN<NufzaCM1QtRkm
z#jN+&_e_01Y0KHG56)f-KXr0S>zT)MKJ=V#{=WJ|=b0-Jr{_A<=A6-)%h;H6#&!SM
zrIBYQew({+-4o9A>$|3BNm_}1jQhVoDE1_G?D_xdQOp1GT%H>-@y*rLKPP#%PMP#d
zHG%!FOy~3r&o|doYyKp4q$q25DsM3l+G2mr;}iF`)zf}VI5ttCd}HaHhQn8)=N`$p
zVBL7>f8=%3jaN>edwWG+buLR}UDKZlz4NYw%uPA6|9aZ<tt@-59xaVx-FyAhn>&|^
z7P0MrQpI}h)bt>hU3+3yMkm<B%>A%^`;{0KrnCR&e$r*iw7!z|bB4>rj27;<9-T>x
zSR&{4@NHq$o}#_xfU*+9`QHsLoeWnME}x2jb0sZgKF5oSX)m@XGIq>9KPjc+<@$%A
z51LGGT#B*2e(LG%vj;=|rcOxMGhy27nX`}Y6qe(BfAv=F=?uYVDs$TU!>uYA53l@t
z{!0Go@JWSd3wb~IexCW^$BQ(<oX{)U7YiS;$-cUB%l=^TpIAo8)`F_ID@9c&i=NHg
zdGm;!+S|v;w|E=ceHj$vKIDJCQW&(A^|Q{|C&y0BeK5;<6SMfCH&SQxUpy0Am|*{a
zrLObm*%{4pOsl)jCe7THvs3Sh6O;29yAMfEI<{(Wx{-2$;{+q?9#yRqNwXW=7l<56
zx=_Uzo5IjiS<sMp{*0?l>>7p{6I7(QvKzMbxF6VaX5P!~bBlzNHqEU1a=FgUovD9Q
ziQ$Y|t8Wdfj8AWfn_Juz%kYQe#-A6Roe#R08ZvADboxo(d)=}3+3)+d+MAEwi2XN<
z)ikm>;99`yQ~|3iZST!bE&8|O%|x!l*B{?MRugk_WyQ|f!XG&tA2!a8IkGECw*F(?
z2Bw(v6L#qT;b{24{~*0Z{O5Y{_q!}D4~Xw>&-}Q0#vF-Lt16QJ*Uxl1dv?{v1?LaH
znX{cY_TT@TpLZO&J4r(P>`LqVXaDA%S^dA`z1olek1v*<;Fi4>bJhLZ#Hh6E4At+u
z^D^0Qy?+rJaW!G5>K=xj)2w$g&O7_$%()H5Ne%asJ{-yKD*ZDhk2_iJ{|d3n1Mydq
z3cH%}&TjbM==*bH(D^IL=jJNRzjJO)?f#1s?wntve(7rXxi#PBK7ILT(vBZnex5(L
zNIq!iE#osAo}?ttICT8{hv(-uOuCWwQ|@zP&8*XUg=aQQZQSLXwCUX04c9_Xon)GF
zCA5p#w5DO@y$LNx=SbdY2r}(V{+G*=bpFfv9$C9j4D#PpXI%-MyJ>ZD+GYQqU1!dI
z$-hw;!*X%2yQk%{Gds7R|Ke-P6|wEimpF$9MhxfA?q0L!QuqhfYhl8y^Y;{-`h51v
zUc2M0?Uz<RX{?v8<7@~zdoAqLw=MpYxtv=rm9M;ae(RO{ku!1(&u#fw-RB^2ZcE*q
zj+KRH5`TBDIQ}qY#`zuFu6qO@o2WEn>g+2X)BQGN{oClfdD3pZdo4|xVJj9KiaB#|
zPR&IBp5@0|n(R~NG76o0X?-twqQ&_+k`-5y3?~)moj?12=JCig_vdsRIC$yL&y-_f
zr!E+_9(Z6jA-Hmf)JBJc44pF<&%2arDz@Ok^zO44K3){<KXd9v@bwQ$NoP(@&<&n^
z@=oH@85-_8x9Yq-aVf4-MNyDJd6~i4TemutU)`M9)q3H^F8fa`40SV>w8gYEWQ5+R
zYqXm<W8?2bn`d2nJZn}j*G2ZD6Q-=Tc$s{!bmo#2hE1pLt(nmuagDnmrfnAgx7lm|
z%+Qs)uYdDc-HG<iU6=dkJ`exX9P;>5E_;mm@gvV4UE#QNIF@1Jq80sTo}KKN`M+}N
z?EM>J;=fwXo^a>yp7~2GFAD0<Fiv8c7t%RZ{QrdeYRnyB_Zk)@F8z`Cai-uQ#)ka*
zJ-G)NpHG;3f6v4VT;>0NoZ6tU!8`pTN3%kb>m~Ny)eQmne|&t!aHD)f(w~OIQoe^z
zKYu>qO!Xe78QHUcw*Iep-SL6lE9u*{4>F%0x^yoPy}EH?M8(X#XJ>7lf0Jd-iAx+>
zHLs;BV*f34mw(+X@^gOH$A*ykH%jB@T+F@N7CVW-;)0^+#}(<X7n<vTT9N;H|LkjR
zrLTBSoji9hro$~Urm@og@Wnjy1xEii9PW6{ud?x&y3m4nyMNbPH6Ne5d9`)^>6_&@
zPM=!SuzFVGy7JExYqQEuK3cP5d(zdmsa5@ru_vCLza-VokzMoincKx1jO=z-8fQ1e
zH0NK>xp?~8wYHbuJo$1Pv)0c!aPvyr(>Mhkv+JV!TQ^-lS*70Ul$Uzy{qgtztE1aC
z@Ap=?P&R+onk!-<>KaM*=fBonZ(VtMOYO|-tbf}#MNhK!G&pdD_2=8GZI|X+B>cIm
z6eIaFIQTm2|EJfKpZ~W#^WY=j1T8JO6Zh9oxRG@Fej?YYdk>}NFk2+gV7_KM^Vr98
zw?p!;Dn7S4`>pQEl;3-=@^8uXeKJ95&S_EWmB-J$X1hMCE_#ZO&1_%0kFk|6!>>%y
zx15;qT1EdN+r1@r`F~lGi?TfDmxx{B(%$%X70ceTB@=WLrp`Y2e}Z`YWJcK~Hs7P?
z{1=<inf|VCDew0^OIGSGQ2RaAanfwtHCK4H{7E*R_4w0_$=9dco65mqbB;}wd(Foc
z+T2%W$LaHLdbuEz_3Ei@LDQ}(OrO-;wp1&A_f_7l*Eh+OoxORdf+c3kfju4XlqHR?
zom>#sueNK7&IIvClXAp6Zi;8WkNU$iYf1mYl77}%OJg72zwo4;u_N*O$4Mt1op9fH
z@I}(Bl)EXr_}6xPoLGKyXV{vC+4CC~<a8+C`>{}wagX=w89ho0MiUujFKyVtAgc4>
zP}5G$EirxyUPl-!&(4^!t778P;~QdU9|-MdJ~7LMS@q8Wn@x<u6K~#_ba-OJpMxDL
z3nwsY$6f4*W`CKK|KsMj9nas)_#}5{_Mti!lN4>oqdA)=q*||1&#nJ`Wkc-V3)}Bp
z6~B4VPuSv|#Ooz~-%l@XSz)48wjk{6#SK-PHf?B#=)NVeXNFbZtq*%nav#6ja{B+<
zwyC90WKN%Qi`f3-{Ru5)=94)}GH)X|lCCw#ecV{CCi%Kojrn=Zfn_REFH~4LZ*5et
zjQzJ)?*EK1`D?-pT+Tk(F>kF#?X(9=rnla`xj^Lii5s8WukYI0ayESTCAXWmmjrB$
zd!3iWarxQBE#@B&`P{#FwPL{{`GXge8DnnE)ag4=BKnPS0{8hP0sj?aJRaO$zqtFy
zkIVkrbH6nlZ%AgimZbSganHnW>RPk&cZMB$!Ok4BkoDN>mx;3vOfyenVG?|LX}9?`
z=9#=356pZvtAI86U(#Jhsie-<tA8f*|7>6sx1XK2Nu&S4>{&eh-<AYbc?LOo-p^q^
zaZ~KV<EJeA=XPwKQ*gQH#li!<-)3Cy<re6!V1E&F^v3S)CBb)JPc~W;SfX=E;6%(W
zfwn6<v(%Z^=)bJ1yU6io*4Ct%A8o}lP9<`8Ysc7iFW8&?vtbj9Y(vHKt5K6!OcofH
z3w{uZ;g}Q?!SH`W%!Az6|K%|=zRK3`6xd{`FzZns!`#^a39$?pZIV<jDF5NRahq{Q
zjAN2P^M#);lb7uG=PQW2Zuo;^!52~OAEjlRCErY4JX@&B<|?mz(*CR6k=OR7n>jD~
zW;Xw7tWHbJWsbNDt%qZRE-7*c9ZA~C)FyZ#;@OOIwMYJFKfczMmD;&0yx@P*g|vT7
zS*=1B<olUkbRAz%^)E4*;X?MFi(VC<%0FIIsBA2M%yep7qI^SM|Nn+7Uz3?8EW7?^
zBIB`}JCgHLWLSP~`sJRp@sNX4Oj<)o<@NT|-81bXW}MyJv8=l6#iyQ&i$4_D>+e_|
z_R;*~m3FQLl_w@%)ws1!t6H)_vAW?+UqgrYoMrRhE<BLdpjRO<q4VsVWqfu0SCy|?
z?e$Z!JI!_e?Bl4iIeqsU_q@L`VU@XMrq8wPPc=W7X5Q^;sQ7r5$7k+^>U-C0-}N-?
zye6pr{`$fzr=?`y>{5}^{e5k6$<vODH)7`AdEVPmbLWfcTk+5(?xCw>CkVva&z*69
zlGap9hPlh8PG(iSqPu_h-*c~S&N=hcXhQhEGq1iKT%Ue*@^tM;Bm0w&j{a0Qq3FA7
zefJ9Pt5Z}WPrF`yv-r}_<>AxcT%TR!HetUacihMN6rHu#+4VzN9&5_JTzzG7$s;lI
z_yg=m4?8`+Eiut?kK?*GC-)>@ojhN={+e#RZkAU6q4P^D{_dO<{VYb~&5YgimRNjW
z`IO0cwSD{(q4O8@e3{+<EwgxjB1GU%2Y2-(?HC7{nIaP%y)I9#XK@Vak4dX^F!?cY
z<A=BHj4@Xw)GL|)tm90azSJ`O-X+-|SM-f9DVHs^Tske;@T#P0_7wxwr0|a{6B(~c
zy}39=wvzGGRfFu449_AT&N^rR@8Xn+jQm$+(wic9o_|R?!j_bxsl0;o`kOiDgTxN-
zS8_>RG@Q&7ec{>XrIyxz<Ys8AW%g7u7GF2KeMK(lTF0$DSEm$hy<)I;m!IssO$sGf
zq@T>%clFH@OYhoE0y|n(Sw&wF{{7}k#*?e9b0<Z$UY%UOt7m8KnaZPAr)ZUbGSEA{
z=Ev2^%dI%O*EXy$P5M{3;B?~K2_E0y%scy8@}uEKqg{+ImiEtb`M>AotB9`Rv&+2R
zZMnJb_@Ud8Hx??#HHf@!E=#{MW&VDZ2GvB)_g5DEIa$*>=dAY6E+^6bGovbQDy+FO
zC3yNN;g8o^{pTtPu36&gy{TjFj6>bq@BLNsm%96Y(fc2bYwROC4!4|^eLZ8R#fi-Q
zjhT;5EGayZXLemtf5rs6PiJROsJ*JRf9|Qqt19}|H@@7vrhMw;%*t5{KYqMeIcMR`
zMZfdJt}3Me-hWlemtn!R?q?rwP8IZ1Fj`ePOW_*tiKG?$7nr+G_M7%^NHr3<!PJ#3
z{=2pD<_;0}AXU3d^Ik8EiJU#*Fmvn`$$3}!mj9XH-?j9K=)H$?uSc`q7hJ<|K1ceu
zeexfMj+r*IFKPEKysP@TyF<GsY0BjMc|S#Oa=CPF_UBpqNk#n0t;p*O)URzlasEf<
zWwAGlN|@SXs#o8bBylCl{=|W6ou`u-FBGg<y@5Mx_GQNz=kBI3iFXwJ_o(0q2(+*H
zbj5vBi1$K<{6_&{NsDjCr!2TS=drE+CA&Z8Z@5WZn4Nqjai-f>mEg{ub-S-FoU?K5
zhSf3i<~)DEDk^*}KE`bCujDxQ1$_q@lP)T`?=}!P!Y35$xPqbX33G$q<pl{3Vsd9#
z*YaG7PI9>(%aPeGAo3$F=10edn6d?vq!pf@yu5+m{`CTev>kTh^Dp`x6uVJ2YnJkQ
zgXDYGGcC_{WUUh933N*?`+w$Nh5gSr7BL5Zd=ozL^UX$`<D3_=BWHeT{Wtsb_m5NK
z^P_+Kc+)Uv_7#S?KP?u_72Ur?WZTnk_WK*ArFFi)x@HIG^9xC)KY7k9xM#lpN>c43
z7Nbj-lgulb99Dgjf4x#pIf-*(qvNxccYkDGulRrQv@d6m*tt*dcL<!k#dp(g!=6RU
z^vfDIoqaiH-fzD(A5SaY^7ttc(ec(_B2MYA*VkK{{xNOX$?!M!-;)?tNv?N|;y;xl
zuQ6->lwiA_qU(M|{lcc!e>WLFK79M^(<SlMjf>SJER-7<GSpXhOi{nW_5M=BNr^Y-
z6udrhI9yMOSLKnkzrwIdV%@dWTlJq5Ce5Fo-ZJm5)klRR><!_`8~N*d9z-k?ijQWH
zU3bMU=L<{RwUpQPl~T3mX0J?nJo8HG*8f{Y-YyY5IrGbMv8|uZZu_jSxK;n$;q4zq
zpL~&gcXfV$(z?5UPriB-`SRJCb0=$}Oqh8xU+ZlA%J%y;-^IL_8mV&aub)`RUgvol
zBy)a>sI2%>+1Tp$SBw7Io!fNN;_TI;wQ+`CpP2ToK9E<pOhWf&#?mVmZx$x>Gpk#4
zH(AZUWU)jg+}k0q?3&6X_Q*}wuU=t^wC<U^da3NU)7o)o|2@CP@mpK}Do^b5YaFkB
zr7pR-vq`p&aYNbpiQJDGt<O%_t+x1c^@Asy9=<!+b+WQ=PLS2PebzIW-ZjL$N;2^1
zU9+*^!=o90wXQvM`Fvz)<cGh78;i~z<o#s%e1($ywY?{}ICvK<V2X*`-_dfIBkADh
zu9=qgH8Uksm>9(uY^YUWOJZ4(|8d~~#t-SozHBJk-*_?kzgVYd?8Co5=C&HI<SL)D
z!{I}=X#R@VMNyr5>~~*d-+%g|l$(qKYtljet5eOwpA;#dUGaGPLc#DOn+o3Cd|kOB
z`S?YSjoL5d(oSwv{>>u%Q;E5QUtURCiTg9N=1*4E4fD*`pP0Cjae<_r(Z#P1w0|Ts
zu86naKP@G#Rm(K}q|>#D^R*uz`F}Rc>i2@{kIv7iV4I~ZntnCm^yafCa@1<dx=)$y
zxD)fOBW%s5wQF~2L@YI&s<OD{fYiNb7bi_*;%!)1JNbatWA4~F7o-=6wll7)yxYCA
zZP#68_oYXi580e#un#+PaaQaDw)zU)32ElfXKp+HaOSIqW3``mZ=JWIq5k6zt;b6;
zj$RX%JRS2%v^!`8<KxwzuCG~Y?AI$1GcR!J-{nT$pB)~g&pRJ-`#M+g%(JtPp6Ih%
zz_Wp4?v?%(-@hvI8(!bNVPV=&?c>+uo-MfhamKu7C#=?-I1sTS-+p)FrbByQJeCWb
z_@GGSOY!O#^_yGG<E<C@ZTu0JefsmG6OSie;F{!cKZTKf#ntjP6I4AvUHIiViR<vL
zh*hOmCvt9H<6OV_;Fs=GYYb1WHoKrWk!RxL3lYb!S9UL)e`ZBzo#omyXIHG5zu{@b
zyfymKtxNA+7g)7bCh5%D-)|Ny>_4}5b~WR>Ju7ri+-N?#)^`s3m(zPnua!N%BK+Qu
zXZ6+}llSZqnm%><eV3O<KD{{kZ$fM@*QyfvFv+!IZ&sB=tU0&;h`@~K{fzm0J3biB
zSiXs?X3gcQ@FWR?*s~V@j!I06IlJo1UCW76cVEgr(cHgLPcLpS<L|kPWY%B4di&rG
ziL)zTPrNI6@I=^~C8AF!F<GBqmzlmeV#9pL|M5{Ze}Y%qJ-^1HKa;uT%(_!^zEn;R
zwavc9rp{EAeT8ikW7}1>YbUuy&aQuQMO<6^+L0utc*YG8w)NN94wfb}oZE7B+jS}1
z&gM@O8?LaIb#u?V{pxi26VAk|(%HW+=A7VcyviQ``?_>e%$1z^@9&=5_<w$f($zm#
zVv8&{%t)V@HOap`Wdi5R8uRaGxBPqD`-5@8&EpG|yUuNWTy^$`?$1g7bL&2SdfnZy
zp?1yrpHpY9dGqD$%}u<vyH`DX)E;bFf99t;qp|a;1M_CR68pUR{F#+@jjh(ZC+~?}
z^S}R^i0MtH{4+l$PxycK)XkG(l@m=(-#40U5I7nAY?r`^uo>!`8Q%Z+**WK+)ybCL
zk5_clZzMC!zs`HFfzPTaLg2)WeOGvj&s-B<y?euRi&NM7e?@j~J-R`(>ty-y7q{|K
z7I6Apm06m)_<q+a`+tHPY-}E1__UI9M%Uvr(YDsTC+(Jm{ahqBtJ^UqJ>=05#uZN)
zXK$U&D!69F`M%(sHw*LS{C_fw?y4~7+j1$KG3Hptj?EXDB~I*(+|k&u%+&f+ThhvA
zo(=a!t#9V$G%roR*1_7&JhSM#V@wH~vfP6ck9WMC87>?%C8qntXO`3x9Xl?rxV8Vr
zhCj9^nSO9gZOpFP!`={j`1_0v-8+tl9%1O^T($N0jScSR5y}5KXFe7e`!g}LB}sm9
z+rKj{>uP^iGEVp_YJElaF=Nsuv2dAf#qq}vIPAH^d))ni>&=OxJ=fS6&a7#ScYk@H
z;n776u?Z&kE0|_Z7GzN0_<n;WJ4ezD<qLZlOqyC5zQ)CDY%p*C!0><1hIP_0d*;V%
zJiz^*E%ekSMhX5C7nky15Og^Z`|o)y!<HFryFXl=;A7P@;Xv|*73Vi>d9{&$g5jf=
zS7gl?Cq&i#-?;SXhEloL9}j$$>1wF{xBE~<>=aX3>l63iB(L1uFI9ho@yz|5DaoNH
zF8#S`9i(2!$$k2L%<Fj{f`y9N7_RcZzG|Z*oNNEu;@->0iFeQa_1gHOZm0CbT?}&%
zUq8WqWBPR)>v|&|=8G@B&HM1wdgh_3GatXrYrS=n=kfghsAp%Ne7eo|<BsXKNj6qx
zS8ZPA&AgO$OnUufuAS%Z?|lF1<U8f;Ih7fGhq*q^?ccz-x7u1Y?{oxX(#<PgOTRA+
zwNsf<%H;6eVQLIZEJG8!KwTHBAQK-)|BLObUF|n9W-Q%RW0h3k@L|V!*-idzOIJMa
zXS7PmoU~z8y@cuy|Nf0`tXof9WIH=ab=Hr#jaR(;nZzqDT=sTlQkHvRHYY}9fl+0l
z&cdvJM-BFDVn}MR{j1aDv#GFWqwGm0_MZEvtT*d*H=f#47r*jIRxslX?R}QB%kITq
z@vYQfu{iF-lb+4dGc&IL*-_WmVe8H@qwV&#_B-1OCtmosw@$q$=F8^Nll@08c<bF_
zF}_uIqN3=EpH|sbr_D1<<}+#Tnfs;t+`;W@ulW_(T@aCAiuw83;(gbhp9?N*-qAi$
zinH{@Z&~}5o5MctO8mcJ?Zf{IloJ&$`HGfFZJ2U?3t!glS<_v61V6Do`WW+L>7O?{
zs%BsJjh)20?#$z<dpG^PvAfFj_nwCEO{|6;eHA+kMA!d%wt_uUMNq9_sr8qbo9F+`
zjM>{z8_ii%lwJS0`x9^3M)5wD+)eX;<!kd?+P^UC##P^^s%$?y>}PNFV2|`FaTDvj
zYJdLsdX~LQd9~U1>fhvPKl6L%nvJLD?e#8wB5Bj!d*ADyL6PG3)dn@mSLL=g96x^M
z-TAqEYo^UmyY3rqbyMN>H7zfZvePprT#d31-&Asc$?eKD)8=32_10Zut$&SMeL>pp
zIm@Q-Y+V<x!xDD(kJX+ehYP!ZT$MW^yW+(~IXCqcA9Qa0SaF1D+wngK*#F(UBHz<t
zb5*hbqk-<9j%szQ#n}h9{o9bbafN|)*`u3l8mi}Q>=)O5Fez@q?4vXHSjA1;S#!|m
z(azQHFKm+Eq_kz@&wDRrzE5)Cz9uvMvWR{C=^f9mRG*qI|Fvp$>#VRhl537u9?pGY
zVtm4}=7gqLPx+=G>w^2Gl?Qr{c6`gPyOy}pLSpBF$6G!&_Pes?#xNiGST~jB^Q!+I
zC$xgjZaQH!zsGBm;z_2<SH$PunsmlqZI50gYvrB^Tlc=5rgCAW`ms%xnja%9CHC}Z
zZ$B{q&k~*}Ug@MKe`2&ZJefaJHrZ&wnOcUgF>hl33;o}j@BD^kW!avo`S0JH$(bU)
z^^5G%O<eD1>^gZN@7(9_o4BN3F6+DUb<a(|6TI?g_MMfy%K!b8nBdIo&kx?R?NnGQ
zq|M&=^!mn*$m~7e4zwSZn-P6Te#i9RH&52w&}dp@`g%Ec`o=T;;yc)4Yc7c2+abpP
zKBnPI?7#oX4DW9+$KMd$Am0D}&eWWfKAU*=@9C|o-Y9YQ;+CH?F4#;~{qt`UubR&B
z`a8;#MK7>FerY`W>9N@_ek#mAdA|3-4!--!KG~(O4?MrQ`J}@7nD`CLA9?3=|CsgE
za3OQcMrOenj)wc@mKvuP^Yo+6|35&P|DXBeMVEc#jpxgFWDhc1#Qsmc6kpHc`G9Fk
zEQ8&qjTh=N_x2s1<iZ{M;D1<*aPmy|uRj+332nc(QTy-Y2SzL_-yA%uw97Dgq0`B;
zkNft0DE)Kb*zx8A_p<7C^F3G_lXaq^Lq6va<AD?3f4rFH{)1_Qlj)>XjwqJ1Gq0Xq
z@Mu@#`{e&6C#IgCA@%A&EW?r$$xcra_UtkIQRnU;(o=U$+jGY@xy5Ug0vI^gGVpH7
zVi26<E}!(Mk#Sw}tYyb{RL(oG;&`L>Zoyd$2JHXWIc-$9pi{YPmg)K%iaX9N`#eji
z`_uvRn=i~3x_j(-VfVrP+YF<Lf{CYB9)Gbe@}RTr`k$L3&zx9u;!DSiw0Ao+{wDrw
zsN_v%__UDW!kH6S)UK%I&+WQ-?(55~At^y@Z{|c#7mQN*z$vWmDG>2Nv|_@h57G-d
zPJB>Y!Km~>-EP_WlaV59d%Wf2VjG%|?rhuj<Mj85K}l!V-rhNN{<$C1^=^JRe{Rhs
z-Yqv*rTn?#5wtaG$`q5Rs3mW%SOtHI+VkUc7ZdxR^Dn-f<VZYYy8Y~n>G~6yKF2Vz
z3Qs)2%_KP6G3(x*x~HGd<yD^fp*dC5kmd2dB{2tDj;08#ytw!Cg4do}{ao)qY0R9d
zoi$147Sr#YTBRw?#s`+W&#Nm^OxgHH>`49k>sBS&V%+iPcYMvCF)cJj)%e`;s!FZ;
z-TAWm2i-R?#w>1_rj-=FLTJaM`X8TmB+Iv-bTW@!x#7QaOkhJ~+~VCw&y=XQWu9F8
zi`C|ZVtT8kdHrLtCz&Dp9>#Axv-QlxMVF3?9+)}nbZPSRhwIHHwftvT*d#4!(75*C
zrp?)Hr;jZA>i+2Lw#2uK7+4NwEr?03>|$6T?9Wu%^JgJ%==?PkT%;!UpEx`7dm~fQ
z{0o=E_e`G6ocv$0`akcnDG%q=$j5BjZ@B!rwfwEuSFL~UD6oCKL;Cqd=3c{&Q}(kz
zx^1|}8ndR;VJWA~=D_YB%NDkn?w@h#M5pHoM(rC(I~E?3U%laB(#%J1c8d3%?l-(_
zTX)dz_{%x@W*eT(?<}2Fcwceudh3ZSQ~auCUw&gR-PyeNqlbv+si*4P0{^;Kn6+}u
z{do8GCF?x43;8hut9RV5xMuw}zy8dN(z(m7em=A9%*rz_;=fNjzx~zrlO@WAEZyg~
zGYIOO+df}R{8LLq_53-9|9+bPF)vifiY2Dwj`x|Xn;3=9y!fW;5Oyn;HTCTA`=5%E
z&OQIGy2myun(Z(1|9f*jzTJENNXm)M$4Q-D_P<-TDz53gk7ih-cjna13C<JG+yC@@
zGTY$b?xYUE^(Q<oZ@jNpws6hnGw&yL7#(kxj6DDT<F+TKzdiduSx~Lv^nc+%pVX6*
zW(|T(x^<fZ_&@GF8JKeBc9gnERP~wLPtPo0xq&I>0!#Ab>!mp#=Y4B;*?h&#?`G%E
zH$S=5c&6FapYV_5&^&uwVnM^<>Qmq6^;~({@bsoit4RdokF=S8j$Y&O)>+&2dr$U>
zubqoxVisP0Gjp|k_5V#J?9*e?9b*4|iDg*J(O(oG(f7D)W?jVjj+R!z6&2q%H`Z5e
zis_sB@JH*UiCu|j=1z=m<hcG}dCa0cvmz%x;duIVRqL*X?(c=a&9BWAI-$lO*?Bu+
zBLAL?U)3Y;y>Hb2*m|PFGx`_9VzU!x=D&<j<oeNhU<1#I#%B>Re_}U0I)1|8RYE}Q
zg4g2vcXXY2)YZ74>!C*k`-dRo6D-HhRLw0veMEHS?z<oUK7LSVf9zaOSd-9i4u-`N
zF^{$de6u;Vf8&Nl-3Oc^J}vlu{K2W&i-P`so~fR8nmhIe>&_bciBSr?FPQ{mdrm&(
zV*PV-x-#qQ*}rFAoF^Px_3B#8hUF8le0!OHJtgtpOk>|jvptVC*3M^|_+};J;rlbQ
zwQT%4UznZfJ(+Zs@#Y1U`3FAwzBEu_VQ}TNEMxo<{AZ?8*Uyu}jP)GrH-1y*DgMcz
zen>EaW!IvkztXG3I{&|J461BW%Gvz)-p0ot=Lh!9KlfdGe{+JwiP%pUIIf?(|9IZH
z@2h%#e_$;BGyhz?u0Z?y?JW`4c-L!3$|TQSY8P3dyRO6b_L=tmEK~QiTc%y*+v=qd
z7<TsEy(_%c|JAkD+n-IS|D^Ez+`p)~8vbkA#FvQ`_dc#!_3mqmX7b!k>vPW3?tgUU
z<8|)%`F7Dh=Yv!&R^MYjEAVvvQ;Waz5=CG7Y0Gu5dJ_0}ucYYfO|j}*XW5^>Bp>ts
zjKJruSDC;5nK;8k&oRsYTT9N-IX8b#y~_6Y&xB<sQl|c>?7pJ-f09?*73uqHu5wQ2
zNZxaM>5W}svis-U56fbYtaK^5ChgD6pJc?6bc(n3$(DO(FFvhlWjWHFu*v4f-pVr{
zPj1M{{v^P>aOZNCvo>c>-#f#*Ts3oI!q44@f2k)dUKyRm8ab&Y^qR_D6PB}W|Id0q
z-rzrxbNabUQ~yjT<Kn-UbY37;mFKL$`TYk=m-Ec**?aJU^#468-}kd>|2Zqxe_iJ5
zrkzDy%$Iw1=})Nrzxjf=vZUHg?)*y{A6E+WU6;Ar^D~iICSt;(y|Uf2<(OI5yf4{Q
zU@9?r!sOR`12%VM{+P4st?cW40m@yq$v<B04XB+av7xKLd?S<W<bxJfN+Pnn)^5oi
zk9MpnuL#<}Z+k>9>96jRh9svH7P&qB9V*=$yj?jDHrbXZ|M$pxQK7y+(028K9yPY3
zHw9ukUQA}N%U)*j{<P=n4jWHqd&Z8q1KK*XTGudjMRBM&CH;O{vg*9;zURC%Zb#gm
z(9+7ZLE|yggEsp(rhkt&{yCr2sv@g?^gQRhozf9!O?I!{wl8>+@>*%X7WG&aHeI1>
z)jd|f=Qw|!>zuZI-~8`$J+2q&xJ*;4o)>+YB~hPm&i+;NpUp|gz011yv<X{_iq+he
z^S*C>?RHXa+uZ25?Ok_{Sh>WU^Pf6Hr)P%!9KXHsCaRM(yl13s4Xi!2x}|dKzUOfY
z->=QdT&H4pQb+m!KF!Ok*zQg<VpC~ev*mK|TE4BnpYrTHXIvL2bE9qJ?3iOrH>2#Y
zZQwh4)@0+KhPM8N-B&+Ou<2w-{r14E_@v>zg>OE0_Vu6CPrk9@i^S&@-@;UW?A^Tb
z;p2^aJ_yI`ew%+I`Txlo=kF^`{or}@oYC&uA|d5-j;cG@LgjBxKWF><sf_GdrgO(^
zT)#{Bo;zam;k~lp)Bk6cudO@l8Z6Se`>bu1uFZ=JY`@RDPCu($zxwk`?_-ZUeAoSG
z^xk~q4tG-WhL#I&MXP7t`0ytA|HKzs1&j<yXFTWgYM(ps{Pl?oH_o{J^_>00>T2B7
zpJ^6rbWGPTJm9RyqWa-^lHsXI)p=LqcOQK7_-xpz6N2k2W+Wd!rT9Mbtn&7<8z--|
z6kA-od3N@t-!EsF7JN?r_y1t^ns?j&TOKWzK3ygC|D-}&Qt~;K$+@>@9{zYzA#YD?
z$1CT32GVQ%9*DZJoH$Y=Goz|TxPkc%%M4{h{zI?-ZFt^a!z94)AVw;dp>g-7Jg0vT
zrj>2{+N#W;*ul!6`ry!`hb%MmzCPhn+%Q>w_Y2RDFHdCKJz;r}ccWSSNX*O!IjRjx
z+^z>=E+nxesU0$TeIi-m<%aoFF3#QjY9m`f(z=fZJF{NSY))!oaNg69d9WqseUo6-
zw~1UwkA2NK_h8!@lM@UzH!Yof7^WPXF>?af?i=&vG9xA5FDS1_ZW2E_NAC2}c$+<Q
zSgw3qG2wVm@au|sEFU+ln|(rivQXw(qtx|pqNcV<U;Q&r@y<!<>K4W7>M26|KW!B4
zYvlX*>qC3;|1IlES6%nJ|4Hin_PPGoW~WS+dfmeNb<Ko3$rl`2B>yHY_3+#?@tM-h
zve(D{JiD+oXW>D)51Ellifdk*?mqVCc=D+kyMLT7(b~#WxMh9(zo!z5=L$ae<Fa29
zGgqjldx1~vxnt{7wGJP>ZufrM{F3hI<w>W%pKayO3jf5XI!7+(l*-BGzmMk5Ro{I^
zCamt*pY@Ygd=loo!v1)9?&~#kghI+X7DXh_7hL&$<F0O{>L<dYNB?|Z_e9S6$e-)4
zKF>VdH)q5BKS%!DOP#yj_NT*^i3&I5PcR&xm}c?d|GlK6f7Wh#ux!@Jh&LNK4s-mt
zV)ce&L008Ci@hp7uea*%Kl10>v!j>2JwjVpJm$#69KCvTVf5^MM~|F{;rgs{($jv$
zeXBQN2j8l(?zLo){na@A=#3Q*?ms!ma^&i>FB=#W8E^bljL1m2$8=%a4&fuGRkP#{
znC-vDIAJ=2<H2vA5B#YX;wU*B@SMA8*@wd)HY)x2)WIyw+ORMF#`_K1%salv%$vjG
zaP&xarA1Tcszk>3A-4Hv);>LOYR!tb$5|%$?y@*`=1I&{?iDB396xaW1Sj())7>9~
zJ2s~uy<YZVjoX2PO!~(TUGI3v|NSP1+{xtD>$4b)4_$r4<Y3;_F3Z2N;N0e8mkxN_
z_HUd#i|O-<AJ%;*L#{E}{#moz`7TGny_x>!PwYzGvH!lz$>d3!kKa1<_wGT)m<^W}
zvy}gETyQ{fk%dj2&zzI$s}H|UyIIzHa<5&eC-cg%BY&S3E#CX>g8%UyZuNB=r3`;G
zeLEd;{P^EpAwS;6EZ8uS;Z?(x@IQyvamC)uu-rO-?YU{8UT=6OSjkDL|9B+Wv-<eo
z%O^QRwj^1dmDoBzZ!6o+HB-xOY?=RFSmR?{`lg&M^S7U#+tcM>o+8=S@%Q}Ezpr9c
z1=@e!e0u!P{MRWB5sha=t^dpp>ppOH$DRErHG`+}?ylJ+X0v5}oO;8hlag~p7tPVS
zznndF@89h@TMFbXcbwY2CT`Nj51~)A92;JV-_#Pldg;%l)((k1GMAICPt;01{-=JY
zwdaf|Az=pgxT(C$Z*QAWt!{a}BKhBkh8O0Ko2D%3+xYkAvqMkr=bTd8#IXEU)O?2Z
zIt$P0te7Z$A}OC??-8zvH6D{E{WtyTF?;H>%^Ml=>b6dJJvo5+Y=C;C(}H<Ut{h?4
zChh-`bi&SDkR!=2h<T;<s^ouD{!Nm~Q_ZMZuxXQ1*@XY<S<1=BpU(PeTFH>#q<;S8
zovMF7`}a)yzxUvU^F4o#J?QK^!O+|)o_$hb&GuDRpFI2LFl^(VtaHNh-&_VihCkmr
zOWvH<>gQQ}YxSJ24@`I8oS2x@sB-+I-mEEn;TumZ$}8*0c)}rZU~5EjXW@ic2F8{b
zhc~VcuDZ5i?Vg#PT$1SrX3f}EZZk#i-VLTF99~CWuW6_}w7N-CyRI{~F(780!quA2
zBOAnHnx6S^auz4OTHmB`GSy^Z=B|j&iEl2Pln;np&Ay}5JvlIm;gZGdS^rPmU={et
zcId~$=z6=3jrW9`lFnZ0{8iaeboRuhtWOT=EoRn&nvu=1yDuDncK89aV9f29Z@LHA
zTR-m+yuR^q5mWNNi}B}VCRik$3(1<LFp(qd(C&|mKHd2F^yA5$vtNqTM|?c+M7E5v
z!K#&Ug=)X>48yC5Ogk5a?wN4c;a`%7=fh18Qcv)ne2{(0J7U(xi6>=GicIumJ|J{`
z&BjH~HmURra_r*y$sss<LQ+D+hZyODJXYr>1-_82|HO6e-{J3a4VUF-Y-jv^g8k9j
zJGzw*o^MN7uP$ZKH+$lw4}XsCP~G?8VMpiu$aibzE}#GT;rE{7!E5}=D}ORhJ`p4u
zJ!QwHOp)yz@tX{OyyHIo{*vg%<DGNY_?Aa<XntazctO$P=lxuM`8{{D1UcpZanJwX
z-K8l}^=H2AVdiU{?_RQ0_Fnp>SiNR@SJ}P3htHp~o^A~jt^d2{?QFfzdl;>4xo<9*
zIH600{kB5LNxqjnmao|KCMoVtI)38$pN6>~m74TV6pCzDt(vNpSaWRYR1ce;eUG(R
z{`5ZdSJg17Ww~$Uv1H%lU99O(=Op$&3!mik<4nNXD{NPFgXd)b-|>s}W~$!mJ-^nS
zIhy>7=W5nV0eiXb*D0E;`yXGC>pdO7HU0E?)c~Jd-QeRFLU+yGTfV>h?6$&cZ(A>`
zpuRmnV|FBcfATwcciNxhSN-?1?my9?r+V!C|35qTtbF2BcJrtB^*ukYo`{){;WRPb
zvX^J)&NX{}eZM7m{O-~7|5cp!mhbs(Ibq7T=?g^89y$NN;s)c!8w^!Cj1#7oEfi$c
zjS2HUc!518<xR|_hEHjYd++br$uFgzzpJQi_wmao1M7_^Y^$5-*?c_4t3e}NF*xbQ
z?jMUD#;C8@zOm6F>)Hv!vz@vTi>{bIKAh1Rc>H*8@!SWk{fr%gw)cb^U3@FPiEUKd
zKQa8q#QPCkvlPy@o<4VNKkrgi?~UBSo7xJj_6X>GKeo4To>IQHitX_uCu8;qy!vxG
zamwLP4~4Z2ero&g?Qb`qHc$1xRqEH=4iVR=@Aq2G*RS0pb}n=hXE%rJ(axpO727`L
zZn`?rZt6Df%9D{-0tB|+nAH3vr9oxiS1*=V&(^Y)u$Fo^Ok%rTYSi#+-`Ax!T`96Y
zdj-OOP3pL{@2h4l-&S3g+n@K{dn^}oGMH5(ru$gOhH6{o{Wo8Jmz=q5=hfMrI%k?c
zcJ)0vUv60{cK5V#(|(0@cXSm_pFdJLop1ZEukzcYk52tH-Jtr4_;)Wu%W20a|4%jR
z+_OYalFxL<!kHGA_rAS9=U`slqzA4if0x~uwDCr;{v4r+Q5z@O9P82HJl1osMatd5
zQS5N{v>QjeHvgEd?vnmVva){RSE-m!Ne-0<Z!gOUs$H@7+k-<jKP<`)xFjb}`l7t|
zPT$9yJ@+om)epNdrEurwe;3sI8Luv1Gr=k0fA!vddrpXXPPli|CgtCyl^X&|XT<D1
zVB8_cW4`0QprONR;obYfKCmcXIL@|y>erMW$&?3jbIOvFW-{9rW$jwmVz(#h)~2Kb
z(UW%`ZeaS`GoiIXUi{<E3&|IYs;`~!TeDHHzcK#f(U_^5o^OeXKJsGjn}02PCK_D-
zb0cBi%($Z`+w8<<dnHdedUBTN{Nss_SN^$R(sjZ5t}x>qhI0!#<{wM?t{+_dd!F!>
zwJBRRw=3J7j7Xm&qP2;uWiCVi#-6V>kET9~XkR*Or}6&Tl^e3<D+LzJnkHKRs>6uu
z$o_esXP@AxY&D!~x0h$5kl&g)qMuY5GPlYxbROD#bkFun!p9f9_h4q{2;ao8ddltX
z&5w0XUOIQG_}J9>N1WbIVtPDBxOQJk$jZIz?S60jS**)>yLkVGuQ!kEwErn}c|ObY
zZ$})b?#M}Ixxs6GdH;qr+&eQky`-3v{)JzT^bdO)_ee`{<Gc7yCZWJDnLC~3BN-Hq
zIH!HxS$=-UhJwf)PjzM;aJqNF`tt#Y4~&O?yCrt-`NU*@Q_gbb$MeS=_RQWD(7$u*
z!)q7BLnAnDNVp&Rl*Eww;Ze(D!LAdJ-yd)=+`qLwX7|HClV5oMn=Sh38f!zVs#V+0
zEi4b7B=gK#`|*&2Ld-Nq6@z4ktx3C9*WKFC9<m^))Og>fLbd~;aWgu68UD8I-V`}e
z{`f)HXA79__w3oQId{*E*m*}>dSmu)I=RFB|3nsx9S2@-zA#ChfwTU?EwjcClFn88
zHtxS5@ARHw@dw7FNoS_|-)#Kjvv<S44;zy?E@bq&e-M-n3!U4!_U(`LOuWhe-)P2O
ztGK6YvFPx@wwO!eyDcUrm~l*2=Dtz-sV7PGz~XBae^>kL*&6=#gx%Rz)%U6yg=d(O
z_H3(te&hC=`7Gbn4U+f89AlrB@oS3z^gmmF-nzTV!t<DP>eMgWpFXK<RlWOZ@|Rhi
zr+W@>x9E-7wexFA*WHU;=NC+#%_LjFvy;&_vh(w%m!?8Jjt46Y-l%U$oiJa9Vb&Vm
zAoa}}6I^yr6%;i3Y#K8yWzW7%jnBCMzwR(kZj-({joE0|;m=2wrQNiSebXX+>r>_W
zsbAy2fAZM)v*gjVuiGbi@Nfj3`1ZN&wn)_}(HV33wV$r!JbE)@){%I9ySf7^l1;n!
z-&()W?8kA{Nt~A^e!ZW<pgm>Y*`w;$x|!^!9aYc2zGud@2bTT+T6pdn@BH1j>uSt|
zO3~GgdXKiezxwIm<eLXApDZk1EG;V5c+=u$z`+}hA8)$W_3fGJ6~pmovd51TzUMcl
z|2(i|<E$iYrBvo091M~hqFyl737%+c|Hr{MXWz6{pXTiJSSM22tr@5$vwN1P{spJF
z#=HIR4+z~iJR%l%iuq52+m9Oy3Y$f~%~(H~xnqLOo|*iQS1CV^dD*|YvE<nAL#0ZL
zoclNKe7vo_XjkMXLBUHW^NKiEZ9ZFfe%|T5C#K$5^Z(;XhV-LOGk<PStxf)Rp}cm@
z#W#;Oy2;L-z4@@x(U<!y9@?K|WS{Kx;7!&hA*F^3YAo!#8#tAiZtU+{xOq>}?k5qa
z_wBT~S#oZ{p*{IuPYRvczq@kFk@@9&3p|ByNwBQp+J4vT-QMEKN;y-He2>{vxRjT3
z?h3av-gon!@4a}3U$k<;l<mgH|9#iry(jmHw%-1g-&XuiyBu4uBFQZ<`Sqpc&-N8h
z((;%WTyEKa>-op3!hQKsyuCU<-|gvDVQdr*e*f?Ll}VD%R?OJ?;HiI;*}Od~pKRdS
z^T+Bg``t|&O3uc{EoIG(R-GL-{nYAddg6P!cVA-tudmp+QnXfVb3E_W|9N}zzp`ET
zb_$T`u2)|)uYODRwYiPUuj#w$2l1TVfB1OPik#IQ&cC{1>UH*tM($4hv(Q29$EEXo
zrc~~=c=NIB<ifqPx96vrTdm%5sr=qv*G=rv(}JGPycn;ur`eM!*6M%Ul$)z{_w4kH
zFk;#J-6*no^>ncvS#w!p%^vNUb~F6;(JQy7-mKGSYx}+NywZc0znj>SkDl=5?N-~<
z`+Do8VDDJQXrYugn@w9T#n-Kg@m!HVf6w9NtB!tXoc3tyx*LsCL~ll}YhaVV=k>z!
z!~K{Y2TpvLxAD?0fs_x+Cazz>bC|WmdiI{~lOMc)EO7X^M6<mkX@T?q&j;A-|25w2
zXY5#3`tjn%XBX<uPWZL&gy_rt?KSGz8`F0uc3wZaud?*{-qn`=ds|O8sq8O(I?3nk
zE+=(v_4E6-tF=sf-Meq{zOCse)p#zhoUY^@Hv73NcjvveV#{wGQGdH<dnD`LttpK<
zdsa{HP?{*U!!&5k6y=b4+qOjCuvoWGZBBREo|Vh*x3DTHPCdQn_*A3LCxU5H6XyQu
zw(gKxki4gOX<W#TO+2%%%2(@h?K!>Y<W#o1yHYt`Z(F1Jvsvn|&(5fMQPcLXzO^&D
zUPkE#8{_T?r<5&&CrJp!{<N@4J@Tr7aYD$ukK8Ml^)oR?{Frd(gxsua8&@=m$J`E=
zUB7GI&wr~{MvDkf+jCgERPN;d{fC!^sU3`-YV<SA<Y4qvgO$^FA3CLbXOBzjRFmi1
zzUX$ZRV!b4QrfV0!rWCGwfEfBSGj!R><y2|6PH)>9sC@#^RyD9%7<8n|4DmJ=bZW^
zm>?kh`_W6KgB|;K)~2nIOh4bVeA1p*9J!hQ_DtcKw{JrA@6Gof-O>{6V2pWi%1gt_
zI^s_E6!Gqc_>PON3@gp%uDN#Y!!s36vC9|bKJ8ewk?~G)18d#2N8-nOK6y{uyV~w!
zlj`67tG67Qc6H;z&mUjxTk~e;Tl3WqSlli!v8$*G%+3hd-!7T9yJbhr+E<$vq~4e%
zv*!h0-~NruPfs|R9r5qe-i=nNF%P~tp5IdzeC_M^U6X|v`#<dc^x;DHA6H+6+IjnH
z);{Q}JlH##$#YMQdRTQw?#GWm)tJQ1_eaf%WYB*$=dEAPoR_@2QyEVm>p#AR|KFdj
zdqi4tzQsH<*uJOdUuf8~meu9QSgw7!rML4=-jVXPz5i-YT0A{o{&w%gonKg=o_2^n
zQvP;|)LJj2_)n^_Uv6FNbhw|iXX5hT#e0kHf13HJv5Si*zyDulqmZl8<4wxSw^rKw
zJ<1JSF1B;BXT<swbK<@K%?^HZ)>8Q*e^G8!#-7sKE18w&DU=)&eONZ(!s-J|NlRxm
z&)QSu9g`upm0gE1X77|vrYgo4H;y+>trpueC6YyW@02S01F4@R5A2_^$KuqQ&beKC
z%Cxn2@W>s~NZxsJWu@%ul?;b}O=F0cTlhqLUsXh9!m}UW&+e_h`|qOpoShkynC<Hr
z55D$g<bAiNE|o#ObKdnIEHhkU_SB~zm}qN%Jge^Z-Q%5Ft0Hzue{4Q`f{AtS)S&;J
zN}NgSKY#6c(7mVR^|`*k_w<z>r*F<!`tz<=ht0h^@Ab>KWPE$cu(7W!>7?A>l#YV6
zVm<FQE*eb!EWU=HGbVQaA(gcZvA-uVJ>8tKc|Ak*UDXPS*ULU$Q(fTmf9>bgQ{0=6
z_$Vo=cORCnSaD)k#hOEG^B34`-t>Ro;pp&*6^HgToSu2o?$gZR54)72zHi>se4*g`
zn@<H5H@0kint#e|`9-FJWBa=H+D)2i@;^Cm<!#&DFP3ji{&~5J*>ujX=56<lmn;wa
zw&$JB{)+x2rrR6;Jj_yV_?X{!ciO(P;zI#HVi~^e){NZ1cBJ{|-<dzy{wHM@awYIS
ziaodSaKr7S3m=bm&HeA$vC834(Q3yXQFaaIe`fAj_2KM7)3)UQEYJ7!y*kNqc~*S=
zr;g)Wn7k{JQg^OdbCQQE=KTb#=9qOJQg0S6__@3K38U7b-W#zG`fB!+-)okbvpeEJ
zK>6k)|M`-)G3+b9cab-JM%Y)2mkob@2L9bsE@bqbefK%<v>g?bdHOyce$%1QzsLXg
z+)WR6_<z2bc|y2k*_}AMRj%`QEn4yI>z-fpo^QFZ`395qxtIPs&MS+Eyq>n}?cSNz
zl~Mtni)Cl;nk5w%@nMBbaii<M8A&o5Tv+Ds*t?<3eD_M86IKuRW=0-euX%gF$9|!M
zF?(fIh4#kp>ne&U-={G3q*Km~Rb`jCj_;G3x+m=RM#GzvCLG--G4-UulN0l{#%OKd
zufQ^Uny~WES6kRR_sUEbV&8J&b<Os3ds%MQ>`R;~xN^_Zlc(>W=Gna`v3xn-)!%pb
zDD0B!&bCxa-=}bwXQ#f^PW$gQ^Bb5p9-W)JH>o;#YwMSk=hGcyRxyA4njd`HY2q&P
zh_3~nK}Bl&%(7S9a$B&FIq}%O>30vUo5Hp?>n8jD3t4+ky?=D)K=`x=rF*7re8`kP
ziTOE)wAsTq&%(CdQQWxXhIQ#D*0YZdlJ}UsJGXIO-La0^*AM@l+*fdfCC;*=|Jvlk
zLI;!X>`5xujViJW*vZ}AaX=?#U-J2ljJqnfaBO}#C25bH8p`}XD+9y--yB>F3=EtM
z3=9mH88o{uip-9;e{`I|+;e}bbIfT5_djY6I=XhIojtI0{Yi$<miZrJHeB!Ps=NAR
zdfpt>tFP8<IIo_d@Ob-&eZQV>>aBlvsw9Pjd(W@qFQ%x!cwNaH+xh<EJk`AIdw+@V
zes$u}W#{u<^H=@&JMpQ}!uOjvR`m4hKWWP{=yvWoId$Ld{c{d}`8Pej?qr<Ix7T~V
z#Ha5yoXG1w#dz~k<>dWe)*G$PouqtAi%DQ(2utiqokJ7-laBwGKb=3Cb^j{ey%pDv
zGH9K=ohUJTk7vof-Mq*5x`(*U&CQ?uuWxObNRryt+o$&?>Sko0-{+jkx9q1v-+bk{
zr?<3L?5pup_~JI@F$3qlxmwS?nr_b9Qlww0$bOFZ`Bk>Nx(w<5d(O_^pHTT#Y~pFT
zn*CqmpHAQqH&gnt;M?t8Us4oXPC0F!#q^Q!L)Fht_GHFaN6zj{NZzyS<DP^syZHXc
z3*G#8gniZIMH6MWuRFE3>hgY@8TTF-{+f7>S@OV{|9^U$p6)YN{JH6={~lx2HR|@S
zuU<Q_!B<kW><gc=pp;_V&q;b8Uw*#%@Y#*Oi>7n1?67^9wEpFc<C+_;aWIN&#GJd@
zA$`Di*UvMT%(IU&uetW2RQ~<%3p;)sWtts(dz$!3iAKMuJ$f~DQ!OVltX|Rd@#T|>
zy|JrO^#5LD`<rse@OH`B1*g>%%(FYQH|4u;Qn7xLbfRl#$i`V(c5jj=cy~Q4N#3*K
z@}3R>b%o5;FY}W3CV3ugjr+j0c`9S%j{JzKJ;{@pWG830{=E{gXXnMrJt?oAFjRKF
zN#3(_vFwwiwG8ch?k#`)<dW*1)ypRtxbAx(T6rmQpT-j=-cAG4<1Z_hPEWGGHoG&$
zpti5(>_>g8vON!{F}d&8O4naGH*C+NUtS!6^Y%JSE=lW>xI1TNK7;lNZmzX^9Y3ow
zOt#*0D7KSBF~-Dv&d0-==Tj7qZsGbopSSL>k(Bv<fzth}=6`>p?YQ^g@>>n8>o>3*
z`1+{7XT2oPmYO)`jwPQj<SbS>o>KV!)P=MCJ@QQdVs;!_vq>*$YvJE#-xgf9&+hD9
z6V-71AY;tTU5Dhpef)86$qDyIFKz3e_+ELk@pymVylH;5Cn~L;EC~A_xz{O$g@3Qp
z&$SOkW_vwbvu>W-_2|p<_BcwiZa6WIdynIu6MabsWK8={T{sx^^+xD}EgN^aoJio^
zeW<@<+4nyek8GH>({kQS-tUPqCnuSfy_vAWLwEI+wYS7}IEa1O!F77S{TshcGV?ob
ziLXELFnOo<iR%_&r(@afCD}(Zaz9YAPu>~c)4jI#qT0OuwtwgGo}atN@#*{N8&>V%
zxp`w(-LyT9?|k?3-o3pkWX-n1{{08$uWmKyW{lataPFR||GdjLF&x@=V%k2x_a~NH
z?AfuXkMYNv8{2-KNSnyXH0f{X=N*fbn~mf5_}yDK>E^D*eN5IhdjeFMg0=T8na<gv
zvnw=iMv(6-+qy%KH}_Or+sL$c$)&juWj3yyIBU=1`EQPk&1=g(J|Wh+BPKmaWaaLK
z@|*%IJpCAB!glXm_(Wja$qf@{7Pq;@C&nDmy<XAwIMMw7i%*aDsGQvLK4+)OM4`_w
zF7n1Qe7Y56HSJ}!*pl7W{T0%W%j8?G3U{v96shy5OGx}+!0Xumnky$~i|te2C>5-I
zqpH5=^Zt^PxBLShn{{#YKT7TXz473?zHiIIKkrljViak)`f}KX<;NSoO77%*ed@|F
z-Yx(4H1&Vp@UAcB*QPxlC!(4!2h6{?O?3XmxQmK^Z!qqD>8qd_*4b(^bDmwJ&-=N4
zKPM!sC^%1I$lp@)ZT9@nGgfpwk$bwpFjV<mwBhT=FO(FDv-cf4E4(rz|C7@6i?zx(
zDo#qR>WFKPUYFYElkUIm|9lNoo&7e`w*8-+aQ*AKSfeeEwrWiNGv#*F-IE53xBZE)
z{j}O?%U_+MpXc{VO)XgcXJ6jclNG{yJEJGvj&g|KFZtYy?f2bJMs9l^EaAKS`>I^`
zb|(g#Eq`Ci^=99Uc)dUG_q3VM7GK!dcv5X)(fNIn(R&%z>`mG#lcBTe#U}RXPw%xi
zMLpOrxraS5Dnmi+<ge^qCPtV4uQyo5vVWRT!IYQRcYoUJ^W*dm^Zb2zxqHGrxwNP4
zkzBL4qq2L-=e-%%FL9h<kN+g&F!g2K))jK`2l->BynG$|NzCEg?!0?bUal?QSN+H<
z=JQ{M@NX|vk~&yCUK*~h;J-KN<@x=2QBy0r*6dN4{cDo>&0Q`Z-`HpG%iUD5`s2&U
z2dqabwoceD^?4FkS7Q6lTR#|AXv*J=UixX}kK}8tTi<+`$;YxMN$=yu{;zMYXTSfW
zdiC0gwZ+@sUwykTdF`LQ;d>|W>g<tux;Je7w2A)v4{Y8WzRf23iBRvt)X75g_a$%l
zV%>jz6Kizm+(m4Adt2(aynDT8U-Dhc8A;iDJjyq59O=z27Tc70evkQ7@uDXJR$J%j
z-n^T#r*8Ljn|!U<6oEB8IZv;!JzmeZbNAc@tNR+KPHKF>ad*v{{_i_`w(Z%U9Qq^X
z^s4x?E2Q${KFm#K{?YdRhRBaL`#7E-ZRc+|R9|Z_`aD&4^WNC)c6a$c>T3R2eXrsA
zD!$LD20r~+%fHC3ygF~cZqzgpwW|}?znJ@M>k%*G+-ZAEw5ktfoZHlQ@YedDFBxpM
z+)I5V`)7&RNrw~L?kYQ8`M&*G*@byJ8}G)gN}R0lf45NVnu#pOu55XEHfc}Jy){?&
z=gei_zUG0%kH0hR|3BrQw2w#qWz-j+BR2c_bQvtO7dBq|{_AXOYW5z+PcI)WmXiH<
zeQ(shjppVJ469bHTE)ch|F8Hd28Lw^85kHqtpf&zD2BB$5i$HR3@kB>F+#EbYgl4<
z8Mq$ANHN4RG{(G7VvJ$<7yIu^GDBO8k}`uBE0a_QcZ?we`(XxY4n_uf{-g%+B+i(Z
zG3E@?41zICF~TuSGZ{oxlUQOHTp?4(Nempx{~m>@3&?gb#k^zCw_z}LVGK86ux3b0
zVoX|<B*+ls8^f8jHzvR(X&pmz7DKmycv2H%Y%BvqOU(P&f1i^X7-q*XCb1`RF)|gg
zCn+RJG9(Emi6(I*y=P<!PyY8f$(AAMLy~DyddzA;1`7d(FdspNDSH{%{TX7`#4siO
zPs(9RVtSM$6w@$~VF@RLFvC@b_N4bQ|C5-Q7_*cAeMshDSQNt`5yLLXVDOE>PF|7G
zB1VEikCEwm(#<5{m?jyfg4lnTICNbY|5~xIyS!y#H)7#$NnsXGTETRegOOn$V@wkp
zi}0?P02bMfl?=8yERG61G2+bL49Wj%V;Ex@+67r6-!Lb}w*33RBF8X~!MB5xA&`S1
zv_qbOS%RTiK{$z#hfC-!KNo{MBPWM1$I>KkPEI~X{v<z_m=zpH|8c50#IPhqC2{N*
zi2Yy3@PmaR=3mmcWQNWpj`zv`IEog;aB#=`V_;T@@wk`tgOitIqJvbDXv|BN%z)Vc
z%)Dp28N@j_nmG1wTx3iVWPF^*pvfl?Bc8;_a=Vk0!BT)b_FvE^1|gR7-rUz1603Nx
zDXrkTR=~VpsfPb@H_Lvfc?|nGlQ@q%C?@@nkrH5Pkr2{}{l~G|g<+>Pm#oV>E@fjT
zR+m&R`IX!ol^AZbbLk81=3FZfuuPESWdV~eZztzU#?w`t%RRc8gqeaT#Qyups35dX
zAcl)0NP#0pGKRS{`JXE9bf!p!=p@c0UV&4dl8yqWI7%l;t4fy2t2)KRaff!q@G!7T
zFmShU`Ny#HcnUGyOOkpg!<7`fl{Hy#y9?7<OEylGXAFswQ<W|-OUDSE?i9GsnCs0V
z%p&N$EGEvAomaqH;I5JcD;Kk=K+=~OB^FM`2{9Zo%)(c>%vBT<r8%2kvns~^d(X<{
z!o2sjz+RP)vL{&fPLkk!Ecu3CQRxHAMolGmUd2Fx>=-^pZ<b`~y&g%?T&gVTs$B0q
zg*6#ga5Q>}YI3qqVh|Qmm(X=l<QEfB^p^a{U>qeP&As@NAUE67xf%j5Vpt!$GHtfv
z=4R43X_|C0iN!QV>0yk24AT=XWr>)e7^c-~+gHRS#|Zsq(O168+{y7;ko^lsAcrhh
zC~MLrR*g1BVFpEqB=MMQ8f`8H8u=dtgc%r<|NW0;cq=HeMOk9U3zZl-iG7T`N*of$
z*qFH+6{KVS$1w5hb9~agm$cd?DNg93xTvuEM{(hfm{ly7qZx!%6edbp2Z=Gri%Gs_
zPZtppe$mPGR#^C=fVYQ<fWJns5<{bp9JA7LhW=PNK_ym!LLLESPAOG}l^ijbSe1Rn
zv;#lO30@JC734^I$+7cFjDl&BM2x>>bf>hi#icJCq7L#&%t;EDnK)LyPg0LjdST)A
zo?|NmN6h==e_vw$Gb-D@PqK}9HIc_rfy0x*RfW@)fs-l5B7`GJDP|FeMTfX-uPXCh
z*2f%4Vlf)gF~>M!8CuyTk{CT=8QK{9rT#N%SpRz*qsq!=b~owAg&4b-T`Zy#k{PB7
z>ax1AF!axfxgro_7NgD-vDi~!sd6=Qs0)(;3zNZC2bq{pNq?BC7|dh;7svdM-NG=D
zrNhBZfhlGcV>csHKXX!85|dDj0XutYQX}V5#@PSyO#3^`1$N{zajK|1QZiBc!eBC2
z!LCCzDT0CXt3kbnBuk1ClM)-FG?OET+w`Pd21N(PnAtHw992z<8jLZ|nQnD_a5$>u
z(B{G{%qro=@?K%b_n3JTZI~Q11S}7zurMpcG2Ld!{*h#tq_m5nnAz$QgJsf{B;zFJ
zSQUn=>`hkDo(z=?;v7yaOb$<&lDL_YIBq2wCVdi!`4cmhu|HXbVGDzVgsLDHFPn#1
zup@)U&zLpbD;Z)o$1o-{EOTTy$`tdFK}cX)Op!<A4o>Ee44iM0CS8|&#LBGjOTj$p
zi$lhwbDlx$k{T%<J`7=wdP;(Ij1C-1OafhujJA$GBKMN)8T18MlHN0`Cv{9p@=*v_
z&l2mFl*BMaWC5$7qd<~;(jtQgK9gbsde|g2et9H$@F^Tw!W(d!$J&L#nmNFH5<`1{
zt-v=Ip^vcv)((s@Hc78Eb~s7wOHwcpQt?+vQ#|7o<6*)WW09l8v6xBH%27b#Q<5sj
zPa&lx94ed&NgT2N9y)e%+SM2Yy^K*XjZuu*B+=$^CYC`!g<*@s%A~h3g<NY?J{uG(
zOlL`oWhmfE`q#<8s>sh5!Pvp5;VjGWpyN7&q`tvSk9V9UoEiej|Ne4xFe(W%7_vu9
zo+RY-&_!FRQNcRqGeeG3x^{lj3Wi9QSQc)!Bwm%6FAjed|0*#GWU)r9Wz?O_81pvv
zUnfJK&xIt%g)WkwFQlYhjHMJWDO5ID{%1_`jfm>lq0nB)A=2?yqrKEXlYujaH%TIC
zlA40B#W9VI3b73DqxQDCpN;v%INycwXOe@pN>boX#;<~wx_k!GjGoTN8G2c}CpjEt
zIO^%=q8XgVIYCKia<oV2M9+Hu0ACN|7J>QyKJjt7X!Tk#XDbOETxp;y$as-mz>J4e
zz)F*qF-A#J#Dzu3$1~!uK#0^wA+Gs?c8ra}2V$<rsA<esF}yBuVWNZfOMz_+`YJ(A
z4pxp#BFT)>3D-H8l3Ci5-gruHVAK_0b2%x%bulTiN${6@%wr~Q7J-}?-Izwlz=N?2
z3ppYbUM8h+Y;iPPmmG6~W1ov5$DyALUz!r#Sd)%hSasNOTzMlE-I6db#z}e2ge10z
zLsn@jjEAIxn*<}S987X%;8$RddCl^IVOsM4=p^Q3hNe{thK?}`3>6CEF|#ukFv&15
zdnH{?Vo;0y_cE4Yc@h(w!28VPf6qIT8J<Z?|L2f#h_Q+J$h}7>W0jy>jHf^x?}3C(
zSCaS+C?#x8;yNJcU=zo+OCnQLfp^zLg#v5lbfFrR1QU-xo`O9byBP9SxS2#PcTHl9
zF!H>U<+p@EROFC&q!VMOhOpzLRQ75yM&D$We;-9G7@}2KA2VgIie`N(7MvF&WXbwc
zX326-jzFmmOiw3qpJ({Uz^m{jMuuamr_y20QjUj{gy(r?#rRE<I>sF1qI4xG(oKog
z^clzciHbKb3h_!@Q(<6tTr$Z=B1G<jK(mYN1u5wZlf3s7l*wM1_d!&A3FCeq{UwaC
zVxrRoe~5}MlN1x3#FQ#5s=}l1d2SM;L!06nVaX*zf+CWBjZIM^l1()oF?%Z}t>CEj
zC{Wzj!7?G4p~s+GgGWU`RpXGL3~vy}e4AM@EMEl}es=h<{M^IA>fz7vuy}?_K8G+D
zvnPWu$F;^?F7+Ju-YGryh-F~R(VVOz&+*A1!L$55hZm2WH~T!tjVyW0^Xifrgt{D!
z6pCYA80I^C<dnFgA}O=ULCBG#k1@uId4&*<5#t@k&Hz)*``$cmDwgjx-z)7BD)Wn3
z>)0&&KSoGMPleIqjngcZBpyRc!38Wa?JSZ^F_jZVF1!(G@O-MmkQw#8lPR`R)z@*R
z$Jr!q?PwQ4hDfHaau-1Z&i9TTN^N`Z>)Li2^fH$lF|VBvlcM0nzsALJVUA(8Lrg<5
zL&wAwlO(M>j%fOKaMx4`GevoDa7$P}x8mPBak6eU=ib6wEEDt@V;VRmrgI!fs^;Vn
zYBZQ0Gbug7i17_$8w<y=<o`aM9J(G2=PN!q2*!xUFc%9X|GO07$Jpt0*D*Sc@sL20
zZ)aChM?lOvj)bhjTN7fMX2eVVQnBvu{L8eELzFXyGuG+9Kx_=N@`|S9T<aJqMg@->
zirSnnm^h06{fM!gsL>|q@XgV`L&)O=Q%1kb#M7J%Jpx~no;aLP;E4UN>D?O3!0|Fh
zFsMc_M(MUu`{#fnA;v`R?(%<=E*c(|xYDuMMdFq@Q<jp2eawsqPeJjF-!p<HF>wlB
z7rZ@1<aCF<2P4;Ij=MsPzj*2%c`DqIv|(MT6tOUgaitJ<!@`*tggvcP8Qi;ncl>+A
zXJw&b=E2MOYVv6zhTVb_zj;nO>bRM4?<8jSnUW6vE=>AzOr}kSOBj<xS=v=*t<>od
zvXD5#+W$+FL7SytbxLE16QjnSr!f{u=O)UCn@P(rkoVZec$49-%Poe7iVROD1=JXv
zV&qiGC{0kCxUf!$p|ev_MP!!DY9|&(%b4E`&J&bkWF*)bEmZ_Fc!Vd;VPz3?S<$V)
z9ita<ph<~CT`K8&z@v^IOdA<jin(xn<XkBx#2U_}Yu(8j$>Ltbd$onJJ4ugWqQ}dO
z^G-LF7*30`O!ka;Wbuh*vJkr^!{7H_Ni2=5x{Fj8tyr?B311evslb_}C-iXA3&uhY
zOVzbsSaUr&;#scG=UC`6=ktHIt5byvUs$qSca>WEM#o)+gYmZ1{$0=6?uuzwC#@3W
z*<K$gGTEGWH@8XPqnHM>q%%ntNlG#oIabQt<VaF-kXXxHrSsCWitnnoT=#ulMg5hg
zscQMt3@r6r*9jYSTkMtXwhULXFm*_sloX_D;iwbH!oc}F#?Z4stgy}0aPkMok5=**
zl6u@Ugcu95Z*^wcu(RrFdS$uYn#io*%~0*KID|FYsbzjlm)Z9ywrKAYlT4(XR2(dp
zWSnJ5j8@*Wh<Sep>;CGATr(}bnc3c}IN#&gqVO!qBIX<mFQ?0!4PlH)LZ5h<xphBI
zEI7x<`Iy_#qm!$*^1GAAwj^Gj@JTGknY4Q!d31%ORIe7|_{ZSFqs!CI66vXVmeHO$
zKBSE`UWqBus=-OaGKP;eQIWGh<~frblNpnWLelyq1<RykF`gd`o%pwWkLhAiQ?Z$_
zG=SHW`8dN|hW<$t8^Y>%C#!uDZxm!b`GUjn!bFCA3kQkWCLEuqoR8_8$k1jpV=2R2
z6~>j!$wKX3H(mt92r~Y?&>$}167!AKa00^)mG8{I*;qBil5F;ev&2l^F^MthuIG!L
zQI{vKRf-mzbE9*T%nt1q4K78N4OcT`EGEPpk7f7~#1h-U6f-@hC^D3VIXCvdmm`O9
zV9b3EO9$N;@0jHo3nrw*OiI{T*u=rI!B;)TDUx+Y(&ZhSCq=$Hv3kPt2CtZonBb%!
z1;(V)JC?DC$E=Wll+57vv5|TCHl;^P9!*%;q-RkhlQhesh2ywD?)jLe5RSD^k~9R8
zJAMQ_`!(UBf>shY*94W=|5ddfMM^I@9LzafJQQQra~N_QR7tv`%Hijd^qJ!pALl0}
zVUPA04#C1hFBraG?Bq%=yzqtlnTo(VfeW4j#?1OcY?B!5HooON%E8O#mDHj5VCJVJ
zc1=N-6f2jUmlG4Djs*yCp6od1)DX<j#_`yxOCm|>XT+ADjMF?B`Gpf0*Q$JE_m}eV
zWZ3cW5>sVI45L^_5{r5m%SEBblQ_8<JuBv=EtAvmVl@|R2;z`niC6Wp6SCxf%;EEF
zqJZIfCSHbY6$bW(4W1ks8?>aglsKI~aF$PG*%ZTidS<H&!|51hRwK<74VNYru4J{+
zbX&r)=1*5E)7cmv=@u1@Tg--kSt2JzPnKK7^*@DoaqA@&1$HKJPvJ=R=`8!Ndb73~
z?3u*ZSji-H!zH2Use*$NXZu;1=1!)w48jrzSX3_Yi^m`Oy<@IYQct93Hgm#ef%h@o
z8Gm0*iYf5qxMCg7k({Auo3xZoU&h9zk&~;S-i2|;SEY`POQg6GSxhHO)OLKF-0+1h
z^@(JEYx5VD^eDb%5(harV+t2C#yGuDILZ{mnm?EA>aJEB8OE6A=n!7#Q}TX2FFb!3
zGCW+w^z%8(<KIgq-|@Z<*JC-?)zneFl6R+;r@+tVk9=EIbvQUBbL>Ks9!*O6R&U`k
zqx%ShC)*4o4`#(eYY&!~llL#0{^Gr^<*Ah2EzEaQ)j{#4`8~P*bxS;M+H$ERE(+-q
z;xN=_;(jiaRAjtE{k!`Lw;ut#N(WBItPC&W+LAr@q_@LFiy)zsr&cVD>HD$hm(Z?y
z1*W7g3qF^x;p9o`o)P=xKTAOF+DsLvH#?#|gkyfOm;SyP6fpVxYaxH$GcyGz3hn*5
z>E_8snUyF13cgsuxZ$-TOUy&2Ud@;X2blylVy><TIj9ixXmKH9jC9hIm_F?liq~e`
zW`3XaHHP6$?0=zHM#iK=Z>(0v{_pl&;gjXUwEhq4`u8iUjs$llFG<Yg)i?UXt>4i;
zDKhNEG=_c)?g=l1I2mScjMmVLStIXwYU7O<gAYv%&@Hf&*FI@I*2tJ7>9DwfF=nMf
zTjTH5JddB~v@Td{!MGyhc*ljPmGXjX8Fud#^bql5IJ{Eufatv)-d`m-er`yacxf-+
z=@S>`82qoE&}zXFvmwtTnW52x)A8z&f2U3*PYBzwwV-&x6TNMD0x_O37kn6*3KPUX
z%<)wbJaLDMmGku$j}^O;gaTT)7!D^1WwnUi^G-JU|4^i3lS@mpL5xbb(1jDtj>$(D
zW_Y|~-&UK_pExO{CG17nq6bABqFdZlVji-dJbdzE2;=hEcNH099x`@5+{L(i<)*6Q
z?OuMnxS8W+VmJ6ODX++u5HsK6|6hHF0JB0-@`K(j3-;cU>e+m=;q7Ej^BoMr9NKFn
z1MY0NdVGgw!$e!d_BGE^76yFYT6wGC*h!8b`?OQ$M0M~7MR*HHxLjPYCn(LuPe5(?
zHJcMGF#^u3MLQVpab5~F_!hgN`2=H3z?Ut7Ctjv(Ycok+ygiil9M1xWq@=u?kCQiS
zOyPL8bzR1M_Vb-G%c2g($SgBkV<{N3VNuLUo&y4JHU%ie>=yXe`Jr6k&$3++hFgOi
zWB*sFo}3WN&>fYwHFV~jk9Iy=CZCjjvty+u>qVs%3AF{x7maE-7jE5tZf5J&$~C;x
zCr7<max$`0d)cy+sj_j4|IUzH{#VtQN#dW9B^&261s)?41%d5ptuf0KOnN39WphmF
z)!CB1YJYFbO~unbThr$r{KV7wl>2Z4&!=tab7qQ6c8pmV+{m01?!j{6U5rq3&jiLG
zhi&PNmNA*k0xF)atQsjvUp=fhr*lMlxPDAhm=#kT^F4xj@|LU}_a{5wo*kgbxWUwU
zYgVPp-hyi}tQ{|UlzNX(m|8f|O-69RLS{pckF49X`eSx$oKl%|WPyL%mCae#SkIo>
zl6me%*rM_oTQWPRI~r~cV3E1W$jIr{w81~=r(aCxB~IC6Thb@^%-ou;!uXNlzx#qa
z*H3N}Vr4d1W<D|EKj#US)q<1PC3ro35;*&!z?_w80n5*9OEco&T;RmE=;WlBjF6c1
zERmbHr(QVAz>#zy#y!d7P)w-h1vcGt6K8a&Cnm|vaA(+?#GCwIQze#RAp=YIOs3GS
zS-;MmIM}IpDsg+ZV8D^InI0RqWbZzIG<fRP?4NTk2p$Q&y(Ozg?^x*NExC>{D`T3h
zjWVQmRZKEqZZJ={){-zUsbHdL&(^$*3ktCeJ=rJJkKB84y6{YI(9+wMhaQ}WNlj|3
z+z|30#^=)}3&tBS3#%XJZ&p*O?J%1uqgTJBLb5RZq~zu;{%?L1Zmpjraf4w~#%Y-Y
ztT9S^KFBf_&V7=UmvMJXwLxv{hG3%#*DaMk^R|XesxmC#+{bEs@S{?JB>yZXH}9Vu
zOq_H44#<4;nLLxvs)6TMm^kOp4OT%+Np6+fS}ndCb>(czaeH~;!wI&FHn9xFTkY9a
zSuw^;cpdxi`|o>Od3?6ccl$82|CVQm3CAKMrnNI=RC0Qr_1!9L@QvNzb8NNWmI*q1
z>_=jRZ@c_^d9%Yi>Me_F&$cR_JzZ<7o=RkIs1xj-)yTa+hN;@OENN;?x?;zqScaJr
z<y-uEl1_yGIeBwureVwlc_+@xF}^e0j$IW0bbmt3%$Nz=c-|~)RKM}a^X~CeUl(r;
zH1t~eT_$F8P?Fz^Rbc_<6OtKjPBy#wy>MmdxfPFhT->oeNM(_wpbMYK2U*4>rN=85
z$IQ4rN%O&~1q_?RnnjatS3K#^35fhW`>cwjW`l=iz^ffio!q;A^I7k>P}s#N)TOcV
z=kLxgu`SFo8`yaoXT&_;v**J%3&vC5p6_;@@%;$Tl!x0Jb$_Hh-?(vm%%s(c9LIWY
zs4&;6G(DPJQzXd5y)A5SSBr{_3cv03Eg_R6=5(_#LFfM=qx!50u>JpFe&w7^*`3Xk
z`IsY4&-u~B!yL0!%p&>!2K|$vb2~h?7S8RkRldC<QGG-92EhrRk`!YijW>qQ*<rpV
zx##eNZL-#uLRt$~sc$pq(!RbW)N*6Z&MjxR1bHQ`_nRj*QK@Ky{MO*9thsp~IX@@0
zNG$wc#CElAr%?9RV1L%T`?($GY&U0n_^Xs}`*xAZceVsi<-3}-yn{ood;Mgk{4-gX
z)$+H>^}d__z<zu1YZix-Qc2HG1`0V(So}+3L#Xnl^{!EFNvkJ1uASs1=)5QTQi|K2
zN$UfWx?P&RS@a*gIkqYE;@uf*RxS|`Wsg+VS`~P4@|r%T=qG{u?rrJW61HTAV@wZA
zqPMjj^HjeDEi9?(FY3Os?)Nl#!sYGvSCHkP5!2zVVNZWpt3)*fD<rQzv_X495+7eY
z!^xg4VLwtQe}2Bq>oTjR5tsIsFvS?2%VnLMF~JROT!vRz;yrmLvL&7twB8yP)0#A)
zVfMtobC}uBYzd8S*gfOkwvh9W1QMnt-3(AEJjaw@k^JAQ;flrm1wNfGpS)y9X<%xe
znDlE?vCo!}a}O%E1amNOb|fjUJeTNu{N($OuX27ia6Cx<_pn92J865s%KS+&(qENt
zbch;n@i%KYq28UZm8ZNRg-v~@)RO)gJsY=f5qX@n^UoF*LrtSAERo(S3`%o-Vz&95
zdvX7!wI_qLUV+=jIV}5YPv!)^Ja<y+(*~WHTYZgpiceCyZO5{+-f+9WYJpbpy5F~W
z=5+j#*yd;0=ofQY?Aa2A6z)aa{jad6-r`#^!Dz-7U!G4<jF-3N?PASOwQ~Jf;Inn-
zFP5v*Z320A^-Q(d>UFc*F?n)=&$go1ERXYca;)C-H-vBJewiwt?LN<Yc5IxG?CHfV
zqh0z+Z1*+3>vxM3qNgVqZS7o>W28`iK)6VD;)IH2+lo>Y79Twrr0ZGl9d*{0W9C+$
z>oVmhot#%4nXxszd}*=u9l>Qu+oGqh{=#1Bvpw8<Q=I(WBBuA3t{8dqY>)R(+Gv)P
zD0#18<pl1eowpO!CGKv_OTY2G@ykQT1#=SFJ2n|^$>2zmHZD(kXp^MfH?QJyQcrVF
z!!;)3ni-ouyh;Awwd!Nc0tthETgr2iC#;iO*?0KicEKG?6aFylKFV-{li~PGXXT>|
zZo*f7^Tp?HDdtO(JIS*6QsDxpiT53A+0IAYUVCmrk`#;jx<8CDSCb4nZNje>eq3<W
zm09RRGQ*qsE1zz*cr4XX*2t2Se9qi(OGjdi_k^F!u?*{C`t~ho^ZQX|z!I~irE+UU
zoQb#1pY4^otM?l2uzbY1`uVo%o0~Q=Fvd8?T$sqhsUoDj@E<#4tjfO!^~(3-Pgczc
z`?W2!C27T~hsQT?RcvqFRT(q0#Aa*DT<ubwO=r)owAr>@NjP69>6ZV?o|sQL5}vCR
zBsTot6eF;8LdcFSrY9C~SZ*l~p38Oc%n^xcYxmo1^?bU4za!=&Gvkgeo4f9PNZuiG
zg5mM+qZL~{zb$mrV0&rk(#^bg)xIsCH*K#AdBw9ZXGXG0%+Dg8g+<kz3twjR?Pr@K
zVQjO#eClMgCmOz6!|diIZHu$&UJ$+d=Fu%KK}sTzKNxUViacHwWV2xQxt~s}ZY0fo
zzIok^Et|^S*^<|als|cWX>Ws&%KB(arTE<qpSYE>x5mZ%Vt+baOYy(_=k2j`8k~eJ
z)f4+ClxkbsY>A8AzBTmsw3RNiYTPAezuO)@ccSURT6gRJZ5Ka<d2#kMJl!8)vo+zf
z<p%!~8^g9HYzj27*_!Yu(BbRW@M*CbTN0n9`qXSq^z@l9ZEIp2<8-}k5$Crio@D&A
zdiL|}(Mnr_c-1e8bBcQg`fpeips2S!Zuaibt;y*pnf?a&ZA}R}&AH{~`kMzVQ#67W
zrxoAWK5a{?FpG9l_?DE$B*&PWfj6G8FmH*Eh-o+^!XnDZzhaeO|LWBX8+@(4oV)vX
zYf{kF&f=<=Em7S`6P^|CcsXxN(yo|wzVo&u`X|-t#BNF2|G+{quHxJ2wYSX<2FzpJ
zns|TPhw0mzTp8o1ZAlJieY!uyMQ2;m!<gkexhJ=jZA)C<A9L#7!K7__!=7(Xda2rD
z5-I1tU}e&W#bT4*dT)DKy(RhEcIlfNd2F^iTfR81^Y7CZ=aVIJThb>7TzE3EZ^fa>
z0k<|KJ16Z9cw%;7QDwxI2@`EDB)a4a`SNyNa4PuuznX!sZQ+UfDDIlApX_!j88IgL
z|DWmYXnImIhjGjI$ZeipA~Ex}cuq<@xxL7rX&T=q+a&MKi0PbGdzgef{1Wn4{W~G(
z^fGBuM8r<+fC*pSjb6xYaEfGb+*)q4A**Q8?rqLbydAm^q;K5jIAzKP|BsDJY!k$J
zCT-tltHU$#*ut%A8myl!Jg&3NW>b#VwkB2nr6-xbOxbl{ax-JnNgn@;0%AM5_%EEv
zE}YZA^LPFyPL&H?o*deqhbL7?aBiK~IcI~_kEMdy^J3)~Hm;W5<mStLaM}6$+g*$1
z+}&28n3N*H$Go$ZGiGo6c8^aS5*yEVb{Z|(;=VqW>(U1I!^sTW+^?N>dA7y9{E>+u
z+qSZg8$3?(tk|TkF5nQo-CbRZA-QY*jw^**jMM|q_s^{AR;t<PK1pbcG|#N_$2&bv
z3Y_>|wPU+=)Rrv2jZ0X1Q+^3d+2U?Jm6v&|dG+juGySta&scP0QPGhF?}Sg(A7<Rx
zqBe!eFKFZbVAo9*pSHzKZ4uDfc*JgFj09VZXv?E*v1|XvE3oBQZ}Ht5aKC1o!F;aQ
zIa__^3d+vet}FCBXKTh(wyV2Rg${4?S*;?>pI~@@o6&Nf?f<9E3EATF)^GNTnxuWA
z^JTmLMJeU`ZPT?1-=&i8rLlIGo~xe|_qOdm-h0%x?fsg&)i;0b+{N84?Q>__Eqv%2
zUwX<VWA&<vRX!@tnr!-#0(M*SmDjIgh?I1CyvbR4?E$7}LyHo|ZBDm4md=^t!<_2T
zA=J#izy6cS!|gS?Ooz8U+v+ooyN6rfXRFh9#h3{qpZ&gWJ<Y=YY>TVz<26YOmfhas
zw_8l6%%3IZzD$F5jQ8xV0k0>yo;;cq^@eF{kaW!DiAhX05<5;DKiY9a@OYAthxGQ4
zn55H8f~qqrH*O3~jAhuk+?g@vPv*&=z7L|Fy<Rv`dhbo;<J+Uk<Yun^xkGPzRA17Q
z&a)eR(>6pIul11I8+mi*gsqW}k{-@A+`XzNY2l9z{{2Zixcp@}PDT`LiAvwfxq0Jd
z4<>a*u}Lz2RL*RPdVe_P=L)8iEUPy@f3zWTa>8+iBU@N2A0D-t^`=Pq#ldZ#m^8OC
zM((IoNfJ1ik^EY3tGYLvzR;G}Z1HWLifa<QSmLL$+^m_h_UHB}x0uiD^X)c8W)^qz
zy2fvf`n@ehr6hszwDOBt2`_GMi8{?+y7ka<mPjwA=xtjfqYq0?;!W1Nkx}-AeZQ(m
z;`FHx8LrlBjXaukIpx{OCpFuAPILd-YQIhJ<cS#T&b2DxlZwi@W)(EnY>S*Pq2{x#
zMpMpZ_NO%)IBV9un$L7K=ganOTl=&3vR^GJKeH`$=Ca1=(i`};PV4y`&3=V<XRMLV
zt?j9E`EF;uGzgNL_&UXbYg>(%QHS4|8#7M-((%-qX?1E#>gj#jOWbFdZ!dVdR%Y{7
ziJYw|KevdmIL)j%GG*G9wB4y8y2nmWW?H@_V)a)Z{b^fL^iS$6@VWcrlM^?KVBb}l
z1Qx|TjWa!}HZvL;F_?B-6T0agC><;G|AtYZO0pco21m~z-G>r4qc;WiuY4T2HFpwo
z@q;}!Gk=P0e9GM65c|K<drN*1i{jR~EPt+5CNnf!w_eTPTC`F%;PPWurGS9DYXcq%
zWd%IF^);!f(epwwLkox0lLuWL^FMFYnlLMZWqaoPDLk*X2pTS$FSqJT<$>z1yDALq
zFAdFBcdh-D!yvk8{m+MY6Jr0@um5bgNlNU;cDa8)zEvbMEZmsNv*8?5|Ef=|*SCJ(
z5_{J>>bp)}Q4;gAD<>H)ZAnl|Qk=g<J4siC<2S>Hre&`p8Dj(<B%O##a&1}N{o?G|
zhM=2Gmp*PtI++yTy5?NUya|fiQeFq~Zc+X8<oI^E*c~!S+s+hjRs1~n<=Y=yV|S@a
zH!^IG-{!0;^Io|@fz8#r)A^I+%`GmqJGUFZK0mWv$?|;qCiV*vZ(8=$7_)S^)NpNg
zZs$!pAb9jZ-{Hv1x}JL6hyCR~S-*+>-@03YF~;KB%?bNHIp%D2N&Fsj@N<G_QuL1+
zLB&5aW^ZuOWQo~kWE8<X(X*^&Z&J)Q*U3Is+gyEF_^)*-90}-QZP?89etyHs_PujI
z|5$$G(EFP0E>CVSMs0DHW=pDL>3DqNRl~;S-TX6tBr|N^U@J4xA*{aP)wXR81{^U5
z9|%m1DQ<YUqmtoa2lL^i*C)3sB<U<Yo!I<4$^1rDBWKL{x7HKq?w%mBd1Yy*<pt?Y
zo0G5o*v)g;{jPPx&Ob~Im4)v&?GJ0&d8*+=H-q$>j-`&V4C`5QH(EA4WR_1_=xCAW
zmUPIX<VfrcSB`_`%518)w>pd6+Pfk7zeem<m(u%kO)Jc|=&p3%(VDEFTh_AoRq@(A
ze>X{7Z`nI(tN!jR^^LnvEMVWLubkwUKY>ADPmCdhaA|#~c}LP#gT>(={2A4f|5sPU
zGW0gin^<_KVV`_OGQ*q~MSps9ZcYupy^SF_Wx0Xk$ps&`Fq~iro+<dabzbkB`EMs*
zFk16Zz4C&?#F866+cnOusjT>s6|<fH-2cF~W3#q~KHt+grDEbF!+pv38n#S`Ww`x*
zyVK;X#ciu)=b!$u-QJrgZr?Utzb5yDe;;ERw(FhVE+NaL-&y|T>ub-A?SI-X{apEB
zPe*A*N9{z1y3MKQH@c=@D4F<z>BWi34K5p0{Vn2>c&9AbF5k0w??z>R{&`QgDQN$E
zz}>L;^A`Es4<ZvED0J+TofFHjjoH)e&qRhBTNHD)#wy07`&cNgn6c5<$EDIa=EABy
zQ=eOW;`!*khjB)d#Rt>QhpkL^+d8vl8<}|jeTZe)rg^-5W#2~4qibGta0)W4*m{1G
zX42|U5lQn7^{n!4T{XAop4OJx6Fa7P+8hxSeYjCCX|wQ~=Cu|d-e@<j^$<;BiVNVe
z(b}%Ri6wJNN^-05=PQ3ta9`cfl-^Kybk3f%ZN@KEgBy6RS~tAj#B+6f(u6-RcCLBd
znY4KcZ|pzG&x)Je=PwqY%^`nsh2zZi+l_-dXIdt0i9ER_BzcSetqms10W9;ESOoC(
zY+b)qx3Yhe5&L7MAIy($N@;zVsFKXTNxXlHCy(l+R~L7Gni%<di_`jToyVVTwXnLs
zO|>ZC<rd4ijr;6xvK-lBZ}n4>Z;SFYrcYb#-+LuUZ`%@mh4rfb78&(zqInOhTer^V
z4a_TLdw4n{bx!EKjT8O(wtqccV7uk-G?|V~+Z|$G@?75k^vtT}iE|F@kn8;y*HOA{
zy90mB^UC0<Z7Vk(RFc@NI)8()&Ae&Bdrup?x@1dkw$^XW@^aN-F^qB%XN%M~`7(c7
zf+b4|v*8BA$v!Pd?;hQ1|J`hDYbg8v(^lJ>wsd!W5kL7tpZVj$gOSZ~28>BtZH~sY
zZ1wxSwQF13)=NVA3z!Srv|F~#Wsi??X^U&wt-{*Na`xs5!`7{q$!qM|w;tcJZyU$i
z6o!bE9W`67ST4P9-LQ3%cr%wdL(87_ttm4!{4?*^ZE2W4F{NpnX!73BO>doJUP>s&
zwQWs#k-a9bW}AI%)0TiKNkv<tdR8psURtxov2#o5l7RG@w|{H;w>#@^SuL5kXwM$)
z2@5{ugv?&3p1DqaOO|kB@OIt*+qOzf*49+7sM%t3TIxazQ%=|Ro`!o{{%qX2F@r__
zq~;!j^lkBK6Z13mKe0xhRMC-|KCdgeX`ZXbZnq8>y+8Z5xlcZ9zct5S^T#W;{nM{7
zZ_#;Kku-DT#~w)~#bxnZ-KQIW^V#NcntRa}kL&t3ID)tN>|)JLbyzazqgUrlqZ<X=
zJeIOvjW=3t_kGIEsY}<T1hL)SE_!T6%r=i8o}FJ$zp2^oQNEJzcKipOWyb`s$W8pa
z>Bo~TNwJ<WvSuGPaeUu9d#PA|-r|N>9Z!AFHHASjiOdyAPg}QF#_#`7<z|0ULdGS#
z=VD)iWX{UJ`?dvLcHQ=STgb1c(pNX>9G}KJdrQ!IF^;(pp5IuzAyAlO`ThBu12{I`
zxU%ATghJ~f$0TWnhc%Bk`W@mpeKo0}kuRzJ;_ojp8@<o3nA^t4u-$9@be;I#*Lye3
zXmw`fbKkesZxTo2!`k+ToNp&2X$Taqa4^kY@J{SOT3OPJJ1m#i##~R@WWXTV`RC*3
z4epXFx9oej&8_aIM(OtWm0mA{_k7$MA2Z3nS;~FQ@9w0n8dJA5EOd`~*t%^CTSZ;x
zrxWc=j$1WO{cBm=6*J?pxMkG4qeA(cYKxd^HyMU?Fx5=p*~HkpB_{amCYkxIi@qf$
zE!$i+nbDr>_MA2UCUhL#(Zb0T`Xxzeqr}=wiH*vWehM*KZ?$zy{$Ig))9u$!A^Dcq
ztiG}TCTw$Oa=F*=@Wf08j?IDYhCDZaZm|~>3{Q%S*(w(0&3|lG@}4CJl2*mcT$Q}D
zZ1cj<<drPvw=0&l&8m#usyubN*wgKb`t}=Ug{_QSvm*Qi)BOXTClg|~+MibE<|s*u
zW`C-GCE=!X@rvo6x7Zi&=UKmLi^C;NF=6X1_H$>*`*U#y@l0h&*KGNqy~RN^sc!kk
zmK5Pn3%<!ThBE4JUh+x6aI1Z=<-Te9TkNNAe|rAJ>>pd~SNbV&uG`9cd&LxGj^sGz
zhFO2M+uJa!PvG8T#lAr}EQxvhR*`M?^CKC5nx=3ZI8yJzb?OU)N#ADv2nIWgFE?6d
zf7qk^Bt>Dz(pBddPe^ZAdUmV*`A<R)+w3O`g;cO^Sn+v_{pVuljkcc-9NupK*>2@m
zJ?5?akESvlW!h-p&TO$hW%Y-J+R4ESTz>p$TRNMSL*dRAaUrIUC#+xFCC!MDoE_bh
z9==t~BF1MyzWNq%4%<iK%)Ck4Bo8IAtjObIe%!TTi~aJ>!~~HA?72@E%D37tuj^kC
zxW#_{n;r8$FmAQK{J!Ils|EWegWkpm&$ie<^|I=pwnj5eVynZ_X-vO0<L6w^Ur{e!
zKV#Y_i|1P$KA+T>y_rK=ynfBm{FbSEHu;|5V%)K&Ye$0YP0jOL9d^bUeOR}J$NHp2
zkno<h)1PgT`<=qKXN$x0Nh-lR&28hi$j@c3pC+iZ)nUF^Kwa$1fF_ow{@$O;wmVD{
zTDMcj_VLS(vubZTt3NPq*#3O0!_)aHD=*1cA27%<*d$*tC&u@|zBhX(K71Gxwvn&r
zK?+CThqo~sE<ZltbbgD_)2+^|lav0H?s@lP)0zp<5h7t*)mBZ5dcM_Xe+tL1rio`K
zp6M50+tajZL(;_DV~iUXo_MJ+@hsOr>t-#bOK}lO`P^H~VrF-2WfHB~z`99k@^-Vz
zH9ikxwy}L)b^PZxWy^zqHYWdj&>G}d*RnfB;M(@wjh%C!ZB<IIth%#Z>E)*_wh?Ro
zdr3sfZL!eaaR1Hfru&g={zR!T-D_Qbq%e5F+J8;HG24~oH*zIgZBbU@Drj%&iT$@l
zd8)rt`Ls3vggxGIoL=+SNM%}|)X!O>AGaw7PnY4%X-Je?_4NGOe<y`LZk3-b@$Sz0
zOSk8wZQLUMWX*+V$qV+J-+CZzVzM%?w!z1)pD`;e)muGgZ{_Q5`?y4~)7U6xi^+Vy
zsHgL`aal=vSuT6=Q%h)@_Da8&z0=mc^|#}S+wME*?}mFn&#r0evY4=E-N!qg4o!U9
zub=dY+Ft9gD%VuJ=634du4}T&x>ozAinw|lsF}HI57(BtYxpkjPL=6hcjSv|`e`fI
zE%Q!=zmn;#tK@jSom;O`KzHxvZQFD%m94!}v-Eh`Hic;!=5At>toLo#o`2ouO-e_O
z))t<fOIfF~r(S2>zm->7#buR5tM(S1(sOGkZx-s`raga}w}Ry9m^HWRm18DoC{Oy%
ztIxu|m3wJwL+>`7=UU$D{@st8QJa$SbN_@j+jO>AD8%$gm2J_<%5SNA%@(N|dO*HO
zmo;AHR_dEMTXdH1ZR>fu-EX4OBZ~(%YoF;x+IMd?-1@+_duG(**BiGQs$^Q~Z!_QT
zWb|i?_MdE){hkJFUGrG?$8Iu7S^F>Kw85EmRa3okZI-MG{lu-kO?(gEvS|U*Teat}
zdg8z{&(W)mqe$Lm&3W|+`ubZ#=kjg+^^|Alx@TS@bG&2{_;!9h&2qbaM%;3q+rLu<
zc5nM*St>ViJI8jLZQ{%Mw*P)=)W3KC>22DlPbbChc73$h{^=yYik0WT*xW8_UwCQ@
z*Co|XrCcA^EgU<e&Dl;n*<5LkTp{$PWzL;x+jy)ZxkI;F{Em9@UFq(J0Kqlg3q>}X
z+ur=Z|3>dd(#fWeD}K7S2%i4dIHRU>lh{e4^u<~Cuj(gv9+-8;wQ6$RhL|lre}g!4
z)}A}b5W2;rpCMjn=FL5fYyPA@dS1A0N!=FXoD;QiH+Iyln{{sO%FV`oH`j<yTd<wO
z>ZwfPHtWejKen*CbJ*E!vYE&v?8o7=#dzxb6raAeEA8|*@%-DCm%`$@X7!ux`J5c$
zUt+#(TqIhvaLKi6lh)kGuDr9NJ9fp|kK08~+~Zxd!DiO%mu*`mkM1})FQxC#rX4fa
zZ06+qaD_8TVoy@wg0O##3wqbwxb(AW+reap?bhe_NKDcG(XU!KlXuzrL#w|(-0^&}
z{+x#XjxN*FYhIW$J-%?~=7wIbEn?G8-P$nE%C3TWgV@Izn@_B1xLvz}akEJJ+E<Mh
zF<D#0rYAG4dHFE%hD61Otzwy#h6U{nAJ;$ku-t92KW>ZIB%@htpFe-TyTkL(uI!5K
zVwRE|3M&f!aoySQXj(@PKf_k{6;-Q@V&#7Fw6RSvWLp0=wT*2>@2(TqWOW#0*1r41
z_;vNW4aYwCNpw}t{AaoL=%yVZN{r3N4@XO`*jBM(v*EfUw>ODTWKwOZn`*TF@Xf8_
zJI}2-QBuoyU=dSHT+$ZtZ?oRdSby^M=LzdP6Q-?R8P@H&XUEeCfva{#tT~eLH|y@^
z_|p+9R(<VCF<G<XjNs?kwXrD-Gka|R?VOk)a(Byq;}s{v9_UNNth&g*qsf4K#h21!
zNvkftSjPSH_=5J()fXq!YzSC$F|lF&MYovA8}i?joZ8?R%dn|n`?s~f|0OdwdY@hQ
zJL+V{x)tx_zoj?ZzA9;rY19$T-g)cX>IYl&&Q&*-E9W>#+qX?wQ@85pNe<)fZ0QG?
z&fZ$rzxK!Wrv-OfC+pU){l2|IrefN<@3+@2ezWHL+w+~<=RMhScHMXB*gA%eiWV<R
z#^e=?6&V-s++$q(z3QfuV{_)`6$egKSg-$n`{XU5rtcLqd;YBX9(tPV*!=S8O}^&m
z*X}zhA+_YS*R(bJ@*+9Bmi;qcyZZT-4L7$++?%$3?<A(@b5H4Jw`WCO(b(8nl|Fxx
zC(E4E(s~<uW~r{7vwF+ikE$mx?!2;h?TO2(QJcNjIBrr{-%@<3a(zkF%~zARvh18Z
z+39|n_|7k^OJcp)Z*KpTZ?pD9{Vu-k@h{d+TeJR(Sii1Z%lY*urpk5io5pl|&3e_Z
zeAm4_8s&Q9)gvsguiyG}g4v!8EEZGG<+r8Rt@)8vDKux*CY!asVOv=8Di7~meadFF
zSKW60X+~XswKnUnZc6#R{^TA-wG?L4+v(@G$eh~B9&NKK@!uy^oi$aSE^DQNqWI-m
z4o+Kpax&YFI}PUAYk$07BiM68&s+6G8<+9P&Cl<(`SVVUTiN}AF{x!~+O`=-GRkaj
zPMNn=VCp3H&Q0<Y8FX*WGJVRTJ4I4w&B<C_j%58UEU~lKZQQ+i&6{G?!m6LzE_W7x
zUiUrtq|T&e%xX;h{p<F#C;r(c@aL1lgf;J`WZ&PjRp!P{FP(W0-fmTNHSC$TmF;QR
z-j=NOn{S^=-@|fy?SV_GKaQVYvv?}sl4%-`x3Nrp!ntD2?VZ#3w;1@Z*(x7%lIiC9
z^;6c|eICzt_pXse_u4hjpYJYi__=J|g%r#4D;`U{|M35w-i^97_a9Gn(M@^TI8(x%
zcW1fLma_Hh=knd&H;v=^n%mF4WG7zN=h&{jRX+UW6_z#YW3+UFzuMJT+1}OOEAh!~
z_7?t6+x@xjtvMIeol(#DLR$3Nmk&$+oZ#+IV7|BdyepIVp35t4SFAZdiBb50t-1at
z{v-w6D;xRU3$)~JJ~d<9@ydQPpV&%+xc}Su{yi<&bh@Sg0Z7~aKX<n9>J(hz?p=J%
zdLyrp!u#7ypZZr^WPF#9%<y*IrI+GCC;nP*=h5eAx87*{<3-H9wX<0`7JhJv*}$#D
zv2v3t$J&l35kKA=es!62_l{L$l!?yzCAYV7{?y<3hi&cL^J^AgzS*GFAG31t@e7A$
z|H-pix45!t*4ghTE7mNYH+urdnl1V|uJYGdPc*J+>Qw(xVZBKBP0|8kixpEMn3D4E
zE_}$;pk%s+De1(E$QP#`#4@b><=-!{r^&o{&6KkC(2t8^($}o{{iZE#>y)0JnIG1#
z)|XzOz$g|o(<FNRn)KGPw`DE0|60m#u6cU>CEL@frd(@QumAK&?r_rjXVH7tt$Dlt
z%-U(&XKEa8_Ty)YUbEJn@dKmX!p)CYJy^ANGK1i{b!#gvE*4Hqc)oUR{!K=cwd<ZR
zI;>yk?ezU#Yq#c4X6X-^8ynfAKfG`5KEWcqcHMR9PRr~^myWHUx$arC<jSC#jQ`fp
z*|YN5L?)%%GnM}=e0z{R$>+uDnQP{MYCIv$RKG$n#nj{V+66i@-pU=AmERmc=Xk@6
zH49(ntl0dCv3t#CT^9b^Yqp$Zm0th+p4$4Q-;&lm-?x3u#?tVlr2eEevp@Y@@MF!!
zU7OotzDfVNy}o(H&z7F$pVz!7)1R<2d)<$dnvH8-+}h4{Fe0XH_VTB37n>H!cQ+a{
z8AmL?9l5#LTw=}4d%NEx@T{E4RR6#~Y|Y{$9w#_jdabN)=EgC;to{(o@WMWA&78ia
z6PV0*cZ5oQvCF=8-6%k4-F#lgr0uIabT_Ir#N6m<WRKCGziQ{pR&%@eYj)lH^T6cB
zik0rdOedpuH=K=JTUha6#l4Sf_qa^tYAS0;wupJKxq4^ZCn2FVd)tyS)@)S1%p<hv
zGV1)l1H=D6@=sv9{FpW!Vo+mf_$0{C(%B);^mxXF4sqEhG50SiFF&%mvqz3`_D+s~
zr#WjDOyX1v_`K%ge(m`6bE5xfeO`0%o32IW<TVF68?W13;+SNyA>z`S8}1Qz?oEuZ
zVBGNQP3P?76&L&&1g~|j+_<tieaEVe6B5)d4(Q*oIFVF6QD|Y?d<LUAD`rk=Fx6im
z|E)2zB{Mkr|Jw6gr!%B%;n*9I)OhIZ+H-Mdy*KQ%Ts?hvhsn*GcAHn9Q=hcx^xAp4
zE>_iR&!z5=i(p@S?)7x04RgYeuj$-->h7KcsS>}xRj)qxDM<dCPwEDNvp2OP*PdVP
zCDJUmcKfFv0{M5tpRbxXG3f8wt*VmB?ae>zfA6SU^1|xrlizbMMQdw*($a2gi9g9T
zd*i_$H*+_)`h1_cMl6-dbIm*}@8u8mMST62JoFSbl(_wdv!!ACSGhH9Ip0rnon7+p
z(n*cq>%YdUGTnaP))4whY0<g~HLuw2PLmK`o3Y=U>H4)byZ;%t*-u-u%K9$fWqswB
z>sv2XZb{!AFV}lt-=emD{jK>H2e;@3uDP{6JJ;sTD&ga6Z%55t%O1_L|NEu8!mg`S
zJ;YD1U8S$dRp~9UnW1>?oV%$jZ~F#pSNRqk#Ku~`_LhFZi+gw0+<JGEp)O$Mt$7UW
z5jtybMKg$R+BCW2nH+On%$;1Zg1<5I4-{r-cKpxKJ<ebs;ko8!G=u*hwI5S|thq6n
zA@<FcKj#)mE}px4V(^WYruC=ST#uW2*FZFT?c!@|uCKZ8VX^SbwNLF$tN*OJc91dY
z-)d>gg|F(@UOdgw`ZH$!+H3o#?VOvkTqWkqbMEXl*Yl4$PvUsY8X4De=GvVnJv(Z$
z#r`x*Nx$8Hm@%fMDKB-+^|f2r3fG+8?!_=&Z++nE_9oS?CQp{RO1~J+UR!hhUn%Qe
zUH-k*YadN5N!@ho<H?{oZB1FJYp=hZRPcLU!gCe=Sqz-d*SV~T`8lm5a$EC6hSK%x
zmroMbT=Q}NE7g*4Stsu`8|Esq{%M=`Z5Qv>Xr7(x)*XM+@_G_;iReUqxr+CVJ38d6
z9<?^!e^<(Pd;g5oHCs|b)q7sX%1oTULiW#^kD=<Tm(Fj{vSHh_cEguRlF_T5ZoR+N
z%bwxWqJMj??QxrZZr!e6eIB{<dtcpL^Z0jOB=7$T*N^^gNbky;5R)jxJFCP!XG`Ro
zN1Jz)wEd4<==vd<p)rr2@mow|=I7N5pHDOw&tCV?)8NaMe@zQ3V*gjIeXu>nLTGi}
ziN9C=ue{XX(i8sb$%1EV?!RC4M5Sz9%gQHCtJWNxD5@;r+p{Kd;)lN~0?`7ZkxDmK
zw(VK@Fk<yn<uC@}-;?4_FgedP(>p0B-`-PYbgg+!#rwv3+ud!wtx5V1FHQLqx4!1)
z#N7I8ExpRM7YyIeEZI;uCw$(-{PI6Di=WMqvEMy|A+r1C=Jrdo)^zH>p3NG0!sLXX
zgu@28*(Z+Jb5Fdw>?XsTJNY|n*Dkc%&6K|O{N3=UQ$i%y_3d2s_eX<gc5mk7ZEGiK
zt#ABaIdRR|^^?BEHSB$}c*Bhq`wAC4Pg~m&sbw>1<JtB5__9wbaB17F>GAnyaWZW6
zz7tUvmuGIPUNdvmzJhNJU&~soiyHnMTP-vzSO1a4-|1`irvEHjux77k(T2LUd+UBT
zygJE}-e%2rJyQErOF{bU8QedbQjf3cdb46*`!<J3e;ON-S5Nx<Y4wlwlfHe}yfJCw
z{{EG1f|_+ZJ|!`JU3uW}v=#3T|6f1ZEXM8h%P)&rCh`2x*!Ak)$DPY9C+117oOI*=
z9Gj1FAGZH&%y(xLj%%@$N{+E^wfwni!ke}GYw}xcmcQQISlG2@O0M#ZW=YH9b$$Og
z@WuG;2w~n66KH?K?FM7aeO1lKmU;L6POh6Kv#EYULQTfn>Hd`oQlAVD-B4F*`Fncp
zOqHbxRvCv<V&oH?W?0JJjJfjg^~PVvPeur?ojG@v?(r2fKPJ7jc)Vifp9Pj|j4}Gh
zZ`7AI%&A|)GQsmlgR?M$@ZbFt>;(3ih(!LL(eU%0B4g6MScZLb)+|t(%B<6AAZzWK
zKGE^(kMHXW6O#XH&3Gtp$rP|^^6vRcH@bq}JYk8LYjJkX+*_sBHdyYNvE$L2Ir{l)
z=19H`UOmJ2=E^yf8>}1ZYu_~FO1zAoeWvnEi|y*h*O4a}pESfSQ4`-2)3EXNiKLyI
zmd{!@OZ@qI*VVIJxnEC6X1H~Ub7h|YMq{zbi}cszhOM79DMR|R+GF7fT}L}-A9~an
zQMGAf_R5(_C-=NrGgF5lF-&{S%xM{sH)2IC8CXB{Jm2B`_SB!XmwvQItew>ONqGC7
z#`qHqy#JQXURUt`sSN*`Nt3&J?kjD-e|fGN=NkL#qLDMq&o(AzKl#Owc~2$Re(j9f
zO_QpY%}!dHsrr+lt;MK2X>!Q@HGg)!S(<lz=`64AHVccj-RGB<b}iZ@zftBWW2^4B
zx|XDqYnGe}-=uA}CRw*DaCd7`%t?jY>zq$8Wv@9?vTto&wAAmiwH=d~uCH4%^;Zhh
z{k5IyFITklZMBNDxv_r9O0T7>-PYUd9l6$G?PWNp@5o=y`KjeK+dcN~I-RpMDRh;5
zZ}!a-@7LGcX|G>0U0KLxu~^)y86h@rRWBS%_0gVhAL9CE(`&VsB(E%Y|ChJaTvvPD
zXgjwmZr#OcuFKb~T~abhxx><FasKfY^ZG6P*UZr^d%I?y>RgscmzFoHmT35u|1{uV
zQ^%F$xhCnugrv&LpFhvnxo5deV58F;>v{Uon<Bq;$Z%UciMjCL_8gt9A|homs~0fb
z+##I!d47cL>{U<KFP?6{kzvEsm5Y@bcASiO%YD2hNvo6T-O>w1A6G0=X1E<UVa=kf
zlN`*6Zx%gxHo;3i`_rwm1>wRH;>r1cq%0pe{j+UJdRewEIP__2*wo3DLhH|dUei&e
z&mMh$UH2zH_O*>uTQlNo*2SL|*gdr{@7_G0)9bpot8my%KVP<XhuzOZKU*?CuItt<
z_W7xlv!+q+r^1YN3sz2xh*_7XUCC{?cEQVdL65jKyWc%EQeWR_U74_I?Vg=}9M8jA
zb<V99^eS8XY26cPr!~8u#`PUvw>~JCk9B{+yAaulcTaZIt=WBgZqK=zHI4H-{^jh^
zy1g$k%58RhU3*sL%f5ZC+t#dE8?(L2HpFL6*t#|AqOEUkNdEVJE8DH*ewA<L@D}}C
z<71V5vc7C(h0S6GBf;2}DrPgcZW3`dZDM#n$IkR;llGd5%HM4<Z}x_6Zj9+(cjtS~
z!(zD^&+I?$`uE|?n-@wSSXSiETj>@5BWiWPkGh+-2b2D-3vge}Aa{T}Mj`3mipnep
zsU)$a7oQp5{9keO(TXbbYm95_x2^o$=W%S##FXZ;yL&nknqu-jZmemGNne$b7_&;k
zRQsh$*pA0*R!F2zO8dArbH^IxnhA1AALqraZ(bVzgXvA|+W9r74@a%-Kdr5|-+N8e
z(-rNezt=vzsv7Zm^@>TA>+Y>t_wc*=nQQuYayBJ2cK(>iGoydq!@pYH>z=M{p88uQ
z`6nZ5b*oUQTT}cEH@THj-`A_vaGf%aTUxSa<_fnkCc%FjY$t1ro;vfUX|v@zjVDR@
zS_W$)Z?0rdUfXhXCD-Hik)D@C!`400jx3nA{*mrYA&r^68~9?@Ji7Jv<+sU-XV$k&
zFFzG?ZqcVTk(*ci2z|5q(X-7lraxD5{G9cWV?*xonb8$%S~VXsitUMcDDAG<U$^R!
za7EI}u$Ycr2a^tW|F@jNw1Y9pe7&~qitta25i|AYcgUL-KKL)b%BF}hXPw@gq?N55
zEUHOs^jF_VTHCtw_R1gKYg^~;TYDwx#=6$RSyF#quH64<-J`vEHw8=<pZK$;C8n%J
z@Ajf8+I1U^Hm`jY|M%Xeq&;g|zNqS4sx)}8ZqMgVhS}>Leg7qV!zm{6hTFrVlP&kL
zKF)gIZZ={0jD#sa;!o5le0U(7kj(Jo!{!$At|P*}q5n6<&RO&1_Rkh`cbkB7hXY$I
ztdcaEzihA-?Tjun6l7d*?f#sjNf(ZqzhC?GXlqlXB4Z3=-^}WLYjt}sTwzN7(`Bo!
zad(p}i~gnuY7Dj0m)$!!Yx2XKTZj3R|CQbQ`#a@9!#c;Vq>XCzYt~HO(-Coh&xVk+
z8M3nctC*9tS52M~Q@h4OX&MJtSmDOJ!=Gat-bgd<o^n6;(9<^)?x$^eB0hPY-l{wH
z`<r}DzEFL|*!4p9&5Uo47u1=~U#0rO`pptmb%RCu6B*@JsYG&AEbQC3=8#w9TIHx0
z&DYi>R#h@+H1j`>xp3<Ix`Xx+zA<Z+WIByv_OCzaF_BM@?c3S}txB7+H3_>@7<R0+
zUtjrq)90hpzH6!SSLM%{@+Y=I=kb)!;{RLp|7_y1`dIdSg^RC4^1L<jR+TZc*0`E2
zdHwc|qc2z5wDrzU7`oRcypLu`Dm(RT&EL$W{FCQ4Us;+o*H10MZ_!`Y_>;cd*W^U~
z|HQp@%?9uA(*oUV&gk7gX|TFY$!fk~a7E0z=g+MoRa)2P?DkW2n6^$Rp6~ki*K)nP
zQ!Os8%Xu5++;VL3<`oI^ZQra@by)54diLklTQX%=226LkR^sC4EqQ=hyKd?EKWjU6
zgXUJRYni^Le0z$|>NRKP>UA>uvh7H^aMyfh#Ox)%-+y0|9Txf{>DLRZ&26UMTi2>R
zJ-_0Nmfx*vWu;>?Kdg(7|2^en?)x>Tzs!5H_$piEX*I4{kJK|7cCS4>CH2spZEx2e
z3(f0ZXW+C%XT4hfTAPh)%gRssFtyB;jrqjQ-M&*UZE61dORRghYF?Rhm}ir@Y^2Vd
zR@3EC8sGn2JL$zU<8(#mI@Ql74VSDtZFl`Nhkcuey*ke>|NsAfb~wFR`{43Ro~`?z
zuD*Kh|5{b&gFJgruTz`LbNgSk+{F935{2j2*`G`eUauDP+Ql*4Wp?SbbtY9acO;$3
z+tZ+!wld-T`s;c1>QBCWVu^k@!`vXC$9Te*r0q9SS6%=9<kY&Sb55_=sr`vjWQDIp
zuUA~mwW)I!++Y>?kj${cb@rO7`B64C-`2XUJGu2%+^6_HwK$!XjGGdFew-4qV7V`=
z__s!rkL#L}j^qj^MZT(TFl=H~NoJVWVD|mZkFuXP>Lb40G?>T~Ke76H&Yq}$Yh0&Y
zzP04Z=^cye`<6V3{C&8$e#w)~t63!$Zr=E@n0*2Zw~R~-%cAFEKN;8$@6cJpo__eC
ztR+LnMETvbg>N>P^2g*wGE`0Z*<Z6-mOrNMCqwT9g`=wywIh-lCaRrXC%<j++WT*=
zoXS}j`#vb=?V*?J8Dm;~qT-G=td8gW`)<k4|C=Yh?5d3G=?qyLu-~eG;+5amkFFQ^
zcJI`iHCKZx8kAeZyXF@z{c%#mcvD)^8o}mRhDB?(e2vqPJ+}DQiY<AY7`WF46&o34
z_wJjwMj*wcc}<Y|O{VU3K`T{Fco_n_*9orOay`mG@Lc2Hokz06T2)T3*iyZT;li3=
zBPQuz*BMW*7r8e%@8PZoB0bCha5M8P`V%v6;~J53E7YxxI2f1wEjuA+xH3j<>7U(e
zwpy-wslO)p=^D|@-D|gg&AoawKmVr1vNa69Hm}?|Z<G9ugf&~=RvSh}B&}x*tz79c
zQNwwG(B}<boC9aHq%6}ozOh1ncZ<MPvCe7xj~4uxay|DO(~K=28^on!_n%CN+_e7a
zikbE2)^SX@n7?Vp>xJKb{J8gd@v)8nkMNx|Xqxucu5#0^!u349TOub<s@SDjw30`e
zC6`aXVb34t+27SU&zZ&CsEf?fxHNn6lFT)->B$GgK4!WaZ9BJy??iQ7184Go+gOGj
z-dCR(om(xmXJz4|j(4WX2X-ttv}VJ>q^5`Gmq<QdBdDi;;p(-u$@Bhhc-0?x@w)i`
zgVB<!1>YpqT)obaG$r|ejQ-{8O_n!=Vy0W{x>2y<<nfJb^dn*!HeCFX{HOociF)l#
z7LP97YK}>_cynSEhvB+O^`^{^m)u@EX?u#4Ue~nEKUIIOo3wV4mDuk|XV>W6Qgv9b
z{pI~9hn-VS-+#V~X(IO)i-jqVgqv91nGQ@_I&aMy-CBJu=lN6ivi#q)Ubp-c)ARpq
z(^grT-~TiD+Md-L*XVuo4zT6xwVOPzeu?$=CC_y$J<hCGO+Q)tYC+p__xqdLeyp{;
zuCA<}zh3U@rick^=AXNN(%{6Bo706Byjd3-`jT&J-pzY6mu&HqYmYmcxw7X$`0M71
z*gx4>>W2@@^yaUZ3tc7KyDzn4-5SgFUR{gizR1o0*Rxs2_GIQ#>yvBsqEBlDt+R?-
zZvT0@mYdD{sE!Y1?fjJ|qwhGkFDg$zwaVNwXj=ED-J3IBx^DF1m}j=+>+HSqt77ec
za_2Ao66gGei)CL-+fN41b$Z#8*JyI}uUR{1bJ4LsYb{kPYtko|tX(?SD<n6HExJ;r
zCT7W(ySf65tJlu|lK*$s>RZcR{CT=&(%gMdg3eDpsQJ{yw&}Tf_B!3YO56U-zyD*&
zdlki<+6UIJUv=f&9Q7sd$~{YcjV+FC6kTT#9s9|Ge~DVGC;tY6r{C6CtePaKwKin$
z>Qo2iW$(3LGF|1}YGqlbwJZH*kHf#|Y0Nv)%#B#O_Wj(khw;DzWoF&o2Qw-+T=ezg
zxOO1paFX1`@~RU_4`gPYn3z{~Krfl)LU^Lk(Z{CNAL7K`Oxb<n#|G{feaE=B>E@Sx
z{Nu7Q;~|6Zn$Hs=-IW>G_gtC~d0g>ArG43to<Ap+KJSbcf8J@?ZTsWeL3RF^dzFht
zC%lfZoiDW3_Cd(9ZOV7{Y}zHOd0ou&?k5T6_3!2yw}i<3|Nco~_goMEe{DjUKc`v!
zc@*a2`9DfFN$S?UEs>Kn*OcY0X`Y+(|G4Jsb+h+OeH>o(di}<dPMOL(%`){VJjd5;
zoPUHv<jaaV+Hq?(cE)r@wzBx99l0^@#@bC<pEw;R9J6Sg#od|vdLx_i$0qr1R-=Si
zhQI4K&Cfa+{DN)%noZW7Opl-6Q@g8kFw(A5DSxG<Sl2S{S9e8DvVCOxz4VIc>(y;a
zU%Z>M1a`dny*_#`n|98u{!JC1*2hdgrS{{?j>`V?zgk7-FMeW~e1wtn%7Hc2MV31|
zIw!>byMH>cyM4~O@DslAbMDni_}I)$cyghK=dR4&&$skuuTQpZ%9JP+O=_0xs)(KN
zh4+2ZqkfA9_B-KQBP4nb^(XJjzMJ#E{r1Gy?b(N-uD-bu_WZ(T`!c2r#+xrZ>$(y1
zHHA@f$@x!hGV|9e@1LZ=duf^MO$PQUAJbP)cpSN!@6GXQ_v9Z+c0cyaUm?8od%oGK
z6%yyy3oJ=?n#{gq?n=2emiwb!rrg|k=lEK-l;7R_F)=rtc3l4cxs5S8eXZ{MX&m}X
zwxq9LVSeLq@7cRfR;ho^tW<d;SG?VS$^WPG-kOFbtnFGI$7dM1mhs=MwH!6xRziwb
zHtF!KVY>2(*?29J4wG>8I=!bigv@_xJzL8(joEZ9voAC6zV*ycjPI@Onm%2Fe|=Z{
zBn{=Ydh>6u;hwUtt3E2TZWGJQHLP!c%v9cD({KG_&8J`YR_iAn`e}dDYULU>FYds9
z2TyHY!>-HCFTaXC=H8|SNh&<6E#KYD__Ky1j#=4ljYz?avMU!q|5|Z#E$1{g&Gq7y
z_G|U;&RoN@>)h_74$nO?Yj{tt?Y0ippYYFqo#M$zm9={QzkimdOxU%ObI)Nz_hkyR
z?KX72o;ss)!S#)6WR)}y@4m+vv3rTid@BaW$LsiyC~W<-LOglbML})H$k&aAHy^K>
zn4z_x<ntQ-$Q#}hwY-<T%HJfabG!BG{97Nxk0doQwsG1=FX%bDGP3FubNZGwk%zt9
zi{{nc2;UHs(KJzAV|OIu*M?GF<|N6y4L6<aS6Oi+)#NfKy<R=x=*e7xosZJiXXN}?
z^(mow)7ldW-8WX|hc)->Ea`f-hG%lh_cgX3k~XfiSLc{ezsmj-$BZ@h(=Y!F-4OF*
zMJ@lDlT4L?A6D4UpE*1JPtz<lF5$8#H~)WZ;-7aQ{O*n96WQl){Lbr$nUWLxXP)!*
zJs0%Y+mha|KM;9i;_`k27P%jHZd9`C#)RFOu~yNP#UT0S#l`c?Bc8`-HD2Urj{U(X
z7V}u=?BAWwR&%-9uzj)+`Fk_$q@CPaE`Lw!=z^4Qcey^V$vItrQi64j#`%;V5<hQ9
zh~M19bmh-v2H`bo(~H_vZZDDCUDlShNn**QE&WSA=|?gw+;igSvR_#zRTzHNK41Fp
zw~92Mg7By73gRm(x;NbUx~AE@a$Uvqb*VEl)<#c%KiQ`KTJ3iJ7>CLgYWKL+D`lpx
zt9ZKli)`ojPXV`=|A{>$Q^^?98dUY#{)vCY)_qI<L?;VuI-IeVEBIuB_<r@z$CfW%
z&ECJpa;jh2!foqBo(5m}Ta~$fN79a#B`K#Z)^fi(zf!cT#L?qRjudZ6*}96%=9SBn
zZr$Yxt*YJ_`X~0Hp%P2_Kc<J#dsYZk-sMUC$<nf>!kvM4kM<hz9~XbHX2rx!di-Pa
zqN2(JTUuCjSz^{oPE}!cmNNQTICYhzAd|4i`?Zxi5nn34#2BuZ5)@g%u_@;6!Ard-
zD-7oJ>4!c0JNfhHhv%>FSyxqbs9+&e%mF3kgqT0tF$=`nlZ<1cP6So7?A&Mn<YhwH
z!gI25iZ=x}UQC@eiQ~i{=D3Ri-M0kebEZy~+bSBlBJaXAev6oU7w-yc?w+yuB%{)l
zi*~0gzO1d*(`AjVzkdBur7z=yB-;}fNyj7>ZuF{4Imab=Fv9fz9l;|p4`y~X_}Fx}
zemi8k`NyYatkr*)KKe1!<MXAmS=<(XF8ZBd(w?&aTG_#AhZ$r3-iw;Vrax0%<;J9j
zQ!J5MC)MiSW&ZzuD{M`*<;}kv4yVl7<-t(+XH99)Nrvg`CBJ=QKfP2u=%mp1Ki_Zb
zYRAn#JlTlj3;SMup6<(Qd?FuAI(wld-$*)Zjn~wvcg=qatXiwK{C$c<$r{PulbqSs
zPOJYFr^B*soy4XIF|$_seY`mR=E?Nd$u7JPIAR>0eUp8>&ga4o`y@pJp&zS)_B{4f
zTG{k};lvG$hwgOE)Vq7)w}Htj_3TXsHWSrnJI0^TGVoaG{iD(G#BGC+M(6a-8}e;p
zlX!#;uB~Z~OKSdU^Z(t``O*Fc^UBuvteR{vp+)}suarx|`{KR4nBvwIubk|aBlmfq
zpNGP;H6EX4JW1Qqc6*n`a@YTFx5|X9a{Yg6ZLp|>S<;{P>+cB9UE{y{r})Aol|4=g
z_Ir7_nQCj++U3q*N!itOEME3x<OPYA!`<Bnq=gmU{rtTuBI2(4TQ;err!jpxhZF=~
z)ZYJLw7{43TTI>SEo=5nx#t-X9QII&OZiVk>iV-cCUQm;uFSu>=A+;V_NBK)>aVR`
zaC2?1m8oRp1Jj2W_yuEr-%`7ILZ(-Qb@H2qzRD)M*0*i{`6A2LV9BOJ-^ZFBjPfkZ
z-)@|9jQP#5_=z_Qe^1GTnDq?XFDhP7VHKbFOn&u+868Zf8=0+d#J&hV`$wXW!RO7+
zfJZB={h7k<t>`<P<oV%;l+2%)uQxoCR#@{Ug->LO<K^JH`2W7mlK0ov+E#d9Xz_h1
z+rS#r6w5G;!ziNpLAwicYEqHsnwSG&k7leF-N?Yk_`!-f`F~3P1fGKn>^Cjtxbo)r
zsmc|lt!59Fm%h0;@tEqd*V$tKvh}aV{Cs%f?1NKPp9JI%u0Pz^c(AKc@AD1a{*Uo%
zHvIm1;yY_B!?%MAW<Pw?bfi_|ceX!A6NhLLM_tm>`Z;A~Go9ab2HyO0^yJ!pZFNq?
zL;pCAu*6(kVO@5_;`5*O8%zIedZFDnN%!a)vCl@r@7D+XUMv1{s*QB9-<$P)(^g7~
zRvKMzk~%+OQ?}TI#>jy7nHxS%Y^*pEpzl=0v6i8mNjvV+wKZ=Z2Zybd)>|pz!V?+0
zmi2!Asuc5+lD?TI|F;y~oT=KoxZ~dg<`|(HrLy-7URZB_eX#GvoBMNiPT4s{RDIVB
zsXa-DG#1=jQ0&ek7$kLpmHXS8<e7}O_(YTUZtu!x(K*f-Gja8Uza6GB(^qkrTPB`g
z@Z(L=jE|veY{8MHg~zqdu1&7)HZZt$<IH1@2aM(?Cmc7jTf^CX>$>uL2G-y256wAr
zpuT6}%5|KwpKdBNeKhZ?(70i;LcL#jLYaIS(~E<NpKq{<U;E!t`@?@#aXaJ7{omKT
zY&`z0qrQ9L$Bi3~K1eEDu>Qu56FUu0=-hktwJ{^<$Z6+^Q*XbBwO{=p|K^My1;!+G
zh3WD?^XJvCXPa17v9OVUb7QXjJwXO;JB5RsuP3=~nEZbK?YdP-f(8#h-H2tBzc?j@
zJ%1hBdVBvRzwSQWnE52Ya>?^&D_<TmUwuRE#}SRE`%@zNm;G4(B=Gs0`P)CexiD|Z
z`Mr;p@31?O7r^><e%_{tcPq~%y`8^3C4p%r=jJ8va@KN-&tJ!Q(CX`+nUf!1xwU`I
z0gj|4Uy>pgefiUMT}i`h_4Nw}k{Na^x%atl$3sDlVwUdZ4;KGb6b{%_{oLVq(@EFF
zRht4LRtf!BZQ&kt@7smyKMSv(V3EC@ym9v$ORg_}Vzy0inV4aAIdSuvnOtfN**BT>
z*BMQSsm|iO7~1eUW=8D)6&=3J0uy2xwq%H|W_`%@vR_<&1<!fI3{!~}ESs_~T}(^6
zm3Dp&=XJJ+J;@AVcNV@o@hPHrV||{=IU{}M|A$xVeEu=zxaEr2tTn<bET3Q4BWoA4
zlH>8uxs%qK+E0ktWVrEXwZs$qiAk?nOp6&hbAC$H-E>W{4ER|i$jE*rza!+$%MYGO
z7f#D6Ur@}ftX#NyQG3d1<COuE8IBfBQ8C@m$p4gud*j{0!_D`8tX;G6`3Z)E&+nYy
zMBb14UG^m6<+2wqQ#k9U>1_UW|761IB`;5@C&a&BvNLs^!~S=v8P@Aq-1!u*t#z0z
zCHv^tn)QiF+>^EE-Jd>p=iC&Ayd__rM@y$oy8cKgpmLqf-|YPBcWu>QSP1N$I3=@4
zsAPI*=p>1*cMHD0X$nYQesj4W*Os~|ahf+7UoLH08K3l^Z0U_jiJx9@)|ar{oWyin
zfs2W~g5%{De<s1W7oElzDyJ=N@Kb2`#k1AAQaWYnl}O17Bd)#6Z=160|1{IXFoO4L
zysE{tTDk7I9)3N`)=IagXu1C1@^teWpL^wXOO8(p^mARlYLda4WuN6G`ImJcoBtyc
zb^bpAW&S^!BgNqVTA%%Ss=S5_>E25|$8A-9GkI~^vUll`43n4tj}%I}_hwn3Pw`0u
z-X))l4>6X<@Lv(=U;agW|N6qn)sat5oNAtC8yWq7>bCQ%e5!8wJiItXYtzE8H9ql@
zXa5{uef{%`{;D^8YxycxEqt@q$1JA)ir2lhLKVH&?yQfxRgkpScG6E)`=53$6BY<E
zb0;78?;R7E^x#8QmWsFITLy(sOI8?OygPqonNFwLhm@pg4?apWPhWcd{@R0rF&FOD
zHL-<p#3=q+^FL+HrVSk8{Rht3oUOT3Hi`3EhAeA)%#u@Ae@>j@FZk}<{Mpm{>)!sY
z`~0s%SIT7eZ|@~1Pp@~%6I;0A^|Yyb|4u#ob7lY3S@)+&i@n%ep0c;^&FZO}|18a&
z%DvTJb&=nadn{)or%qj8H+{|71lycH>#py6Gxv$yv8AV8J!Obn=d$$@&$LY$3jgau
zCvm=KIQ9P9dX*;o3(Pk&dOlD1cs(e1CELwthO6)9F)uMrmhsthCFsgZw!6`lV)v$R
z*d5KY^WE}Ok!&wwk1s!AD)s)yzlxU{{p_naj(=Fx|06U0&xCXjhGa{#vNQkoOk#Po
ze6^q0&AX3u7so8S=V#P0Z*5QUyqJ|Nx9wJP+?L<^`^k(`%U5gN{?o2+e0gVb*qMJ<
z-40G&WVm^K{P}lF4tuYt4%?#r>HoZ`%lE&YoO;6Ml+v>ert42Gs{H1x-WRj5<P(>5
z%#!oxrDiOdef>r4wu_9%d@j7u+mOt#?m`qZQ<DGgCS$e-G140g5`|y1w6P@p;y8QY
ztyTj|%)=P|<OgeNa~8H;JMr}6s}+ZpmV|G7_^O-B;3EU?xsMZOCs{=BFF26QdBH{e
zAN!9jjVv)IJF;0BW4@^_xSjleg~N|m*Iig+Zb~nhDzkgTx0_e^Ki=Fkf63A9rxvVv
zK0(z!j&TQj%_F9yh}R7(@44?vy1^FHvGsTZ!~Y)-S&!VjePDU%)TJlpr|ejA;-L8H
zKi*UGT|fQ5v;F2Z!^O^%Ud>F{vGAdI<i{CTZmNq_{|)$=HSO2)k8P&<WjC*U{2Tb?
zq>R<nW~=y9wvU%wKUH3~mUq)y-Fs6D_Wy`oCHZlUPJHbt)}L)^tMA-g?RfTu>2alY
zwS8AMUE$c}nAWZqZ}ogx;D(%bmK{FVf4uo1$o?T_e~aqpJ=~wuly3aV{@Kp<QR7}x
zUGZ+e$$Ia8e7wHrs_c)Q{1KTAF6P=-WSPIlY)Oh_zOZ_J((l6ye1HB}t#xjh`=*-t
z3o<t@U)YmWIa^HTgSGze4UQ+64X;XfeVieGXt7gR^8Yr~e_MV9_;z@dExXv2w7}!@
zj^BJN`!^(Se7T@_gJHu%zCY5FZzj)wk+LIVa%cOCRlH0|bs;}`H}3p5e_2Q(>xWC`
zZA?4XFfIvBjQlal_VB_G;iW+~F>&XvNp1U4?auNdvTb1uQ$y<C$qd}DYXA0Ze7z)e
zV}pi%&HGI^mMsYrNJ@M$b>Yd$S}d#!&vPxzKcRWUH)hHGn?Enq-TN``aMFnf?A2~J
z@>mTcTU6(IOW2h)c=%>~s{42&kz>I=LB_?bt2gYQsLFA)RptNAzm1A%2h`TIsdg><
z7rUla)oVJ3S_R{Zx#yeLMYO2S)wW)6Hm-$j?b@|kWhZxhS@PeydS~>XWz)9q-0-HA
z?RMQ7&EG1#><7}aFBX+uocU(S`I`4X7Jr;p;4il#W_M$Idb?`ujky<6csY|c?e^$s
z*qGj~8lJ_!LyqZ2EJJ_0>h?7k+JAnCI@_xH`pEwmK2;x*|7SL+*4;?jb87m>y}|t_
z-)`(I?`oV7|Cn)|_T22qj_$^@g**7;I@n}(3hmlIL$rgDeW}Eo69rt~uT9W<Q#C0`
zUBPPqbk~Wqb^InJ{!h8ka(n*fCI6!)uh7`iBJf?Yb^=5C0*l5B`JaLjO`@{1S3X~6
zar>`tcbne#i3|z9*xeb}uPvGTZRzxsEB(_|J>wKM@4nLi=AfJW{|UJ#6;C#&tvji3
z`NK5OxgkGw`dOCSSik;b6Y<yY;~$sGb&UFQYgT+uzTkG?g{)E|%gbt`?zZU*6k`|E
zDu129V95BIYoYAD$?_=-l?xRl#4l`p-)Oes^@1rMMVI{P{_x2?N3pV*%a(Jtqh??1
zzp$S_+dfaSnssx3dCke|$7cRG=$q|#;h4t8xJ%O}&nVJ7-t)ojz4zY=jl&5Fu?){O
zuB$KFIAg}|7r7COPW*Z4pk^5R`ofwM)`pjB>Nati?~s|lB)anVrh^~1Pk7qh5K{lK
zQAF!O(ybWTAK4E-e3)sv>34?7l23iJ7Vs?ec+|h>-U9!RZHu#1eikei>=I&RFlS;<
zTK~gyLsFyu{FPf|B?XNaRB<H#w>0cXW>|9}W>Z6_!PHj*k`-DvuC)tqbS`&!u$z(f
z@b7~*KNx@89$m7->)YWCYxW&3x_PU{RpigV4ecocGna;Z>#&b(=uGB*<72ve@1&m}
z8F(3E=6^f)&j0SYs~^%E=bHcI6#lQR_Jg6ce`)^L5AP=*YFeT-@onIfwZ05zwmrFf
z>-pE~n;eq=amL>IV%Pg1Pk)PB_L=`)Y=@;D{5$i{>hn?!Z>2EaOHYcpJpP0&-@M`P
z%=wL8JR6oR)$msdzIW#8B(4L~;;*jr;@Md@-EsBgbEns`Yevenw~EdEyr6OBat%M(
z?yLLfevQ;~{=3PjeQNxztDkIletoyq<j0?u#;q3BU))#b&nf%1cw^G^)UBysKK<i-
zUAy)8?P>9AbGKf)xAe;A|Lj{?BI`UIpWd6uGjZD=j(7&!xQ5k7|47eTqPbH(rgx&l
zr|+DrS(rbq74tG@d=oRRL2UNPrKK%PuE+jgD4!VcqgY;!u|vDN@$ErobHT<f6FF)F
zvb)~=>oDY5pcL_tby3pQMzOmal1?pfoscGw^szSiWy6N@2*wxIf4YL7ZwNi!BKG>{
z&(P0DFLp1`OtgKMJpKE^f{GnC+L=$R?ALfRt#(hVSbXJ@{9kYWhRuyWIcIUvON;nF
z|HHnPbxIy@mH8z(=kNOK^M3wK{k$}M3rp9h3+rZIJm9lj<lkRgo#oZ1BYa!;KmRpd
zK7H}+C6$>cg&QJj*NNMu{|kHXz4~|A+&wv~mQ3q8o63B4`QFr@DnGtjTC1{|Pb{cZ
zPFvcpbuwu=XK$Op7M|VTmbQj$;_F_TUvk<&a*lQI+>AFqQ_SXzYDdI;S-0A|;KaS_
zOsA$Uzq3hj`LcJPCy7sCs9pAZuD^r%vvtZUKGL&|KQDiKvd3haTfM4RxBtBR(^ahg
zEIT}9S$?bVxgBSh<xP6<PgzFGFYZr=gYUw~wuJ(W7o@MQnHsFZd-C!U;n#e>>muiv
zESb7$a@1G3t^52ma_23NKey~~H-m@I-1t*&+RM)Nt4x_zq_g#+y8gQJw_Fb{Eep!j
zOZmx^d?dCtX5F2+SKSY$&gwd|RAYX~%qWg`&*oj#T~f1mkK5U6tbGfrj^FG_-}Tsb
zf146>(!-d08m&t13BSu;RD7P0&!w=t;c?RH$Dfy#D<r)Ra;b}bQTlnu*R+P{3dt@n
z+20S3M6}D!|J(SO->3R#Y}kh^g;<8Q0{eb1ob<CZ_UOfV^=eDF=g<GyQS!fM5$^)y
z@Dzc!58~us|C)c}MW^8sfzTe&g?tACMgMm+KI&<#-S(F~?UB3q`boBt%WY3}PO9u;
zkoR9=yHv)>W(l9F#Kqrh<@S8v)fy>Pv!}0Ex;Fdt(?2mgE-NVrZJ%>@!Q8XUT!PdU
zI{lZ+d{R{SXIZ}9cd^Zv<#RP0-%NM7tj;CBEN1S(r#yi-C&+4_OqWTUz_IFE%#N6i
zXRloQJ<aW@s@9?4<lp;N$IM(>;4}C0{eO!-O%}S@FvVtx(%pYMmOW(Ym}Gx>-sc{k
znV&7*|C#8RJo{5bNpI@bqfynY|E1=2T#Y@#AjEn#M~m@W%;uyh`-Yzz7-QyjFlZks
zW-$6O=i8gN2XvOm>pEl|?~J_GamnuAB)JrZyt&`3*2L`Y=9ZX|qrbF2eb(0>EDfQF
z+)ETXryjHm{jfc0#j*~Ew=5ru+e1&-oSpmm2wQ{W?gLCQ)0QY5k7@|sSMX*=SWe~=
zrPQ93>*p4{uP=L}zj23e|ACgH^WJ~B-;tGdZo!;gE37s!CQbhT+fQx&+tm$Mm^hLS
z{PdV|Ijc2fW!2T5c~9nkP?TZtf8Dv|*^iK;*R!;@&iVFpx9p9!q~AR$;w$I>2%r0H
z=DZyR_xG%5esn`qJb2Ex+Z&cVNM@L|qU=vk$~o5L|HX&b^v3?&)3<7JVnu)I_p%v3
zk4>!JQB`$p#gb2wS?5lO%?(J}qx7+3*5gHte-^x~-1x3$W<}k_wSUfRtu6a==FQiC
zrJo)xUc`M;%m2gPd5wzk6Ei$NuN61jy&~wQX6nbb6M2k^|L<8nsn)pv^YYOTzh`B9
z<yp?Xd?$nWyk~#^%vR4>FnOuaGQqXe7+WT|{H<9k_<YXOs+-A5J>K&C3%p}Cr5Eg6
zo@%r@eTP{?#s57mQzkU9e0-@G8u4xk>w{Q^?Ms;>H)`w6c`@(Z64q3v(oaU>l^oUw
zB+f55U9V)n<7dIXpBplkoIXGI`BcWgJxi{Abou}0lEcp#_UAjTesfyz#Qrza_`Jg}
zcK&N7!#R8#?iqTl>G*K6LS=%3-Y1UTvoz-KaC5(Xb5q36=65$vecQNf@BM$b6KZB<
z`OSPVNn38Af+d6C(k1FMZ!wAYe|>XC{rIc>Czvgl%F4!^UuF}wlKJCA*0j^l&Y!q8
zJ1Dtk&x7C7J&rCvQT2Y#vPpuCyy;3m7zC%!SsYUTXOVwokI}c8AN%JY@q8_O?d=(@
z$e_FPKJR(IEVVDG-otEm&z;(DUms;va=cwqJh^t=oLlOZ41eZ)-naJMe$^!|)k{jI
z&iVAb?q`(O_id~F6r}Ebd%Nq?WZB5?n^(u_teQ9P)Bi7W-G7hW5TCnul0x>IfAM!!
zHC*2>zgGM2y2s8Zoqtzfx#+t5?zB0*dr!<d(RusojjYvv*^|6-zr5S3{rsrW;-2$g
z-rn0fbFa-dmrou`=Y8Ju<?VKFkGE^ye^7ihne*$P?{DYwG;Es5+!f?*GvjmF(z~Cp
z=5_T1*1t~W)tvL`Wt794KT{_$^i6WEog6JY_r2;z58kD--*tG1PvEev_%-M4oOwGg
z9O7q;`9G0EEt6yZ!|F>P+@n^1n<aWU=52>>{k?{ehm6fSw*Qh}o&WgVd(OPAyKgNl
zpCx)_Q=fm{^nisi^EX}V4mx{wd;hsT^W%M-|LyP9jEqp;GedRvUY3|i-_B<Jn6vrm
zt*^%{=bhZia(2$vXjb#E^ZVjh4`1KJx_1eGca@w^tGtoI;fajbdV=0gQAv5v`#+B3
z&AfR}tRflG=dbhf;#fE3rZ?NwyPs;Vo#!apR20yZb+RC8?vu-N`L@5CCh&dU3+t1f
z<z+tYH;ywdXsyaITe0TR&4k4rjj<h4H?&y$1OIRS>hWgo;+^rb-Q}kZrz{t^^hwKS
z|L=Knz3;pPrp}vp%j&M{+|#VFGd?P9`KG)r#OnF=xeIr>%=A;x_;$p=lYw{IycOm1
zkG{PnbbijQk4zn=vmacqPq~oMabn|yU@HNp7`Y22V!wMfKJR@wb#Kq=d2=T3-u(N8
zwAAT2r{m2|e!6+f_Rp>J4T0(xtEbNoKXEAh&C9S87pKpizI|fQ_m90=-Lo#Z2Ikat
zhMjFZp?vb@{23R5>VLd_#pNE@^&ysF|NM)0PYRUGOL%&w@2Q^Tm1~DxtiNrzdopR_
ziGHnRa|^dGJ27ki#`m9p$aOEec=d_TqE6$Z-!_~|om05$)XdMbekQhZzrCxwd)k~$
zJ*M%Kg*fMz7B>7;n_u>it@roG4%7T=9nU`Y{62N$tAWGj6=KI28$N!RKWEEUuZwHG
ztew*EF<vlw>NUHh8C!lbt8UnM_IKa&Ia_wG{cz-@u*0%7b-A;*PF`TrC~Q2xJhJPz
zYjerB0~>N?Y*Vrj{FNdgxA`Zx-SSWDMjs4z&8-gWusuJs=EsB^9!FZ&ez_LcYr3{(
z##XzocmEq@A8*)UIMZK=vHQA3&HS0Yv+6d^+IF-1htHh))+EOnb%~rCZ`n$+HO{TN
zJ!k7(yN^2Wr_L_c6?BT8yLIc~JLc>QDmY`_O3&C)x_{d2Pr4e4=VEqPPJI45;)Kx0
z6O8FQQqT0}eeyUwb++C2>XVt116uk_L$j0SO2qngY_#3o*?m}O!iIGln5NIsN}pSL
z?!q)Vo$ehor_cF%a&Bqp&BZNe&jfqdeu{Xpv1ryF^ZGx_>%;C{JvC=icjvm&$tQ}=
zeT`Rfv{HC)eJY?R!ESE9+zJlsGyeUSNi!PHcl`W!LQ8q_!k_Q=3B|D{ukSo|(vbJ0
zYac`Dn#nunFKsH_HfPaXBgcwqFE-cAU$k>xbk3Ybsu?WzY$n#7|B;<-Wa2l2W8eG*
ze%dFlJy@am+(0sV&O&vL#N9o5H$L7z+9SCB>AZkC>t$ZImzVc4E&3~3{c+j9kIQmq
zF8p>={^MS+xASvOH7<JHDImJdqVk6PgbMQ$camfTl;`DsKUvUrRzGfj!J|2IeC7q~
z{uH=!#NhSPNS!A~-}ZfY+|9T7sNpyDs5Q~^TedP*toiSv%+wY?ucdU8$GZ8uLQirX
z+viXfIw>=lYv);>y}OF$d*9!b@~r23^d~K&Wrm?Awd}suTvAs`{43Y3S|H>9#`71?
z_WYk(u4mj<Pd=))j<t5p^Ldj4zLnU$UhlFxD{^+w??pLt^Va(2XGfYmyAoSnnc%U{
zVeJ!3#o5W5dlmJs9-CAALN&d!@cNrxMX#+BxNXldK1iHUvHRxisWy|U?@eoa@527E
z-AV3W&x75mtf$}2d^sVe*Qsk+@6}&78RxWmF*UvMpP(@N;JY;onG+ae=Cqxj(eiHt
z<DI1WzpJjx%n!YK^TOuNcEwW{cIRA(nbUFa(LqJk4Zhlq^TZA$zi!}-_)+D1;cnd0
z-pyxj{+v2f{&oN3y|e#`Rx&sq6zSEN)4l$9(x1g;Kj!@X*ehsNcILs&e^ptPUrRT>
z%=s~+;m_a7@Y4);o?gl?+T%KP;<xD?Z`b^<3R8VM*?uX<)FaYw&iyK``&YT!Z?mu1
z;$`u3m#7N7J^y~{X>rrJi^4rmE>$vmHz#q^|EgPGPu|$K)Wqxl&Up#>Kb0$&n!l{x
z=`dwZoc_tD%s+g-_(o1yzkj;90z-4o()_QI4T9E7)Jrq%nO-mLyZgz$cFB*R=|u%G
zbK>=dQ{Va>Z(;ebb=P&ewyfXzcM&(&Ki#t?TB5_Qj&H_+H8W5A?7d?<`-1NbyV%W3
z+0)K$+x~a@r1=wG{5PDJ_k8aBvwx>pN6)F>^`u0-QRlJ5MHYVr|6`NCF6p;>JF8KU
z(J*%R;{FY>OZvHY@qf7Ge{<7>p9x3jHcw^}-7~lUP}_^v<QLtaubnu_Xf)^cx*rqR
zMZM>=UEdSasVEhjKkwmxZ>}x*FYooRzTY&XeM9gBcPp_KJ1e=qJX(DAL3p;<P4(9|
z-`&`d^7+O8n~QqS&e;6#<^rRM21_O;^GhT@`d^ul-f%3LX-AIzx%b@{cH~r*ef+<9
z=EZs&<sUg=b1&&$OJ1;P<BOZgGvBs0{3vFB8)L?_KydfWOV4K>-*{5G@5!?GXP@R>
zx?45V!f|fw|Lrr5DhaCGG!~tc{_D+ex1@RL*<rkoiVWvRyeZu)v8i~~h8ULR=~9fl
zf4lwo<$d-%%ZKj=?mqkLDsDD+PR2LJj=i6sw0PZX5bRlb^sj5Ymz1L2tc*yhzzKrq
zmu&2Qld|;l#}^ihYm?{4E=}9cJ1d%1`vO-E*PM)x(`N8Io0)DrD|AoT2D8YwiI3Q4
z_-}rZ7}2rU_8QZKw-wP7isXO)a;-SvoP1=S@Dt{XXHV{b<~J+${7d6Q(no&IereWv
zZ$`}ZiA>QPZ*<<o^(Mu<ja8pND{WcI|93WTlgpRQiFx;V<)RNUle4VfJYAq($oPTx
z$x0>WoqIUqEz<YK-1C@W!^@PEWM@(QX2!Go66a>ciCsA5aeVg~mZkpP7NtEa{U=10
zCdB^FoAlytMCANS^S{sDrhi0ELHTsYRz8+JF(+2&KA&*wdd20rm*zGmbteChdzs#P
zH1Ot}!=@Ju%x|7%ereOrxWuOX)SQ*)ulq2~(MUbAQs?0<xrfWTXE{0jT(i{v`kYlK
ze3#mP{j}j--wwZzGaCh~y^P-K&s!SyL1fL`r9PGhd38TTH+HPkk6YnYGuKtsE8zEn
z1jks0Tee2`b}GD?AfaUCx{v3b)wJ%ZQ=gR^pICkE1&<($@Wc0uolbhLo9U50Yk6<%
z9FP1;rq~tHA79&hvUvJFIQJ%HxpQ9Soc{B3oUZ=7^vE}$<l4MBA5Tr6f0NmK{!GWt
z-G5`|INn~N?QebZQ<v_yto|K}^1EA~mTd~Su~TFA-1Ad6ANezXp6t_Yb6Hd(Tu#rI
z(S85wChLpSy>B|dpKvaFul-qVPR7*lt0KQXVUGVYcWYkykvq%0WGmSdyRuS*pB#Aq
z=8w#^&ZImMo}`M{H!EMJN{G(QT)+CsqPb7^YMwMY7A-V)!R`Ae4fvOa+n-c**s*pN
z?@q5h*QdSJvHL62y`RJJ&AiN|a-Hv|owz^W_j$DI>icT*3}1$HyR6TTyj8L0Kpe}#
zXLEgfrf#1*Wxv<0oJk=&{H49__AJzP{P<=q<MZY(Z{{tiofJCLr~JjrjdK^2mCXo0
zJI{CX(yE&i4A!6UI5BTQv^HyQrN@^)GH=h!-TH0Df;VTkJeu**@Ws!RmmD&UQV%c8
z+z@J!G{-qIHeud^-9j9Tr{vF`|A}Mm&O7Rh<h|$mCk0-MnK$2;<I1&}^L6G0s7A7_
zpXjE(m}eu`Q^s8!>I=QTz1gai$apzo<D8(FZ?n?Q&vTA>I&-$a$e!qV6LzOcWwrZ$
zZd$DMZSL$WPtK+JH7u>Cb7xJlxP9+Q(rSB2?SFH^E2Z@3%wBzZu5*c5gOc~Wpt*`d
zf1V|M|7zE;DtrE=@|!I$b>8cy&kcGRE!TZtpKE9Fys}MRJHFI^S!pJFyW{Zl<<9R@
zf+o!iS~=NI;<2>o{3*-kPTTq`m3{w=?1>CKOV@4Jp2?7PBqW8QVs4OS_y6|r_qSG<
z)bA0VmHT6^py~Fxesg2RUr&vGJl`woRPZN0*29@faoXqS$Ay~BT~t4BO1P%#AMZJO
z?>kTa{}2>&^NPe(W#RckN&g=NK8#5{c+vgTpFgZeo~F+dk%*lk@-J&cyYXD%!^sR2
z7F5ZFRx*lrFxY=%I&tXD8fNbwNo@;in?J>HA2c#w-%#~vp2(k<6%`Bbi(X^;p?-sD
zg|X=GDSkf|%`KcI@$FP?BH!e>yh%adk}e#7^E^0gjrbC=S<9b)e*UX=)5Ujxgu1@I
zirqI!NPSl0@d+XGW?uh$L%v~!ZT7^JYY+FYS<4#z^z%Pi{rOefX9?F_dbDni`uQ6Z
z?BW)jT63~4d0zEXodwIn7VbYg@%xSEe=CX?tgg8sH0kv`sld6_)1L^wo$EA{X@=(J
zhl<i4=Ecl$n#}C<{><LLGpkP+uAE!l&&2&_ZuOmiE4hz1sdde9S}ruvizzQ@j`q1J
zE9T9p;bXF%<=-~5isi*lak-EMum8`OtIYJDf1VT5^tpx)48HzZ5GWtLe!=x)XJ^d(
zUvOlO(cVRBU-!H{9yzmCkg=aJ`$X8eIi|B}x|xLMbhIw`AO6s1g<ZyamMFEPc^0#0
zIBz^%AHlicr}^t8dO34kUf(_?ZuR3$%$yqU9TsILAAX)&6P|wJy8ZgEFRq^4@n&PE
z^`F8OJkoD}Pm!GT^~GPi;|=054U#{fHvRdj^<!?$>q!y8hB|*5J1age>D^^u)YG+q
zLA*m!B#ecL{m*Z?h~F$9AF^}^Ixrr7VfuRoOH#wG@;wijlYcXxUlH21QR~OchKr`Z
zXWWjQ8nveDd~-76Dwc5On8xJ)6AEJ)W_i6m!~6Y-)RPs08yBo#HjTMxeW?4BX7)Ok
zy8Mn6w*Qzud`k{~$#gj8`->B49U130ICsrxDxIh_W3K7reKWS!uQ@X5?B)eK<;C4+
znO_tv+@yG94QoAr?En1w6K_6*$1I(o(RJd)o;9rZg`XI`V|)`6)v!nY{rUsRo>6`g
zv3~>>NbsK#j7aroVD}P>eZli6K|rW_PP4A{LB|b@F{L;5K7SC=ocup{hV$ncr~6l~
z-Z-B({T=7Yx&N!v=OnE?H%B?__uRzQ&zR;2e(U@=Z+_zTCkbnoJi0$kc;@`Xt$y0!
z+vX}pSvCIK@_ADe&!eeQ*Dbzpu(H0$yzSZPTW6O1yd8da4nwKgtFYt=TJv0mg_`*G
zFT0)A9CEy4N7vN(A0K}|c{QW;RJG*<-})mHQ|DD5oo(_ja?7mT<mqXj<z;X8Fm9FV
z`m=u5qY1NX`Xx@c?3v<xJJ##WX4bu`%#Z)~<b|H}5}(Txb8e<f%kK9&QW4S9yh1mL
zzIf8KmhE=l&so0n^j7sWsLoGY>!t9mZtj%lenJ!XO@F6#Q%~x6^2^I|-PezGR8RjJ
z4k~x2d&E5D{=RN{l8wv8H*+2LYPo!I-8gmE!JK)zTc<ucKWTUOGuHophU{|PUvD1m
zpA-3d`#hGD+-nz9YTxV0`<)utJePOXra6({!ne&=JAW=bzx2?;pGkku2TM*kx_-K9
z+FbSXb0h9YFDrQxw02I!+bG5vljL^DB+ONPzwO%6lLqX2CA{v<bNzI!Q<LRr^<0P2
zPg)zMy;0&auAgrIb<*q>UirBN+x=AD?3=4vb#sA5&%9Nac~^XLa62D<zkKF=hiL%`
zb0W6y^O?i3U9*18+{s_JC(Nsvqgua9&++!@ikt7W;^ca-8!=?h=a}k#_s^2xtIJ(x
zugjTdGc~8lXCBAe(D(P|3ru}9<B3@Nlpmiudac5m&mMpF^3%S#hSonN<Y!2H{%~qm
z<HGQd^CK>8mn>Sd;B)^R?e&xEE<~^1Ge`GM4*!d2p1=t&nEubW<mTHV64$(ucX!ec
zkC+VyYL!E7Syg|ml>2z_7}JF3Q~rs?{`sHL@n-fWx$?tF6K8DXV!4;R>iY3r=lW+c
zw9Y=zW_r!<gqh8JhMNod*}0RheOvJE><P>H^BImV<kQ~6ainMCml^ZgSYp~Phkw3d
z-?iEJ?&i;}=~F&!JNTt<N0jK{2Zn(Y=dDXj`a9wL`G)!WaWf+~zL@^wLT|#SWQG+F
z@9$aRurYZ9zy2ET6R7k54GhTp|2=L_Oe~&hv`6%W=gl*fYfhv_&RVv4lT=;Vd>gBc
zyH3U&vAn+b8ROqITRJ|U%z8cLcgXuLcU#F*@{I!D{vEO0wPz;J&yNC$T+a?z?`}By
z>{0FUpQpQSToTN9cP}NW#A4gDU(*<*&+3GJy7BedlLNEAS-gzcbV}y<j#qYdb8X`+
zQ^We*4#wnmDL$MXv;6G+2>pvoCNru&J-Gh+3|nR91Njpp!sgp~UFa}-c+h{I$dT<1
zt5&~yBr)-~QK-X?X}^D-)HyqmX>}ocM`Yb8EyD@F-Y}lfJ~VAb)2aiEF>dbzPlcV)
z*4#hyX=JZ6drNZlN6l?>B-WhKo;K;{=jCUss^>_Ynrnal>btp&>s?>8`bo9;&0=EU
zeG(|}d2^e%(s~2!jNKOXyN~~Svn*yV>%pY{*ae+gaotZgFV>qskHz}u1ow#(4}44h
zpT96un#+2D$DbbyJR)WsIXZ`Lqs->Wz6tqv=JM$qJk|era!$}3{srs9A1BQc*mF`>
z?I71i#k8au$~RwJOmv^Ce7aM)u5Y%03zPbqkIR0}QRd4@stAo)-tk4WQbBQ>+4j9R
z4mBrfF(m%EvH$Tr-Zw9lf4um+`A79hhRPY7A4@klR~4*Hs+`4XvvPs)AI=H6?4>b1
zGBF%8*kV60Jd1h0Xs(l(LZsaT&*!tIO&9%O8Z$@y+Vd$dRzIEbe(B2VGK;yBQ~v!F
zFqtF1zKc=VKWFN*PJuo?&Y%>AtO<uE3(T1-zI{@W`w@@(Ia8ke{bZQ_<VNMsKW`@L
z&0e^w{_~Wlp_>@`Uu~IvI1VJQFOijfXS?o~-S3ZtZei-Lnfm^^k@Vf~pFeH<G37&S
zb*uiGBfpdta_&!gzt?kf%3;kZip!%@gv-vbt(@kxb;|qqdK*>lFiw9Fw3nmv%{=)M
zRgJdwXV_xq9_O1HZSZd2yh~P<+AT{s(j$$8roGtdC)asjmt(vAe7XNcrdyhM!{)H>
zdMYq!naR^r)4H}TH<=qR6=XK$gQ{PC(E0eO?{$^C`uqNdZ1j^j^zT#Z#{OSbb#qzY
zyp!1LyE-VA`y^NQ88$upm`|PwlQyoaubU&Mmps?2wIp=S3yGU^<W{DHr93&gamu^9
zsv1$&^W;KjPdW8}@9a}bh1!+U8e1gi*FT@qHOasH&9>ZYr&EqS>nsjiy5j8gpU+x7
z@9JsKmAkGsCwit2@03&b=4#xSFS~Pkx8}5a6SH%fj_W+_zItrJ$vLv;?@P|ew4eS=
zJ!nVr%lwn}pXbR2m43P;@;))_Q|q(NvVQrNCo5v+$u9rhvqE34d!L_F@4oqRlbT=L
z-x!(|YIAqJ>+Jou|74By<ffh4dbDoiUY6NM96zpSt-iGLOUT|&UdPX8Ox{@db)v+V
zXLH`?PWw7_Lgt<`1y5FTU0pL@Ge-TK;_7+3Bri05pEC33fy>7iD!tmkc;V@+onH34
zC;qTJ+{-`p;HK;sDo=uh+$PNUGvRLE!&y3=jGJDVPdjvDB3mm{N6B~NnX406V*h6?
zSQCF^$;$io`)BOA*>GylmfYk8FVAmMT3^u+eB=9uKHD;;8M3V}8hq|nG+%hse)Z+_
z?-fjwPBS#dXvY3G|Np_~#;S+*_cSgX*gWAx0>j1&Gaqv9h`oB_#)P}JuNOMp{F?Id
zX6Exr$2Lz0Nj|Q5zEjV>Zb$dg*Y;9Ln>rW@R?O&|G<D;~<oO+%^*53>CbA00Y+~tR
z>vx{L=i$~ht3Dj&+Zpz+a_7U%9Z&53P2hW+)Fmn#x#0eiwbO4+z4yF%`TZlTi{qv&
zyz+a-IdT4*FJf-W-JK|R_Sl{5+D%z&r<@PXpSt*!?WM`*CIvTsv)VT8!uHNHKc-xW
z;+(&2>cwvxY-ZiaF1<5l>AxAAM+Cw*&E%RT5U(@!lIqVnH!CzRZ9F2-I(={4{~If|
zh<88AnPB<<%`C2yQD#q{+}D-7^nR1KWCLUM^QY>IT=p*(s(hBYX~mg&5(<Cj+CQ6o
zc_QPS=~q-OInAf9t)9s(!#H6b(?^NTJ7zpR>^f!b)qk_NB^W0zfBoSKBV$ZkOwI3|
z^V1p<T{!pOU=%J}@Uoq?*`?fY;;v;=Hg2BF6Fha=mDJa*e`57JK6g*MGLL6!eW6po
z{{*);yE`nOZ4fqne?Mf;A(u6M=es-J)qY%<s3kV_dflF#t0IyP-|5{jp}jR#XQl*8
z*NW5AZv0;GTDFo=ccK2-#a4RHrmtPwA<4m*ko^B%(!uvBlDifxo>=ntz{a~rm}(@J
zp6dGa)IL(Od17I!>6C|0AIBUxcz&~e)hDLY6Z3xV)U!PnIC;l}^%WZrir)L#@y(S{
zJ%Tl6!oxocT-#V;R-|9uyn@qCfHUTt#AGJx3pZ0rS*A^BDqP1DHZ$b>#NI5qN3~}8
z6TY8_j@ikqwjq9%<OIjp`;rdDOuQ`>^Ztf!LPN~N?QizZ`sm+qp8I`IlK93Q8?N7F
zjuDS#h-=!P<l4$|YzD&<E&ELjjR&SO$NqcX5zBCjP4@7Ch2}ThV$RNx7F{C|GmoXq
z;`W-Uk4`4Oo!nOWeADX`#b?jdqd5eR&4_)hrncZ`_VdjrSMW}K6gSsl=bjeE*;5w1
zozBHJ^_cF@m8+jCZ+bfI+26g_SWkpC|31+rBRPAX{kI99pIq*mvi9{68-}eLZ~r^N
z?0e-vz9(z=m0Q)lH(uYKKI7Uau7mAax*X|ypQU6@Pr3c6JFh%v$~|4hjgbMjpKsW$
znkX#r;QrKg>Y`%N(<`@X-Fh>n_U}}Q={{4!KPjcnlMPi>$jzUxTKY+*WBR(-lgy3V
zg0n-nS_$a96<7B-vFYgqz7@yQ_d3PiTCsoDsyp=)gFRb+sjuEX%QJe1?eA?<XHE0k
zYx{dw&-4kdjcc~>t9u-Ly-oUW`rWsYAqVHid-hCTvUT&-De)S?pVu^BTpT0q&DH&H
zi_~u4sr{k%Ccc}qs_bK`>B-_%#T%AXu8RH0d_d9e0CUXoB&Ln4)L4`48wFh^ZP-(k
zk$>$&?-A+L*oM3IO_looF@FvVmnOzc`*6PDxSdOO#;j)yl7}jFxnp+zUUzchvd5D@
zsvmIG`oy|#!RhV|Y#ufYx`hEBE+iT6+}Snh|NlQT3X)HJxxu*Mv3UKXZ6}iM?p0o~
z!M^NgAj9R@|NO~}af&TBdf(5uTARo*q2TYHpB3s%?E0N-c56=T{?0LX@>kPNuBe$T
z8q>b+{XQYIdd~k#oogPnw{CEb{k+GuPAEG}cvWj8*Q%@A5-lEF?6=&pFjlb9_DlL=
z$%8>hCw-e$sgNRkZ|YU~^-HU+@0+r`XG?Xb*`GO;#V45zrybj_>-TNP0qcKlVxPOF
zDlxCjf4=QBQ|<KQ-#@Y6?7f;cw`y*6^*ol}OUn0pRh`<@d3oB2y{wUMrrs-^q}0H@
z@KB@sfe-tp9>317{fm9?q~P6eXO>PAD1GQJn!o3qdhlyY;oBR{_qR`<Gg+jixhqEG
zNy_7*4Y7aUw9TF@lJjY$p!L5ge*3&`WL}%vwkk#AQJ=lvjr-r8Eqb*@HjRNdXTJGd
zgB^ROv|d#=nw0%~@AJK`_dji@%Gwmgx<Prq!ICvc?_D}+%Kb(-{_8HjtDq4HU5@S1
z(@!if-Lcd+(`DiRAX%SpQ@>ZejW1oIJl~7K<W<Pm+NoDt#gqQbmF=D;vTpIwefLgp
z6m1oowdVc(Kl7OWzLA`fefVX^qIZR_dT(s<dA6kf@s3Bb*H|CPs1(M(vU}gL`1qd*
zi~gNt<o_(F^=9LNP`Q(<4@}<3G-2JWz&mR$JW)3)oZnIWy1D+(i}#0^)|giR@n38+
zf5B!^JC+TTcQ<NnWL#k`oxCPyN5j+O<rCC?c20WoGT@4jV1Wtqo*0p}9?Y@-b2dJR
zVwq7kYer+}niX|jC;l95@IAm1<Gp}m)d^OMivdbSk@HWsvx+3t#_%uTh*x3ovE;dT
zYjMcI*#8rPxMSZi%%1V|SlWc-57T$_TCHLE@%Zt~Hrb}N1-Bl?)K9n~EBC%(suE{G
zA<K&rp>Ki;0xJCP+g?vJVGx~ZE4#kwb!5xE2+0kb<u^~Cy)yQC1piK6`Tqw>k`C?;
z<!FsCXNf6OoN(-X^XIHTr#8%a)Hp@{hepz!8-e}O4e#%&a7^N!5Itp<^yGyT1vdCG
zFgMDVcI;x{4rJipv(r)PZ%RxdgXE+oPhK9IvSstr51SVX)NY)zZST}oFRS0r3OJei
z?2e&+ZCj%PQ-?>dOv9r3Jr}p6%?`AklN2~<^Ga#a&YtZ81`Fo~{O@9(a(jCv<Gg@=
z=BE?3-k3NiK>ymDX?K1wHso%cc4zvh6K|$6MZCSLoBZkAlso=R+-0rDPu|$Y#i{?1
zPpoI7m_ql)PF{Tm{o4$eDt=6eSKh0VP{+7`%ZVF`#qY1wADa|+q3rPMgB|}*N@&j5
zuf2)E{bQu`>YK`Qj&z7`WN7*EVs_sNuY*a&6Q1bz%@&K8u=MPNJ62)4dj9;pb)0cV
zy0TKp&#gHxuevjG+ug}K!I*TEL9#KtI_yQt6Gr7mw!3S#<SVlpy>6I#*k=ZdRH62a
zcpmjBa~Q8BEu8YuZpP8iPgJ(f3@YEVs@-WlbLk_NYRe7PUuzz!nN2+T>5u}`(x|-p
z2QjN-;*NBNuS?-5c%pN9%7z+w#{I8W3GTK~2+UtOXOjVU%VO!8pBBcG+j16#f9uHa
z{d6#?{Nt34l#R<pEp6UR>Z&ww-?ex1o1VDpj`*W99d}u7^iN_G*vs<b^+aVKXRbYy
znmuJ%7%GlUOuco?{@X0uJqH#@#e7=i%(bJZe%}+z^AjAhnVjsIV*khOzVPoPL)eW!
z>P&+F=eQ^<P5C`T_CV}^n^^``LNXUNcd}YauU^5ldogq5EVbj4k3Rd5s%R@Y_a?)N
z17+;V44ZCCtvaw%e}hHLu^VEA=a!Z4@jlWwf8xSc!wbF&j7fW3Zhw2dFSBPh_k>>a
zj||`L?(owSV2Sx_G2!o@n17r0q|fBqGgCcjCf|vV4qF<xRPPa*6!B<Mfc#I3U7wv&
zl$Xs?l$rLBF@LLD*a{<d&ZM$GI~>n1d~uL5>D7(}uPxUEw9jsj{eNIyxu9ZRatgx>
z71=G@?ElYLa#HK_<jp6zdL}NOw<C{*Mg2mI*!nFthnO~R&l6%&X54yx_kwO_)+9BS
z<bMo7u?(;FAGoOIxajBRLlfFJKX^2MO5a<5jplFDc4|pF{QI-?r%~R$kKfwm^XsNJ
z?tW^td1kO||4-Ldd*8JG_TZYypzv?%k$2`(dQM79Kgm8foi+Z?od5CLQ|9e?w?;|&
z&rQ~&lcxBJJpR_Y_T?lF)%WvDUp<L<ckj@0t(!qo(|WxVPQ*RSel=Obc}lM5p_Qxn
zw)$~xkK5xn$)?!w`J4VWKh=UmKO<MnopgMjQTE-b)@&U~uT^6gCw-r6CpCTb&OJ-)
zZ<Wvc!WH7We!9`Unkh4axVMGa-(0hJ?)T;PS@U^yCutm>V|4x9Q$vw%4i%sB4DQ{%
zZNYK#jebAzeK_^*`8h^)dySVp36<?QlajG^>QVjG$8sO-WB>X}b><|aFZ*}?m6$L;
zl7+jg-d*xi-GR$zcTDAaxW0Mq2}YU8M`t;?PhgCxl<e4f|4790sT+4%-Mi6O5wT;7
zz4elPn;34c4(sD+{4?YJF_j;e4z(<lsV=+l<N;HR_K&L@7uNL`iYjm<y;vlfAe;Q}
zN%9GiyA@17KE7G8s_@}QqjTNwr%Wh`YgzyD?3BLgZR_h#^?dz#Ja6jxRj0GvCcH=q
zs(#9=cQV_fEBV=xS)Q6TXT4`mT{=xbsC7f=zU})qZ<?}eWlD_tl-|7HY5Pt}WXw0p
zTF<k+cWwa7UaOOKmHRSRm{ea|@G*r!d`j=GrwmD3t}V5)ENYvVSjoWqoKMei%QeO+
zKdzn@>bRp@RBI&gZOV_Uo|_p2W<HzN>m}F!{j|cFmX}(8ryD5yOz&N8dp}#%cecTq
z(_j3Sx-R^$YO%xohW*}ITm5pk^~d{f|F9r_&y?$1rY!XIX}fn;?3hK&#AK^Ij6ZUn
zpD@Yqk=SrYqOs%J&lOX9g-=Y3-8kWqQc&IRmAg*9usBryuVQD!=7o>tf2=>zn06pa
z{3nOiEuM*w-43~jR!IFgzj2=Nxw08k4=4HU;N5*NnPJ_<3&{-!5_)2!8xH;W`@)u2
zIO$({XHjeH0pIUGm`+SF|H&wJZ|0f}J>4xELvwCk6T7+am_WnbiL>M{te#^mYI3;Y
z^_fXOy5|~S-P3u@K{)2ko6B++k91FbKP5)}e}{1TgIae1hGUI^mw#k^yZEngl1JQ(
z3$70f$|g!%v`qH87qfEN=k{IPC(Eb$>P->Vp0Z-!oojlPNqp_S%e`(e<iy^36nAdT
zQ*Vh`VJG{Ko?r7X#wgRJTj<>soqH{PT6t6Ts-M|MH}ttbvJ>kx{_`ZMr8jsIgX@nA
z8*Ye4O0=9<Bda{okWuJ$d*76WKTRLc{5&OL&5Vp=1s}TlJ04H`u=M1Tjg3y-Gr7zq
zf4;sc5XN#M<?IgQbsZ8fUY)4E`|;vBi;1=WxL%Y#T+qxI@qZrg+T0$~PcPWtm7ecD
zxS4T*)I*jNG1q;j82sCL`17tz<$`k+>`9@EE*4HV+sL-V+{$8F(nQl6Q88a{?C*Fj
zF-Mnsn@rCK#yv6NlN}^m*BnSX7Gs@sZb$a>&SRpxcl>gz|8?P5Ggq_hhkz9(Re!uE
z%st4Fq_RwU_lM&rHdTH2nKb3h>TK4;m_=_{3Z~3GdT^(83L}H=lzC78uBz#t@Jse2
zTPf3~GpqmCPSU89h>SFT!tiQ7@BG^f)L;ErDm_1?!M1Zo`JcJGmsA;JPjb#@NS(uL
z{r_91La)C<5zoR0M*36dTO@6sb?0CB%hJQi{~JTBCcI6O6;OKN(AquI>i(P=MKA5z
z0~bk_ADo;t|L?k!2J24jdi8;^v8ccI!$il2GaT>l*=2Nd;>XRuL}$%x-gwZ|X%~m(
zzl&>=mrmLddm@j4_v*SVBMZ$*N<Fa?l#a+>zd1#D%cdFiPZ&HG_L;7qAsjL9!`7S|
z8Q)eqyINKKiRfH4|Frhq$rfE}@~%H&I6Lv4`TNZaEf$7M@Bh^3VVlR=^JVvh8=fn5
z7@X$_cWi&Hlqk6Jde7wS2Y=4r-V`zC(_B{Rq}YGIeouQ)*=c-yBKNb-b#rFk?c9{i
zv@+Y5>#f<t6(^YlIUKj#{1-WKnrWrf%Jvj}HuY_R3{O7FoEF^~W;Kc7DRbgZ4f*vO
z94by87JogF?Syjo=Jl^nGRE%|sb4qE@zccTvnDzu-#fYEtIUFL0@4pI|6hMVB5gs>
z#}`u${l6f+>VQJh{X^$x|BjaZ6Z^k0=lQ*;*H33T+>eYt9<09cs_LHI0)_Vf8&5MU
zx0l#|S}pbOWS_v&n!APHZ=F-!V|Z(8Z@ssW_q{Dr@19C?^wv+3xO(30?er+&`$ccJ
zPknq|Um`L3THkbu(>3>*uAVetZp+rcds3sh*X8N7o>kKgBB#oD%igHlk+*rDLHWm|
z1I*nL#|oH|de?`ZRLpzQd}@<YU0cBU(pPf5(bjwNdR@Yoh3=J^7!~1vttIDY{r0w}
zcM8|%tA_A<u>EhzzH{0$yl>`9me?m=m1o<_UtNm1yRL8D-*?Vs={L)BZWM1SQu@F$
zuUPBPiPwD+yDR)pXq)e0jCstgz!+2T{q>12&u{j<Y0NnkxNBM3=6$tq_R1ukOl+`A
zIvsPNq2T+*&b$q;wn$Wcdm8z9*8X`9!oTl1)6qBeaeZC(9>eYH+OF?ewXJW<-rAi{
zi%w2aJ^7UB)YG;nDcsMF*q_}0KR^9P@zLff_V@PuzdbFpU&A$~uQ0mrdP-8umkn8|
zEH9eb?my93K2>bzHMWz}ri#5&Z7fo1?tYwVa9L-%Tl^=H_$Q1(wWp=8PHnpUeUnVp
zG|@XJSNxgUb*bE_>%*^KpAyzRiN5N&`O1zhbw`6=TUV|rZp;3V^z=F7<^ArnZKk-C
zp4`RJH|J}SfBIInRUwm?G$e+6yti%h+K~5t4f~(<^iL{YvQgVN#q`)O?~Qx+M?N%d
zW!$j->gUO-%-@o@xldGczQ6X!No@HEv8ks27ceGWXmkCwNhPVn;jeIir|QZR*CYHo
zy-Z(s@E(qx^fYhNgDDrzvopqYpNr|6+hx|>vqksQJ0__H)0>-I#H%k{`M0xw^Yo|v
z6NBxq_b<AA*x3Gl&&TO0XEv=duAAEE^MB8U$v+w^ZMyP5O{h4r;pU5g<2NTizp#}(
zX4CqHx(|OR1y`)_HUBwb+O@;7ueWbi<5Y}1b$o4NuGs7o8S6LHDL*h^pJ*r&BmKC!
zcbDSv>qp+#oMbrO-*UHX(!PmrT4uE0{e0z!cC6URm-aVU;<ilHy~ecGR{J0C#C01d
z88SafD&4)-WZRxge=Z#B>bae+e}iSx&ZRH*|5;x8?as;1W*e)@d)mz!`t>_6lucZ}
zrorcVM@O>kfnT=$o5DAIlHa}Z{|43*YpXsm$1Hf^EA{yIO6jC!FG{EJ8r=96al7Z{
zpP91CB31j_114T$KHT8*cg^nO$7327B+s;rV7+;I<Atf(-!2?+7wGwR(2RL%GQ-aG
z8>Sv-pRmXM#{*xz{+0*qNgrdTPDnn!dFtwu2bRuKnxMnl8U5$Y#WVd3f+|0z^VqZ<
zop8asY0KFO>O1;d5(ATNz2LQNyWYU2dh@#NO-8GZn-%sSqK{8GQ1!I8`P;2CY10mD
z)!V=OZqk(_|95r895`J5M1Zlu(tLeS=3&F8Agg~3=AS!Eq&T@RZ4O@DA)UNSR+vFO
zW_E|xsomE<uPV(x*0Og7D_8sRzR8PLh`-;+zhl?2!kZ=eLLtXAJsAHaWlebaXu=fM
z<o^@gV;L@ee0Lz_{zJxzx4+ih*p|X5aB@-yL-K#~B-Z4A42?67Hr~J4oiwNE?F~Qk
z<3D2=Z}`u?@cMdjugxQk4x7&Hf2Q(?uCU*5`_s}%oo@MchpmqG*6M|=m)P9cB)|P;
zhFb4FKJ%FH-j2!#nH$gQ<z1V#!Jk`wPI5=<oDE-Z_q5k;a=do0{dw<#IUSu$;t>y5
zSs(3Kqo$zr<iEPqijMUUV|%;Bm>l^mxz=^{R5I~To%TM(;Lb#ijk8u|eX<mq`9r^K
zrP}!!=6xMUOn09=^}IpZHjev}=JAOSp08XS`oy7S&i4q`DT$|hf0kF@Sp7I@fz}hQ
z+u#2jZQUNHbzI(ZwMBRO^S3eY59a+$QtewD(;NG!+aT%gw71ziB|E-p=JYQ4tI0q4
zrr`U(Wl#V1a(RC((wN%nf0E&HpIO;_j`cZxOa7`msAf;K?2L(F?4Q0mPOkU+X=|fC
zvu$z{_eC50{C02pzp~!6lR+o%OpA}#_PhTxqr7Lyx~Xxz7c&0L-*s+!3WHNjYXDo=
zmXh`Qk`tF7D$l<$ZPLM7hJ|;gZU5oZvuGN_o?Fw*1zFVkId=X&e1n&{W8t&DX@4Fh
zADi7d<z&{)+w=QB^<15Ee8trH;p<|1CeELp5dSm!XrxMe;l4{#rhk#SV))-mz3+kG
zr=0@ddi3imC7ieP$wv2Ps56-DZ}q9TelL!7@AXgY`>*=X@7cTh319oxOV3xA_xz4M
zt;f_iA@-z3*|e(ixjnyEN1I*R*)u`!F5gyN%R>J<4PPfY_F4SznfBgp`?h?Wn^vVR
zGgaMZhxhJ1$up&Q+V|XJhRgj!G;cP)Ki)f`NUwK-{jtc@-Z^&N-C;d5o@+-Y3HN4b
z?S6F9vPE_NNyY=0&u>WHU(sQ;`Qxho?uG5a3E4Ftr{244`1uE4^2XEVf*&}W8x&b?
zeh_V}SaW0hti;|ucmBx7+Ay5xS$2ELfyc=|3QyQR;9t1l_k`7tbX&stlY+WWd2QJ{
zf4)e=iOoxHq)$tEbN5dCwTY5CU8i+88jjgDvV4=j7h6@y>V7}=^_kx4tqZS}=9~=v
z_H>$IZ|&P1dgmwFzCWq4sHag`V@>_N*xM7b&rk5<|KHu)^n>+$f8%D2$A7lZf792L
zsefblCj;@ry7OLauvxiBtgA_mY1OXPyN>+4F-4Yp<*tv@VtSfx{Z>u?l=XR9gO_w)
z-TNH{6<vObTzw5{I}Fx6o>Bf|nW_GU1>b&{B{DYssk!+0-h_sakCx8<RUmHmaf0mn
zskZD)NmHjK^|#pWJQ;T3#^mY=p6)#jlXm7@{dnQ9mfhK!*{3>Z_*(=t%>Q?5L+A06
z_mjI@CNkNan1237P~N>IA2R*>o4+miFj1iUf%4tSUB{a%KTmI%-P5!@d-q14XFo2q
zGH$XuI`iGH8#^3*8Ma)T>3wwK)9%{|_RnWd>5Mafup;JPQpS#!(l;y5KI#Z=PX1rT
zaR1NG*2Hf~KY7hJ={LN+bGM4OGpTO(j{HM&dm^v*HUIiQ`@qM$ck?QDeDa_0|K5&W
z=bKt0Z>WCkjM^BqA@tbBi9hSFbsX5ULrQJIZ!?Y)e=Rv88>fD%>d5JhIjI%Dr*-|C
z-dO969(;@+p3fFswdT(KC{8gw#w4@NCqAWjuI-pz+_lE6dv@KNCqbJ(T$*=5zduT$
z^G4o}zsdhEPTY7fudc13A*SJU?B*Sl>W)qNv9daQ!;hrsuENNL`+7N7J-WJQV?x>k
z<M21ngPz~qdE?WKC8F0DFU<aUb6&*7+E&H|H$PtdEB7OPh3HFt`TrNxEhk(oV|*ZZ
ze1gd#iO#eM7bfKFFs(l_p-A-9*8P!>L;D#Y96a8){*2U0)jX9Oi`NM*^zJR4FKM14
z^tUg5(hRZw(&bM!Ts!h#bp64ZX}wW;HL?G%JdN(#`Ei>=dSCbInBJ7rGavM)U)vN>
zcqQt`xlh~Hi+w*W^x{fbdY_lK(9M5a%lAE%%;-M%=dAei-n!TKdjF}O@XlVJwBPI2
znk^Nf-5ab$S?1LoSe}%z&8A_Zc%8%zi?ffTUw=%#>6pD~L;U#@QByZwePVF4UtqOg
zg<jmU(=Si8{nTyXx!=c8x=DCpf8ABxtEut*0$+d0P0YKwsN#CqyQj)xTh*?)ufM;^
z=)snXvZbMdb2|K%oQ_{N)n_eBq~ENtdv~`lZA<b@nx+`LGFYTyU2oF%dF9rj$GQ^_
zruV;@U3_V8*-dHT$||P!EgygOG?q1F*>yBNZ^-Rwoc(jr<uyAwxEcE|G~L=XanaM2
zyT0CF?6`YaJ*L0tW|ygdmY7*z+nbnI4`xakS{j|~s`$we+uQbLa&j|AOheJ0M-lUn
z+^pX-HThC!qw6o!`TqqB|9|tRGcYi4F@T8H3hf0d=ATSk%AY1hbuv`{Q&xHNJgMww
zb9~R?`blvU89w)3oNINGA)IC7LD}w0(Y`Fz)lZY&)<1uHwRO+(T~DND_O$9Lf3%k7
zeSPvx<oSlTDuSP9hJ-%(s4r14c}lcI-J8CdS5%9p&2O?)2+C<$QM*Z_r1!$7___yE
zj!)b*Z^?zwUn<hQ#_iVAoJ#k4Op57mU+$B>ZGLmDXF#gSmy=PgQ=j;kPg+%MdZ+io
z^yr%xK1CPy?*G}-^J{M3!ieKFOX8=OOiKC1bx?D2L-3BC_Iq7=&$nFJ^Hy$Is<nB~
z#OVhD);y_5z8k3edq(ZEPPfUf{*C)Z^&9smUHrnh;koF<mrXty6FTSiug|)2A|_+r
zfrF1OZZxe-xHPxUe*dD%n?Lt&zPvs8!13ZkseiuQsF>Bis68#?rp`*yd(EeFP9)!(
zk$H4M^1Fxyd+ZrAWH@3vV;MG0$x!`uktvp;JF<Xn!iJBZl;!7Eugo+%wPa1~{~Mpv
zJ2E$StlPja(@W~j$AXQVU6+4et(j$fVZ-^pv$GDYD?j);X7Tl;!)0G<x^mhY_V8Kh
z?pf&jsN<l&h2@&SQ@0QO+99@kqu9o_#|;lZ-<C<=X&b(0#`cejH&l~f7?i)cFS}9q
z-WBT`7h@*K+Wx+fd|cs1>%NboKY06!{U*fz|G4F5j%;yH^ZrRLu_>;m(_N->YsP$A
zd}Bc}^8}Tn8$-Jqe#kanIA9U`zqo_dw(R%}NnXa7>fY7PhhiKzpPa<VTA|t6SHU>r
zd0}7qq`=QjDNHJVexB%HQ;X?Yz5mUM3-eFTsecl!cr%lKX3VEr_LvhtxC;8a$~&z$
zU+h1=<bj@D*rdNaCr)rga%_GXb#(S?IjQ}pmP}-45btPkWnkU2V8SjwPVT1F$^RcL
zeBPz#?wkCn;azWC#mrFCpD}Z%y1b1rJ+NH7OlW4&<_mRId%oP5CiU;5$LhdG#mQZ{
z6)SE$we4e^l*70}D|YjVIkP)_D|T)$F|F@eb#01^=I(_XEE}iU|4se(GWGLG9eM9c
z<^>Pd%A5C<Xm?NOTHq`y$aLU1L*41u&p&UTIM<c+!Ka43eapY4_f-8X>Md95=<uI7
zZ}mau<QejN9=G$|-M?f*>Q3(SC%5LkVO(%?_C@ZmITyR{1ozaIHM~CG{6W3r^0x<<
z`i|e&)i-0}-;F1JM?U$wUuiOzb6nAZ_M<y<U;o^^<a39A<*g-(mzbnCJ>>YebHDY!
zlflIumFIeTItu1ZFn;ss+x*UM`H!;#S9C|Gcg%1tV0bhkkge1G$PE3!7sl^CZd~A{
z#_@Fjzf*?6okE)?lup^6vSV}XpDA5GW}nQt;QFL(*2?bbHXX8W7#{APed^@>6si8r
z_U_F5ehtktW~TMIyk7L`QK$A{>(~=P`I{KSx;xbw6E63<g-z)CcsnTT+k^FIHze+g
zoF?Hv<JzVL<{M7-FR;CFe!-Ko7cHvecKDya^-B9**Q?_l85I}bZMc(kB7UC1oFD&p
z^SaK6O!>Va|ADx#*MvRyPQ|i)j^SSa;MB9NmwXxI?M{5_zx-E*bJBvPQ<j-WdY@W0
zO?1=k1<f~@(pCuFwYkl;=i#X-%1qoJV!p)w-_hB{wPVkLY5fnvz6&yOiOp|VF|9NH
ziQ>Tp9x2SVJ(-i4gr{1IzMm?$^@Ou%f2T)Z)>^av*=0|Qk8hIdX6VxCX_&<MqW_HL
zjXkecJiqF<+eMJ|C6im(lf0wXj^7XB2;TSoG<)mT6uo^tCDoNi(o3dp?2A(4c)owC
z#@+Y5!IOj{d**)sH%%$1w`Y6Ix6hwsa;IoSKfU6xJKkc`zP^&zPaL|g?$NzzB;VJw
zTdPv}N551w*ZynU{`~Zp?Y+7^<3i2d$dl4@Cp?|i*S&w=^zgZ%)|+~J%I5Z8)8F4Z
zEBI3q=Y+tzfA@d<-WpTA@~3HJ;o>_#*ZYOq{pr7#6}NShyg!#nlGCq=vz1IwW?u5*
zIw1D{<YeCtj@^+5J|2D))6;U2L7}HY-P4dIso%pqC27XZ1@n3<y}ZKxP9!q<_;poB
z-TpUSbN5ruvj;ZFSHEz6qqR+c&&7GApO<#ohkcp&`EOV9(n)jke9!2&>IiN+x^~&5
z^Z$4ET;BJ@uJA~UXTs^St$L@HP13tRwYPY+*$T;ZQ{&#Noc!nfb*ICUIhJ-O7qU5i
z*?P_E{^?l<`io=p+Ul<N7VV9UNIAUeud)68=Q;Cb1B!Z!_x8E0ev%M*#r5@5e$Czm
zTA7;^V~>~j6z}d^wf0Jempa?o{>w}g@`W=j&qW$AO*H4}j`{ra@{5=M6{T+Y<oEVI
zOp5I8Ie+0ZUr*#4zeW|?dyKt3A2MTpWFMQo<-&f+op(R+yo{LPbeN^XbmBKoMF!?I
z+a*6T^>j|(pew&A=E0PfmB}+KWLMw(zA@<=i+1Iq#F`6gj~F~TI^LAGRMrK?bUGaG
zT$E?<D<=EUsWr^6Udy#rpEz+NX3C{=$w3`z=ch4Lm31sU)8i7hLFCUD)>%LE<S+NQ
z?lD~OrSEWdbMk-A7lGe5uH5qMWT^Qj1%`7MxU>EpZa6xfIcvZ6g*6N1`~SPoJ=Y@_
zvBEH!r6cBizrvesm#4p7kfG+lP<@f{59^H$N&UXw4l>_XeED-pX5P>I`afGT3Ya@C
zPfC#zIItw+YEshj4AZc0D}!YEWLMZ<Fg!B*R~2i=_x}}(XZd#8<fwOeefsHtQScDg
ztGEAee7v=}aiP;9A<ldE4peGyYW}oXZrkNkmK~DzU1>2~J<<u2lNok>@v{B+@p$-*
zF84JIZ`*p5*gEAz|DSNYdBFEz($0o?hrg{z=s%Hrba_Trbw`?EC%@QruDD|ll$y`R
zcwOc?-XkXxP~DYQH(&Vdi_q1TJ*nB7dlh<9_tx95d0Sq0u)~%6m)!}&|F;hOIPmy<
z$ElM7z8{%ZZ{+H5*}!;HPE8>8r;^=@-#H(T)~($0=hK~M7b6v7|K}>`pUdoc*X402
z>Ep@jwuaZSAK6L|#xgd1uej(sQLLry?8Dj%vz4a`Y>n<^+ru<9PFdhwa{KAUlT4#~
z*b`&_uh?_6lU1F0PGvRAmtUSvqG3~B<{$L#iT(HcR-Jwd9~0l|`A1J|PkO-@+taqK
z;alm(u32hKiq#vP*L;3)y1O&^XmkGwM!|(D+Q%B_G;KY>klCT$r)9pT+sc74=C+1w
z-N9?;HyoJ7B;M1u{^Y(^wX^$<evDW>;W6{H4_dJsPe?sqy~kbRTv)%eAH&_c6}wUx
zSo_pIJ<76Mv;Dg1&2FW$O}l2c_CH~;fA2m$brIjW%f<JFj8-`;{P3)G>2w3>jwch9
zROdX3HEvx#e@)4^w*g%(D|ec=Ih^F$xXf0+UX^qI`u5AumfFsLJ^hf_;mxx8>-aWR
zh4+dCD>3N5*=;z{V}>3J=enBtt0sG|?UQ)E{Vz+<b_Zsgt82f`5Aa;G%<j|vum9g{
z*>b|ba}DDk-AxAC>$Y2kI9_gDmAb8Xl0|7eZ{E#>HE(X2|4dh2ZoB-5&DyuUcj}hM
zY<;_G^@&31-9hV5B=g?5G;7w@IeR!#awjpgEU~M7#nZAXW>bGg&)v?b{nz8SA8C3u
z;Xr8Q#e~V7X6Meb#{Q4<eemXJ+lmt%+ZtB<xDs!Cu)M8tWzd;!!^TxAVb$|ErkLGa
zaC-e2ontXSLhAlqidoYd+BNg`o5yL+PiF>yJ23r2GUKPYdJkg$C;z+Re*EF%-9PUM
zY~8$&O}$`qe}`a|xKI1q$^&mq%l^N)v-Z@>?DW?CA6xyk=Jg0zuivm-vh&~XhG1nb
z&-Z=zbWhKl+<L2G`<W+oOScrAezv;glVsbQm~;19f}daJ^L_T_+Wse8?LGIlpYH1Z
zz2nGfogU%o6ExmjxOQTV+qKB}^5^}+H$V8ji@YASdcTU{+vtCv+I%v5h5u}gtKQZt
zd`DkAyt~(JiPCbTKB;9bn>T-Q&Oep5_C~9H*u{h&Y%6RZO1zl+_s<V!`J@l)V;R;>
z+-dbFXV;d6s`C728>Wl59?P`;oZ1m{<AFBc57*ewFTCgfT$0S$d}acp%mb$dy|xjt
z|I0UYiAQiH^)&?A>UTumU}R`$n$vi>(Z4pC>5K5*qLyo$g&WE?{-5*w(Iwu*nD*{X
z7cTW5>^E$j*mCfdpli&83H6g-@}6)Icm6p!utDez%Wr|Mwo@m2E@aPcIeGkPOfTE>
z9&y#m#-zW3^FMX%oSi%6&k}|6Q$K2m*9pH{b-wLo+7*TMZ#S(}sxCVjHS=>=2j}ee
zb(^m%r2P~Lm1*BF@&8G;pBH+CnFXh>esTQ-lXSzb$Lpq~=06NgVfj!$)8%N}^R^gu
zjt?n}k{|7kH#}40_;-!HL-fJ>n8g>~$aWvN+_L4d;#Il#9=lt0xf1W)jj-15FiQHk
zm8oHA>#GN|Lz6$92r@7GwUT+w#rypSFW*h6(mcIj<B1TiZ?6|f-E6dEElRq!^Oy43
z6TPzprmyJ?=GQsNyJ8Ag!?jsVoH?y8QjRmozf3os<i(=?Q)p(AY2Dn`eJafM0(_6k
z1zs|LIoi+o;?mzg4d*%;q9?UI*Nty6+$14+Q8w*f<W#HmAAA#6$Gk2#@9>W~)a2$W
zF>}pC-bXJtn*V><__K3qBV$s}hnu0wlewGLB*lF2KE$*r!>;b(k3S#I&06XEedF0H
zN`j*Me?%W||0T5VLCpW3LT6iQ_XyhUnXtaE<K5@>CAr`FS!4g(S+y?x|0`adsj-ZC
zL-3l}g0lq!D%2m{7u_Qr(;eK`wCwx@iOScx<~MndY`olVap8`9*t(9`iW3`BT0fpX
zZMyrX$<L<$KNvU6?`3J9wDPH>@A(^No4(Gvc-pvi%1MF1mW9(_7KS#*{x=d&Q%Gib
zBvO1&eBrb=E8g6EU}|f~aPsZMut^%a5)LnQ!Y2z%@8s;277FZXJkz-&bj^*smDi8i
zM|Q>il(Nt7VmsGdeB8aSQ|@TXi{firZ9mR7pS5gzS^J9T?a7mr5-xCWY+Laq{oh_s
zu3z(7m+!wWQhn{kTov723T)@wR+Oqsgirq8wd?er^?q@opA>?7yF=nP89Y7188<0g
z)}y#>+3$O8E~*u|*ISqDZIqi5GtqJ7lLo`BL38t_x881;d1t%!+m<EMgbUcu>ooOz
ziu!!!!R76}am5?fZ7PsB_uzB-MNjeny>Xj+Lu4B7Ft6V0bE07Lr~G^+uJAb(lQ((u
zBzE$rb;o~A>FQ}&_Ly<S(d%y-yX2xJV$Q_0EwP_*W>eML6VHz&|5~TNsY3ojQvZi5
ze|0`Fi@i|Vz0!g+LXI;@jALP;{hE-nA1lwkZ(KFEQ}XPY#Ah9`S98uMs%HI4ZgKC4
zy}!?_eZi-KHD`Kno=bc;NjY<}@8`3dBiF~jjx=vz2n`JlWmNh9S0I;xVM`bT0|Pgx
z+szQql4P6oA<2><i7$yUhA~WCK|q!%ri+0~$%cXNJ%f;v1p_lLlbA|U5344tx=MEp
zgAs$Wk`)X4TNeIBW-RR7Y~}(<jO@vsFB!O4l7$#dtd(LIt==)QE;46g<zbJ$!nU`Q
zWupb-&KBmaNlzJ4TNsjK&aw!rFr8(nbdZSo9K)Q%t;CVk!NL7INkJk>DM^N@gCq8T
z5%Yzl_Y5)INqRA_Vw6;pm>AzPesqZuV^U6LP}Z<bVl(w*y2NsiLsoz*Mvp`Jr9+HT
z3{#90tLb|7Y*&Ugl5DBEOzEmTD>ynkIAipfYG1JC)-B{b9wWh)KaJxh+tpVtT=tgS
ztCSdu--uKRaWLNIihh2T?d~F``{FG3S1sjm=iB;PMMX(6gHxfLnK$W?K$2OEx>VU>
zh8T+^sTk%MR+gAGGHo0&4ohO*v2gQU_Ll5l#NaE+dwt(Df%S5|`}`ErxolaIEL397
z$yFMtGfK5SPcn@8sA@LT#hOz(+Nd$6BhZ>F#>HM$;oeu<+nKsno>m!Fr!|6Bxz6;H
z^%8t$z+tXwz~eU6B}Rfl_><KSC9nA(y-#{7zGTqxIp5OeJS*k{gT08)`EE~-^Gy1F
z--Wo8t+&0`<kI)e_GHs%kMupdiX~FSwc(|p#=T<#N#`B4?gYm?G2#=Di214Y=4U7K
zdB*vlLT8GJMt<~VJ=qm7Q;113bY`b3%gGl^m6JGra@Y!9a`AjE!PKkrnK_-&cGhRs
z^mYdAmVmiQFD2L*F2-0UNyI39W1if}km(^6!^+_0aknEzks;_eLm0!#B*s{VrGgCc
zt4-EKF&boX#LSH06*Sr-$aFWIA%-J~JxM(FKSO2=OAJ#o!%T*x_sRc0Co{CE#PBc*
z7`#$s5R3W7u#_?IHGh&=5~o1S;~4e;jvtH+J5`gIWB$9u2r;rIc~^ME{)@`Dk!xZw
zP7;gZ*v$}gES8}sS%slhn2C{9A^G3q7*$p^Mw`sLNk3xjOk#Gih{iH7OiSX3nG<tG
zAjT|4gF#|3LoCDUn1wNKVi*i!d}9Cm#aIZ$98U^jGH?-Oke|t5bx$BEBWW*VdW_I=
zrq)PPMwTRfCc%3#@(eNeVj0?F#FGX7rzIIi@CZ)~ndiu{hH<S53$uWD&~2v2Y<)}u
z`j$yok{pbam}42PvhNj${r8FKrU@qlYh;2@jM7U6<<AVlj+`C;k^=c!8#c!<CNnJO
z_#*H%hI86$MurWAF}soi1O?b$G3;3-$Z&u!X+^}Am?J#03^zL7IrXPAWq2@h%y3@l
zazfycb)pzYk|(od(rrNoZ*~rjd7d0gT#mAMD%?+In9i_Vg*hq1nTwz4B!~X;WQGZh
z47HPE?#HO;^7K1A=Vh@y7h^2QAj=_Pa6}}=n?+rGB7?CogE>RHi+~ZMNK6xZIdhC4
zlV^iq3`flS<f#Jx9y7ePafy-Q<hsGKrAZ(*`QImoSQp7ViVUd&-;#Klqyz<-R{Rv0
z`%5M1zd#-L5=D-%BpwzkMn>+>N!&>slaeGDnXWMkdT_Bsq`X%WI>5r3!^sfO9LpFp
zkyBTmp+(>v3zO`_Bu<tj1!nb_FR?2BjaZEsg*uWM9<n$}NU(S?vgR{IPvD4QXENpd
z=OPi6#M#L5E@wvazeg%bwgNp034$5U>m+uaXi4&5c>E!Tq18pGAx2eN;d6{-i~z&L
z+>7mk(-<^6UN9w_F=cw3R}j@qaA1u2lC(onQHj~Hiz{T(Cr0~Heg$zChSZM?nMws-
zN!11_F<p|P44YJ}Vwkiun>>{Z3vDHzbTGy+E<Yv_<#Dc1SK^HeBge<bOx!Hb7>*jo
zd~jsgy(h+p$;0I}<KY;ET^=znSx$1@+47O4UE#C~(_2Q1{VI&C?G?)>a#&bf>|*^m
zN%6G6Ru#cTg1nqu(bZuw-G5mkl~^8Ivc`5QXVj{<$-YtHXlA&mlw=rij_b3h!5NO<
zjAu<g^F;StWUBnY6Ftd*ox#>{f(TD^k0+<E!h0soN&JzTrx`CV5#VRL9LgHm$rNqH
zQKKTH$iKal%TP%Et>AVQhN%MTokIG~0WmClUkUL{w(w*>$bML)+KG98%u|aFm2)zg
zOs5?g&Pv2=lbEVvBemY}p$BV>Sj)GTryMcA1zMG2Jez+uU6m*lc%Q`Gu=~}dq=uam
zmz%p)81#9Tsj?eNr7CKqCvkP?PGYpk=+tliSi+L($vMrItK)x>NShV=>}fnJIX1Qk
zxN;_S#yc=3y+~rspU-x6mnzfs=4wwad$|N2XH}NlN^e*mb1>e$$QAjV<^JDEl3$JI
z@@?HE&b>UzvpJS;C(qt5<|5OXO#U*)Jc>~;OFENe!LilTTPh&XN|LGJYZ6P+tCunh
zd9SWs!ci&Ly?(NU;qKBYGMyTdFDzu+T?0&?YFH~dPCqL%om0nOBk*~QV8hR(9g|}w
zJ@>BmR&-hZ&);Cp7E70<hHqT_^IJn~y#p?~tem8wt*shpyQF}RXX4j*UUiGQOIGpR
zSM-&lKRyqNacu5WNLt@eE^sW#li}0*3o-mj|5M}DE#6GBPL1U^Pd}|-5pzNCYo}$g
zqj*e(h6;1?t$NQ37Xuz%x$K!~>0qxkG2=ppoM*!~=UV3JDt+8@c^79c;40b1#2Ba+
zV-<5kA?AN9qX4^>OA=#q0|%?Ea?<sJlm*|D>|%Dah;vv~<XnhpGHF`3D#oRv%B8VR
zIK#8SH0D$+!x9E3mCEhalR1{hm{ydZh+&FliU^EhjAi*B$&~CLbBiU0i!nErp}Et>
zvaKM7(OG~ohH?H`$Bqh~7#W6X0vt(N6$j^v#DuUcnx_(z#<HQp){%*mErzA+JIld}
z%%?F?Dly^}x(%!oqkOk_nN^<D*uip%v)#V>F<(qmmdC<VG2BeCF09cj_*h(`nM!{s
zWHaoG`4{6B^Oq%NTE+R?m`kp`YlEs@imc<YGI2-}is6qr#wMsD#>Cm6uXy<Fzqd1#
znI175)Ju|0YA{J^Pclt9#lY;~8`B!oDJbwH$)lq8q)SX-fbFUPN0xvI87q>QIjes%
ziY7gqlBCOewQ-}u>!h7bKbx#3Ok+!W{ou35_cQy?UKY3%quk)H<j7EdC5eB70E-64
z43?PJ6C0A>F|@ZX^>`Gpc~+j1#4i(xe+mjQn`2BT#3-yzii`Qyv9}{GhO=V-7lwKc
zMULgXNll+7oM(z*<Jhe5HioI8mxUvV*)#dyL%{`{pBZka3nejFH^lQg&T!*T5^vZz
zi7i{I{_*UN7Xpq~1YBJf^Z7E~pA=!s)g9rg!qpk!tHd_r*q0X*h4?w%c0|S~J&8zE
zjYt)2XpLzvf1tRi*))m0a*B8oTV=#dm5-km?ophuFD8vATPZeTBS*wm&W=<mC%zaj
zarRio>r+0lUT2S;#IpD8<Bpdcjwc1CyD(;6WV-3%pCI{|>G1@Kq#%KfoX<1-SwE{J
zB?z3HnCv^HpK-!^A&$2UwrmqwbeRMuPTc4soXjL7K7ljo%LL0w#}$&U#>`Ok6w&Pv
z7BHX0tlZHo>@1M<hGQ>dXXAU3xfeEdoAB65Oy#xRcw`CVWggpUoaVf?vp$N72C>I_
ziHJ64sxZ!M=FnG4)mpn!YxATSt(_BcIowqw_Hy`kGW_QV=2c)-={(CJE6I`A(A3-_
zl>ARnIE1}?631jgVb-g^R3tZZd~dSkDQAp%Ch*?llGMqCsV<C%9DLYhU6!+PMzIO2
zuu6SbUhgT%zHl|uXCVf0A;FlJn>%F0JMZn7{Xur(B1ztxMxEwayf;@Ke8zh-ls(o<
z=6z%SE1sLGE3zeY_wwCjFZYtjb?Yr)y;^GI<leV;vJvNMiRT_EEbQv1y`@iD$xTw=
zmpZ5*?!hB?*lFe@uD1%BElY$=Z10!y9^KEg@ys+wCkytN3qGzwTvsE+Bs@2B206;E
z^k=k47CPjwF_BRyX2(OR{X8l$UmYGFbQaV+{nX(*ljkAbgOyyLl_JVMI%GClg(rPa
z;^6sdab`-1pra|rj^A947NH7BQW|qr_!u~uRZqnJyD0p2QeB}|!(|59q?n}DF}jQ=
zesIQ|OR{H(ITOQ`<Pvk#B}Sp)G3SLemx~Uo`7Ew3Voklsk{TCfoi|0hNlU9xHYP@3
zBa7p9oftz-f!&tAXJeG?88}aKa5uRy2p)-1cu?fQc%#XnbP<E%1-AM)>C3-ZpH5Fw
zo_1}vR+8m}H!%!vO{OYo7tG`|tTmo~CPvAgN%a)t_lZpUhZ%n|ajF>aG+z4aJj?$$
zj>D_?pZakvEV7?HKTe3_HP_zPp4@M_PU@ak(c=8bb$g<3|7p&zTyH&2a)0Ogx#SS{
zPgYGA-Mi=b{)g%dJdc%sI@jiLPt%0hM41I;rs^%sjVC8wIvA6XnW1=ssUfnFW1{fl
zc6L^Q*#9azF$Em43{xAj{TfpkH5m$H|5qh5^ebk>_QoVE=gdlN4c3ZLienIGsW|J{
z#~S;;(kaTt+a<w<sl<h`&2ATyEK9b<ia9Y6E=jx$ynGE-Jj`uVR6BoKGKfAih+$!f
zh-r^es$!D=#N^Leq&8vd>==%`m}?ByhB1pKGpJkJyjyYBJ*4?blCMM6g1s?<ZV}cK
z6Yu#L%y5k9*kiCjz%5~22<u06Cppy=2aA#`oH4E`eiJTOOqnF0Z!xQyNoB@%i)E|$
z-3x+w`RBTq_=z!wzjSYSJv}4nY7uvmsZh)&F^k(Aotj^rIA8C0;_<=NA)`g9Jw-FQ
zq_ZffMK30$%*8?WOU4GCD~rNdlxM`PP*PsxvQeUAWsI{^GE+mx69(xOUpV9rv@pde
zy<}o#VUbpv<KXVWqVAZ<!W8poO2w5&Q!D-kRirGpyXx7Y)9&PVP<W?{*D|YJ&xB&%
zPSjiG`F24^)@##AryogAT;imrbc{oY<H47u4vZ7ey<xm@?$X1F$G+|S^yT<B)oBi2
z&z)H+v)SeL1Je%@j|v(5k1gy7Di90M^j4YSGS$c}p`-fFp~=j54!jKNkY&<l-TZQ5
z#><PxIK(ryGbJS%tT^{!muAPCA9Bh+loVdX$o<gpd{MGh<HWBeOh=U7FsyP}X7<8p
zx!aD#41$vkpYHT|{p8E_S1LRPk1stlWbozK@o&;)uGMDu?u32M@?_}$bZwGF_J_0+
zN|RqU{ftw2_32~SM23y>Khi7>Sw2K1smHXv*wOj^$A_N14|iuMzGAp3c~fe~^iwe`
z&O442D4o_aV&L5ObCT>$%he~8n5~l-V_6ukvx|iJDJaM?#r`|Zp(A6Hbf6_kkwYd)
z!Qw{Y2kxW;T{20H%Q>EZh~Ke!PDB1qZ;y9ZSS@-c2`GGsv^c}D=|lXPSO$i<F{_i_
z#uPdph*_N!w&N2|>;`7Wf|$QaKTgD@@F<q<JbxhRL5yv~M2nNDhgTkcFku<PgBa1I
z+mbII?R@6=&n@=fBd0_e>kc1Nm6&valfQns#E30eCoZ^IiR0m0_8v)&_bZ<Y3f?qk
z;=a`R<JUti#s)>6uI))|D>j!TZFO$oDrDg{U`u-2z|T{<<JH%~1BZA|ymMjX@RSw!
z&BA`*0Atd_tzW7d&YQ4I6!&DF$k50uGcoDIJFbA94mn<*mJV0OB*D;@k9vGb52I3;
z(!0JX#QuBe_}x(I`lklhN$j6l5?N$3-mrY-WPI)6Xc_~Z|A!1bupVH5?*9k#+fFvb
zT|6dx&^_j`ti;KD7Tp-dp9f<Z9xdcp@Up>l&VgwR4=k1+(v@J0N$9vN#CSrRNi^xv
z7mgiihpZHsV~%sM_1XW5ebMyK`A>|XwO@zeq;4hOU(!=%p4&4a_H3cVMb18_L$Q1f
zzaD=&l+-boBSfAf;)FY=#;*=fju=C$zK$?10gDq4I7)g0B`;)H3Qm4t;LDRC!JF~)
zV9yf4oje)SIFooX{21ch4mPvIs|uCnibXw4kYK#vI8oCoRVZP_>B#~MF6K%wUU(*`
z8N|&bE>_6I%G+V`NHdM?@Jbh+2M<`U-k&t{2#4jtgBMwDcCyI77Im7&wEf`8$&&wX
z>{pRkAmL&uv8=Pra>9$l0xC(%xnD|5o3usNiRt5I2Ibe19_)uyza)JYl=6{cG3O9U
z5>l23VO74|Byq#)zDz{d2hnemvJqaEC$f0oFYmn1`~Esh{7atqb52Wfur5((;d@`+
zY2tTcJ>UDKETzHfdau~7rf4ah^xvW~fyaCa&ueu(6@^dQ0?L;*YorLJ@GRF=h@Qx^
zosDPzrB{<RdIWB|aJ}adygiBMr_{$DM&8(eMfVLoFNA2Z^j>9o-z{+4nk7Dt_vro8
zJgZM$Ucr0Ph3D)mxt*suC!V;gXqqIwy@xUB&b%9Zk9RHDK7nDP_B@V#Epq!}t}7^c
z$VkjlIAJ8S=<XwxLlT=b7IZQh2MV50@adW1b!&~{5{Yw4ek{In3Moo6CGI7%@H^l9
z!){>1wrE|<Y)OTt8}EB!JQwtCT%gEr;XjGlBG}Qv(WPg`bd!%gO=6plJd|DVHGw0B
zyCI^3^MI$+-zh0+o-?>zET+D92$s-FS|A~K;MVGfg@yt#oJr?))Shuj;)-!eI_j|J
zi3Nk;3Vnm53vJ%ruSBfbvZG#_De=UeZ^)L6RK4tNxRdkkf*`kvzdgk!=p-3R@G+)x
zoIOy^nACRqLad8~!iggu8*loYV32&^V{oyhXD!R?`ioK>=h@#HePX%Xq{SQEsjMIp
zaE|d~iqw^>{XC5;7*&$qFn(teH#GjB-SA{mqCLl91tEhoNsViJ7*{AXyb@%xJ?zQJ
z%;e6b*b&1fFoS=B$nl1+9xhLLKZmMwt;{;d^EHs`yQN&Px1of{YtNgF(%XeN-x^DC
z2qkg+72<vE$^D;++f+eCOOTmKcA}}$X+d@-eUFop+%`X!91`Yc(d_*(Lq>dG;*%KP
z3Gxf}CJCyuYkA(}E^v>!?y`p?SU`<GT#N1dUo~OLg+DwPle~otl_gzv3)%9k%ZI)a
z;kPohS<d)C*GsQgnb#;p=dqubnZB!<^=V^MBi7glaT83F&Q`=X9Cl|aWLe8xp2U%?
z!Z4MED=2j$L*H{2{@=eRcknSTN=W`+et^xRo_#V){;?za%~RAEPJ6PtsB|*i6;kSC
zczW6-CZ5GM>Ci2fvXe;-YuJ*uCmnKOX*-m(@ll86;av~58J^}cVsT#JcIA)h)~}Z|
z6kS)=uT5S1-z&-c%h{CmMnx+>AJ=lPoY=;D#=YD@@x;@Fl^4W4Dn2J47fRWiu>W;U
zjP498w*#DxF+vgZ`<XR8lMhchmi%8R<!Hk9lP)pZ34iao>e|Fiuv1Fdsnqh<ZO+Tf
zw|IFj-N<KgNqXHQ%EDmG!g@oLHRjbuM$V+w%M4==cmA3qmbH?@b@`oFPb7GMzAagL
z>k@<fkx7;leSXSB&t=h>xL2qkU8ayxC1#m)wdC<BF_A3X65T3NpEvE<C^7#_Ux>$+
zeG;2>SUW<JWOv`6QLf1I>7kt9j7lZu8^)}6rY^e2u-E2F*LpFjy&qMdp1gC&^ysV@
zq31^2zt_t1ByIlb8_U8l@4@yrF-0y(-!3GETu60^f3fP@i**uwF%40I8daPhL|C{N
zM2Nco$h@J^m7=<!WV6uYH$RFx{;imE!=R!=;KtJLZ!+fmGJ1P#U8=x`#^j4<rcQcy
zM1tp8Y}$(%2R$w`h#MYJ`m`nHUg@Wp{o58!I&o^}qPb}^A59j%a^d|Eg9i2)UFs`$
z**$Df-oz(zVxzC)`xxe@wSk|%Mtu?cvPW(~kPKtY%LA9)e|7qEY%pt#c5i0q{h?RD
zn56jgQ4_}rrX<xJ7X6GJY!4Y9uqH4jz3bsHcpuZte&J=zkD5t`IR1zwU3~fRcw@VO
zVEx+Ip8p&T$xB;O<*qN-rSLt*E@{_+COgXoQf3z#bY?Hy&@gwRMaj(%#tU@|e#fZ&
z_$TlyW=j39WI2Y0IL@Et3^UfmIQ&m$SQfLc@>5LA@g)b6UdR3qS=e!GaifG9$6Ob#
zn4cYm0_G}=OgDFY@<?XrQqXo*72C4%<WDn(V=EKg^k@7$BFQ4pw4hSmf&HX|;7y*H
zyPWJCWIb*qoiG$^IQDBnOVVYz9sNg=W;JvkpYfXcd`t+VfXb63jigWM4fAfk?D!>R
zsO_B2;*!f4b6zFJ_?HKt(M>PU9m}4utM6QSnZ<Mxt0col=A}FjB^Vi!|63)o$1<FH
z%A&d8u?iEfj=iNsR#)9e7Db5_&YnCg7=F)Np4i*KB9kS?5dQKehw=*614)W8>sJK2
z@oTL=^7qo2q=oWnt711F6`t4;BzXJx!xsx&5-)Zs7(UE>@`c@SP4i?%4<?ZbG3}bm
z8NyF|WQbm~ZTiX*e<tm1Yg$s6;=j(&S<rDJ=@`S$POIdVt<8){!3=Y4THH^>{Nxl~
zu{f=<-{T*1En}eBy6Y#|HnY^OzxGX)%X0b>#syQ3uD+Ib*`nv?yfwSdul$p~CC5AD
zmfewBqgIx)jn$`kypPmgH&S}_(pqljhZe>d&c}=~M^e^%3#g|3%Z}fgTmC8K=Kie{
zo(kMtdF=eQyy+W6dp{qYvUXKo{{gKT=O;(^MNat<dm!h8O9aQp^EZ#q^q5@!yu*t}
zVCU<l{MX#04t7W}#>_Y}$E`4PzT5%<_nSw0i(HSC6@;qpnCA2~;?o1p*#8*^7dXcx
ze)wX*nbh~=-m#fimXtHcGBj{6ka<6Q*ZSX2go}TFJ3iww)7%PWhT!LqnXZVtFl38X
zwJBA){P^MhNsgiPV2s5{t4em$Npqht^e^OnQX9Bb!*Ij@D3%8avxFK961b1aAJ`nL
z!q8g(q`{DtP2+CT56K4I9cAaH?$~;KLz!yB20MoXYdp+i&SXU#oGW%vbDz+W6;+?U
zGJahkezJMO(?b(ZRG1xE`u5<0m}kj{7plz=___4sp@j`G&9RIM8#y-blxS#*Wt2Lw
zn>m(IK9=EsY0UdrhFQrB|I=fnWB(_`S};tExyj4lurP*UZp@F^|5>pNebX0R{c%pS
zU*f=@$DB7-H{M7RJ*C~x(Xod0NsRPK9krVR!Y6bDV*WMsGReiPHnWNSf6`Cvz!JHn
z3EQ|2F)Kz^d_A(@+zR1mH(f1$9-Mbjpj0vD?tx$d?h`j3^d;R4)OZp1n0tk!#l2P1
zZsIH2s+Vs_{?B!+;ZCh;Mc=9Kt*r-Yn__Gp&T+4q-&wJFa)T=Gz2rFzolPD!FOF24
zn)Ac-xXg!&w1?a(Y@!8W^B+z7aY>~xu2qclVGO$^V-okl`OIc9i#;bYNymQK*TStd
zr{N!WujP{G4ZJUf6|<VP9g_NEQj=yf^#`cO1h?2bNW{#J@oV>&YX~vB{$P(K<J?BW
z!;K;A6Sx@|V-_Vj&%gP6gIllqLAkRJ+<$B^OzBv5<gMWY{~xwVhr>huUYS%W(s1s_
zm6TVdH@2iOvA615F#YPQ{d>jehso2&O+PN!B(eRwaBfq}vOkx8ieH@eq(SaSn#zy5
zU;kWU)EVYKXPW(}agTJv#+;kG-7fy(;uMJe_w9Gpo50V1lKwv7>(Ke1l-|vFU}mE2
z53U=QE!;EP|31-L`!D71BR$DU-Ys6Lf1hwMNeV1$Hi`Tz^Zw$#o~B9vUS+N2^Zol|
zw{d6gvF@ak&yri*p9(Gb%{VRQ-|AiGW{E^@3*Oe^E*#U{p}6bB=Qj64NiFW)QxBBb
z+@2!$%0|0wXXySLi*?TGN8Wt(<j#VY5AHYCm9@K{TC`nqiL+bVl2tAX|Es>RI={5F
zuGKv&H%zzH{dwI<PuJx2TSY_8?Rv5@-{8e2uecWXEY`UAB*~cmnRk<&Rgygmb<A9D
zo;vuVPa^5ho@iZ0ZKkaZD_1A2k9A>K$FOPfmxn4_82El%Zr^Y^X8O+=6^t`}M=*6h
zQ;7Xv{gb65mZ7WYa`P|2i1>~p3LG)gFDgE<6>gsKNZ>|Bf5V3umSl#P+Kue7|MMB5
zbrx7$`e*;=LGH-|7L2<ly#0G;<*!7>2A(ICXCH<b@11OSW5VH0w~`;q9*?=%Q?W$f
zAjyBj=QXQZ_&OQ64`!wvh;fbC(xCgJh41tM*~g3Io0I?77WA!Y6?oFX{-;4}!-NI)
z6>S39^CxXAIN7tqiYakV8^36*%6~QPh0Ltgt^Dmtf)$c`n)ptBn6e}~_}I>b#W9Qe
z1$!D2IIgC&-F|#zG8-S01y55_%<LUkTk{f=4^#yAYR0t`Tc|hHi7nnx(0*utjPQk`
z{!aq_42&_L1+Nb~y<-9o)JHHj)CBf8Oltbo-F0x@M2-zV9?of6?CoKZ*|L(4uXSzG
z#Ka#5#A4R=HcpQzZrSyiw{4%q@(xZ*j`K~&JUcaiJY%Tn5$uxRt@(M;`V5|!$2&f?
z*0%5N=x@K$QD<s&rZM|SmH<<dP{Twc=8iC?m|yh`3O4^2?Eb+N^Z3sV#s{r73pV{(
z)}UPQ?Z8L74V^kkMGqE;HBDqbdNWBU=~F!S>9(@ug(e#(=1%nDc`V4GbJ1I1eo~!8
z2J`fm-iD=&vH!J`<rp7oRx&(UkY<p~a3bek)3yWu-o`RCw($$|KU^Cl@iqD1VeaZK
znR&}EsxWNeQb`ni6LYXD?15{{#>Rc_QgsC^F;NX!I_wLs?`dl2u4viU9Lvxm@jc;P
z?7xPY=Nk^5RA6p7aBj13(}BmImk3M8GUmic-r)LU(HhGb<B{|+iF@KNMoY#yCvGGk
zxY_W!m4(B9#{bq;UD6BgvObGpK3o_3pR=-OdsEuSDGHke+;1ecrtLKQC@fTO$#{xU
zdci)6*(bC*LPWKjQiV893oLnT$;5qPPxptcg>A=M)4cC{@dir?L@*|`rv0q`sra^i
zhNs0W9mW~=CRik$GcjySoBq%LK+d%PuWFjw%G%QGHg>#bys+CNpu~wYt}Shs_Q|C7
z!-Z{W=kLA_v0c-e7NcIA92v{o(lBwMeNV?Dh32&5KV}~K(4KaBdHU(MEooQ0Qm0mk
zJ$V@*{!?X7OIio(z6tFP`Q<Is%nos^yW2XQJ%%ZkVbYAc&TNUy9+}2%{f`e^el%G)
za9y(B|7I?BZKVcx-s<a?0p}Vf+fP`>k{$du_P>Wql6yu`3IpGSmZS^C?GKmqGyizn
zQ55j!bZg4q1LCp&lPA{9JJIZFk;RnRu{h~uYz;%lq%yZA<tC%PhBrwK$`4~UN=)vU
z*05OcW6h!ivHwG28D$UH9!O@CIj}SN_opB4FI4-qELOL;ZO62F^~v7d44c~)m24_V
z+GE?nsm0i`(DG(M+s55bIAa<lT&L;gh)r&s#GyMmZF-Pw_W{>7r}wE0fh}U2Tbv%o
z@HJU}oEEHJ_&UDTQSRgC+09O!KWuNentw`|-pG;M>X?0!Ux_)n>)OeL(|`Mu+nlXc
zl73F$U}Qdi_+e7Zq$ktf`m@wuo5S-<H8X>o|5pb~^waE36ROTnvh2uM{jA05?IpJ3
z%Ub)Yf6lURDM;D+r2YNA6rRq1TW_{HhUp}AueR%Ax!ls{$?;+i<G%%YFKpj+?ONJp
z^VezLWF3ax7oX^`EX~T@+}^u9Yk$04?|ofk^CdANw^!<VuKT{m(qdcVnWU$!{p(%l
z?mxTk$uIZWlMOoBdUuwFe$);B9O-*>N!jX}RTpQ)9=Dj$;a|x1CwO_*RJPOCQf*K3
z9bEPH+1!lDH+$QAHR~rx>m)yv|CHMBK<VZJp=93=5BD_9<SgBv%&=*z$*yJvrH7%j
zU#VU&X8j*=Bbi~{0+GZo4d#<S&sogh8-MHS`Nob9thcWGoM8Vjy|KihEB1edL#Et=
z!ku-ptwniL7-PPD&H1!vkzQxS)R!M3k3On<)KYbVFRT54S>%JfnhTjp5!(a1O!_xB
z)h6ftm|}C{JVR1jGDB0+6vLcN5j&3mdC{S^;r)T&neDBL$qYRo8b7zS9%2k)Ols&>
zPEs>&ZGNQRW!c1WJodi^OF;|Am1Kqmr`(xVu^r-1VwG=bek=cAmgdhFFBeKm$TaL{
zV{2?o{?|X}S;f{ft)Jv>wCYbVQxdo!o-jv<<Hhoyh3wXi0Uu)CBsDDj`CzAnT!a3>
zMnTRPj>h&IQ|2qbZ@=`ssjIEQ_^iRtMYAWfYql?cnV=c7c)`40W`?H`EFI||C-%C3
zcDTUvyKPyl3d1DUOvwea9~L=$&{=p)Y~jKj)}#d!H+e8LeOG3hsNS@$L!y&uo@Zwk
z6Q@nvo`$6FF`JU2Zy5UvKKU>=DRn~i^X4@-zAdueym*#H^O|F<4Z;_nC`o3_QBRuS
z+W6?tf&&|vI%?7`Mim}7{>ggxf|~S)H$E<DdDF5<AZb^_E_?5mr81@y99Z}lY~|{1
zSbCGU|H}lI!@fzYr~KF;%@`A&^sBo|bkTD8V=GRS9u(OyZAB6TW75u;=r>8<Hb@Js
zE-1(_NIH@fcPerX)3PND$C7Mf&axzVF^eWOC7Fdk_+jSVls*3j;|UYNPFbcoF~=J=
zPAEUjDBrN)(1V5<^8dwn+ZM>*(2zfn^gZ_9*VyK*Cv|e3v?aaMmW)|tKcV@P#Q(Qi
zIZX?67Wd@5YiW958lxEVUR#jw%uAb?!q>WDFV674(Tzz|nwsSILVqHMdBF9GfHwwA
zOJi7KKQTy7e`Dwv`@c+M<Acl?!{i4an3-Z3{xZb=XNqMMj{R>}+i+Vh>Y-I%O!NC1
zjT;>AB(!50x>rbZSSu9A^l7}xUh?O>NYncpbHX3~do}y>f*3Zbn49@41orT4EIGkZ
zJ<-{KvF)X(OihgFid%NAC(StSSZgntm)?2&_zB<VC+^lPy7wk#$-I=Ec@w9#%@EId
zX%n`jSgDIMext!js~0{MbGT0~OYdvD_d0i_+$7s7E1B6kvOl+~FPXPem3cbzPVKoW
zY$ux-ljK)2FwWnTyL|rKDAwLdEUzukwLbjq#rs@x(nT@GXA{+%su&dPm&~iz=AXFJ
zGpXhNt#5~)b?lpLeP#0=(b=~?EuBAi-=tsxgZPx<Da#f-efPvcblElaohDpuPxxaT
z=5M&)`uMlDXLO_Lf7#jpRxEq7PVmcgna(JKpK;6P?+f?8zsak9&DKxb9VV<<vS3Mw
z<L0IFFD>=Ezr^ERJa4o|Oxd#eQ`k;gT(@}M#AP{IzvY4U=FCR{8E-Fid|p0((^T0f
ztlk}LB`NuzJgzKRu!yzvNWg&?8x;DNEtu*Ob}i;pMA^ax9!b9|AJ!E))VDtMEO=iL
z;*|6*rl0A6$~V@jEOYmXwd~iMzaW-9@=4IL!*d=^Y29-;_Wy*E*-hpC?CJ+%|NF-H
zKe&Hf``BfP#^>sd_hveNWY`e%aQ{Tcf@?Et#WmDpLRt1ay2;gV-LU^-(^0jEcmM7+
z6sasUZ#-Bz@kUd%L(HNWrwCKK1*JD5tQ!x1Ua-^oQTG2kZ(FYFW>v)>+xR2t{IQL7
zH>GYK*|TZGUbg?%N%L6S_I*ClJ3%t>(w5uBEq9KcvTdxN-F)%rrmj8~;R@EKzejlg
z#xAhrW;_?uu(6}XqrfmjrbhAbmQ4X0k96ETzD4gObD40~(WJB^TXz>XKbAY;bByuG
z>y{_F+pEJ{x1W@%IC#=?##WBW+m1Hw+3$MylhE8_TfTa=YA{Tn*Sc%B@e<eQV@r1L
zk7<3n)mrXJM_Vh`FFy+%x$D{a%kBOh$~j`;@%*g)eSPOG>tg11y?^2~seRYaIjsu5
z={i#${a-5U<?$@AHK6m}ktKDxVcN%+tk2S4WbPugBkOw-k6mMK{mMqMgB5jL4GJ~~
z^S^a|TeHFA<dI)9?7BEYY;HY^o2dF{lBdO+`sa<Z2Uks&l5V{Hka1DO=1%)Zo3+k9
zFjV50!1>Q<=Yv=VyDg3CogB+w=B<|s>xkL$(~m{eV`0hC4^1Cp8P+zrFa#!jjhW6C
zb5QATjM?`Fn@@=!N)8^I$njx8r;qq2LB^BKM?S_ZP+(|@Wtbepe84&8bF2+R_oDoY
z2{9Awg<o$}t~`)(c-aKb7*5uS!uI_S84m9_+I-{~`=MQjm`<FKem(zD>ya(#*(+1b
z9`37Nxk7>|rrS+HH0Di=aFu9E^1lyd9S_fUY@XNg*fxo;$j7fE>}b>X$cD5ZE82E`
z>%5!ZwsZHHmbXXMmrrX>W;oDfnrQV{Eat<7k4Gw=w`{dO$+5NQT~DIw&mU#6lhSU8
zO-}jPXkT%lb7C`JKhwzx{!)zj6FO5kIsW%Dzp_2WI!Pe5TXV}9`%V^~*YA>AuD&(y
zVKx$o?q`f%#dE?=WNNbl`@tBepRD{%w^*2(V*e*vUOLeDD~B<r^~xH?BU_J7?AS7E
zj)DB2q&Xc;KU%J=S+&)1W=uU>(3<6o4j3|b&uQxjNLr!i>!>cl6w@_Nk|DLzcy>eT
z3YGIKx6Rr*-(K$Ve~*vN{z*q)Gwn&T+uqAGp};ixLUhORvz-ir{{(~sx3~O0(RsdM
zz2!_L{ew@hO<bO^Gbym`W^v=cvW=}Zjmh`s9(=R${Ex<)HD5C)G$k1cy!-Fjf4t!r
z<A0rG2D8^ErZ;JH{N+fJ@5p?p&{34g(ePnT%a4tR#1&%yeTik{I1}A=<M!0mn@_Cz
zsMK;Pa?;hp_J8RoY?T$HCZF?f`un^5kkORuUVIadCOPU)xV~q{4#tTR5}J+E**lUf
zB9eAA{(IEOB*1hj#iDbPMA`j`bN?*(I-`$?r$ITuP*N$jgN;X`b6(8hZiclhCa}Ls
z%0Ciwe8!e94d?ij>o@$0(2hB2*dZw(`D(*o^VzL;I(6C^8~$#5`|f9V>JtUeGiJPj
zG3p)eTKgaID6Ec}ly<h;d;`<T^okDQBTnnycC>doZjU|TYv0FsW183*ukA%WmepM%
zN2G!zeqK{^_+)+L;qw(2TseQc&8S!KF}RZ?J2PQV%t^K%=W=*ve0*qq!7SzOjPjGY
zk58S5Jbxzl`2^L6Z)WfvJ(+jxM#pz~QJI(xGxEjfpXfZDcrf;V=LtER$G!4hl^sG1
z6Mq=2N%$Kh(y>jM(_ov}rwQg}+#Ng9JCDu`@@EteyRyJ$lFS;$NgsFidQacRc4?>V
zPr>7TqU)x!KkurXtRiyc<J|pPg_UcPrYU)P&M8#PoBrrz`dN<yM?ZRp?!S9xN~ra*
zk5ktltucAqFZ%st^~s(X)y&}Z6Z4OJTI%&SF{i&rd_Gh4k2`0R^BfCVV;QEkP5zXv
z($Z(#yRk@v>166jTZi_e9qi`Gok5#VxLb4uS<VvZ2%6n6^=6=Qrqyyb#?EyyDHX~8
zLps-ge4+i}#f};2Jz>wpW}I$6(Iw`~qVLyqlWCS;SJ<qyvWs8$ESku{uxUYzSwWZB
zHrZaWKBg0O6FW<;w0SZ=nszol{(#P=!s91W4Qx+z<n{Zi@0?eaKD(QbJ8Xey(i;}x
zHP`RlSg__}PtfxVXYTYneG*&Sy6Rbv(CQTK4NuY|k~(kYbciUins+rY#`g$c+sV*#
zdgaAqwz@3pK7rex+8<sayfTRKNm6iwcgw1?eS+<bNzG5@^xHpOHJP>i?$oW*8fSD*
z_<Z$;+Qi1|eRjWCBA*I7b?^6R7yi=0ey?>^-dnc(Gm$UDS$+rc%w>sIjbyDpQS@o|
zj1}Q(O#Jz+E7!B`&0fjA|LV_4eC@qLYvUAd$K76ObFAd<$yxh)+{5Pz<*n%#Uh(Cn
zH{aIs)4G#dQo{D?F{vCrdn);+McT73*5}VhuI0PAd#S)ix&HN&6*8x2ueUlW*?nTl
z`N+#FU1qL->K{Fo)88k0uGzh!Uc0Sf>S5s@_lB<RX`K4<bWNY&)->Z&y(Texk{g^q
z+P>KLxOt&#vBaj#8xL<z^m*Xl$L4Q1YeF)^mIuoo?lz75^LIhTL&hI>avmJ~5S7eu
zGQjf31N%n@=XCc=H>I0rPg*Vz`@hD)?fpjvDaH$rn5ttYoQwI{+{63lh=D`PtA1XU
z7h9|!ZLWK`rRK)FV;x+*9LkLB_D?pQjB4)*+&=lf`KOBxw>B6iHwZl`<ezr%_yz-R
z!R*bmHdUQ`_-DhOM>m_kB%Sa*K4bO#V%rlDRnH%8?rym2TTt(IqQEIArTW$RP1pI8
zrs=;F|5T;BXwS(C^MjQqe_A(*s#UnlpO}?o_qdxiC-(n4%^R@{6Ot!0`5v8P+$(jn
z!MtbdpT7Eujt&*mZ>;##{qaOq2h(S^h9$N?7-NDO#5p#-JfD=UeX%j=Lq5mWx4g;!
zD;d^J-0(Q5<E}?flkC^S8<H7jU%I69<K^)NS;mIGSzirvR*F9B3Co|~p~LmnrzdRd
z`G(`2(#M#(Lep2i?dLkv#Lg6BV;*~=>Bs-rKD|fWP0v0*;(j!7>zfNVH<WBTl+>#)
z%^J({Ki=!Fc}(_426omn-z_J;O8{S?dCQ)4UW|0F-uIJ&>w<M(IC60(U+_46b^}M!
zMe$C?X)hUkC0H6R8%cL9>(tPZKH+E9X;?kmxF`9<x?cVFJDGZ7YEN{&Vcc{>vOz8T
zl#~tEiiACe9nv2dXMJ_{W!Qf2h3%US;u052?HewX?GQ>)mY=0&v8*AderI&e$Bw;w
zcAV6?vEe==Q_|EVJKYYWNXD>_eiN1aCiv%cu6x88+1O#2%(#N<?96`o>-jr$WmFoh
zm>$oVv*OR@4y%LAvHxB?l1e_pC^YH(^A`njLJcw3W7K2+J?Y74j{VQjk@k5`SK7)0
zpZDl}+S!xJ9TTu8=Ie$HH)k4e-f&f&VZkPD4(443PDv6;H)0}s4P<VVN34li*6`!x
z4DB9+wxs3-422EL%4R53MD-g!t9!wFzAMRM#*$<89h}_>2UjMgbl4dFXt@4{`$bIw
zV^?h4&O-^3F;82(HlDn_qc7&C{|fDmogsxm9Z`KVZ|BXd64q>HLY@DAfHMDIze3M}
zDd}4*L-&Ow`B(E+7`1Zl@{rfx=#?Tm<3Y>&Nm^{(dPg^0n>QnC&3^lo?xM-UQ)hO`
zhQ)O9+Fa%`Tk#|N<$SgY{;QMpcR2irS#i?yV9eeH+8dd6gdd7J@!lzI=AI-5W{!@W
zJvX`vt2>MzS<aW}FhB4qmZ5j2OZSTj_7SlRb7rt^?5!%`oODFyXXoDJ{u7^G?zR<*
zRakGx%9Our*_s<~cJ1v@Hb1}|Ba-w#X7jebjtS>G_T7A0mUyG9hFLI4ILZ1&(YFN|
zDS{ssZs1^HIK`Qi-=lCN>CTSwhte~m+h0C;DBFL9rMGVLOSi{83-mULGTeQ8dfEi0
z&0U2OPy6Su{M$ceWzWqyvtxT6@45BW^d#p3Q;QeEvp9-%PQF*`xxJ}d%lJ*Wx@po$
zrcRFe?;`dvTIx(@y7#(x3wtDUZOsPmd(YUWZ9dE3aHe<4R*^Y6tKW%zI-S09{+sZ(
zQM~#movhx3-QUYOTZJ!?DZc)F-gcgeYu<%xvK>6hIbGx7o3QAo6FyJ$Xy9S^^FrgK
zR7kt-WCPtB?{A!p(3`OQ&%3bLPT?;}Qz~2k@69^<E<7%Dl7zX66Z6$4f(mWjE8~+U
z&g->E(NbzTY}u(`ZTW_A#*L#tcS$k!&p7vX&Q^bg)^ERe*>oLjTX4#{CnhtJA#=Cb
z$Dccoy?k4DU!Uo!D&JNe3IFpCRF!zIF})36HO*O>i&bZ;>`T7ed52fLvz*$nMPRGS
zg9fhMDjZ1y+nG2|UkM0*B|q`jhEo;q!+(eQt-U0q?!Ny1Cn>)#6Rv%7Uj5)%`omQd
zf8Bc@wtup6SI;g_1J_+~{N+3T$n_LF4Yyuna=fo#(}@Sir|j-t-szfRc#`4Zl8#3o
zulxSp{rS_vEuPT}V=qqY&zX?)S%3cT*)>ha=Jn?ahy02;H{n2PubAKVo}A~EQ@ceD
z#{O^pebO{xg>BP+pNh2!4`Xyr-xsdrj^Xakp0JVQW#9^v0_GE+1=M50*o~5|U7sQS
z<MfO2j=&((SAQx^{rfT!lAMy%PHG-KcTss}OMbs#?#mmSS6HYu9Eo4^@7STg=P!Of
z*?FcX`SK@$^Jgzk;9KO=Q|)O~`iWVzC-H>9-_IM<&+zPdVO`miaH^;7i(%4<jcz@9
zl}*P^G;KL@>7-%vi+TNzYd4>{6!Z4vv!0%8mg`rJ=D7963D+OHz`U00^!u}ye%|hV
zFrzo<)P?CkR)=jo*#2mZ;#2QB0lz1O5~fd{8i=mtT&{Ee(slJ74*#R?>Q8Fj>Z^}A
zX;stu;d1#Y(@Q;Zm(;nodtcpFf6^kQzrN&BV4UyWdG}A~S2~!U%{{aJ%;{*IKJ88S
ze?Ik>^_$sSAI0ChI%M)BhFtG-pKU+9tIN1w&U@PD$8dVyxr?`ZLq2gQCnQO3ED&Z`
z@Ly?DWMmfi!v7y1o_Tig(Z)lIPn6nr`Z}#C_u}g;i}Cvt`~QO3huB_^#F(Cr^pppO
zyF8TBUndsT9(>eYBooug+<(2d_;$mAjT4s^yy`2t-EjEhO_%hON)H(ujvViIRAS`K
zU-<jn;ZtqPHh;Jwdn6|P!y3Vp<<d!Idw=lXSh?VG@sWm2A69*MlYD?nrAUNf?T+_J
zGkU|~3XjVz{P2*$A(r98ME9bkbB9*8e)l^q7SwIq)pN+2zsr!XvS8f_)`+ANF`pX_
z7B26K%_(fGkn7Ite%aoz=X=hH$4rHM$^Skk$1u$84XwM7@kD6y<id#09ib=Eue`oc
zz!hWtE8>xa58F?f;~o0iMZcZ;I{UgOCjFIB5x#RUZhBwk$-8H7NzLj>Uv6i4XG7D<
z=<_e1_f@2^bi63+FUxISEx&1%!jhF+9G@hW&YHCC+$)=guY7%Fj4|@3U$IQ@sW|gm
zSAT`VwsWsHHEfjQsNOlbufu`4BiJ@f_J+xyoyi~nPl(vaHN*W`r;jc7MRTT|!fd@2
z=`k<0kM?9u@2l9n^ZKLSvNpe%H5W?6>%vy*-svySVQ)BaU}{oH(vA~vkA3N|<a_l~
z=y<2{=hF{=_U2X`y^#6XdlGj7Q&MAxRpYHBp9v)=I3{JBd24>mBKE(|g?H^8CBI_2
zia&Q1L^i%X+p8Rx-j}y~PDjDL9(nB>ck1r+=-od1EbLBSTk^jz9k!x3jnqCYcoOyE
zPM<56=bzXUjURaL_HFJ7a$US$Zlm4KpKJRaKQ*kKby41R;?pC3Tlm?!Y&S9<nE0sM
z?oWr)gCvI=OIvqVTulg0TKVHLFH2HUbj8Ci+lZKkZkLZ67e-95=IOCXW?`7s!1OaI
zTKkvgkuHHXVkf50?qK@<ASo-U+d5*~ibaZy3$z-TlP<^TB;CESTV70Jf`Fayg?lGN
zlU^`?SyL$WsrpXeAHmC-oeSozT(BjXe??m_<AOF9jsBRG`3WpZiZK@s^~Q7u%PS-^
zoQ!$EsQ4jCBj(NxwS&@0!Z#+)KC@(FcYI@vWYP_W#XU_=G^%5I6Z6*`^tGDcv_UzB
zPh$HfM&|y;z5R)wdp4eQSkUKsKeZ?PT+0d-j>gM<p+=V5TK8XT+CGtE<NomX(}b3`
zT?_ANeRoZIZQe;s3+b3IW+w$6w_dZW)#jf(Q>eZ-e1D3CY3r*=Qqx<je)>x<Nb5ax
zQzqe@`93eMoy+>et?tV8>dQ=wzW%TDq`}X&Yx?)S4Rw-vuJwclx~>o1<j{9r`s+!4
z{nnHAyW;s%lN9zSPl@9_!Tj-x^wdr_)99;GnlY<?I<USsndWigs>J$fe;xWFSAIyE
z(Cb!~dPP$EX3(Nbl1Bp9BzHvr=-76Ag~#mW*%HYe(P4};4A;C2{n=B{b7J!qDc_)n
zau?l_etXz5^~G;{F=ylK6^)XIq|+PMpE&<yvE<23Jq_=o<P#Pq<@aQ+j;dUm)*m%7
zK$tt~=#r&Rc6Ih;{?_@FKZzkj*`hP{>=gFwTU)k$*rl9ce*7t)#fL5Omd77+#GFmM
zwXHXMa$+fS;+h`UpM9k%#nXDjv-)>%Ogt2GB<R{y<!FZNYn}c+y_%=F^e(KF=y7-%
zmAL;%?7Kd7mfO)iIoCJwb=;Od!7=@dcIhOJ{YSg{bKc+Udls@u_2-ER&u=YBIdrQp
z^3*TZS#pAZIv=H9;axrF#;3mA_f|J$b$b|IO;hmb$$$H6&I_BCAM5pg>TvYtuJUr4
zx2=a^*B80&yPpJ{dvfolw@ll1b>%Ymg|DW_`t;_mkMsHMd1^<<*YEcxbSnzK{671{
zhRNyQ6=MGf#W0ljBy~Q>6z-6^uEOBTG;fpOhtDSuOyqjtb#nLFkKblrWjNcDw(0VX
z6z3JaX_=Kw0ZYGqYz+Rn@c73aI*&Rnc_Wi`7}hmpigl%TH)P+c6z_?d+7+{c$^VvF
zQK4do^08A3dNr-fZu@$OFw1+X@m%jH|DF&doXjwxq3|K|FRA`NE5uCAni?AVdrE&C
zRd^AT%ox<&VS8esFUJ=awxB%0<o|Ys2i|7?xGuL+<k<TkHJt~Nt}|!PlkTk+`|SF^
zyEAs`2XX6@_GXQO$5wUNG%QJfeY8F4$0m8Zq!a&dHZ-jcJQ2a6@!P4Z<3{}vuiw=T
z>z_~PwzWx`-kWKwY5&tIDbOaiuR7|(=TF6=Gj)=>k47+_tkmhsNb!>p>J0n%p*_9p
zfO*@p&cc`zbw?*`(u?b`_>la+;l{I@$w#|Vl>?R=e&w3eQ=ERn)>VjQUjL5m9sA~W
zMSkpAGk?`Id+rnUA7`?!?4R~(?UdijLTmdw6nduZ{JbLOQAfrDnV3&2&YkUTE1o2|
zMMJE&U3DglaPLgpp7xDQmn<WCJDxFiL?7>5te^BlHm0xBvipYo%005(Jsm50#ou?_
zIibkj5!<E6Xw%tY*O4TB;ycs4n4ZokM(t%iv-ft!Zs6|ieA5|wysN|cXGVeC)Ct}F
z9UV{qADEU@oYc|Ll=OAQ0^5k!Nvpa#Qk0W>XEz*6vW_|T!@!kE^jOE7?pW>{-CZ3M
z?;K$~#CT&_m-5Vt;}e2XIB#`#J$%qHQBh1|r`+`khW0(N^ZV!Q<e4atG%vKL{qF?+
z<SP~*|9{@zKWFFnAK#Acc;GPmBd2|TU(Uqd^SwFB9Mf)aCT*T*@TQ}u^5#sPuB-{k
z|Em~;K3tcLWMJ)>V$szz?ME!b{0XP_bo@9Rdm-t#*oy6Z41EnflQwO*(8}4dk@Z>(
z|K$V;?G-EEyjVBy##Kd~TSc2Rrd>>^xERl|TVqjR%tuW*#tz>39TPtHZq#vzoTw``
z-@>bZ!|??SKYKDx{h8I*G56?%A8Honvu6rS_~viGCbOdVaFX%^c8x{coi|%79kzEI
zeUlX1$dx`r#(YOI!>QgQ#XXCXrDFOguby+p^u{yO{I%=E1tv1AWoI}!<GCsS1Wrjs
zhTTjtjKW4t0<8~iY&agsf9qHok<{SYpVTq`?9@B@=O!rph)V0OaaEd9!`E>j<%dJ?
zjn^AD#5`)uw9WtN8X+Dt=hM+E)#-1hteblFHUp1MPv76&z5h}e)_py^YkIKD=0z!2
zdFM;djSiks_CVg}>#@@-d)98h_q|Ap_cH&#C7)9yg6HwiU3I4|^OIy<UvG)7(oz5Z
z-=&*mTKfC0s0P^9%Jt4|Is9fS&r&_l-KkpM{e3^LyRN^l*TetQ(fvg2#KQck$G3L$
z1U#^r%@aRo>)E-h%cFGEuJzUHEHyhBoj>8amWo9|!Xypvp1!3#@g*VaH$~Je3yhhh
zeaUZiEU%YSN8LQ}$P~+Wy+`)kX^QOc^GjqkYkt<fVHML!o1VVCJYhd#=J2VWG+e;u
zcXF?CMyB+NqL}KL4ENS<e-_h`GC8qshKsFoXBazkc5mHxx&D4hEALK^PRkHx=GeXo
zOaD&#eQ4#3YJTS33#L@`O!(APFJ&th(>HPdf|DJqOZAx|=G?kckiVy|_N0LQtwj-g
zSA6~vH$jC>xanHWCcbZt&czp1uFX8S;ayS(>#VL<yh(p+k{Leqead*fq$_#SPg(z-
zMXFp`kt@H>x#$_SG3?CaR~zh-W|X_1+GM_Ib=Ah5lAS;DSMAy)uzbVblMIh{E$e4i
z)%iJP(x&unjhjj<m=*}OGfB*@U~CCG|HS;qjCswRN!k<YCUeWr+A8~V?c{^XA0Jw(
zb6F)$V2J(qz)VH>T;k{Xo7Qr~+cbPRcVg3vC-Z-_9K9i>A-cr=?2Ad#0nFA9luUn0
zUHO>!=l#>IS5?ox?TF~zcB}3C$sC`hUibH1E1lfc+aZ5_&SdK~NA_-E*?cwG=gqhM
zeY4Zg_io#&`9npet>N_ZiB;>@y!Fodo3v7yu_MC%Co}uivN-m=leG9cde<J=`z~gh
zu)6fApH)BlBv-#qak}5PW9MY4?q09u@1N{Qn{)GDik4$v_xn{(+!yvf)3OvW*lp@R
zcl}g>o;zDo^x5}bby>Jv)+c7F@Y<M_w!I6d@^#m|Gtrgmy~fuex@RS0lTb|G|H%?R
z_Z~gj5j=0A;O6d-^v;^Ioi(#BY8>x;8`-hRKdCt)`TxoTXZO5ROcKa=QQes&cW}<P
zf1S}ORfi6J5oX|I>OQczD`xV8d9Pw!6|&1_IjJzH=6F;VXe@cipZs6REVJb7twXcF
z@@A#gob8soP!h+o^vUK0PiM`v68$IGk@w^Bf~UM{F`uq6RIXG!nU&D#pj6OQ$*_Gz
z_~*B$4u3c?S)S1$_TRr`MzM^nPt58kk~chhF;lH<L3`Kc*ngcLCsrKEF3CB$IIi(}
zipY%4o1&|GKAi~FyEx~N%gU7bbqX68lg@k#bJ@(Gw312b&&G}qhfYrTX7`5a$Bz%p
zD>~Ji4NfG)?8y-QeVO0Cze8+ByX-~|gAE<s&Q>4n=Oj;ZXcGPS<4oG3uc8wfyjcUD
zXa4;mcwu+M)s70^m-Zb^F(1zLO!yYt!OfJz*zl#5c>+^;1XI`SiILwr#QYi79%*5b
z_3vEJ^<hJ6eM29AdrZTNWQOkK|7C1BZ{Gge@Th}Zz4`jJvp*iZX?!_>qhLiNPsi^+
zVz0Se-^~1`_Oi&aQB5L7P1yLxzv~k!kN1B5ls>2C?6l0eRqjtX*Uu_$jaiv<_T@YN
zH1FQG`3tpdZ9XOUy?H)m`kw|?r#OMHeG{g{%>VWOQPSj2m6}_Vtd-9`63jn;<;Tws
zBbkfVG2f>&TF+4UKU1cYF_3LK_wsPo>UmRm&aM4*etOqV`;)VZXBAFqjI~}cdHdA*
z35C8Bf8Oiem9}7scu(I0hMp%g<gXu|ypVHAaHQ<S2*#wI-KP&|%m2>5rkeBh>hYU1
zzxFaWRtpL^FTNMkvujd^-OAtgl{0&KcAajq$;(Ne#(i|}giTuau4stObYkSb!29}r
z!@3Ed1XixJ{;$9o<EL?a^R$u%wYxV~-dxc$v2;R-;m!It5f|)!bnY!)aQ9w=o#D-n
zADl_O`_4|SnQ&C$<Hd^OGc?tz;u0ETo+bHgkZLdd&}M#5z5AaaL)kG#j$?MQ|1**v
zGK$TOZ7?wvd*5+E{-!do^@WCSJ^Mc$kUjoomY==d%`*~nk{LSA9f<w+CFy_ciuC*=
z|7KVzT$pj}+X_!Z#T#?uI$Qp1cKI0l!RGPIkH>EaJ)dMI|4D%%??PwVh6|G=rKfq{
z|I~jdsBcowo2`uE?<W{UOch^eGePg)hNM3arbM(vxNl1M*1P4&BH<53$8R_(bJ*rz
z)|z*9yU_~eKa49rCz>WLxX|Lrm&C?kZgXk#xk<g#eXDM+C}vM)uzNp=d;fx<8!OuV
zcl({Y>DIY+))bcTZ&Q4u?)AOg*0m~qip2DqnS19Xt$cmq&+$uFl6Pt<E?s45l*jRZ
zRc_qGA`7Msnh{J%-x>r8r$xssnA-cZ_usb3d|gx2)*H3_(|I!~vuUMOGQ;s{8t-MN
zWQng|P+DLyEnAe)(rMDfoEwZWcNes@vfSWvU-0){$Bi3e4_2jU&Y8H$bpOgZoQ%ry
zF^?__oYHPcHvhz}v8nsP@jILDcNlue%_zEC!MP#gG2;yTgeNij8;V;cHWrJ=#WV*U
zj{Sdd*}TRT-~VjVw3xI&dZN?!{13aDJQuMf{o8O;kdc++CX?XA>4`EQ_VwPIP#fzZ
z$|&gb><{b5MIV!n3E!BM`lj>M&56r5cD{Nx?U;~t#Q&2U{sv9{wpn6>n3?dz>8mHi
z6fjObrYkhD{QJApQc`PD?KJN;R&wv%s{PyRE&oZWgKJZ*{(5sRRNibhCFiD0@VeBe
zyCzpO%$4yjX4=%CKF#{?+>WrArH?-av0r<7MSX(F?Rh=1pMuk;du^BK&zWX@f3@rS
z`p8wgt~^uW-j*G|dfkVPf`!knrtIB4XRi3NQ)hSVnm<us&sOcH*OTA-@f7~vWHveJ
z;`B|or&@<`W^YWoUH`R9lvyUms6qO8^_5iBjn^wHEjCy^W;rD7nzczH`Tt}Q%Qfi}
ze*cO6e@HTNLqP*$jC;(VIc8V)91Kacj_K(%eZ3;}1JjO=YK+3OcSP(oc#!<xeMX>Z
z^}#%*4%Via(wJ{^W}8p6*gA1r@q}fYI^L}l>tYm5VeWgiwy^nm+e}NQiQK%&|JNkM
zZfI&}jQREG_*AclF^wHb;xU{tQ!I*ht(f8#_F(^!9TJZxU$&TF@hzr%<D3)69n2qW
zP5ysFh&h>2A!+?w@5+_l$G=bai|%>+dh!JE9~`cn87|BR<xa8u{4_DUv$ktS`TaKw
zV$~;BRx&epMj!2*Hh*V_|6zr)k5g3&qKoIJ{CniV`Rm_ghVW?%j9+h1Vi5e(9rV7(
z?dDX+H$BI5ujRab+ZH{QJ@QZg!>eXLdp(;w^F2;<Z(=Rq6fxi9^_(eL{U7YBuM|vT
zQ+KkT9;!ck=YpO~qScqQU$I51_C$XV$-k17IwL?u%0WNr_oj!Hy$>g|9&cT>eVSzF
zZnHlJ+&vh0p7*b3iHv8Dp2YE;OZ}{>d42!GwJgc^`~J;liZ5Z=tFO9pBDZ|o6(+@X
zZ+ahvp0?2Zb2I&b+|jGqQS-Gb*Yv%g%eO*IK#}wRzO28m*se}vy52U~`l-Cnn*D42
zc((r6<=TF~@2BURN=MaoQ>Smbo_+nbZ1?+VTCV$M-Sw01-K3G*|0ryw&D|>Xeeos|
zUtMRvohy63>%=Ut*eN0E7JP3`W=l?B^yJ!5FqetFZbjCFm`{f-I-h>O5;pa8nCg@%
zs=@F5r@Ku^{vY&1g(=NvO6T#|37t1~?cKb$cvfWjnd!Z!8&X#2*B_fSW7m`U6XqID
z*ipAo{&4dD6YpkEY4dF8Gn-(fJYnsJ&s9mOclAH3U)$ic@j`}jvWjH!*3R;O-W?Bf
zrbJZl@ax~~Q2VFn;aSEBMaP&<d`{|r)VsSO=4iu}M?0eAAF;fUk?#0?L-<SiVaBA1
zZgtltxfV|_?z>$6XvPC^?ViWh7n`n6lVh>9Q5KEa_VWeX<Ow%kPnf)CBhzu=OOhX6
zZ%}O$jahy4`hwVhm&87;S(Y0V*LhdPGy20f?#8K%+AB`G9AM(N<Pk5M{koYsX48WY
zdp7E}GbWvQ!I-o)CZjOw1M57auuk8P55m~8l5+GvMBm$xU^p}XTZjLj51k)BTncuY
zlc>-)qtf@py+q{`4?es|x)hS!uqu(`#Pbtu3?>`hv*J2lq_FJn3KBg&V<OW6Kl^VR
zJ&zP_x$!|?`mIfp!UgX`-0PAVPJH$VkYv_&yKKm`{@U!Hn@_ItWH6KFFFUBEbIbD)
zQ~Sypz4F-|vy1{lXE6Ur+Vg{N&kheYriINjl#<efd3!Dx&skJFYi|$prGT08jW?1O
z3G4q^k@9RKTR+<b4cXrv4jocmA7=b+jAfMRjI%wG)W)J(`=E>OU(&;-Vum@7<&_(>
ztJ^-wvSgnvQvNh)XTaNO+bjKV$T#dx3QAg*kx@}_QT;*k|C-BFj;{FCHF3k_q@&Uk
z?o3?~T0MzxV$qlBD}HloC~R9C^Uu69rf?Nk+hbO)35pL57D$?FPvJYyH7Ubd?&c23
zM!AQk%r}?cODg`!C_X8<@bOx)2VBfkHpMb5dfc#fX~_@94f~q6Bt>5Ew5^z*lsX~&
zVey5y<DG{dJv}jrp;wO4A!b@qu2IgRSvD~<e_Tr6Xw%QKfGy(v#hV*1L_0AHH-B58
zy?H9%_dCo}R#_yOtYEdDsO|R9U-IF%i_0sRX0Wt>o^bj6j04(68s{d?P-1+@q7e2d
zMCtm^Big3*6ZpRESn=`8MYs0~r84XfxOe>SD*ebfp<a&ZPg3NLd}gL3*ELB;Hk3R#
zo3udHpW&R}1Fc1c8`_d;7Mu4!QdPdOu+>sw!b(9o<_Xg*6>}cgGsOPSi>Zv68@uO!
zK}Stn(uW<JuG&nnsfY=mAaL-1$@DchAAL<+HRF4t!j65W^SjUY>KAtgeEV|a)V0;o
zsZ*BzyLHQD-lQdtNkI+@|8_iaOe&Drd2H&U6@e8$Dkg5I*s(i4Wn)aoKAQ(sYZMl=
zr|l^=ulu*udQa!K8Pn!OE}uC0&4d>pA{UiPcj!-eSvT>1%0!pxGuP~VbC_j;7aL2A
zjQ)hT6NHmkbXH4pr%cTI`2Og!<yKoii%n*@v^Y<Z$zso^6ATY_`X91byuw~dV#;cR
za~lP}GH+O{%*fvNY(i@As|Cp~maLGkKWQPuHeqpj_6){vNB_@xcUHmghRD?Qys`f|
za$>$K7>mVBSQ^XF9aO2jD&pnZ3&(G6bpOvH;aD4^xicbchpUADi(7|ZzT}&5E?ew@
z@B0OpBW8#uD92p*>B^tfRDD8s&WQy9JG?}bKg@a{Fqy+}!G*Ik^EL`}tl+=&yW{c(
zrUz9UO?Nz+x8PH43zu!||A~8#@4S)q=lV3u4}T`?EfCxp`^f1c+k}i>&J_>7w`lrm
zBu%`fDCMDAy(>!Y#)U}+69S!VnY4d=`s?@c$9#2<+~ldxb{(|uo_Ko>_fAQ%nIb<~
z=1=z8I7#Qxg2vAieUs;MNr}bGf3oh7#N>m^rhGiP;Y;-=$KO+4?U@ng%A6}V{hM{`
z5wm6w{gyjnJI_tr#-`<3!T7?`aE7fHi>}M17{;l8PjBs9a6jvhWy^(|dv>Pp>^?q6
z^@)Yd!L57t9=*J+rRWJ))3lq_>C-!I3;D7~o@P{RXq~;!Iec2oz4?sQp4_6xuCeW{
z-^4R@ipe5g*(ba+ue^RQ#&AmHCQrwN%|}*P*<61eeR_V&o@sWci#V?YMV;j6>3{5{
zE|6*8^Zq2~t%g1QclWOMkNTk3GOLvB>S?Cyy*7V4h0T)Y=;{CE*}7e(<IVNgUp=kD
z3*XgEnQ=+B^S-XY_xtyEwI@CAIj1v0dXhJD$Mg%`w$rC1NPkMP{(a)qM28%go$ull
z=6$>Jc8dFUj~#dBvU;k%44!%H)w7k$7llk-;_)ry;54I0VSA@>ZVmF>^T^LL+AR39
z+2oaLS@l^XC%N>*OHT6$o^tzbCF2fBcK(>v`;O&IF@5SC6L#&&YrCT&7h*Pc&g)(J
zeb?J7ueUz9C9B_%e{RC}$<7|!PdaxB#Qyi*5cgpHgtm#^9KIVW&rdSpn`jtuE8vE{
z(nJsSju`%VEJ@$4HcmU7bm~T?TE#Z~8Fy0DIGRrjwKM*dHT}rIyJ(v6<=a~)u2!?#
zsM~$xyJ~hyhVSHk%G`-}XKzerlbkbYPtxZTd>O@)_MT+oonqwobn5<B+ZR|UHI~j{
zOuD>v%cQOQO7F#%&6)Rl&NLr|KRHv@d=IMRQ`xaeBW6w7^^+PcQ}*Be#GbhI(DNy`
zUr!f(#+$grDu?B8wDR_CcXr&KYIIsv!LEAiq^olc&gI;3C_O1tHf{gclZy3c-sN3A
z9k6pvluE$y@a3(ZD;*pb?R%oN>q*q)RpFB(=3aTYRqw8zqb|?RB+)a|iyG=aUss8q
zFVh_}eZQ(??8@XHws)g^Ew@db<dqX5w&M5A0*$>(cYDkFO?<|1e9HdUZ|BdC*z;j^
z?VWl0947fwjGs<juzI@0!;gOQk`Jd&+Zw64_QbZM8?w?TZlA$4WqXR&@rhe&I$S?x
z99#U!oiF9e)Tzeul1x#ZZ=au9wcv!PdsE!Xa^ph<o(!9>X<5r{?yg_?D(=MQjZ;jL
zCN@3xUvcT^^gSv+7Up;6oSQTM!A?2;`e`PS8|Ujx%hi3rv9V~6=!s~4rt}K|x8Ezi
zevr`KU=qXqAfrO`!Ysvi4+_NiZ}0bfP?d4`^_{R!$M+m4d^`<h{-2EjW&Yntw{6XY
z>scSQbS`Xr^f7~Ris9Ld#<M2a9p7MfjB~}cc@sA&KKa;kBKE)Lt!b0=<zkB%CZ3#?
zBX(ndWU=|y`QEbWGpGI9Jh3q1z((PZh6gsjFnF`(>miQ|Zc;4uAKt}X_@455U5DD5
zGb<l0xNG~q@&CvF8{&R$n0z>fxpP6<rVZ{7&2A(!%sk4#9P>JfCAsC_2L>62*^J#T
z0v0)3jw-WQctwJ$FUL$`u&~wo$-y)2T+Hi}Rwo2pCN9=DVsM;zLGIQ$OM{w=$%hyj
z7Bj_6y%_QF-NsX!`x;y;Sa<C>I{Ctez7H3Yr=2~uZDE|<h8vHkoZq@YKk?VH*#8~%
zvh@!y%S}H}{nKFH)Ty?Uc7A$n{ggq4YvSvJCKXe*{@TJ`&6xaWLefe0+B;t-Puudm
z`lPb$<b>&4E=x{7@cc&0FJI$n``%wz>@V<blEN~9hZC<EPTt#7(p364bpP5d`@X(O
z`WyQ2N6hqtlV-?GIoKUD^`N)Jsx?d()*fSQyc5x}xs`RpA^U$!H!9amxN6yL@c71~
zt^3zn#H@_CXZt6-XyTD`jS&x7cT73-chkO3FL{=RHJdl=f4(8Dbq!b1oGJS?9p_Bn
zH{s#&1=s7^R#r`0rF7N8ZOXo)5CyePC$pJ%*R&*G5PUTGN6(Z!GrS)&&4^y}G037r
z@bIMFvA@D+PucZw(u$|%uP@2{oU-NAgc#;nhL!VQgiYPVFB)@u>ZYxm4vB6Hz56>m
zddjBl8`jHjW1P0>smAxMKerpt$j?chw(0%Ghpq`sIx#OceLHw+qGjyN*T?5PpEU7T
ze2Tz^pW$ck-G4r_Y|qwT*UIkB(ODd0WS~D~W7(wEnkTH&V+?YptoS_l#jPJt+5KYP
z)a?}8xb@%d_ZznDnW0tjV!z>}ElCCs-hBu=viQZ985T*C+iPad;)$PhV2?nId(v?Z
zBm3k<##486Y-iw=<M6oQe&gX}+qkFueH9zZe*T`bq5Ohx=!c}>8%FF?lNtUk==?B|
zafe0Rp9xxRNtzsO6)#puPL`N#k@9D?=5hHKifW9VaveAR<S-_!R8n!bXmFUvXwlZ1
z6chV@gJ?3tuLU2OCnc5tNdEWgWPdXE2bITV6SlC%GW^(>WOd+m|FsVqy+1!_o}Iq&
z=MJyQH#FC}$G(|9vtZ4HO-4?~K1~0_{CC3J4M{uqC+(0tzrvd<c@4vX3F{3XeOgtc
zF=OTig(RsBsa+HAiT@Ccxv|T?{hP|dH!tpX2n0#4Ff@;2ye#^8()ofvQ=W*5s!x1m
zl~DI~hs=b3|IR9}zI}At?XIa`mlsdJe{93!Evxn7dNnsq`#xvtW8)*m)1F5aPrY|<
zvdv@0`2vF3d%I6Yvw65qd7U(M-J4ZaCxwqqeO08+SU-8ni>Nhw)?fOoD^!rb<;B%W
zoW7B-R<RmSxjbEA*Pm_EuAUUFIQzZobi}!5F2$jn^1_~N`lG5mC;h;zziG>#*gU%`
zzyE&<^Ygt?>-SCXc(LWh)>T_w)?eEA|4BmE))#B{o!$H4+m;vmK2LZ#W6HVx8<TqP
z_<lZF5!U-gd;0(RQ&S=jG#u(JVr18u_(W;fsZ;xN+L9++U|hj2`+n!+$PF(iGwE%Z
z+ZwZD?bVcou8l8ye^uPM!8~c=!tgzdTQ*FJnSH`vcJ_nfjeBKJ?%~-TH|Zi@OxVJy
z6Z2kAcojL}hku3XwCB414SjM`jz&%_dZs<CVa=3dORKB5KHbWB-sSd-c4yUlTb|Zg
zAGm++!-{idl@s0`-Ecs?q^wdvt?}*VJAWc>>YSf4t#bOVNmaG$+qbScD5=aGd3xIA
zDE3IUL-$^}>a^%+m;9;xdUVQhKi1iGou5BWJr=Wx-+qo>&bil_{%zaTn--s+a%}&^
z<0_L+3p005*udedGv&v1vDQ!Po1UK(NxbXozqR4{n==6wQ;)q%Rl3l2-j8q9X@PlD
zj|WYipl&n$kLoP7(|7czPndg!Z>uWTcJJvEJ)7njHkdtnvr-|9Z@XTl&W&lueoc0Y
zk?Y>)$F=j>w5cU}uKN$=O|fO#Xz9Ou`LQ2C&t>eNPdWBeRZ`yi`dv`hm2qK5h-!#$
z^is21XQrHezqZNprqZlujNhj13aN^fJwJVq?oXTkAF&huyDxb<ZR*}=rde_mLn<Gp
z-FVaQ-Jq~}+Fl(d<)^G7llKZTS|{K8Ke_ja#?f69_bD^^mo58Iz2QO3wEZfKnXfyZ
zRUW*_&lod*ZN$_APyG#IH>DoBy><iR1m4Ge2l$irXisW$S<SFBi-R-n@MGnM2ygw3
zXH%*r&Nj9^oPOxDegBQc1uZetPKs^p$=~#F-P9904I7HGBPX(+o6R_>vHww5&V}#a
zCa@|n#_X|R<e$Tn)cw3=2KUhgOcghMCTCoVx_hEF;_>7rzStCo6<ofTJSIo|Jn;2;
zN)qD+CR0mB_P=-k{d;<`X6@r?^BB}uzf#f(ika{)BFSn)+Q*KI-4mpfnQltSTQY@C
z{H_1vyx6lTMJx=(v+c@$Tw;j*pO(xp&(h-T^nV@63==HwR?c`=yXHni<qXG;LV?^_
z49inEy)T?|FDj8qp0LVx{RZKm7Z>KNyz}km%tXG1>!y~B+>?I%Z@e10Tl46K)^4xs
zHWSXwoBH@B<Aei>EFb*#-!%SMFvD?zDx=~I$Is1PNmCx3oFG1b17G=@34hb4K2)52
zr)2+yUpuC~S+{8l$GjlLH4QBh&$X@2Pr3H(hPTd))AOcZ6`NSbsNOkOOS%6c@6>Cq
z%#wLi-rKP~)fcmPp=ic7_fMoo%tqDT%^jzD8DFG-o_76iPRNXJ+os%jd&4sA(sBFx
zy)tnZA5Ydfq{I@z`1ewB(hLW8g<E;k-hE<Lcz4qO`P3V;nNFF+=S;tGhiTe-9o7XB
z=O(^Ckw1GzU(Lkpdzf~Zx<yN*e>j`uKJi-Rjl6!&1GfJyg4akKUwEDAgp_^R=~$jg
zA7aApZTQ&JSupX_!<VaddM12&XmEDw=Of=HZkv50_;1a_qBp`joIa*ZXnb_=wEX<J
z$EIFherxLUyK`?&6_4B9VXV9?<8N5OovCk5mOSbzc~T%a<xHfZp$^y7N0EE^Z=Z{*
z+B@N8Z}85v@|p=7=e(bO=RyC`X?LD3XnE}3z+!uk@j}K#%a>E{Jl@*iZGL>>ol7qi
zkFlIQG~cRh&$;O76Hm7Pp21`)BNQ{?&Z6m4@2rsAc*99P?Dv5?7BeNyr`~SM|KQg*
z@z&`IDURTdOQ&PBXEe*iOupYNlN2}Y{sft%%1QU99G<~jF(XcoA(ml%%&(X)|98yU
z$i2h&Fyn-ie6qh+lpVYrbM?R<{Xai;#8=+f^Z7s>*UOV8pDgxFeNp-7d56G`n?48r
z*LLjP@z8(5Q}O<X)*n5N?+NLip>N;)F!aV^*(XU4nfsd*M8jfthT1$#mWa{#aQPei
zgp!AkPV|}1pLYF0Y`f8dn9vR1lJ=b7|1Zb%%p-Xj_kqP57e4qoGd0DlZ-?gurX;_<
z9mV$T^R#1X7AC~=Eb>3NeZJP_UxE&72LBr}EM{xj?}|xU#Vz%K?f8V%kLGCFMbBeT
zictG9hb_8sOWBj!+n=<a&13)lBydTyb@3dnxwAYbN9<^GaSh@roATq{9M<W3+&@kI
zefLp<>h|X|#W(dZt-oHhDZ#sD%FpYQ4xfy+zkOXae(JBSbC!Ct?61Gpdu-brt*vL)
z?zyw^NBfycv!wS#@AaGIx^L<){{-WG(+_LiobmB#%Tuq*dPY)0w;#Jrs#jv!HqSuo
zXO;i7U#m*^c5WA#m~-dtO1`b}`(l^PSMt_6v`enrTOoDZd;_hQlWxj&zJ8*ydVkdW
z$4L`1CjaLB6(_p-X~7HIx3^k@Pdaa$%YW_Ds)9HCUDHnJ-(1nXMm;N*_3&xd=*K_%
zT{gyX%-c8ZM;yzJZ&NPjOns>DEpn23?@{CFKd%2`iGIqed0*?}lplA?9=B{<;hp@v
zW%^W>O)q)mf9?p~AN@Op_2O*j%_|gV&vo|Yn!WF7)!K<B%Xv&Ydv{&?COq*88|&0p
zZ*NWgrGCJF^E|bwzu&$&ak-H3#m9Zqe@}?Hs=)Yhr}8NV_DvU7{eN-r+1b>yooan2
zYQ+;Hd}9_(GPYm;FiGN9;Ob?5H>Vh{-FA0#v8!D~*taPUqfTURIv74vHhE8M?(8{?
zkq6(rYEs@4xRHsUwOc?*gnLiyze|2f5nHu8bnfkm{XF63vpGz!jptd$n$2W+{N(Hu
z!~MBexv%w3{&PL_&&gZT&r-_Q#2ksgev9q+lsDm?O^Xix{nvl^pX#LJ0*iiX*G+w+
z70Y_qx~Fz^E7#T2k`=vo^J?{-3~x_SnEuP<Fk{SJC%<~hCjQ&=n5W8h?~B)R-8bdz
ztCU4?O(Jh*ZaWd+wf;`XC!zi&U7^cun9Sx{?$tJYk{vc>|60AbysIX;<~%Y`iuli6
z?Y92d$4uAh`Ac<v`9~K`n&%awn6Q1@9Oh`D$ZONjtb5Y1_T{|u)4oq<oZ8c|KCo-g
zk3SPllTXain`~1!vE}%*pK46{mv|4jrEQU%WmG?@B<`le$0@q=7JW<!_<S(J{+RbH
z=M#U_I7F2v<d$#W7d!Qj@ywVHu?%Y_?N6Wb_qWWfvc7LItsIjxJE#18zv+_xhu_n;
zDRb<5+<17>Hg}FwH6j!Li(Rt#`TEo(qoW%P>&vDv-<<q^<LXB;8#r%mem0L`^`AFw
zH|H~W3B=^ht@=Gd^~R=o9X>D2_MZ4!Gt2Ybe6t739=`|?{Xfx$gY!vJ?d~b+6`hyo
zEaJVh*xZhB>g(zge&-fTF7ZmbDRlON%+HNGif64q5#uXxZ{nxO2O%jJl5gzfkIDNf
z@Zpu}@dw(<7s|SCy8M`D`Yma1%$l1*>2tW(+_X!Za{9@~!cGsLIq}b@?BVB&NeE6l
zkYqhO{?8*eiJ4M%%#Y?hW1bWH_rv7pAFJg*&6SuuN3VsEtKs+83ho*FYfc+aNUo@v
z6LRu~$NULLY$GL(PBePcs8umr<<A?rw7G$|P6c<*^|zco(f(%E{WUjcozPx(=R((%
zcYBXsx)C!+Ht6<=s-sh0+@JnHuOfEJi{F2L9`59jk1^R9!FKQc&TrFRgz4(=U!LQW
zfBW6hiGkHWKEyn!y4O(NCs6q3?%N-aD^HXvU0GJBfAj9Umpb!g_b^VVf3)KKlx1c!
zM32ty=wlGCm^M##Q=>xPjo0^iIvB27f6#mLenzjw#9td1R<O^AQ<k-O|8lCu7DGv6
zm$?D?Gs<lkpEp+C`tWf<ao^K=cE6-YYb4TGZWJem&GY=WpmO$&%CmDlHbhV8k$P=?
z>qFhd;6%GQ?h&E44)n})+pzoYkq;kkJYb#GAo}%9!xeSOo%fg<bc;J*zq!At$T?Z!
z^R=DrH{_=VAMcRb$oS)bvux6Y*Rt2XOb@oKp7wYy(}p+G9-mY=vZP4+-~GMWe90>k
znPOirEsVJHe&<nz4U9MJs_I_sD_pV6ZqnPIR>IRBub#7@XJ?10{Q>bJ#^4nv!|v_3
zzCT~&_B`u7Qy$k&Vk%dNn=A7E8e8Tjl?BsptDQc0@6AoG$z1yLIM#lDDE}}f@zfdl
zvZo!KGLw_O=+BV1dub^>k1c7(?ii0b`byU&T2d~?SSs#37c*b`NVY>K(~iwjp2G9B
zoa7%>^JUMtpYn;3^TBKJ`5#&j9w@CiHEZSZ4pXj}O?3=cW-&iZdN^Bk&%_;VNkTK3
z&Uc9Q32<%r8v8+g<EsfN-7{_N{~g(I@G;|qbj52HfpV57F4*^;v-_kz`$XnEj;TLa
zJcyOZoBdL*_CbOi=YvE^rh+-*|8HEBm@)l-gH`2&^bcZ5PpnluW@~@^_-&5-rbVjB
zcNDh&o$0?}<)ja3vwZgK-<FuvFq7%*griz}6mL8|R&(=NmfWKc**mryF^SLdHH{Vf
z|6tBfj<@$uADwSmlq4kmc80C5pvs11hW&G_{yY*2mG~fOpT0Bw)XL2dZl*nK`#9G+
zWRv!{SvDSl*IW!LXG^CD?0mN7rSClba|dodSrc46!%D^2T*6TMiN?*jlG@&LZHj`5
zcfPqcwRm?tU*o;$eV^|g=-;&Zze+-7@x|3&-rUK*n)7+t)_F?5W9Hh;pJDsCUEFW3
z#>F+?-UQE$4*T=%!X|_Dcjns7=h^x1_JUoJ(=MO>_O^1K!M~!l=H6Ysa^0ntE0*kU
zZBv<)Su58$H{#=;c|5iHzRT3-1=mc@U!q)p+UVA^SIgbkK3Q@5>2&M#sZ0M(pYE0M
z!)yzmrS_cJuipQvJ+Z;{nb!UJb8T0jpKBDvSs9&l=k?JuU+2v+npCxD!JMy8CP&TW
z_Mfm(>_I~1an~8fN2-qdcgR+4+WGl`j@sn*`dM7xo>YBYw4D2wCDY^wey<xWljfLN
zPGpkj6%cA>mrs5;eQM!eo4MxOrxe`Zm3rXjlz;vc&tBWNaoU0JQ~qtYz85p~-?#Z%
z6FN>M&SpBgZ&_6J)c2pSs#bQ&e7vw>{_jt<I&&rKPKNE7UJw>N@&DvHsrjPno*g&O
zDl=8e%{4nePwN!R#l8jclO{^5i}kJ98~5hgwdw!Q&57GI<=_5wDz&>lhh|TDzG;Tx
zllgc5O<`Ua^e64Kfb+yjT@5!~m4d$YyxDW@X!uD3&w1v->INJA=QC#VE{R<5Wa`OP
zlXNys`(d3b?>FuL`d29)j?;f=-VB|*;bYDttxqj?rWM?ZocjNFb)}EP^#5K?eDjt{
z?=I1E-7eExRe9mZJo8s?=CV|-5PNbXd(N--x97-B7V7%qI&<!W?kOSa4`!~J`hV_|
zmUhNx;<EKqK3_eVGIO5xr_--`T2vyYeOVnZ_H9#g+@!4j35BMWHw7kK-u!Y~f5H))
zhpihJlXg$a?3=K0<4m0sA7{-BQTn*(N%H@XS{L>HEO>m<B5!I&_O_>!m9;;r{eF4+
z#*<0sI^K8n#_yS!_Iy@Miq@$K&p*%NsC@YIe53xnh4LF8zMOUNSZxI3jk3*MydIgw
zKg`W;HmqM*Y0;rk`NMTV)?_22hM@aT7}#6QRX${}=+1v|pGiJvu95nW&gpYACs>Bo
z%qVP|(6X09<i?5_1r>RdAAX$a*}=(CKPTy6GQ&)f$MbZzT`rZJeX@T>3ezS9z8JqB
z@3?2G@BaVmM&yJyhhqvF7$oLImsKCU_?U5CgvFdyORAqV@tP)`T)kkf;3cQa{=2_0
z>u~ISusS9=>GxdUtp10$cLbh)cI6P`ukUt!s~#oK@mRfX&y@b;uJD*S9{W2ZKTrI0
zjC%&h{CNUf&6?(h?M!>(^}aLr&0GP==2hpP9Fm*o_0Mv_?vHc5&M@tmBNu5uW5$gS
zG4lj>uASKVc#dG|;fcS$Ok6x$#=zo!_sdSj&MjYWGsyn<F@tIIg7qgSXwUcByvXK!
zN9&E5+BXbW7$pDswMg{$!dn${1W#_ct964hW{zOzhmM+u(;n>RWlFN1CHQT_-G^@`
zq={P35U6`#`j~UUd{O(E0%9*EpU?D}={b=(<AzqL%q;)=)-y!oJ{(yyY4--^S%ON8
z+G5Wi9F|CC<ecEO>BWKtEBhXAxL~_~djFO=UaQ~jo%F$Sme-pY!}-h=9|B#ZUmrKv
zYWH|W(rk-$KC_vRHg0(pv19qhlPo8_Yrkt%ZC<|9QGTBP91De*x)^N>#>w;C8|MCx
zV4SqJ-+jiK!r6{I%@bZkiO+GKd3eHy(mAH=+_4PT8XI>S&+s_%+QM&d*KWHFn_|Ac
z$T+05<AA(W_Os4!4J(h%H-0qh<`auEFLK)Vboki+e{u6;%-k8Oj8*P)+~zn;-orJ^
zCP5<Uh}`Gq(%Fv^j?dNjT=vmUF>gb3{hs$dH8YB4lx&<Kp}avUf0p~XnN2_0lNL&!
zpIa<3Y2r_>w~wli&W-)%UFGX<6>%bF>Z<mu4eRg!Q23F@s}OTc>PP=9=~;8)*7hz;
zYguyl^I^H9PZFl9e-wIpdIaVx1*OJ>r2bSepOJWk$(VQN$(uhCCj74#vO6ucaYk~-
z&e+(-8`o{-B+p;4eDfUBVAUO0!#>Y7eU-Y*p{e!boa8@~0&jC^%}vy<o~f2}pnF@x
zjo2UZ6OQrUN!lcHVCK*8PS0~(FAj;uDIA!QBHTD*?L;osC$FLooSWAE^eIF6M``iu
zL*kzf_it33m1zHFj%LW(vyETa_N()pKRrJp_r%jbAJU)Snw#?=coWyW?Yq1_MZBM~
zF6xu8$JCF(*FOn7o2L<^&a*Re@y&Vt?@}aA%ssYqaz(>@iRE5Z?3)aY=4%$IH`I8~
zpEi~6;=3@p?)?)?{>+WPGWAry&YbYjqCn3-Dv>Sk_Fr~gU20^#ZC>vx_w|#cqT05X
zM~BDN?R^==vZL}z;m5hAIv$n3r>wiVw84`hH*Cq|Euogn`u3;XJl@Rrd9HEL$y<LW
zt*Z{3V_7u$QrV766BAu7+uz-@k4rgFcK-|gn{zaFZejU&=*0OId#vN0O|wg0s=i5T
z<Gz=hOb^yH`_;|Y_%&zh8|J>0jdAldpXyhheD%C5GQqiQuI4MBxmjP!4sbqX+Q{#p
z{i3W>_;_oR{`@P+>wV|s{)y?C-_;*lADQ@llft~YX<JN0Ci#7ymt*Na$KUfCA4~SM
zmLGK|wVlj&%oX%k*O+2GN9*e8zk0m|K_~gT=lB=@+{iXrJhr{5eBWe^<QC8JU;2+H
zHSE9n{LPImAB3-+>h53j)pMctBZ1C83nI+Lm>T|tF*Pha-Wm5>V(G{KotKi^_fFlP
zBC&kRx8-`4QF{*>M($KT;=gioX4c%4x&Nj~Eq(rZ?WB6Xxw=!cD(iFR+G@R2s&Lt?
zpZ_F1ZC-HqpZsU3rq(w#{`~Kl)Ai$${7nw7iG6z}@<uGoK7Zi7^`||PFRDd8V&8M-
z<Yo?wGxH`&pZqQ+^gyk?^Zut34YQ6}PUW%Q5WVTZ+6%5fZyJ0&c%bh|y6XN(%@PyR
z^B<Lda!{EllRPiT`@qX{lWxvbot1LDXHJdOg+Dtl-I%Ak`)TvyiOXtc`4tJsH7c83
zoN`jBf>Ca+@AtlmKi57=Gq0YfT7UCV^v3zBWknLvt1Zu+emiGh#Vn=GCwCtAnQ-;T
z9B->gMaKy%&(HG-vz%3LzxRUlj4#?dFP@t*eWmiekLh#fEuJT8zkcHz$r&rwFTZ``
z=ZWL<irya8NzZ>$c8IBfFX_OKEV&o<YXXxRo=Ydy??3T&&g7hVB9RL}9Pg7_XE~?4
zfARDeGV&+2f^v<I@!h{UE8t<$+^$`38`sa*aIl%kd2CTcL!{NUJ%4Q83%{M8wYzqv
z!oE3~w{|h;-J4jyapH>P30p;1_o{B=3!f&oVcL~?FZN1rk$InG!)6A*ndAIqUgqz(
zwU(PSMc)XNY-FAwwPRj~I=A*BOOfjN?VCzi#OG$eZJoFM)_fN)RYRHiv$H<zxVz_t
zQ^c%$pJ(`-Ff@6rF#qsE^W^T2U0<I3SU0Et&kUCz6SZvK2~{`E_g$f9H#h6|2|tTf
zpUhY$)aHfG>xyGLu(yKgL_~k#EB^MVSsl!)k}{Ky&+iO5)G}*kR=?D(&u8bhrM?b1
zJIi_Y+}6_#Q?1KpJJn1)Q+FqM=bC-*L+s{f_CNBTYroaHey;Y<=QDn<nRw~(lNq_y
zGyZ*>Z*R4+Lo~L7r*E$PR{NVtKj->*%i4W=HsOf!g*WrF$|P3^d`tSc{9(+zjz25p
zjxlw_&Yssfd(MR4;eS3JWdD=2uY7L%X(!18b#-ksH}lO+KRdVmirl=k?Q>c}w@irr
zFDdlx%l%Wc%1?6Zu1L;5)^T`l)byg{6bGS>^EMA3R_sn%XmI>R+VdmI%ZumE5qp07
z@vQt6$sgytB+qHhl*}qQ&Qi2Y!D!x$+w=5qKmOX+;`qIIg6gNpxjzFVE?g7LR7pCw
zdxHK+{n;OKkMDdx|H2*l>YeYOgfU6aNt|<VN}`U=E2D1*>eoy%QuEq*dv3QXL&5(4
z+>vvWR{ERF_&3jE&Y@>VrKZR8%#dr2seX3k{fooq*Mu8N&d->jesGuciT6zVJ5mnI
zZa7)_@Zsi#k_AE=&i`vXseCOWyU%=q{`0=e*9#ZF3VOrMvU1Z2hK|VY*K)FQUlwRa
zX6$>h_Xg(#LGiNxGXx+1U-o3vJKo4Q;XfFky*hnF-EUIl(Fd+Ql27N(h@Bg^_nm6w
zi~T3QFJ~{?@~vpjW%a^{N-o9q%J0kPF5iDa&TvWpx0U>pv$h=-nyM996ZO>HlOcQB
zyq;A(7xq1wu6|REZLXU3O^*LdU#->O$+L6LttC=t_;$Wd;n{nxe)|6<O)KTP*Bd|h
zsyF9Wtz4(BLFeoB%73HhI#06L?YjKeH02A~Xa3A7Ej=w%(z@Tibmv^VJxlh_b!z$3
z_xjeR=(-E;T@Q2a%w2l*-u$?Cy>s=0uRmF&Feh$(cA?c%?Ztoimi~`9Z#g64)@@IA
zajn=xZ$GhW|66%_Q^eUPhmvmJx0%!aKk~zZ$v^EUf4sWp?~0)JC#z(uXU5;kRhd_K
zsi^qP^qy~XL&C0k+-p3x@j`yr+}z{yrfy2uuQPZ4$!`vGvZGG=yq(_>e2XP>Zv5@^
zt@<ga_dZzQ%62xaO*^xCO2q!2O4XAt+#7w`w_3fQlJZt-XH50nrB#*@H{Lka&yByo
zY1YSQ=jZUPm{w6VPjl<8Ck-}Jm+bUjwS3<Eph-@ea&aMiTlbfJ>1ivnkkXmck$PfL
zvT(<Y`#sIA)1F;FIYFQ<?xxR;2PbNeZ|t+LVLajG)^N!p>Dz?B$xN&dgumCE@GrZ$
zv4Uyi`Sa)0pLc}0e^~HsKFiV)xxKo(HdfEqFMlbwe|M_F%z0^Ry(MMcu7n#Mn>S}_
zcBsqg=X2()Q#CLM>{dUvg(>>`+@7*Ut5X!~CSJ3>$q~Cl%T?h=+{9!3|2AAJebXSU
zd`U!oqDyA{|AsXuHN+Z%@+L8fYQ|-j?s>B9Nwe9TnGu$E3#&IKeoFZIJ*arovA(%S
zuRQr<Avfp8qnIV@H$U2NV?v<m`dd>xy&q*(Oq)A%+Bwd*+N$|;lbC{)lK+P%|DN>Y
zBjbh-d`#9i&p#6A`tor3@n?rm#l4vE@g{RbW6lr89sFk}Ztnj*@48DSa|?6!y@O&A
zNqa)_AJ6>yMsN4efA4f_Zq{B~@a$pCvm@$}B20~X#<PXwX3Y3K{p*wYDQk=79esOa
zkL%~@-{z;RQ}HlXR+_$)Y0iwblN4iDX_VK@pP{PMT0Nm|Q^ncNWhXdqJXATxIN{5z
z4eEDYDjqKt2zr7#|F3{D|6icAdXoL$H#c`4s`{}rZ<FHQ&I1uUG&eVF;bO3#7kZj+
z!#vZC63rQ1Zwg#_BHqt2Et~T&_E<;f+^yH2Pg$gM^Vhri5B{y4$K9`8^kz;&iS>*J
z`*`MWDcZDjl7fWRBL#&y^B=vrb@z#a$(+aA<}^$`xiUOw?l$R-XX-Z1YKUO$@Rrwp
z_ICTDd-CsZ?6~<NWzUnh6^E{Up4FJN;qQ^Iy~)qsoj%EYWqy;F*n*jQJaZnI`Miv=
zv^qBPaYRVc?`LmketZ?%%Q$!Y*&EmDk4^BJv;En|7W4fpOAlN~3SPAC`P}WwEDBGh
z=Wf4O=`poaW%K^K$6qeoc|7TDq8lei^qUv68Vv6@So!UkI%$U36t~@XPL-V0D0vn8
zZ2q%X=U3S7nD=bY37H*po~6z1m{$6xalZ7Q?jOk>zyEYj{LvJ-rmrWe@{r!<ndQ%C
zZ9SaSyI|Vk`gzZ$ya~_W(-$+tM5>AVb!77D8*dnxlJa8z&3+Lz^ZCb(X~&n(W>gY>
z`MQPg(Y(&jD^~Zvd~>{~cU|hd7q=GIJbQO^<H`pWJu{~Nxyq6@yTM+0LODxnXH$^l
zT+WWr*bjj*^Utbed3LXVw~+n(oO8jOk|Y-|@1Jw+^xPTceKUR~{cJnlSHCXug4)^n
zmz5ZO(r2B0In{6(U-fbAk8_;ocb1mtEO>7B^V<6bQ*O?i@iHnou<V8S=Q%Szt7|>Y
ze)d<j!Y+GeeTqVb+sVfMTMZ1RKUk7Jtmx?dy`w3ScSp;Qe>2XsvdlOn8z#HC(?w$)
z50mJ%#!t;WNmpl_OV<2wCy`}=eeZ7u=^rXDlpbC=v9iOI$&zKpg4oA8n~xmbaX;@3
z<BU5WzRg(El+4iGb-!?JX5BosjW4p5G6mPK_|R!l^^LLG#iB`owb>%(-vY)q?hDWU
zU3jsvL$|MM$N$ee&vHqw-tjoH!EW-Q+i$m>pVv8S);iHizgX@TPWxz4n_{@HV~*(S
z&Q0nveaS18cU~90zTEYsl+@8Q|GGJQW0rZGWOz7by_QjN=<0`cySIIwyYTY%&aR%#
zj=N9%y~48plgP{YuR~8~w9Yd)Rl2Dlc79f{y2KpqIScDmC%c?~^Zk*+8|%F*w`c8a
zs9m$BXZ5F5rZw|lhp&?D-j_OK;c~^*lYG9-T{wMp?cCs9t}COttNfOETyU*&S!-$Z
z<V?Wh%j-AAP42S!kRf_|?(5%kCv1FlAoApqk8fpzHu;&ndp&#J)cLB6v$IO=-^hXv
z++mM?@OJX1MRQ-j-FD`V_td%f&dz<M_iz8o(+3{wADhE6No@8Ut?=DP*l+iqi~iYg
z-q-Zrxl^h07J2Qx#J6Xrp*nl@{kd;{{yHyw^4oIj4lNz#cMB(8y&Q2Npph}=R7S>|
zIg2?MUVe%BWSCL0ySDP=(<cd%GZ(wE=>PlSHJOF8?c~`ROZj4FpPsbDjy3t;>zPbx
z?XTv3UeT?7aas5hFZHhM$cv9UeI`C;Ygm82>9t+md-X|7+852;ZwgKL5+ludm&Ja`
zBXt(<9~`S1K2H-=m+9Q!>73MZ{PU8k39$?xpRm>YF&l1M&U}7ZV(HIC%zvJ-ecrBk
z??~1Dm^qWYVmCEZywUl5ntkt-?RsBNKI&h}d|g+@VgKH!uXCNsQ?4$0vvcKSo~`e)
zo~F#mf07|Qqmt|Qj4g*4e<WppJFxd*%<N6I70E}oWlPRjyrJ~{EYZVyi*0wGSfG3+
z>iLm9Z&QWlyqTeUbH>wo7AyUwD*m;<wR}D4cEm)F-pMY;F-wzwH=MJro^Yw|mgW;H
zrj>0Ur_NDg=5}A4@zaCv-b1Ah7LKP(GZMZ(WL!|hao<XVGr8fva}skh!`T@R>l3AK
zn6Ppty-`d0(bjpw<?)1)`p0h;G)cT`)LcK~>v5B57xce9DA_YRY5vbA;@_8d8`;n4
z-G1-r?{%f(vHR78_eCq*U$?w_Qt+>1Iku77c^4nOnPoG3Sy8;^N&PcUyfZ)Q*D!9a
ztDCc~Xj%C$mdLGo2blQR%-g-?=;vU6`FG2UKi^n7|IDF1JHwJr7TYGzTs1dhe$0~c
zlcw_;yQ<zTEe}?CH|fNiCeCHm!70m)G`nVeU`YONp2Qr>@O#b<b!O}T9kbJSnw~!>
z)vvMQ&5ikon07G9->zhlet4yw`Ql^$=Hv-6my$An&qxh_QXID<qxYZooF_ue7me;L
zeUrDeVU1+Wov+)^Jd@8qHtF8Y_c#78jqBOb)7O7>@{MOZ8PCp~t)4U0GICMVLqYb-
z=kun1nG>V9BI1_n`3X~Adt|*9P~Mce^5*N=3yU8zF3^be&}VSwF=S%&jroxLum1>B
z;rX790)}LrZw}v1EZEq<njN+yV6tEir_`Gsx%+NQme&>h>lD~H;n(bSH;#8qdl1Xe
z_(n92vEi3svDo^X3<qY)w|X~3hkGzq*f5Je*;u`K;wRHb`ySl#>nPdtQHAYZj12dU
zg~GcVW~ei(X+Qei6LUcNgJHPJD@JD9dwvZKi7gL>?E4R>-#T@bfj8!T^8d=}37dYz
zG8|o*{O5z9=}qSB7yF(xDej1~-@QVi^5*tl_ZGt^4?aHF@Oeg4Tg=ub=LCZot%mLL
z|2Su?Y=7Uu5bnZg>-D1i$)2Y8S#l3!W?G!>nD?*a@#lXtUCQ^&Ie&E`3s=TF|LxON
z8kYHfTpINE-Yln$bIylv6}arPhWqCX_KAWACwL#9u|ayz{|o##mj_Hz{J;BD=o9XS
z9z*f$iBFRRf3KWrct1r#e`ZiW<A*gpD?d+M8ozN_<&S+QmagqGel$Pa{$K3mRmYe8
z+PgG&ee}c&NfO@^zL~s^*2vt%9lmb!ETKs@lh4<^srt0+aO%XRS8J9YR@acX?^W8w
z`F@qujSb7&87I{I-#BAomXgvDAHm3vO6Q`N_UelGN3z$yt$sO)?fVn=?|K`VR>w?e
zw0^@lbJzSGH?LMOHG0}-e_*kj`Mm7SmyDI4=48!w@=wuFIdWj>y%||`-{!pew#hra
z&F;F|K@I=7d-JoRnLF;y$+GrjS*%^B`LAwH*6iu6cJamwu5MsTn(@RVdXlk*;qAB8
zd!1t{Jp9+N%(@sk!Rz?T>e)-2e7BtYr1RvfnM}-&eMvTNt8ec-qJQj0@n_@1|8G=$
z@c)u2z1e<Y!k^_2bPqAjiu`qB<}8cC8}0XYoJh%;Irmzp#KyU=A0G&D@pz`La;#!O
z($83*W$(`&YM&`yb!_qLPGRd$4qctX+>CYd&pm%Xbt;&#|7^oUt3OIlJ<BWSW-QJB
z$n<L4ie3Hl-%i(#<al$y<oKMo=W0I*@=m>Nbv1425Bm?B_9(x}o-o&=@Zc8l?(ar(
zUrsk)@=<P4eDap07f#2g+<Y}f;PRXID{_}+dGoc+T=MqZGTHgl7)vKhSa0ofHNJ9f
z<FhA<Et8|pFO8fmneGwe_=Zuwt|?&6f_lEdiA<tP4GbDpM1_~!t2%r#xO;K>>Kltf
zPs}w4Su4DTk1=;yr#_!h^+KV09rDF1-u>%blq|M{eR+PQ5BKzkrstQpaOS*bj46A)
zh$E#)V?o#dAA6T_tbEFB(vbYs<AQ)h<-sTCZgDo`i&irDO_Y<p_qiiK(Xt~i)Mjad
z&fg!0Wdt`&V60y-uWsg*vt5SOCt@Bk3Lf|&b+CGY-4P)MU8xo3?7>Of)=#KEe52*>
zy3Xv{R}B%dKXeu-_&+`wcG7H3hh6%K7pA`--%O1Cw=nO|I?LzFrX~LV&^xif^~b~G
zAHH!VbuY~M_+9k%hkX(YZtUO4_~XLnBMBRrE`(W4T99XQ_SHf2-Ln{#JEW|a2t4^C
z@aK!q#~rC273>WBX-h9#FSU5KM$+FXCvExN;59Oy43_+Y)t!;9yn@wBHmpn8V>k8b
z+EfO)DSzMQ|71G2q-yTF-EVsJpT9ci9(}oPK^&_^%*N;$jMu$R-Rw0!-+ea!-Zjrm
zNzdo2EnhFWd|5Z(Y5%9}&CASJ_uAZCa!meb#OE(d-#-b+TT&IiZxX-Cn>AD8b7%ZW
zy49bx|NK(#lS%eBC-XC}Z@Bend8yHQo@<Llk0j*yFBF}XP_jWgY0iYGvR9=ivRLMR
z*koPzu;y{l!y5CSj2kAf@jr|C=GbF=^To8fJ&ZF9`HtC77W2AOlCgKv?Jl;CNzvs;
z*m>tYt<By)A?xqw2eq>%%nMyJYfAd^<8N;Hda$H3E!%YS&9c=~3L<4XJnWDEU}Nqz
zsp@<o#5=Y4>dB6|$rD})FWe*O-Vvjlv4H2@1`)o<x{#@p%_rT=Y~Z+fqbPLE@n@0O
ze3x!tq8xeBW@E>H>$--wPr5E_7L~0mP+0i!=u*z8e+%F9eoFFWSFbc>P#3%VbbWh5
zS={XI$*iRdAC+%j#&i8NLvfG!wqGya%<KNH%l&)y`X4X0h_0SH<L9N56FJ1cEZZ~j
zftvEQ-BYsE*wihHZp^XQEPBFz()!K*Rcw(@nEao5Yp!45qx@-6CG*sU|7^dq?9~^E
zzP#k+&&k&QGY@WJzPP43d+G!Y+2DB}qj+X;oN!ylGbwc0yst-OX1#BiV&%4ompyeY
z-;z`Y`z1V|HqKR^Fhl#@f~Bna(Q+1UFK@A44gVx`X8w|}f0Mta%&1s)!Hea7`Du>l
zOP|l--MVj@Ov$q6n)MwY<5ngsvp5BPG~C3vd|AcQn%w@y%dEepNQmD&-T&jpO#c5#
zvuB6={BwTkn~+ahau0-mE)qMt<o%5XHu?82e*9c8#XLz(qWourh5b3kt``eFO8nUK
ziSfX#hs7T+uacYh;EeqH7Ujne*<^pWH-@iLlir<baCgaTy_1GJy%o;Rj9=xad}{g1
z=`)tvTUviz8?b(Eeagg(_UX&a7fkzav(WhmW6VR&vr8XuOun(}#;Q~16JK-*COlla
zGXMGC<fUJ|g1^*VOnnkyw|uSk#0=ZdB^*oEo^5b_e<ESlitAZ^%dTtuzc&H2H9V=O
zeeva(+Y|r)f75N0)_A7=M(n8%z9IiCZ*RF7<hbZ#%<|)PGeh6(yw&kV?-8H=sb%L?
zGd`~0aIm5)XaiTwgcK_!meuDyMco(d7iFIP@1Xn<kIJ_PY`bS9Uw!a5ma%#7`N#hs
zEjlnc)3`|b&C319ybf4%ujMp+Y4|poVbdm$Eo=Mwd*ePX{2b9?&7S;!LR2!tI)<nR
z9kTo%#2LG1yz8hvzjW%G85Xwx7+3gy{=oO6J4-(M;PNC!w}%t1`#$)S(!k-<;j#Ha
zP4dA_t*z_wN(+}xvVE?;yr0MA{iMsw{L)T5V_3Oh_p=Vaa2Mv|Ml4UReaxI#Q_7&2
zz?igb;UVMIXC^W6Tc25wcYL8?x70R;FG<@I`e)Y8Tz8=%`F~w9!;R>P(hr)i)e19(
zPvV}iii3M%ZBojOB#t!)p1Hpa*z=;(C2j4rHJ$POiwtc~GTJ29J>2zt(Nh)fNerRa
zme1~)SQ2}PRhIjKQ@}wc{x3;eCw!ar;<oKcMp<W(Nl(}RTqFJ@)AiWL^DD%ac`j5k
zd}|Pptz<P?`PZN8<)@#wj(wRvqvm|SpmglLe>3|(FEyF8<ez5t4A-zT{Ewm+#{8MN
zLETF+tnNI23YXrd=ZP^B-<RHC{OCI8ZKx7+&9^0!82@ps__*)<Y2N;iXIt|*cKumu
zc9Ns=h3t>UOJ|pxORvzMZTCnz`TqfJe+K<`OTX@nS-R;cm)XA~#`T^&6F45NTlV(#
z_Bos9pW>aY5V!p8>pj=Il}#skE-O<0(I6i8boWHVEoZd1r*p14D*t=V&CUi-<^|G!
zKQ?-$e}A3KF>i~h--?a5bwUKb#LRCf7d?^`VG+o*Yp(}e>zq%1OWrC>-ZO3P=Isa6
z!~cA^`22_P=UEoo*Dmyae!6S+ve2o|Se88h*3p-@hWWIelH$~jCd;@UDb9Yd`|F>M
zRY?oJx@-Np_Oh>M;=_j=A6Ig^c^eDKZD_MUsa?b=y5QfN*co#DN6w1tui$;dIAf=>
z;n_0A1rd7=Oi^NXtK2wo14qB$f)LSrXD8HdUdY?M(f!Xt_KK4_iHv7`_Z&X|v0{Sn
zvEVJK)qCVF<T=%ESY9{B!M*C|pJxrTxj#z8{`<J|`?s=Zdli>)#OAL&_C|QcU8Z}n
z|F8L<(EroXEibnInDYcqFX0U$wR^U3Zu_uXbSC5dHJq!?Eth&7xlCvO-WB}G-@e{{
z`)l4wJ=;$KGLCB)YM-s=%nM6eqWgAJ@5!#)ZpQ1Xk1hXo(uphI`m4jY4LToJ<VRd^
z{y6jS{EYeu$qYU#o?ZW5w661a<ClpFFXlb`yZNMR+QA3%`!`G9Joez|hyRZjtxo*6
zBYe%$(#X?Z9~Z8U*eZLxBfk3EEVr|Z1zuM!SokE)X34u*s~I*#WY4<a->_PbHKzI_
zoBr!9M%{r1G3(>c?KpJRd)BJ`6H^psy4~Nn(Shrtq)_LIqmc;~2WK4p;o9G#yl0Kb
ze4YId7?U>sNVZ?S(%(bpWx&sWr(1J=I?vHRp?QJD^kcxrFDrx(u{`kP_uYSjU2w0)
z*NFWSL@G8O=npesw>c!A#9+?R5Z%l8OCdBx({n}qeHD#;8XJB*juG#^VOsWXrDhA$
znSbv)oBvis&3NZ;sW?F>raNKPjJMa<G$h|#dBeV=IHr%G)r^6+;_3;$^9Lunt6GSA
zh{x~+7G6%u_;_vJZPQKvB7!%X_dS@@5)sRu6!qC9$B0E{a@@oCAB;16#S<TGi}})U
zviyfaM~g2r@2R|!8O&CD^tbJP-mu?x{lav{*nfYL89#|uL|)l6i6OgYN@>{Kd#Be#
zT)p>_D^ajvohkFp(}GDipC4E`kx^d!Nqn;Uv%{SK`hSaVur2>{x~5{;pP02N*Jjon
z?b!T$edCiNjWs+c8?Vo15dJgaUN?iJ&RQpPsn-V<On8~&ye3?AC(Gouso!QaX#1@`
znOPddF`Gw!_qz1wQVWXgrI%d$r~QA@wF8zeJiI?Re^280+ThliJZD4LkErW&wlmjQ
z_^gZi<Xt~?*UiaoDojDQm#&}TwTyMO>+99sJYC*wnmnoN-`xE(bN0`<9<HpB`f6(L
zAAQwi(LX7&Kd|!ZDedPg#E-v{I>FGr)AQLz_P={x#Hcs#+utzZ$H864m-XE`xxjhd
zx%Veop3J$eHFcrn*EMV8e=M2xdJAhRm(QA|VWFEQ-Q4hRKFigA)0nP*wV3X&D}8PL
zjfsIvpFjCOEuwtc5&NsWTlZQDK9M-Ryl4Hll^@btR@6^2es3@_XWfNeyqEJ|YRm>L
z+_VV(@A_Iru5!iCrKbA!Pwam>=zcN}wtEm1u+4SR*G~>_f4OS7FNln&IGdsU|3uY|
z<}E5oV%}b}XFbX|zh-H};iRQ)b^0Fh`b&DY`kwi=WcuZkE{$I-Zsq?7-#GWyz4?xI
zF5JrPM!Mg=n@6#Je=;GUecsLebqUjBiempS-EySVJ7&fCRUf??mSyd^-=M?qJ-@@P
zV}ZC#%%l(hR#iWUlv}C%|HPG!va-vQ%<DIAGMP0Yx4gpZf&1g&8HV3;4i@U1S)r%G
z7yQNH%@5X?nGZj=CUuxx{oJxF@83u5&C~X2KVPG^>uJ=DO$N62>{qxKuhqDH(f3W4
z@vQC*hO1BMt<l=u5b}UA>BcEx2Isc|NuOi*Do!Q4F+Q02Bv9oB=dFkv;p?>j-#b5X
z%_i@80?angwr$G)_-v!)nujM##2f4O{i@3Sr?OK;Wto=k@8d0V|JXkL{wGvL;N|r7
zJ<~s{`u`2qm9+ZFExoDjNlerlo}F)=e!6rrgMV3b@g(+J66e<G7O(Trm-rF+p?%5R
zX^LF)Ud+GFw`3E8;a{5&Ri^9y|5;87)gAi~U28ezyZ`qOo~s`V?0&b-@aWCGY}?QM
ziu|F}&$8jg|IM=%H$30+Jp2DH*@?STXUuF*T7Jcb&F)~u%`@Fo_DuJ(xqJ1rE9V40
z`zp8Dr~gZ(FL~f;(KK)QV&5eXq?dh~zw?5J^_v@w>K=~j7k+RoIQ>t`)hznI+>)C=
zIbJl|7vEA6TY96?W9ehhJu?qHKQznqed93&M){bJ{hbkO1)kb2zdBc-;=~t+1q;pl
z7cQNgw2@`wiSyPEmY-K<UAlU~1GUWTpA{2VtZGkYUz)<5`tZHIN-{&j636d957vLw
zQS|a)v7WhHZ^?cBg$LJo=Kp2lJlX!n``LT<eGIaH{2CuTpJ8o&d+FY|RqGDN{$IJy
zPHpMq%7@wqHI6T+p0Vt~y#-MQM#~@mNZR&bQ~TbI=5hteB(<!XisXMcUbH>@&@l7v
zo~Q4O)<rTLpMABxX{XHp2k(=nE#08z`NVzw%+`ktFPCgI+k9YCjNE~?6Lw`=#Qi@7
zIQ($ixkl{!k{we&Slj;Ipk$D<DF57wtc__mW&|Gpe7fpb=Z=N8-CJ@B7u@Gz+{Gy9
z`EbtLB$iiYYvOrh(tol|J{b9V<%+(ZHxmPHym^<x!1+?;>;_A1v!64Cmu!kio#V42
z`RbD&iA(-JVX*!&ee$QU6Gir(j9&$`zHW*Bq4tDH{%rC4>`D%$Kjrh{mb~7*cjk-a
zmn^c8962vfZeIR2^xm?joc~$s+<osKJhj-}w26n~#gcW`QWr=ZJvSk`{*l7t*d=S%
zep~t`cB|2*IZaO*Oz(c)`olWC!#^eY-pBR*Q<o-A7Wq2+@zug5uixIheSY$t-8V0;
zYL~h6{pyp%ms<n3Z#wWyj&b$c)Z_*2{o#!KZ+<l^Wy|i~7HB%}qlSR!ra&o{-9KtK
z6mJQPKdoW3<n7aM$*N&tJA%(2%(CKJ@;2tn`!CFu|1!8@cGoYMy=}?sIg8$PZkTMW
zu+S;|O=oZS;y0UT{+xA5+_rK;+`&o-wh42OFaGx9g?3(1_TMEh?S6M2+jYUDv3mYW
z7y18N0#7R3SQw_yv+;qk-MOTN&sVwbl6Z8=`eRy;<RqtsZx6R7EqnZ9#Wz{&#^5*1
zjaIW9qGT5SdA5F|!h@!yWl#Pz9qxXs9e!iuq(FK0g-@>}m2sb7`r7^C=Zs_5Wg0$<
zuRc~$v2xeuKhgdto%SyI8C2@&c*Nn&nuMiW-)`_xP7_+!!5nkk;eh?ErNv8L+^t;r
zQ!wgC^zR$>A9)YFn)hj)e5J>>Wxvk-E4be6aVVB0b=soKOMX3{<nZ{Xt9|v-mEU%)
z`H{47%bN8$(d(AHe7i>M$G?6rwvQ8H8RjHRJhyIsb)`wzlIL&Et-o@K#W7a)#<7^Q
z8-DgIeza(rZSeBX_g>{Ds;r*<_lXwAE``!RCpLdDSkqv&aoHBxY_SF3)+7Z^G)h~Q
z?)q~1AC8Nz&n8LuzStUf!}9aOA2*l%ee+`vNA$bce{~<0*lpafcmBr(vO+JjtS`Q0
zkXyXr)H#Klek+{+eU$82+4uX8`2KzBo7dLYe>{1ji8JQHNAn{w>p1RZ9kA>&*s*6r
z`h+IImS3|&=lp6q=+^%GM%KgFU$bTX*dMGqSrXTAc=H9GV}d_UcWuomPrkHBI95S2
z>6*ad{uAzN7|yQ~PW>@o{vPL#FM^E08heuNcO6%gh;?6ZOh4gF!@H!<$^RK%P7VDb
z@?z21IZFFfIefIwE!(zM^W5T}H&<%SS@c~tR;6P1<;e9vf1cp1`d?}E=ch#H8ogUf
ze!ShvwsF1w-Ml5A)n83lU{zmJ#N&E>`B%9g_bPqb`?St3d4K-t@~?m1G*14$aIWs(
zeW?w9uP!P2#>c6mc=Yxz|F@H~c`jBmYraZMVX!#=;Q4eO&Oehw=R9$2ESoHRu513E
zx9Qha_x@Y|r$>kB)%$G~rS<n3GLJ8No3du_G>h168?W!uj|}MV*|pv)n%k|%SgPgT
zmI`m%%(&L0QMRA2Z(@k<-xasqePjMj4(V+b@25L|=>Au@^wZbpM{jKR3iuYcT<#@n
z(5z`mMe7?MrY&ul)2$JBc|-F5o4p?cC+IOT#^|Obc?UduC~o>FCE(q}50iWs@A#wM
z;MRS6lYizmyZZ~n1UGaj21iH~=|~-1(0?O|ufgE_qW;JQGfgYvV}xcf+g3(z?BDS|
zXAR%|PnOTt@qPUi@nZ8Gs~fTZIuG>BR9O0M_o=R}`Ttt(zpwKYoU9V{>|)&6BU$&C
zemlt)(e(WF&nf51mb`txTvPo1I>||aybR0MDf^o&tz4w<${H<wrzx_taQUs1CxVmT
z)SB;QPhLCy>^g((EPGcy<(FRg>oVK^No5aYUUn=y@sz`Oq3Popt)h`!OJC(5;c%DO
z(Bk=Fwe+ojJC~iE&-8BUI^Orw1XY(^%eLfRwETVidUfk3At(8kFxao<n^y6-W{qa}
zCx*FyJg2l8ee63H_nP(kbfG)T-)i4qlenGreq4w4zBTdMS9!MT2d~Iq%38O?GxI9n
z&Y~%i%iqrBx&1GG#{GS3u4unpqANRbRmzI#>t-yyBG-Rf;^>k$-!;vQqnEw*Haur-
zyvp|Ox4lbm?o-QIa#vR+JNxV*RmT<eXVy+#`ta=}ZQ<p=d@ZkTTYf(}=APT4CxVQd
zE(ITA{;}--G$-dBGtBd6=GZOU@xIgJgxCH>FJ>*jb#msEA4~3C3$^<F*W=dm2h#&;
z|8%r7#WI|~X(_$*p;*w2H}Z=g{#z5v*nR%-l84>57!`DWmfU!pwD94ah4~q6H47eW
zVzO8&dF$H4+nYBCW^ZB)S|S<evWFqbk4g7l=ZmBNbSEzqw2!<o=|J*CM*mKw>lKWr
zk|$@rTKd?ZQF2exr_RU;FI$RC8CsS+T^lc=@N?<8>h2Zt^Bd|5k{VZP*)1sC$gpYZ
z(hF}^<jHiq#U5VtPIT7KSC$W!uSx!QW>xyeNYQg2R!M!Rn`N=SXVD^y?K}&Q?wM$@
z-{0c!!aL$8{>S84oL$s^EcWB7`KuPS)>u5qS*<4b(Dr}lmYvHUJQ1>5@IWK!Nybi_
z2Wym0Z^&PF;+6A@wfq79Um07jlw)FfVIq{&ki3VXW5R;RowJ0KGLjSiy-#LXc;z6g
zWYYVdAKTZmtLD$zv24BA-=Bfcm#K@+p0V-JjK3*DNh`(vH0<niT<#)N)GVl><nXeN
zRZuNyo!Ct;^(Alb&8h77P`CNlc8c}G&-q$4`9BTC)~WnHl<@O~@P>b%KJG}raxZ=T
zg>652j~(CObMmA8mQw5A=Pp_A^jN-*b^ZN$O1nHHN)30uTf=&L*X$DxtSguIxBiKr
zxNybN@9R?xo*jH<8@=?$Cl=x5KXO+*6^$!wG)__Z^E29THAmmi#&0`TeD1##wMijr
z`7fL6zdJ8Q9yrj>@#0V`bH|Btou#Pr{|+eg|9`IaUb5c3$mRp{l0Q>k?LNAz@A1;#
zk&NQgmi&Im5#zn<zt4KEu!XjXj0+~nTCd#KcG7l7!?##rPS2Ixm*yVM{%}ET@sISC
zJ61Bz*;TXPyE`kt+8WN^UDGOhulO_S@40u&>+w4F8!_)^oL|p&P%q|L^S6)xf6jik
z<ligndr23ry!pW9ej?)Iy<PWN0ycb!S!-x<I(bv*x@CV~?TlHQe{Z>c-N$7I4o=KZ
z=sG9yKW7zlMN<7w#yK|&fBY1!e<b(s>|ve7!bMr!OJBe5d1TMMU|9tBp|pnjMOQ8U
z#at`Ti@8?*x{;@HE%Uv@3mz?Au<`JxS<7BO{j=d*_e7z)G0PvBZ3w?+(4qXMSyKMX
zxtK+dIwV&`94z?}G^w<gWpUA(2J!v{jP3$2#5&T<YG%9+d$Zuf52ghP=Nq;tGl?8u
zesAiGPwlUgcbNbG)5s#9{Q5-Pk29}kTdgqve6eTNqSZ$aiay&>QLghZ_x76`XV+;&
z>#Y5iFSgpkt#Os&L(V;HH`|=sD(cI&?t8pw!^Y4fmaS~d-tkMw|0sU<e}T`<1$Un0
z2yOWBaZ&v2g?G;0>9acbK>NpkWr^dFS<Nd8CQDsC5Olmjw{Ml0uf*e)#-e!)E!mA9
zV*VYtQt@-%iCGf=*2<g2zCXFXLqX1Zo#fNhg6|9e_CHeaxWU-KZTg2X>4f5=&WQUt
z2Uk3*5WaDv$@C*<#;3LXTbRskmOl4Xm{b^6ce8o(dcl%oC+D2Lqb0WHT>tXZYgAY6
zUEI*Ukw5m&rYnyY{Eh8dxoHjWa=&RFV#`1Hui;Y{IUhg$#3>%bwSvJXHGaKv(4MzO
zAg<xQ+`7t>vpF6&t-Y5&Z7rXwL0CgVBophAma|irJv&?*YqM5TzjOM;$9KA_jk{LZ
ztYhBGP=DeUL-R+etbY^jKkm4y?xVANqx8-5GVAZm$=$@%wB-0D%lAU+>&-b>OIfo&
z-N|TSt)9PLVd->^#Vj}N9`CSQXYI~<bwAe$n{_Nx8A|sod;NmfJB;<Nu3*OLb#nSl
zcfHpZEoZx)cb9+brY+z8@qgaAUizxoM*X>E>yMW&lI{H$uW)(ZdRA`@(J0+OyS}wH
zZ)#^4eqyk05}LJipSq@ljNhS`&N8-dCNWH3!}|Q1i=V#cwNkfLCpp5`vVP9?mDt_F
zsB~2C@#!Or3q-yDZK}TV_01&Fc?*m;t`WT-H)rAU6h5Ji9paT7yT3Fqeah9{#9BLr
zHCn)`g7J!K_4zfd<(sVL&J>+Do69_snf2|Z<@Z;!DRD$je6;OU=!rFK&yQqnT)Cdz
zC`ReB#4_6m=GT{<1dmH>@$6pDvGQ*H!5Fn!k=0=b|Ft_wT=GzrxOTz(+Jcymt&LST
zlK<T=ermIZ^Y14?$)morJ}Q1($ECw0|NK?Q-Qu}6Yq>j_oV9c0B;L%J(!Y}Dq21(&
zU1x5Ju8IA(bHU7YI_thqo^?_?=jbH+QdOlr{%d)+O6Ki3_Bc5Hv{c19-s0u56JN!z
zXt}rM!m7z3BFq15Kez3-QUyc)(p^8Bt=DW{<fj_ABeNuhExP_X&-^9-)g_Nv+)%Bo
zII^|#__BDdbBj)_VJ=ox>eKHq+H|O5$%knL#(!o-{CK(f>;%5wH<!Hk7SJnOIseb{
zcd7-c`ET0G7T6v=V4=i)ZuU#v88=1sS1e3<SiPw6@8>0NzpD!zn*U?r!DRXOn@*<p
zJfF<Adj-2Y)AK)KOJ3`;uYY|i>-nuE!WRQR{(iAra;5r7*7d8tbvgHKSQ-0q;Y+nM
zi@Q5gR&l#;68kn=>(7?`lh^SqPu=LTFw)$6J+ElY|CMpa4FA`qowP3f^Dy~%%)+-3
z3;o|Ta7>6PNbB<JNY3c6Jut&Q^3mrdk-F#C3rP3WrLQ*J{O)YWk)$iP?e{OR){t?`
zU$(`r({KI?gU;ivj29e5&#z;9KKGLR>QDPE)};L3c;?5lF!lbQ&sQaUK9&Fcg^y_e
zgS`zrrNSo|-m%2|e`S-*uweQ+*%{@w>B~N?vHkhvkl7=p&mC8k8SW->{!Q{bWg)xi
zpTxtBuCqGt+Rp!1!6g5q?s@8s<cAaYTvCc$DCw^t9JV2JvV!DEQTzQ9jFov->|YrZ
z@pn(w`Ux^=8^l#US}UioKgu<Eg}(XE3+#*1kFL#{u{Kd5nPDk|{Nssj8-v8Pf5?2A
zRr6n?SXD#AdX0cO!_jH6|CZK&Y`&AU_;T`k15N$EuGddnaCaE4J82=fz&`Dd>+`J2
zDRCVxw%MoDJ}F*b&-eM}!Xi`cHGHMI9Dlvn>TmxkF8cds-;DKP&$(x1f1DULe@6Y^
zj~#|p$5s|Dt<#I17PR;C!pVn4rv&}_$h@Sy{@NK=>;4ztXH9h%_*64(t^VVfkOS-g
z95m^>SQ+}`{em;CYzMY)Zj{ZB`|mn$&jhKB>kM-nbIvb(l(+BCvfdNE3-ZseVEE^$
zF~wuywM~L&*9SjYsi?e5W9$BfFC<q7zdz~vbBFhv3E5II`VH@RgjR+meG1jMzEbn%
zO5GDu%-jp7?)sSUe_rH?8Kz+jC%);-Qf6BlxHL(uc!t@%^C$Wv<d@bT->|sK?B~HI
zmjr9!n2j^yV?VCYsc87|@yCLSHM(zJetLY~b?&GCi)!o^^~8mqShVJ6=gmpMru9Fr
zg*9H*S(*CdK}_d)aWVD{U;j@OPd^}EzGqoO@b3j@E#hWfxBu@weeu4#H9ImYOp}&0
zs5j5djrr1~RQmDVC$H3HXHG9^)SABRjPCAZ3X`wMZBOlzx--Xi^(nU>i_fUAr0+eb
z|Ia5>?&bZ}6B;A_E%_ys;u`HfXB`hmUfGoZtD9nz_PLq=RG6|x<aY|km7CE=*Xb8$
zvQ<ZF@U1u0-e{;A^CvA_{fd$G8uqsr<IXReqVMh5B6KiqZA{;i8*S`2Iv&g2=&BG~
zGBve-v8KT1od45~Cp9`$|JlBx=jYFkqyJ_<|FYO#X}5%_zJu24?)<}FRvy}ynDlO~
z{K2H)6=!BIy#D#-%x9}LH~vhKTo=n$dq{vKDem!vvew$qK37v7_^*%an8GCgcZW#0
z#p4?#|C<~i-N@hb!u9Z@j_~~vKb!><Lf`PO@X@`ObXdAKb_dJfHH~In>*S92MZK>$
z7#5Lp>&Dpwv5!_*hP&_`k4^aWhH;bVjyt#C-sRr0WP0x!-==`tk1br+m9qC`E4b{R
zWdAYd=id{~`YXC356^qjAbcZo$Kth}o7V@aC{5fwQRc^zEoTp&{$H^!$Zx_;oquZr
z_X;gnkVv}bbanMD!OD(KbMBuD|J~GJ`>5yZ^g3*Vd4=2oep~LJ?-}3kkf~T3G)d@X
z$B*+Xf|VG#Dv}w6*91?K&|EJw_3oP5{58RzLRbEMaQ(bND!rBS&8fymYaE_`S{J19
zrepQF!|D<j&;LLBe%rq%9M<bKbgQp*KHpLG|4E5=qqey9TBq&nLe(QVcCIZ^`@wm-
zlH>fzydPW*ljQ#?OsM+!=Z=cP+0|2=PG%om?eX~RmHRg9JoI_He&2rbW8FlT$)9?Z
zdp-&6n_iUlcfWza4d$su`+q&1apM2pwN^I+x~As8_g^9M?aF~kMtWOkEcaSs_wB2t
zC&S%u|GvDN#BlR}^Va&4mNTv2F8a~$@u|fA?P;zJ4R2?yyjmW!eBHmt-zEn{tkI8s
zwUm9Y%j&vHfr39xyC>!Lcn98DQ(9mBRcpDhfXto!%NLh4J$@TAHR<At&l~jmm;RX;
zdU>Vq@rOQ#89RRMia6L~TE^IM{^-S**%6E}KP8TyDX3nPv}p0;6M3fo|BnAVFgNGo
z%C^TlIvzZ<?OqvhA<f=0N^1pQ_bQ)te9SS^lj4uB5lmiF{q@_)!r~XxuYJ;<Sp6{b
zLC=G0Klg23r>7J(!*gXRyC5rXcZE=L1B3Z7rWbqH>7CL|VEV*<TWzgx%+G0sTdm%o
zDY&XHJnN=f$k|HW$iDwimVdgjiR=7LCh^4+g*R$`_FgM;+M=*W{^>g1<<lCy%ANi!
z6Zx{K$Y`$eT=v8_>#Y8KD*AGATICtr(~|^Odo+EhW}3Iod8tD$kF+;m>%2cl&dss6
z59`T4elg&8#QHVUH?8x#vq?Z^jZsV{-;zj=HCGHzuQdtM<==8MKw^#FN-v3=u(e+6
zCPjrz+dMHMiGS-Pli&a5FHd1GJs7fQO>xaDzMa$O++6Sbd=iJ~f%l@x-9~FlR)&e~
z+|F@!ijEo2?I;fUxV0_oCM|L{zoauM<&(h9Ez9aR2^?;aOzNt>$hS3ilTd$~WYkNU
z{_L9yiECw7PSFcqBQw8RQ}UYI?8)ns)(K3v+w1;hz2Nldm>u)hID2WB{_D8>XSJY4
zYL@?6XI0^5>ow--*Id=D*9&^HtPEVXN8*BG#Tvn#A7UBS2^P%_o4skt{J7j7FF#Lk
z)<3r}bE|9Q3f4WHA1YQA+I|$soU+zA=f)M=NY4f9ou}Q6p4n9Td6n}Cp{2{_W}ja*
ziP0@b=zQ3pkPWf_H`ewqT)Oeay7>wWYZfg}Ue)>W;GyRe6H0Z~dn_06o3m=_8i%Ea
zl9(nnJzHZ}*}bk@s$Avv+sX~ylf@s-Z1+=Gxn_mHjSsBJjF((PZ63}$H*@LfZ~YTZ
zjy_zh#Bd`n>1^5Qwf=MV?Fyf)!MLt+?Y5V1x%0YL+pcgiSL<5adoQ`es+W6}h`jxk
zi?i3Z&e}L3uRp8p@YT;hCPrMSJi0{p*~<NQ*R0q#Z>Q$w*}IchO^aFI`fd8L&wDo2
zebRWjF8{`i(}pYG?}=HfoU<xtVs`AA{=1*1I{WF$$;|J3b496k;{^WsEAH?o#cHoJ
z{J&|-mdi%T|KDm}Ut4)Sa+Tr4OkPH2o|D3lSI?1n)*?M|LF}&k^^apFFwB{3d-3_*
z&41qfId+h7Wk%A^w;R`FUYX=@$-{i2Yhjm9*aZ8BVKWvKudR<>YbZG3dqMWa7kyJY
zzckDhO<!HpIIsO{!#sIUm3OS$y(ZjSYj|%}#mRs(CywY>#AqJ~KYrs&-KK^oouye3
z95HiN?LRl;^rLmv+dlfvUOm}@>BNKC|KG1PvbVpGGl{c;Vd~HK{p^d5mPatHF{=K@
zw8HSujv4A3)_yAHn6NVI$H|rFS2R^N*z_Mi;avM?uU_&3gZoc9rmt<;v)b@vpvr{Z
zTotQlta;oZdw!Pu$GazlwEr-zGW>aB!_iGb-ApQP8iKoK$Znp{nH4s7b?dSVjaMHs
z?%48p<2r-0YZv~$vDRR<`TCl#=_?I=J2vDb{d@a!ZQiO)3!XpQJYmnDb+P|e_NQpM
zt*=?N=^Ve_6CQ=#|4y%eGH2D5q_uz7*lk^tYx(@*$u+gN|A{Ga<=C%LooaA^XGK<w
zk&3~Iby+`uT-v~xv_j?al%s#&tWl9zyzfuUp9lTNSL`^Ky@q8~{j5h8vXvSu&Q*(R
zch29#xk7ov{TXMgeCpO@PKafgsFA+&N_|E9glGLM4XJ-Ou9&QlbpOH1!)vk^tjPYc
z@zG<21t)KCE_i)*1xI(o=I{un7n;c$6I$iNyl&W7Jh)@^e5uIeAeYQv{DKK~8$MV6
zxbujK({F{fJ3~@j)Ao-my+4F~YUgfDU%hQb-s3N~e(zbA`C-9{I6JeIp^sN=S@5R!
z(WQL*M~VzK5;Jba{#n5_d(FDX$t%{?bi6yZX!n}7A07KY|M>2~_>bda*`?r(ySn=e
z1=cM2@OZ}^@$OagUm8FDbYjNWCliELemT2l+m`b?@4jhbuV^vU^j<gjG}HI};dwd>
z*UZ~4q}$V6*IXa|dD;8!lb7OCCLHXpZrwdw{Q8=v7ZDc|&(9Rk7F)GQuqe2pFfz9P
zghQcPOVP)ezdLM9Co+r9WOC{JA^LvhM2Uq5>)R#8RxG(WL*--F*>!REPC9UY^1Znu
z$DQ-twHyAE9i%55s^9p)cy`UtwCgLEO)PwJ!>T^z#rbv7Ywv$jI(f_L=u7Q;Gh)uK
zTNZrsa9y8R?i0oHYol+Me_Fw{=tuXO=)KV%zx8@f?4PCS+0ewD^zZZBJ!_&$j~(M$
z=YLOk#i8z(w(Dj;_e+SJw$9!E$*gH>XL<1|O<T7;p6m9#by=&PFx_6~zkYJY>UBGx
z2D9DWen98V+P2tFoUhkpecLbBq_bvr`6Z^`e3wf%&52kiHvfW)&DO24uhi4O%Ju%;
z^k~}C#M_+#C!app@#f~!$~WsjFEF;2(YrJ4`I{KS4Of5OK6zO;@Rd#96L;3h#yOqO
zgCh6aznUZ%WBq2<-|uU-_}<y1<-BjL?u*v{+a0HS2c<A4E;0>fNnFpe|NP!#%UoA^
ze=wM~c6L0!_9o_&YhAug^5$jNKXD_4YvP~h%j4O;PdY3Y!FuM%-MsZXxt_l$J$_$h
zoet<+4y_J`*B=?)r~C-L(_TJFD70hFcjFh<dUI4N3&sCD(>%#MgZt;&fcQ|qwGO<y
zuB{1tcZ4B?eO*9w{+i9NQl(}#95sKua_dc<%~xk{UlX|X_n9@j{@-33xLQ?nU(WZ+
zB!)i|Qkb|O?mqq^UCG?1epUFlWu8`*OwnH%PxZL3i@0^&K9)6cQuRgSjJ;95KfTMo
zt_@<@zgMToXU(4U8%*baztF$-$ou1d_H1>9+WIyIRW7UOKi_7qyJkM2Pi^Uv;5D;P
zvpkynWe#g$-b#&7w(EWxd-whOKPgXfe~j^*&&yfv?#^uu`q#z~#kVv5w8+$TQ;U|1
z?aaFwX>*O??N&XD1yviTZS)E~$+dm@8SV0uR;Qk5=#^Hl=~|a+ZFIHe_>J{xS8JEA
zUF&+)GiG6{$hAf7t}7zqT6i{XI-K6URknJq>vF&J?=AeF&mDGq@uGjF+W8&YT^_4*
zIv2#fxVPp+<&72dk1ze8_sPNO;kUlVf178z++5@4&9eL6dbg^|Af-oUAJ@2r#h&Zx
zT~{-C=1O_zC)E!^Bu+=guX%Cf&wTCr^-fb;ihEuQth@6#Y3bv=-x{6OUoMDzaBy|g
zlgOVJCZ3(RXLZHO)QGpMnx8O<tT(xl^p>?~$Lnt!pX;pI^hxOAy6TW~t2qAboWH(m
z>7N$kh&5YJoxIMU_xynIl`7WS*!?FaKD%DoK5y;j<F8#d{BH`f-gL(8)Y(o3%V!L>
zHY=W7TT@~8dS~j#|BFBN{doO^p=yP?>HcODWtPCW<VJ%XE7x9l)4jfHVxcQ*>ABg-
zlj`+8rEEVH(|zM-xy^)AO&m2}k~VTQ-N^c5H8In0-5S+z6${rsVewseUTG%FTcaQj
z@rN(NTC|_VtvQwTeurWLW6Vt7&5a3<H+pPtRsXEc!~D2&;@)Qa{sk5s*KKCLE?dX9
z`Okw()-yyyS)Xd^ZvX#0XzSXH`F8I=u8&;bw{iWV+Py84CMtPeSv=qV@q+Ign9eR_
z+JAF>hNY!}(#reRH|?7guQDfvvk2~KSNpL(qwt5zCdD(&9{rt>%3mwK&R)Iv;)~LU
zA1BPHnD*o0<Db`;<nL^dU32Emy3D8R7ahJ4v+m5z6%uP294c?56s|veJZ6FZvo&YC
zST^cR?C8I;bbqAphdpn)v~OGr=w~}{G`@JviPVP?vTJrFJ#^!n+LyHYc;ttZ{+|6C
zUdJ4JQ1*E3v7QYYYcluttlBu?*XI>|N?lx!R~=fDdG=vizRa67cb@%-S(^0Y-R3pR
zey+_>eAQtWCfxAr&DxBdt^e-6SvfIzO~%vv>la=RJ$FNB-LW?_Wli@nu35NWcg?Gt
zQztz4p2QWmwsca|{dF1h^){|hiCx<or0-K*y)I$Xq)+S0f+i^~-g&rHWoz$(;uA{8
zn+<18c_#jUR&d3uKa&&u)|^=Vwn52q#qm$Re{y!5>oR(MWA_aX`_&z%)r`LX`|?1~
z!uN(w*xs(b#LyLiXHVHF&s<jBqV&14LHO{SCZ%}|F7iK_EKVe^Sr;{N5`%1mlC4<R
znki-TS22HH%Qmk;$=3Rs{1cXh$c0Znx6RZ0y->|`{+jy_$~HG%vy(ef|9;Z;9~U^~
zXD0NnE6iyTu4~xl&cR;SBJ*hvv*oIL*OF$e{d4)sNv6{~9S*OW*i&_G?ZYWw-lbmU
zHNU}>^kvo2Ijh#LJbM1t)L9Q$zkgnt_H6B=TPseemrT38{-n0({ofP+J)6KfF(Z5J
zskbRyt+Ry+*DU?HKuR`_agxWLm%M4~E$9B7naut_DO-}s`c0yJ=j(63zwLR!^Y{Xf
z?CXx0XSaU7nZWxoc}0KPj;*pcC3xGtZca2v-lckeifr=5bM8EQBiEnzW88Nm=I{Cm
zyQin5b*OFLFj3-#TO`Bem5+7Sotw*G{bt33^c_bg7yaFkdUoM~{U-_<<|l7^)cXI;
z+KI8M9I~(Xt&3PUaraY+Npts|elo4PZrb*n>n7Y&*VD^h-}*Ie$=^tsA6E{neDa{@
zX+x>i<~293z526O#(%zP<C`^lr-FpI*lteca$d7<dC*e6?Ynz7xc2P+@6EUMuaV2s
zHHX4?$tpjUtbH=GHjM4}c8+A5wL#&Nq`R4J@4r~S>I<lHlK8o%H7xb%{?pHD^1mKi
z@^Q(r$UXa_qzWea9Q3Z*)Mp;4@Osa@{ZW=nHZhwn*r#l<QI|c@=#?&eyv5zq>ppsC
zzhI6Io4TWNrO5-9{ZE+=UKQCsnQh0Ot=Eg!9=@BpzIE;WiLd%s22RxdwCa#61JAd$
zt*`!Uy!v=u>)DMq*<t7MpTB9e+p*?kRVBlnCbqK=S3G;wn0RXU-<0Osj%R1Dl&#Kb
zu~psQ!luiikiE9;|GahktX@B_3_Zz}u~>ZfhnQQQN(!Zs4-Yno^vArZ`1|>dW_|9Q
z4QtLAr>@_BbKg?!o9o)NPb$9D)0nYVyR1!i^9eK0q|Ax`r>&jvrlm4sm&3<->$b2*
zu32BDn>F8hS)r=A&tA4f9;Z*2bmy;`x4n8L>r0mXPkCC`9JH!l*H-(9^URv;GJUIu
zm7Siey!-`prmYRSvu>65!XO2oY3l?01s8o=v+!MN$*tBvo29pwvfa%)sa?k5eQjaf
zOJ475atW*WcE-z8d|TW0YLd|IHQC#(V`V397f9DxvpD>hTz`~<)3i1F{q=%Z+TTre
z&0b%<Hie<_y4a_Uvy<0Wt<8%}$zQWktJ3+y$GPmW|E_&opSEXhRc)4kL;l+8sXMaw
zG<p0y7_YN#Tc^(I*Q;wx*FSiBoNJMP#J{)Au?)8pbN@`dHE(6L{ipSsw^voG?b@&X
z<=Z694@}G}KTUpMz4P{g<o^-Lt5vIw1Zp~R<sKaW>AYm6*`75uQxEdLSs^)Z(ZBO6
zI_E!1xxaBj-btpY^|iB@RG+x;oM3WiuK1A5(7dL$eEyo#ouX@Mx38Ka*S3Xm^NcN(
z>#M3Cubj9-E!1Y+R#j1<N`>6d_LD!lU6UwHIrU-n-lHGC>p%IYF!^JQz2wh5i??>K
zdHZ4N*A!um6&1b(FY5L#{(R%#{7XhQlO4W&$epZkdE(cz8yr?iY$@Em@^#u--p}h_
zy<NZY<eD<+`IFb4-g|B1Lf)jc8{Yn1sn7NQ_jU1~3m2T9@#J4+qu}uiFZ$O!J^uAj
z|I~w`-4ipU8Q!d0d!_E<myNxrvQJ7EZH%s1u||2W^yb%7=IHt~KVJ3rfr<FdSog<L
zXD98@x{>tdfc4Q!dfm6W_B@sIpSkw=oJ}!`H`g>R?pt^B=-Q$yrwum0)|Y4u>R)$*
zJ$sr`P7B}lbC0`zoizBp?&+2P*Vgz<{x!v7*`8Luu%|0$JZ5g_w7<OmY1oP8^9{Ta
zD<9jey?)x!X6=ojV-LTry|G#G-JfRfir0;w*WRoNYxaH;VYcq(#v9l2bC{YOeVEof
z%l*YGzyGIX<@#IOZklgk?nr&K=H^W16B8zDFKYCWy%*e|a<tvYFK&i``;6Z<j5nIv
zRzHi_aqMo-s%IDE#ktpCW46EcsH~B1A`|brXE%RYDl^Xd_xb_vpEV0^UdZ^oKt}J{
zngvFypL|=q|M*(ps?-*brN7dX)-HH!H*><qwHbOp6`VeLeeT}z=-0-?nDw_`ZCkr`
zdg%HYF>9_IJ$&``?vK`+X0~5H_c?CuRK5L;zcz-gR6EHOyXKfsMe>T>t4_UXV1CwO
zyR=|((u$MUCLG#)lC6zlUc<5Pn^zyQTzUMDVba>nd(+l-q^(@>X2buO7Ulj4TIHV?
zbY&$OIK<uvx<6;_`5!C3r!b0ioNi^BvaEK~g&l{IX17+wt#P=$cIT(Eg}Lo{GnQ?>
z5;y<C)9*E_K8mf`Sv23^@D_%*mzKL5+%LOmIay@Rj1!;Ny}NpB)|2CPReR<?km+CZ
z{{1Ab$*+H%U$=X5gSYMTmAsSh$cF8{&1(FRC2oT4(Up>t>(2I{C|`9_zH;T^Nq5>8
zvcF49YRx!$ecP;cC+7d-S+vYbd+o{lo4D4u^TmDgP+52K^ZWpgKbNwTTQ&AaiQK)p
zZp+5IBHt?S@SI+M;=H<EQ~bL7`Vl;vZbb;JyMI@5qtO5N_tYZ#wAbw|J$YMk-Oq2Q
z?<BU#*H7(`{kU##Q2G|hFuv{Ak9F*wC;R$^X#aG%rZxB9`|(`<uPf8L|Jsz5eAlNn
zXvy{N^XqsNw{Gvc+O;V?U1#do9nXJ$qHFK1lWPmoKkJm&to^F58u4@Y!e5CK8E&rG
zJH0-Zb^q^mFQ4eCuGzcPk7d)foz2HDSXQpvlPRe^_oC0^+1q~v+CT2(TfcYd754aJ
zmrACcuKL8<+tixWWoz;LQp@|jAD^tfzuL>C(X7$$<jNdY=A{w4zusQEukePn+v?Wa
zjGq`;XXxbrYg4#=#^czEcEzgb8FOqWJ}k0fuv@cV^!P0ok2U+x_1*GSUB6#E{Z{Bi
zzoRW-`zlvu9`9JBe!Fb-q)CsraqOD2`u~l&pU?cdx%zd@x>wT8j`|A?k4?(kQ9GO2
ze9i{xbqD9W2;J9GwcT{%R<p`%Mu82<jEj69tvhslqVepX(Pvv!^8dfvBKLpqPXQ0k
zSK9xsEkAW~vs~nzb6fX6Vc)-N=0uAbt%hH3{R^J|MzMHXedLlyOQUmA_O1Pp^;3Gm
zvQKdiGxx3es1+%3tHt3>%hmJJuMWqr`7mE8FK6BL<!o2;9)Hqb^Wpa-T^EkvHEn|B
zzj$`$N6J*JJN$E!mDbwU@?U-&iK}EMPF8rlc2D>(KP~Tl>pyrIztwV`ZNFyw_euIy
zMhr(({F#!=T4Z)lvf1}zrY{rcy|uxf1{Is)W`7LrKXCE<nqcjd7JavG{=CC@a<!ZN
zCxZ>|x%AB*7SBGQ>fAVIMe#znT0w`Wv2y=b6jpM(?=+IPUi+q#%l(f6>imBK!~fqb
zr3?%V+zbp13`hQ4PkOX2c&e9!-jh!n91CtuPPP4SR_?-b_I$GUCQFuvl;^9u-PsRD
zzmUzDpty-ubEhXC<L`w95i2fEWIyN=uX98oY_U)44Xf>cX3coCX16=Dz$TyWDNbFU
zGvBP+v&Zt^nstHC+7!1|uU;9@Vc>1BX3zXor``uQ-3^kDZEXGfdETn{%@dDw^@JrP
z|GU<vy!~|7m&kSdcKXR(ytz#`g)3;?uhl7x=8N{5>#y0hb-TgazXwIte%9{4lFwQb
z%W!kny*(dQ_sw1RPt_qucJJ&hdJKX`Dwq3QsmZ^2Gvw&1Uq9A<`*xRia_pTo7r&)#
zRjW?!JhSkE`R^x>LN;-Qx47O@&sMHWTJ4Z1xi(JrWTqu|`fcB?RX@J&X=_SdnI<|j
zCvmHn75A(EvGr|rcTe%Mw;9__63Jfd+tzFPQ*h@D#XbKbl?Aw0ub6mJKyaIQ_)6BN
zait=a*C#GL!la$}j#=xkHz%{m^=s?OeonSX6yEt}&5ZN)MqH{BzI;+Rzv1c6wWZ7B
zdkp@~2t3|We{1^h+|Zis;%|4#K4x6mUB2f+t#hQt?mvvJmXmif{9tK)Wj_1lybj)2
zK@BFB{Fb_n-!D|>iyh3gi|%OMxKp3$-23`J->2W$#%R4~>5N$?K78LiRVq(0Ce*+x
zd-c7#J0^d+`1!v5Gzj>Uv;6x^|E_IbH)kZgKDj}sy-wbL{f13xEARG)O}~CUjbp1*
zQuGO>KPwcKw6d@1e3;k!`GwE*<clvRT-~$wPUH;{@sAukuXWU=oi=&XTy>+-`s{C8
z{j%EzdnU-tS`^&-Zq1#&Z~x|Au3mTZ_nZ^4TQ`O8?oRrjTl=oX;Q(V&i^q1|oyWuW
z{?U#!@!Pstyn6MoYin=5%RRVnP0Tx%$rpkXnb+N1TmREy^@r`U>yL_jx!1AiPivcs
z)%*Qx%R(n{|6yWnW|UpuY7rB~Rv*E8ZB6Uz$t9ZYmGY`=FInV2Z+Dhn=@PbW#rj0$
znM>?DjpyrZ+4bPl|IgbwHh%6n@4nR|@J#r2=MBmKD^7$pGxV%IyS-zMM{Uq%q4+gx
zwo0wYUzd71(RPMJ(l(~s8$Z3e`CjGiP04Am^sVp6{#<oP{P&bsdmqY%p2!anf2)%J
zui@9m6-BdF{yn-uFKx|V!xewa8vcCVQ1@s{+PPol`9%rew^(cvU|v6U_Qp5$pSK#Q
z1~ocA|2sEBf8SQa$wIx0+hn8H{`$luzQtHmWVO<6CVf9f*8Q{gN1kRp-jF`uV7t#&
zy*KskQz|x@Jz+H8^zZAR#>$!73%74$D4Log{--76TXzA+zby=Nqq!!m5pOtWacENa
zK|QV&H@Lf)?X|ZuPGxf6vmo3t`9DLj>9-Z$N4GM1Z_m<5QSjQtC;zzh?S+4`mKhE&
zqvkintY7%|)QYRWD;{1kzd7r2%emVxjI=jpwM_qV`~4P1_l!&1wu}9p{^k0=qrRRJ
zO?7wM_DuOwetSz;BtLh`4~FiyuJdcR7<)Eb31!r+nR>c!mTC&``t7%_g`QL>Tl>|&
zbW=lon}%|TWBgW4dGpl`469bH(qdTr|F3jB1H;}_1_lNmP$9$+S&_t;BpIg8ARx;W
z`@blLks*mO#yaMAjD$dpQj83fXtKcnq9j`eQAU=ecMP&zNqUS7tS^(4l9*JIq*zTE
zjHPVYjbj)YV%{fl$LJ-!5=c^tVPfEA>||tS$Q5PJZDAISv1BQXVwmp4V3_oVg<XIn
zNsoo|`Cr!BAO>f)$8#CdGbC8<UgYq#V!7ST;rf;<)Ps}pIs<RX3zn<<8CEY~OcLka
z+R7*#W5K&UN>INe=Ba?UIY*_(S<Zt3;X*tI1rnb##2ChWOk#?WYKeI+#2wQuP^MrL
z^Fe@vgD;7ZNhqn?CCQed{5=D+iv<HaFSEK!4^x>nv!)OuH?wvZr|3e4RK}!EhGGUa
z9u~tdERo$z(N?U{D#EjvyC-!>GBQPTY<6K{Hxrrqg_||ilfjO4f2|UitR?%wiM-yO
z%=?*kHattRh&jhIQHAL!$6O@|hBu#hDlYPLf8^;F;)v&{_~6Ui-OZHiVI9MyDSuCi
z+ptZ=IO;wBMDEwGSmIrn60KMhz1YrjEO2?w)MUog!Jv?|KFKoa7(<L_(uXAer2m4#
z;awbSY*b=CF`gDY(#dz0;p9iwvua%|XEm9$Su+J0zP{ivzA%x&%Ysvz@#2J-&x+?+
zCJ4l|Go^l>5M!CdC#=tr@4_JelA%UPu=|3-eGZAFZ@fJdVuXJ1>awtlHN@D&9E)XW
zWfx;%*fu$dgHa^;b}~a}Ocyh&%pA>m7h=>|MFnF2XGu&vo)OD1i^YRcriL+QN>Y&{
zb3pQcdxl5_=2(VP?Cl)M|Gk7S#`v=&-Dly{PV#1C5nd9*l$7GgBHy3%i-nVgiJ?wC
zCc>5Fj39@o08`9=jkkgVF&#<4EQVT~T!w~`uagu$C-Ei!<FesMW)xuwoR%aM&#0)x
znauL<zCrGz7#2p2w@OJeNwWl!ERv3M)ShRF31M)3lB6M!^eO3wLG>?>B<3WBaN*;V
zSo;~o8B$ePg@xu#VrExluxI6MV~pYC<Z@wDV&>rCV)6bGqrn>^%wpLj$ncvf=|4k!
zgJ8_<BwdEi3GZSUPVxwHuo<8KcT<pIp$&)XOF;>Ba|vz5mYDS%Klx)A%w3e-+ATa>
zJ_{`2lN3=>wrH1e5wt9S!Lj#Zr=T{I@E2xd6#*}XPcD`*!jUmT7nC?SKXUL23ik4{
zc_k?-F8P$iuBj-zFvg07UEyVnMba^j!xJ@S&k612m@g3Xn2A%uCFv)J^-o4`Ax25|
zwI4m%{k0ZxG`oCYt&GuGu}DECiA8-X%SFw-0*o=7+>9zoJp9wSd4t*<!r0>VSuP4$
zO1m*kel}6y`+2DlhHMvx+Y$`fDxdi!Ih~b0@JseE7<O_jcbLn_A*{fd#LIfp)1XMf
zhR<=5X%6RX$KO*}PO|(CV~f=1Qk}><`z^~wg_A9uwn^MN^HprPIB$Ms*{{#CS;#{5
z3`g<PPLAK4jb~LJs4$%u;GZNR@m=tt=Xn*D%aYt4zmp^!z67TU1=Mg)OX_$Z!yS<F
zDxg8dK|xtWGpLD8SCGrWnu+zDpa2tBKn9~n%*&X783K1EdA|wX#h$9C%eO)xkA*WP
zl7%tmMJHpfU|Nj#mk^eGb%AqN*{*srU6*%ad7~u67{k%Yc$?|-bLP7)qFpi3+g`Cg
z_EV7Zl1Wm~SjD%~n`gC<@|)bSM=D8X4uXulN{b!Xq@0pD*kabWWOF1bIK;5Tykg<?
z<hyOa5q)D7-*u~(0t;m(3RxTw+p8;W{GdeF^KX!mvMtA?%oLeyoxm0b-see0-<DY3
zkt=6$a*h#<;Si4bp|Dga#<4go_J0ufNhz0ANdkff6NMO}e>g@=`5z->_*;mDIl&-i
zlDxuGhkqi{9?TuP*rSyqcEm75H^gwqaK<Ra^a`jXM4A_S8ol+~VDP(zU&E9!DT2X;
z;p|TZM~{C=OvOxxCnYV2lw#Pf;*rNBti<NBiz_n5$t+?iV^TznP!kgeznG`fjVQlJ
zF_xqVzZm|Q4)G**#?+V&fri+BUy>Qauc##R@yiDN(KyU<P>7*?LPSy%M@U!fzegI6
zmqax2=r8`mqtDt<BOLS9(?F2Zm|?X??0>e^fgUUp9NnTZw-p&@$FMswGQ5rX9>bXY
z@2^WNV{=3@LpFzPl16GWgV0UQ5Ke(uhJTNfypmXA);8*-GA1)@GAQv_&C18ZuEOOI
zlo9EW{2#QV*kFpwUWX(<gE=fKIpXfc$f(48Ww;hFS&%6-k|CV0AxS)mG4_8ohkXYR
zi`T;rD;I{?28Pm>cMQ`1J~7O8U}%j|VafFP6T^_qRO%wjQhkTx1IsavA51asoGiWh
ztDnbkXvNGF5K4=g^gd}h!!gE<OBg1G&G$577BA)QNLnEv;VXR3BZ=#Wht7muNdYW^
z4BrKkvRJrzI2jLfK1u#Rp+1?RjYV<p?T*PDeH?;Q7?N%zamM~<@=54njAeM~$koCT
z^Pam(h~szb`L~Wj*Gyy5S(dx3;II{7V0Q6g+0MqY-(?qrxIfEjmz7d_IV?8?cw)qv
zAIG}<uVql-V$e{jVfo_2sS^9I;l1Y{k64D446-uOodR1K7hY(Q5ameXGg4u26s>GB
zVYru;w3<ssfir0@hk&9*Yf?_bG$s~?AE4#aDhwSAR}1_dlK*{5W>^^Uo`Ew-AxSbx
zSW$Z0zjv(n1sSHT3Toi*3~H<Bk74Za*b_8G(70u})Fj3j1re8^710caEPN6Hj4^G3
zJcsK9m1CR!W$?u^tYA`h5xyYDq(6y+m7{yjM2~+7?F@xNF(FL;#tRuklTumFxG+x4
z>qur0PX=wr?&4%PSy7t&Z^Fl9hWV@~RqG~kEoV5X!s*7dw3FdFbL(z~hbkNk8J;UG
z<C<T<bX16!bFSd$Do5_wu?!7N?J92>jx#=+kj$_=iOaAmTp;#8M_9~W-WtZQ90knl
zYm!wMdNRLrl`opBqY}iMtIFrj`AM)~YmA0p3@3N6!m^}yom}2k7h(!TU1T&ZrDrHH
ztb4|=Nl}0y=7P*BPbp&t*}};#-W=|63_D$TIbQQi2&!;!S__x8B>xlkOnSc~cf!Xc
zk=smP6_&@uvBfH}GNp41t(x#9NhU_<CDTR^jtM+Mmz9|Iv#|E7B)#S`7QQ`^dFyMD
zRh1`OgjU6@mgw(@WtuL*d0j;#V8(V&4&~YUY!jO#wyuhaV|c8>E2%JjFRvsAw@UWB
zX4Z)!o-tay68|%zS@S(PO9h<S3Ol*Ju4MeL!r&{sy_4ZL1Gkun`ZP{fg+LWiS>~sn
zJ7WcfS)axW3F-DHo4O>O<k)>lh*!Wr=7~~}giA~iM`8fuPbP+=iAlecIyhrkIg<H!
z7IQFLs>U#@B(Z9WGB8hKVPKy)#j<1qa}opZjAss@yWJEfOkj>>)Vk^YOW@yw6Ei<~
z3Trc1^Qa5P7;1d+6gtVFDP^s~#^A}6IFW&um9bD^p8`wFjah4*R5kXp#0ZKrCHj0w
z{?F18%P3>;fk`0VfhqRiLq?S--q4BlLL%1Ej7fpq=fy?sJ(-p=+>a8qci>wkV(;-n
z=%SE)N6bYIV-pT@l?@v?biI5;cCuA=iF<yQ^;HpYHq>N_mD$V|!<#hei^U-aJHg8n
zVpt7@1=3X{f;l>6MSPQ*UUKAKi7{>1(cv$5T8!nhT+Ay@fz2GsF$#hl*ZsNwCV9lf
za0;B1Fn3yUmnli`A`3g$-#1CBjt-MvB*{6<U=rNP8N-q6@$YfWmSZ#ACoyhjW)@IY
z5KFR_;P>5Tl<dGbsVh-Jo8!0(qrED-8Iusp-J~Be9Ck6g*+r8XxTbE8x)L+Vtkgpw
zx+qSC+fZ=6;GL7)4$D8WB>iEEGmri6P#p6=mSGZW&LqZqfyV-eT?8tZGx0VFhBGIH
z2|QI1Ol-<&WYXn`{U6U{reGP9?=Vl1B`4{Nz^A4;41S_X5dwcazA%)i+!A6xuOPtE
zC~FimEh(3&hGlcj%%sqX3|&f$iVU_M?<H~+tyI1(*|0gucdN#2hHDN#lI)Up?F>{%
zvPrs9;oWqG>78*BGq-|@<79~iOxzF7F(w%%34DtA6XTQ@BWS&V(UT#jDVU4tXUrN-
zCKe99%`uD~u?))uA}9V-k~E&St3_$YZquZ|hK4su*OTmGz6gkWT-a?W#6L;twYRa<
zYLDx}(;0#~?j`Nq%fhrmMPj3Zu_I^tvl#UQ-x(NV|9y;Q_{Q15w(_BHtiXSUSjNT9
zu|of}`5PFL6eaAF6cmINJtUJ>ajjO0VF>4#a7KwE;ElLbqN~Z%B!^xm3B#8$rZF6f
zF`EQ_pNVB*m@Dw-ZA_tHz^c_8S5~vc#J+gJ81r}Hj#-=vRfexxI13-de6Vm4c)}EU
z(;?<TjA62Z7Xwo)!{6BdObW4#!b!Ikb(&%<LSo(}M}1c@njdj=qR}Di6Db~#I+7yo
zViPth@WzNm^?OFVHQ<q*H!&$tfHSG%1=BfWCe{g;k|dXLR<MLDidm7Qw1Yvokn6f2
z=lZu2he}yuzHqd0Ch<BYDF$&QMH;BES6Fg*33|+WuY4|P()$BjtZgn{@UXcM7+B-7
z+F}Oh0WB4A=II8DT=~tCE~Y7Q7NlvkbaLb{&5zcYpu%`G;_Rd(!xIq?G#05amD-kc
zI!hRQv0}-NQ#qva#^%o57ea5Iu2{io>JoF3W79>!?Lvu%BYr&QWa1WJiqU0stVlW-
z%dpT<!|`QI8k2+vW0J&bP6vgU#F!Hr%1wqWqF-VJndB9iV~#V3I@vR7C%xEpUf@s6
zbq4hSPLu7<vl(NWq)m?RN%Gv8tYUc8V0UMP8$+-YQ)UBSjB?B;rs9sd4C(R=*&Xf{
z_unzLG9*2W{V$r#!tg7Dn=whKA^G2{SVn~;jc$jpu?(*nCaVbNy|TKW`9O-NLra3M
z!}H-@z77jPAp_PzizH9ZmPl6K7FCDxl@A}Wq%JX<D$+Ln@mJwCk5sNt0lz14{}N&l
z{N%y7^C^S#BunN4&6)Bp41sJO|0gME`*I#y{KAIyX}pNv7fT-hNm?s+?hp5NN_zbz
z(wkvFXQIkQuKyA(og6<ULwtn!T^N#=dp`9@+9un=#jWK0^|e5|QmNF8F9);*CHtgg
zmT?Fr3HdWDWcB2fW;D*2wIZ28c2?&HfiLM6vt177M=M!`NXjjkbaAnWxW*|3!6aYl
zITK>`Bn2>T6xgehI9Y0uU`2CMMQOLEqFjQbCYu0b5?69(l6uSUJm*f9c8<#e9I+lg
z8uu%nE5!K6tW0`7DZeqQpxVNZgEQgBmsrmXcgBtB4_)SVEMaP>VPX1U$r&R*F-uk=
zQ+due50*cP75{?-%tf*%F(fh^<%(_*N{`uHIZ=h<p~G*Nq&%)-Ug2DU*#9+*=Q{<(
zieoblGI}zsJix}FE;Yp~_P?QFs!fCLB#C^^Sb^0Y{7Ty1j5l4FIQ<+Rd$7bzR!FRO
z3G1?J>=0;SY`Dpk#PGzUgP|_lVL~#)0uCWYHIBa>i3%}FkC>h*PKZ^K&=7v}qAuyp
zlKV`3EMIt+xvW-5$&s*A;#pSDculFDZ`neIFP@%dnHT2BPf1eo5cxBw@ek7-5&lhU
zCl<}hW;r(@RgmciTT9Znwv#b$3=~v^H!$CDpIFXj#V5p6@WJ=p#0EW4kFU)JPdw)I
zYbqIue4O|<b49~{fnJqN$;5|>OzgX21gCNeYBGF^$(ks$okvr5#w``c)CrnR^^8Wb
z3@tKOS<c@26Z@~}i}Z_Fh8Y6v9_$lUnb;>S;OWk`Y4EsIs5l|1=UQWC!!rfpq<<VD
zo(r?2LT9W~k+XAQ7F2p56s{q&dD5MRv$Fg9emW#wi_tHBuVf(jA@HjL_<#uxVb3Y$
zT*4eRlRlcR^jXNJ(x|`ik<#xbSs__H^%>d{X1emS9EN<%&Gtz*CvrTJ5zJGqRWWF`
zIb_HcXx%y4BZ-gcZoqMmBq0XTnKM;58Dt%kP8v*g6l!Gl%*l04DUvRnWHc!=T_Ui1
z?wp1?iP(;B0<Zr`q<RQ2vaiTck`(;Lu%3fE=3l@Ag&3xLVxm$>qA}_ng3ezg<>EV(
zCNfR_#juohve%v;lUCeeILV_s>pQ>hq!pj!5-g+|QdCve>fJqM!6Voa=)o(vz<bgr
zy{AtCJXJie2{b=c^p1Jjpxtp!`(e_gE@6d43742{3h6c`p)NNRiWL>g3)o^rdu9~9
zd9&QO+o1hD$L%?bBpoK7km8YE>K$4%!F5UcNv782X-ipCrwd8Wy|LP55gViK@1zgQ
z7(1SZu;!oS5M8pZbQk;8r$Qf>Zn9HfdduVB%gZ^u+gBg)nQ6Y}<W}!+mc*c#M==&=
zNoOWZ^(^?pBpQ@IDWdY_w-b#jMO!2{s;`(^eQ;vLRB_o@S=GE!v8~<==`!74)g@2*
zC2d>4yYsuQmizVXRh_c6F|xI3AJ)sw=-E=Ex?oZF0k@d7+gUZeoBZ7;oOFJ^NYvB$
zsgvQCB-Y4FCg0hjCpE2I(ATt8#p+IhhUcLg!vN;gNs*Tl#n;sZvhUw(@^B0LL8je4
zTdO>09E~zkVm{d^<(s^rX6@si-HuzT1^GL>B&~cm)u^&)aw&UO{|J++44cHS`QWRA
z>=l-HPnUL9ZSHD;nCFsKXBLRg)M(pUH6vzQRqs~!1s5heFl?!qIAMK)oeIxxCvi9H
zjT2b3PjbE8UYRoU;T9hC4doM0{ATsCNLrCQli}^wN{N`Ql`1h43=DtRo#f_YVck--
z=fORLd&%3&w{jF603D67q42~>r<Jcc*-K;pKk_=!b$`Y^1@D;U8%}hj#7wGqvTDZ<
z3+4%Pgtw$5O}e~8_2uIYsf<a-W4v5qI#%4&<v3gLF6qpKjVydTooq2P6_T8i)RK0r
zn)FCI@#T(faVsZtEndONvoV2b=8VLx38_2mSL}EqP}{+$;;Qmttr6plXPc5bl5PhG
z=md#0yak<!@!-;gWX1)ZYwA@ZH@xs$mb5Kpw`bA=OXW>&TTgAA+O~r|=Je!=nlCn{
zOf0yoa8JdAN9L7hqt%CP0`_g2PJ`sw4bP~|IekJP^$TmHP&1>pRmaSbWqlI8TQa7k
z2eYN>vi85!En(Ro#Tu>Bsj4Iq>e*QFl7&P4MAFj?vz;0f&a%jFOP_dP=A>DZt;4pY
zt~_$%D&v$LGqwm#&YHg^P4nc9+-l}6x+Rl#AFW-!WmaXUNu<%YnC9=sYr0;t=1=3W
z*tS)3Wl<^1RlnqyMI6f>%WCmDTZ*)_A1&UJwTtC(v_Q+UeacT*ZcjNe$$*J>=c>sJ
zJA+?`@NF$(xqJAYk!;=8jOo1B-$gq%`NV8<^7JluSn*4)-zwLRYimZFq4Bv1qFZCn
zByWhFVC&qxpjzby<3``d4{B~KI<qa(^GdpasgPU630C1{F<W9ilP^qWNuHFn=~92-
zvlI>Ot)YG=e+Yf3w!FvK7PBQRY3FyBC?B(*9B$hpgdR@Xu=&HmM-0ZBBPT`K{N6Nk
zpRkutZ2N-8jPfyd85c~xd#<YV$znRGwmngO%F{$E<sHnPx0APh+!iUswEK&vMBs%J
zESp`=Gp%<KKgG=WWAmiQC$|I`pL@hSQh%^jC7FHsL|eljb0s&*P1?DnihF~p;RKr}
zUJR+7+A&*V3t|~Iol~2nwa8%734;SM-m(8zSTV*jtc}?xXt2cZg$s*X%(mo|n8?TP
zR&Mm!n%K7R&HKb!1BSM3akfbYTVsr3w#7Gni1JC=+winmGD(dmOyb5?QO6HLf-%0Y
z+5`fU)>-&vv2T2r)D(M=q3V6ow5<-lo~xpcb*$O!B)Mav<&EwI*VLOQ^E{t)zc7-c
zck3i;_l2utE*!K-@|n=qx3{6$pTl9!OXZEM2gPGH+%t^XV55*!6Z8IoZAUD_8t$7h
zPZz0N^v}F~PH^GzO%^96bM5W2*jBH;@i4<JOYh5DYMm#f1~AX}NLtD8=7r3oL(Dvj
z)KxCLJ}6j_{J%DKgUg{VrldC$9&$XDPi8nbZ|##Uo{F*mKBiA!yK#1g@s5c~lHT5o
z4;v4rCi%szxW{;eIWoHIvp_pbZ{71X`@7hm2%KL0>dtnzBgvQ2KP=3%>`*wiYQwIM
z#}*swERy!bbXuHby!!F%rm{|^lU*GqlfTBW?LQ(t`Ty~vH(PQ-coxg7*yxhvzDXkX
zr(zT5l4OR4u9=1h82dI9uiRpHdcib{{ci3Zr7Ia%7M;pp74DI=`{hOk@h8g!iniu4
zCI7FARo~#oY3sf{dp67ZB|#ET`E#Fe#V_8xLvrb6AwK<>?Q1S?N!VSqMbwk6+4kj~
z<gJN?F$<ae7VYMeT(>pp+_tqlw<j9Syivr|x^wEWga1GHSnirpzV)M#M&WA{r;jrk
zV?->Y*z*~0zuS^18FNrkeTB8y`;#kNG>%XFXTUg1p{R1&I_sk`+pex;eJW<48FSHC
zH-`Q3Nv`Qzl1tlWoJii1xchfb!@;6m9$m(47k504Dc_Q)zC!!)s^{C|=W!XZJ~=3J
zdZq>AhL}g;%z`o7<L2z>-yWZw*>OqbjI_d&qOTSkHYcz|TI~7|!*U>LT1;`w&z*)I
z+b3+9rMD${UCfr`6o=I-zt7o{ydvCqg5IVOlg85?L8qM77cs8el5%dt$BDr^x25z>
zIK4@|a7&W(j0#IDmdy!8As&+3<4-Ei+!E&-$@rispnXg1?}q0x+hQb78ob|Gw=L@F
zjtx8$=e;RpmW|mSDUi(Y&f+G!Op^F2bzz<)*%b%Ao=E=pFG^~0V`0*kn6GnBo_mrZ
zzAgIM>Mc2Uw#3v5B}`FBj_He;A<R1?rk`IyuIHu4e&xuAEq&{Pl5VgUZ;jo%XVJ+m
z6Hj`zZ;6X7a@c$ER}bUs%Uh#&t1>?3n7x8ACYtqW+|Bz%9KW~3X0g27$)dPD?)-82
z6O8B2*iCp{5V0j@chLpmBXL5!lKWDpZizg7@WDx@-XmH$2UBDArCV?E<z&dL5{TLR
ze1+!4?h^$yOFoztFf^MriOR<^%+}a^XKTr%?D>|8+a0_M3)_AL`*5kx+2oYLuE3b&
z&&cm6wfcWjK9jTz?^c&;%Yp}+S}c+oy0*FRNM@M7t*Q9QAHU6BtmiFHW}nO3yzxbY
zLM+42+Y^hWV+(F?DXjLK<exB~FV-_*<K)N`?}Xha?K<`_UG)<P?O0Xx^8bX3E|w8t
z4DxQ994c<MZOmaQaF_V<;%o9A@fTlWwp65_=zAivVT;EU-W821Cvt?OKKxUNWvB^t
zi#gB2azA}rxeCu#rh|`L-)ysfl=M+ZW#&eXy`D@jXQ?v$R@ueC%yCeV>+q^7<?NH4
zY7CO=K03z!ue<oFi0j#g{z+ZY%x8Ape7tx^)156n){o0OI}+zOKh`)qXO9`<KY=9Q
zF2?|tm~{+=SxNlur}<)<6ptn(GqmhXI3g7F=YsM{p*25lNv?dx$N1x(LX4Z_Z<RS2
zGM9F$$FxaqaA#a_h3DX2LFoKHWU7s=0k;1i%vVd=UeFUGx8nw5O9Eqz#tfH4g$->f
z8gn*iap-f*ewSnbIvwT5PPrKd)BiAN1@Uasjxpk6=-nD?&y>8uY*Vxflc&ZU&l#^D
zCoPoOB%ig!LSmC}@{NOQuN>h}Vmy^OV_R(Rm46di4V!OH>fSQp(#Ge8+amVL=uCTI
zd}01%PS@>GnaKx~TaTx3tlwlYg;#q^%uZFYW5Nxew>m{6+04i>V2s&d&$r{przAVY
z#u`bXg<HN)-j>I!d1jlW=L?nDMsCv{^8B2))>kW1B4Lf*Bq8y&B2T>p%iXr8v0eSU
zN$CCB#y_6Il^lU?+tSz`{=K9ymv4LNBa@ZpTX-^0O3s#<ztuk^ZO?B*jmtL2gx1S-
z?-w_Gp0s#dnr!c?X;z_I-Y-{??hXjw^wdLrgNH)WSr$R>Evbr0&po{hA5Du{-RZYt
zm7~QZ$7#;}D^z_Q7PnowlC&j#sqI!dyM<R&-FGra7xj2-+9WfHF`89>b?9aG$W2~C
zThcvPwV9%eJimYVZ?wqZvco42+wG}3&SgJYQ&)I_u9`A=qRHjWcJL$9;b${>PVy|j
zvP9yR!=+EjygdEuwkS@H5ZDs9TiYgS!j{ze0+u`OZV&WJT4mPI)IH(thTzFBAFaGU
zaSP9eVx0}iP6dpCNgoqBbdw6oVkWw`rWA-yn3xoinD8fNTll2NlNOxY;#>>tpXfwM
zu6U=jDTZ&=yO<dpVnBztc%G0x{4jmTwot3cg0q{VZZI}V@-(hHb<$NbWAm1%;?4>|
zjuI)4n~G)(j_<bm=}8`7?reJeL14X{%?3xm^)Ejh6PvWTdrQ>Xmzy`LdoZc{hbd{h
zwb__UYBIi@+r1@b?VHJL=IfSB=C*$n6thM06ifWNZdC^T$I~BfiPC%$^VwKrn|~xr
z`nfF%4L8(I_*F7%ZtSsHWD{h<!E#V<i*J8p8cSr}$zpcq*lpgM`+R$vN>)})<TBhA
zeYB@F{h-X}t@TUT_E$%;wr>2hg;&~im(GszNf#zemfq@HoxbDw?B&MYV#f}inJ_19
zYt+igO2@a@J@*niv2Te?iolAsZ#-v+P1{nYT`9q~rQa`Q+s<ufLMkoqbz-=;tllfq
z60^K@=YHX9tfgyNA5Z7-=G(b$GQ;)TYc_9re8tmtvWC&SZN8glyxe$t2bY6d(jAUs
z7Re9p|C2=Ae;nXpVm4cD_<XYRUYD0b8lM=iALIUHEHuIPg~-{-1}-uyUriD?xy9+Z
zm)!EZ@3$Iy$rzeV*wEimva)M)!_CSq=HEJJcvzVUY*ah@&VO4*x8%yC6@QLyiaN=_
z-qrA^`TOTic0<O6oN_x(-B3t+I3vhrhINtCwQcU7DzrBiRcwv+atX^_;9J~jI&WKK
zKVxi^O4T(<!Jo5gPU-4wi#n~u-Ei<=?7y$ujSoFsb>-QCeTAF0&D$Os98z@3Z^PEu
zts6}GzbY8pY>%E)QJ?a2OU%+EL6_dF{>%O^mT~sw$Zav~u?(MXO|qPkvGMVy7>Sk7
z3pwnQHw1iYWM6T{!`qmJRj#v?@#Y@J<o}V$LJSN#3VZf=R{XzlNa5JAmEqgMk0x~%
zL`-_h!k8ZWzpBzgcU#;vrp8MPHqN-~!I*cUecG0Ux~C!4i*G72zIeesZFv&+q~%GU
z)-ueUz!1@~QD>$>PU8e+BZhT1-`$zIHSO4jXI;0Gw}*H~_u8#2KfXpfW+Ais#T}k6
zcXF<N`1;Yyt*a+FDCTH<ZC^Ag_Wy(kcPSRL2@{fc>h5Sr`Div#bt0qLmPF5$3%70c
zTFR+oYUe8CXT&Tnwqg41?S7}3LN+`;{9&7a*(R2Z&9*<gUp%SwS+doIsbm*ZmC(!6
zf)-27x5mVr{1MC~zpeXN%+wI~I+iuJ-juKSaMD6_Yx>ru31@E}ZDWjC<#&Fk=ZS|m
zcSJr2NZMGLoVU_{^VZOx6E@^*Y~QyvWU@sg@7h}*@+x*@dK6eJ=2`1fZLyAvbHSqz
zCP`Z-{#da!AWZ(U-}+*K3bPrO-5WP;oN#t)&~#4wnoV`vwg*4qirjeViR7e4Kb4m~
zlJnA6*KV3uyry>U!Weg6{hYY1{@z^5B1ipWRdUa*tl3`h?dg`fSHE&L6c}ZM|FqrI
z^<ry5s36k@HKxJ{MVT9o+qUI@Z`gl=vHQ@SlbTyMHdsz5c)q!7OXH?(1ryCTG;S@(
zpWS$2bE9%ZL!KDZ2FpCA?e#kgJhs&DNZL|AiBWL_PomC=0~M^B&PaUuwY-Bp=6iJ6
zzrZ~zTqb91?HC)jn=zdTa<jY8G@E<d_L54b6?-I@E);cdc)6{(m&vLnC9>lIPtAs+
z6s8rcFP=)7S-Pz#nd!#vM8*ws6pI!V$!{!V;@`l}xGiRD;ZLTm1(TR~H&pgA?p*2L
zQEs-i;3M;_*DLN-c50otm*BspKs;%Zd_w&}hIM;%!?!fw-<-7KMq*6z*8J!MwVISo
z<?psMt@^x!<KvEP`Jvq#=ErVrUj4LS=bo;zEe&&b?wf4%c(+gSmK{Y!f_r-2Z;ATt
zx$MG(%zCqJ-y&lFS8Y_S+0ZwMu`t7MYyaAmizQnlCl}1#()WH6!_jv&pSSn!E%=<X
zZEya<e&xIh$@E={8&+=K(rJ0|c+IESZ5_JRhvvVSv+bd8MSS0{(=l5+*H35h*q&|p
z!E@b~d54q#Z|GKk%$wfFwIxhbd4q=275=MhW8`*SUHkaq)eBjZnPs=+oV?K4oXoIc
zivQzGv0Yo@w@-b^cdBOF6x|cExevH}Zf@XbShRJ9b^NwTv-mH^9I!q9ae86Qr|BIr
zYXx3r3B3Kmx4Fwj;Co}`N150S^BB3C*#F7I{@Y+4`-EYZgwVD&&BPWpshDl;nvx6=
zJEu53l8i~xU`W%sx3T4rXh719jZI1~HctDrwQ(Ag`BGEeof6BoF2BE}DR`35q0gm<
zi_R|Md9-DTo%$wgo~+puyFT!_D>%&CQg3zg^;53cElHaiz87zOzjjNBO32?ESNyjn
zcQUNJ#jw_9W0Kg1?fnP*7&%ioK22D@@#A&_p&!-f4jtHV{n6HLN%5pZFSv|i|L=JH
zXn{fDmOj1?3*nf`HM1Ijr#uMSn$MZ^{rQg7KdhXSgct%{z9p1!Da<;z^VpX8lQ?Yu
zwD^|fE&sfeqkKK@rUP3ewoI7B6}fiu^5O=~xHh*E*4)*s*QYV0x4DVmWljI2qrR;y
z<Q3apG3}E{r?*X5&bR&dQIp5!TjqW}T{)+HXSe9)b*YNW+nd})x9-<4{UX~PTg0_<
z+s;)yJL`7ZRBoT^HB0K2so_@T%^n;*TV^(R+y8v|zWei!m<97w99)kqnRxi@hCo*a
zp>G{}DNL+eL<+Y|yx0CzXYG%9+hSB4U7R2HZ_v`Qx7kv*mnE_8r1mv$&jtUNvF^{V
z^b&5m70mM9?X+G%)UvI!_cWxP;E8QH8=u4{k+eI(W=q+zy$M@yB)8qqKgrb@sMp6G
z=fxVyDUie--*#&4MfUhhCTH0bKXJa@S{9>jHGlq%Ys~*sSXj4~|D2W(w0-u?E#<*x
z6T5U`R&K9Qj=3yRP~YL*wq@3FiMj~S?Ue#CXC(U6jvuPv_~7Q|BrzvNm4W#}uyKQ<
z+=u1q&EFo~-@L85<fIb!rQ&DJ-;W+BdED^*_|*+30#?jyO}itqc}CsI{X8w#qvUSf
zcJDOQu8k7gQula=(}@Sg9d?JZL}ULozIp!P!p5!jcXGF_|F?N-sfy*kNP)RqGjDD6
ze{_^@^3U3B^-EQCLv*hFWr;0{Su!#44CA&9Z(_QaL~gHF5j<1fw0LhR%l_={ZKXWY
zbu)E$ZP{>dTYb<;$$i^WKW|b<+Ts;*QsUOO<l-p4WePXuZ5KH8<o(lasg|W|w@<sM
z&;1s$rT$e3-_HF8Y(Cqjcyf7*O)NE%tJ$`}UUp5)sSZO%^_bf+Qr)|v8bj@mF-;J8
zAoF;^#zdY8k`>=Njwar}wRGd>keOSyo!b)}{91N<U8DoswtPSNtzUDu&Cipxc0117
zf7obS-%g&b*O=!&yuZod_?EcCNj*C1yJp?)<J7xS^?B>9z6bSEOpj0eRN6f;uy3RK
z*^L^{Hk56A5g>7(A<~C`Tc2K}5%-6WPcFr_+&TZEUfJ=BWX!DBx9eN)KDyi*Gb!eH
zL#rHP_kqSU@xE2J<fhn(C9QOxec*{^q0PtlIgBqfCdB@GczE&4@}n&`<Lf2_z3F7B
zY=7XLGGX4934Tu9aTAq$R=j83+jX90XNB1INn2PpHi(ORUNU49et05^b)&f@-^aLE
zhSS@oePWV-9dNT@_QX=tZPS;sa!E3*iSge)T{I?Xs|K6l_8A`^ZJp??A+@o)c&(05
zfizFU_4?LJ=T^SiIy=a6lDPl2Stnwcq`4>LMLw9CqS3pq*=CE*(KVZN9&ekvvLlH(
zHF?s)&0C}QbV|rR-t=#Czu*V{|8n&k`Zh{%zWecS;=h907kiIy@7c_GH^spHipV4(
z*==7wZ=3Mv6LaKW(Gv{GTP6mdWH#P@I>@ba!G~W)LPxj#PDuV=9s4muQ1Eur*)Nml
zwBGZYws-yCmgEx*|MxO%-a7p`&z2u6ty^wKZkaxbBYXS7R7u9Q+dfA!Eo}(p+4f=1
zMIGNDpR=E&=5I0Z;H;NiAJ<Z@v!z6G<C;w$mBN}XDG5ndGHJH-cyio)w(aTWmUEN0
zO+3Ek*`6IeAGdD$(#do&rsdPr)vh9|qnT#MwS8LUB5^X7HTyK@+bx?v$<6rQy>P+a
z=Qp=)3htEto5WqeriVX9v$e;*A?!xd$<|Mm8x`gzwSKy!yP!g{Ti{roecPwMa{D;7
z+drkA`f=vS)N37I-n4)E62)FA?itzsY31!%yZVl<=$SZg%jT!u%nKZkw|_iUy?d4g
z<HYQZ9=Xg7ubxfH_1W@J?C0t**4B?a8y{yHdQ4+&xFOdn9l7(~=FKOfJT|OY^t|Cy
z>$DA<+ZiW>$}262IF;agER}_Q>sCv%m<?SM8Pt^+w6|<Melk&=!P4pL#g8$6L(bGZ
z`h9%T*2e-aEnmddC!{Y-H{SM=Rr<g-ZvB?ej($mN1S;A#J_+6acqaSSN1ahy9wx4u
zvHN)IN4`+|whwEhT0U&q{Pg1lS;;MTt((5`+@G*reofMg4!aHS8@ipZbMVM*+Vp(F
z>V^-;w{HG?G--m*><&5kV;mh@TRxtuFY;(wr}&6#@!Q7wvuz(w=`;SWiHY45P}cHM
zZ~8hh!#y=?Ppg{v-22qhb*klGzu@PTfG6t{CVQK;f1G>omHP3dZBLG@ejs=_rRi&5
z+sC=<Ts}REF;KqNt2F0jYU{`8`jQ3{AM&5xw&m%zjE_CMpF6AlleT1}Pi1>560oQB
zV~ylNQJ<vkTb`bFu-?9<NS$+TstEs<%{wdOww#?7#JuIv&Wfa7<4Wy`F>(FIx0?QT
zZOzcvZg{H`v-R>x1-Y&Bo#sSjPTO*662tmV-i>{&Y7G4Sn-X}~=ll%o*ec(DA#C9U
zd82#=-7RNrYPO!0+;m}D^7gaSMH076U$49UoTmD{C$S6+hdU&XFJPUg@NG{IOU~_-
z!p(EyCP<`6-P}IilSx$Wc9v&{2b1zFZzT@KT}+0b{G@fSOx*B)>-7^d&Rb_q-g-0l
zCbM}*@5ZgSLO(Gq-ZJyaP4>^boGUIq%Gq+$o8c7ifhP+XuJv**J-dZJi~rQb?oHXe
zr*1Hx?cw$kyRBN?ePP<xvtEVgb7D3wi0fX&^XYa>^M~6p>oV@!Y&omzv3}maEob65
z&nU!5BwgcLbb8BzYkka{ww~L=<Y@C@wm|ZK21cJ`?FkHZ^VBzOUU7mUbL-bX^R}E*
z;bO7qt?rQhw>I8p#;#8?2`|1^Y*{tuLEV;fOQ(fiWcY8hWwjAk%J!f8<#e71Z`-=z
zW$gm33BoboSuAhP@QqwKY2}5Vjg7hIk7hmZF!5K2GEzRa=HS1E?|WLVJe`nze8Z!P
ztp{s*3~g9<d0cDz*t1%jF~8<vUfcwmH7jf+H@y1D$ja3q>3JhdaoM*CUN;_2nEUyl
zT;!Hx75|!!rwB~gE8%7JOYGy~dt6(%%=Sy`3v~N$V^!taetPTqsU1#lw)0#)$#i|2
zMpUhl@vQygMrNy)oKd$h%b)nvg>i+Eo{;aoEgJhK%}CnnyjMjzQX{;055v<573cfX
z=E<mLm5OaXy((kR>B(~4>*9AQ&D-*#M9+0U)6F;Sze_h&%-cSz%$qZ>**<LL|0kAb
zTYjs4OWHmw@24;S%J?m@%UXVm|4Z6Fv+AVw9_Ia<mdz8eEn(k(TBu;#ta)q)Cux1&
zdhY2HpMQI%&)Kw7@SF-?yuj`6dl>%fByBmj-)dpSo$crJJ|=Zcow?%i=JOjHOCN1N
z@0qk#C*Z_8r;P`7l74QSz!<YM;P`~qwhtpNPDq;hY;%W6<n|fWf)W3<g;E*kH~iR8
zXFK~t*0veUpu=~Pdo>psp5M<V8<YI-d1H6G*4FD!V*Yk2Ph=ElVNTIX?UZn36SiBy
z`;=9FrS|dJg?;;2Z+ApK-ZK5IP{M8Y<QAi?S9g|eiJ06Gxtn9|o0$(Ix6I$Pv1P-j
zjf<bHyQkv!J@H~4<BXYmwojj%yD~-FZsXeX+q$E@kB2ksA3Jbk%Z%vsEh{UMw#|!X
zxqffkVimzgwG36ZNS52Dw_UB=Sg68$k}>o2meWNnnOkP|Bz<sX{<vq$^uLq3HMrHc
zolgDB5^E&1xb1E9mKokWny*>*N3%vx+jcsXb?<4B#Fl?v&o@r@k6ph>Jbvx#l9LTZ
zZLhpf3TLc&by@#@LiX00aBnRQd5?QrXZUp-o3~}RcBDbvmh-Fq6jQ>cc>dX1UaBtZ
zH7#cQRWFX_zKy@sI%1S>bFuqLZ2p_D;g7(A$PJteq8`uSEZ)T=_HbR<w*@gj*cZe+
zl%Emb%Cz9Sy#C%IyKe4Mxs$33He_#Y4u2_g{NLSe&vk>M=Bn=9`uca6$==;tZ=Kt#
zP{^`hA@#^P)%!=!UfZ@g&QN{QhK8MPn;#$T(0|@Ev;F_#2jZRzrHZbRtL89mXnyIW
z$jHWSy&(PH)WRcCQ_d`U#s9@7=HY~t3Cb~lPuMl@lt0I~k7c7*`i&V!6iz>Wb)V_q
z>)3w`OX5E5zW8j*lb2iFe{Fg6{PV*9X$u&1E+~H6I$zHtmf_}_^%qvjZGJR=!;;fR
zIvXB;(pc2PKK+!Z&8A1kHp*|AfA9JvgD4q=toEKY(+mnuFn-Y5=%n5`y?W8=H%UuY
zTTU{aJu&>d&Ylf56WW-JHZO>n(#tc`d&`rjPp@v+{bWtkpA!bp8{4}#J#{S-3u}J1
zW_$XFRhyrkV3OXJ-nF65ynpj^CL^2unqnJY&feH7SfR7+<#G|fn(eD5uVLueI&=Q3
zr(A}6?ays{@M+TFu225kW>1~OHTma96`qA$i?z30&~2>q``^Gl^U55?6)dZ6nd)zx
zlTzt$YV-4nNB+#Q&3&}&z%7NolEo~1(>6DTCU2d))L&MFb#+qQ_HFa`%4R=b<k0>g
z_4Hn*xl)FES{}UF&-AmXV^`ePo&TQPaoR8Yt6G24&Pkh9ehO{fIXz3eOK}a)mYpHT
zruZzBIO){bsmLAHfB3<Vb$|L5EA}xxnHjuwXL|k0g>rkDs#h+S`O=}eRQUzd4tvjF
zJyuo=ZoNG+{`;8YI)x<|KYXoUdD4b?7xT5<dp*7?ep>ZCZrkp-B$2u;yKim!xPfQe
z?#U;NT^V}Aw(tJ&Ys)T8{)v(?pQd_D-^=`gam80=#+cVXJyveq^>M+6$_tzu7?U>d
zR<_)$Kbh&=0_~eiBquJfWcaYVLh-K0T_roNk1U+gkshyi7!}^y{^MEFA+^m5?Az31
zw(Xvp7rtLTzHH;}Cl2EKG>)x}*`pp?TQ;%g&K~vKTXz4PE^x9tO;*20W%@q$rPCQw
zPit-5$5tYgA>OXWz0=5JkJ|Yi2P`jN^xmuX$wF#>(d3O6Wwm!z{oCRFXUp}H(>2E1
zZg{CS&7c0Yf4}n6xm#ZE71vhZQPEXk$z{JsIDX5ku$^H$^7ixCUf6xE!KjyM_AY<%
zP4gKXw;h=N`PZ6l`8PK0pUjlLPiN^gzB!xs+iP#RU3!w?+#dE5R_FIl-nQ-5d~u27
zx5^D=oC4~*mwRrr-g0Z|gvB;{PNvTcapj2pG-dB>rAND-oWHr@*~AA&cRs6V{M5`C
z(=hS*kJydZCv)^|R!shTW04E^M4L|w-TSSLVz2$%a^0$PhTH7D4;k;ixp1mea>d=v
z*K8waB(*;Kaeebu;}5-iK5n~uSNf&s<KO!>KlKm1`-$P}9@aN|;<B=PwiE>gJ$!O)
z+g3l0P_dt3-MiQqr73)fQCyT)e{sbhrU}zO{Cha@=Lw!<mK}`!oJ{;SCm%Z9NQ>cS
z5ZuFkAZFve6?I8F!hCP0G%;yQC5f)^kd3^XvDahsUj7d(Ct{9q@3T(b5#`VDdfI+L
z%U`>U`gaH(a28eHX~w`@I7{r>4j&oz9e#4hcLYf6bn%qEv`Ry4pV-cX7kdgP3*Nqa
zYrF2|fOY#yrmfW9TI8A`HEq9}|EgE_w#Ms4G8%77t*hkV-IJu(-N3!i?dfEZ)7viY
zJ=dW5=-zAJjq|5%KetuPR)qi09`;&wZo$+0JoE*Qmhx>s$+LTpPLaCM=2*U~&l|36
z>^rhZWbN*yN~tp4zb0w9?(=v$*&tZ1_g9LO@P3__ON>%wYjyW>oi=Fev6;PnzJ34J
zllw%{BmI6pD)`uCCAlK=@uK7X9_RP!1iNj!Y9e3vsK931Z%+%MRMl@=E>2>#Ts7(Z
zmb=mVT#K)=?44xU!n}V~^yV!u%THVFepJxES7+&D_FU%EYqlQU%dTm&x9{4vqthJh
zR$e{2N9WN(dw1sJRqT-`S9kD+Hk|Hu>3p;G;?*@VPYSj?J+`sC{rQ%QXZOZE-fDgP
zdFi=F5&?`Sc-bvtCS8bRa%5r@^oR+V#(eSK=5KqpT>Sfdr*`4yD@j{l#vCZ^_1bcA
zf8IxrF!pU1ug%`$nODg<b>jKYkGr4kOntNU($(~R0l)TKP)-nzdH6Mvae?gd6Vd%=
zwtrl`^wGYsU7NRF3HF}Ms61cp=%o2SGqzlrU!}d}glA6h%xT;9YkuG7=jpjy;7-`K
zhqsPIsY<YIpCY><%Jim$#OuJ%+wT{j)Sa<c^O}0bEd4Dz@19f$T+%m9A^y+Sxgn|r
z_w4($RBfZRUpUE4x^`2;c<YsyewvTh?K59mbBAy1>y(xq`!s+5l)GS<tn|P+`PMGY
z%_}b{ZAh125X+Q*^Zq6#_LWaIGCf-2$dn|@B>C^=(;G}{J|rfu_|R_KzjN-lUG^*G
zj{0*5@7pJES+8J~{NcR#y+ZdV*-r^r`p(t#_FkUndu;70Be+jq=y<<FO7Fot+jC00
zn0LrO-=~uo$>6`pIyg4^+%CO?wzDq0Htpa{QdXG%XOBVlPlfHf{yHZ;lIS&?+}rT)
zWh}$nB%_`gi5C_`74BVC!@4*1?YRfX8*cO$E2c=@?#X)kX<ypJ-%5fXS>)sQr2Tvl
zw{h#7q=}c$KUg#`&~?w&hj-4sUbHjyB&X?$weo_;Clw1Gikr81v;6Fz-+y_3-1ac|
zQ!QJE)Uz!Qm%sYS(rLZ<VbS9)4^`!_h{vY<d%$&l&#Y5>w90cIZl5f&`R0Am*}JqE
z%X@a7dJ=mqY4?_OeLJV0-*CT6qW1^yZrvx0OFOiW@6c0YGPirXOD~d1<ih-i+?%(o
z*>>-W{-n0}eQ_rhmhKfk9k%Vh7njPPe;v6u_NsdwITH5Z(3*XMB1>-`c(vid#94BC
z!u0DZH$8a5b+ScPZPuUppMtb~Kba;!;agt2hd(?>W4q|#he@$}it=u6IbPWpyE13%
z@t^xW*5&MD+qS3u$mLDR+y44@UcM63wL&y<72nh8D>rZX_xZHN#4S6wJ)1uD)PC;s
zp9D8<{d;*6)900@H}`wmU1fc&ttx$gpNHfM7W=J#Yq#z3SaoYth3Cw7Gp3b2nf9-z
zBWA~)^Zl{j)dwXeGdFJeJN@ZmwT{|}q3?H8JZ5`3^>|}%+O~hGH#;wF{Wr1m-nM_@
zHc3kkgg+5V5ZPZgi6Lt1|LNs>%8VG5cU-O8_`hej$cgB)jglvQxb`vlot~W17k|2k
zWrx<DQfc3$?1TJ$yNg3QR`@dUequ;`GW`(SzQUuCdkaKEp6?2M=(j_1Z|?TT3zaQi
z=e^mJlf5AJ4aWzDkK)_@7eC#f|LXJs9xkSRG5fr0E_mKy+LM33?cnB^-Rq7&nST1|
zzI7+}cwh5$*sVC>Ps|=39j~dkx16YcnY@89>8aP`Eos`-A1aL^HZPsFhVAL}J*<z{
z^-i9*!$0EVo)@Q{9PF<>6%n(KVW)qF^|n11a`*X!Zpv7DviIg*r<;4d!*1`*o-{*$
zUyil@UYYG7cXRfnO%<_!QeNIAfBxAnZ?|<z3Ks9%YdX1Le@Cgf^?|>ioIJlTR4%w~
zze8@*r0!2K$vfp;C(aCep)uzslY_>O*csiAnL5jQlXkG0>+g~;VwC@F9oJWRZlC=5
zzn7{y`1j4*m->|J`Tmfjdp=HGc>alx#>&|9jbESd4Sl|C*8JwAY_82uRNl^<fBZ?;
zj}z;DeA^>4aiP`;W^w(!GS`zlzW?1X^E_^?xL8MM{wAhR0;d|6bp+o1J?n_AeTT;;
z;nUBygm2rE{X~N6K<35=0v40}!;*H%_B?8Osqp4$_uMVN=6{>8l}R|}TX#qD!}aD#
z`~ewk9chI-;=~^9)b5PZ`Fg`rtTX11V$#FS8>JrXPJ6haX3e&3`&@Uh?(zHdXl;6f
zv-#_mvrljMK9pD};wy3C-t+wuf15JC3G4`Y!ob<_v6tn=W6{Gexw$Wds4)t*@0c2M
z_eM<Xj~!9A^^afh?U)ktLXy$+tmjRk8*Mkv?}(kfKO?{Xo&Bd77W@6*?ww)xli}dL
zke&}bdt2W7>CCI^?KpL$>d}_3*71AWw$J(zvt-H11ygRScJsy;?bVFpG2A@uTcg*+
zjG51Fm_9$Ow%>8i<}W|~#4Npeas|`D#q$)G{Mk};VueWCyZouEyxz3Dn9g>0*Ha1B
zy}?h<?VGYzR#lb1S)zA;KUeH0f#x2j_({s2r6%vsdhf+|_4{dwkNa2pP1Q=SIqF;g
zORhUgXky)d^HooD-1lewzLE4GbE1-I^VQlv$CW#kypmS@aF-~K+at41Rq_yXv^MJe
z{{ocx|GgTzLR0Mb%go)nIgoYlR`&gC_G)b5nYE@zL!Gr-f0x51o9lbC=d#75@bvDj
z-_@P8Uv{e9{N3jJWarx1PdK-!s%xLzp;s?N()MNi-n=(=#@@EK3lbxG)Yb|syyW<p
zEc|b;TygHcjMbZW$}4H@Y~!6^bhn|nyn?AoNs%k!y>iQ5pM%*SZ(rLZ8<)6b69e;J
z<!j%bwBEWH7r9p{`zC|m6qe1e>=&~{#;H9#xAwt)r6~2}H$RQ@_lreG?btNY|H-y#
zKf^?SGVAZxU9D=8-pN0Cf9uN$?ktJh`J5_}_9abYO5ES-$+GuuksH&d#h;?2o^CC>
zwomC@O55%|?C<@uA}8^!Vc_lM37_P_zo+M^*R1MELM05P%cdDFO+1y8y8Y_CrScv+
zd-IpF-OY;>Jhdly-BO=Zd|Owi#MJN4Un$A7tIbSpgWRTDocBMN-MIOtnK9;%_12sX
zD&KxgPnp2kS#i9v`WVx$Ni+8Lab9CmWlFM>+n}HS(B#;TDHE9TlMF+dcU6A8@l0L1
zH*s=c%*&N(6Bi0tP1ZU3>64@AUcGDTES2?pgv*1vQq{P_Blo4$T~*PXwl8hnSF^-<
z`{Scy3MF?<jEu<Mm*A(WJgFg6L9yfF)jbzJFvk9SAIqS>IBwd}jx`PKkM{VSeY$>r
z?{v}nA4kHv9&LUYqPF|!{$7oWvsvG|HaPz_lCJCgw7F+Wu-ne&riXPM)1EB8+@&Ua
z_^2J*-ivc~x|_?1ES%lhDc_^~a+0q<vq0b9DX&=MH|<Nh=FPDG)az@%@2%ODRQY3>
z&JH!U0~Oz5eloIm?o52x`JFlWzje~<hWZT`R{ei8k<mVtePP2Tb55>8wrQ~pi~cig
z;AHt7A$8#CVTQZCruKg}?y@<_^5Dkie%5y>Oiw4aZ9Mo)%}eJ)^8fJUown*s|3B?s
z;c#)AQlR1Ci3>M%o7ta8Dii425yBq(zwCFvxnfKdkJ0=W=Xc23{^YQKdhO)qk7^vD
z%9Bq-F6{rfNP7y$8G}5Yq;Fk&9SdUrPky}VK~-aJ|4rFe#v4k<XIY-)m_Cu;`dg#b
z$D1c79Ai1zWfjj5`#&R=VX^xROLr#GxE-_CG}N{qj^P&D5c~h&_GeEwre9;+?_$-)
zviH5Hfa988bG2mF`hCv-C#frVx$J##bdOVf?k@R{`<=o*DbznWuBOncz4&|Cu4Wa%
ztqRi>1yvYh1k^q%EME5X#`cYA6BTy$Xny|@Gs((V;iO94uIb-ftUHQ6Dcpa0>)O4&
z4y!JOZT}Q>d{6PGY5V7>NSbmsK0Y9_$8o(%l%Ujxn+A+~vp@Gn&0BitV-MH-{U?qt
zmOSBcxc$<JQ?G73e*R(OWCqWf?&kG-ox}Z_T(kHmMO_r{*}HG)Bqq!K>^Ju(#FZNf
zOCRC9TK{cVf=cvc&*t4dK7YF{uJZ2LyL8f`2!rK67$1mE_P()uuRFW=v_1PaeZKK%
z@4m>z3%|W){IfIRBxA(R{m#oGPS~AcIvIY@LgEO=lfC<A#_Zp(p5HT}tZDD&CAQD|
ze7B1;cQdZ;_j|kJMg{Ml{bmO_H!=QcRKD5a+xxrU_v)rcM<Vy^o0PS6&)(&4H?rM$
z^=EU(lE)j^kMG@6oWwfobyuu-{*FYky?e9wCDxwX7~H?YFLq<n-o*0h1(j!xag^TN
zm-srm;q$T6g8TObsfv9)zvs*RGwo~k1)a3Iyss`QDC=ffeB6xo&0T!+EAIR3{q?hB
z<Hmi<O_ts~P`;yKvXxuUwD~qSs(&Oi>}r_o7`tnE>s$uSJ#V#hpKm+9YpHTWa`xWF
zzbC8A_cz?v=TNc#{&>y&HyWki_B5n)%-FN->Gl(uk9XGjG8muR_i;jS_O(5AZzg{K
z__1SCH^+uIz5BQDZ@9I$_I|if@LYj47O(pf_i*ID={);vf8E+iao_euY(KU0f5nZ(
z$xKZ;$2-IIbVPQvUVG8CrYrsNLGFh?8?rk-Z&<*+yZ30rdc7RZj<ZLY0w!=?IB@*t
z1Su({o|u1~-V5W*?K@}PTM)nDYD~wP{*$-u83nu_Bnc<~tN9=MCVk$%%{SR5%s#qr
zvvbU!KleI=CMw+6pS1nwpRQ#a_ir%~)jzQJ*nz2=aw2{dOq^7AoXhZNpsG^d<h@a+
zKA7(A@S1TnBui32^tkl-y<evP3E(*@&78|qxaHrPj_W607d>>ECGlT@Gf9t&-@c=1
z-kxv2QWpgrG1HR1!^l{_UuSER)5d+bLp~Yo+V`Vo73=*}3#EPaKYLm>Y&q~WMdSB*
zg-wUcPe}XrR#{))q^rMwUifLf;yt&Emh)WwKh5L9v5<=@Ki$sT>b><A&E-4)OSaqU
z@x-I=ef;@$Zk_b(V}JZ9RgLety1{#k@+%pZ?7KB*rtGEk<e1j?_TKw%-|n3o%@(Q4
z9^Jj8U&q;I-=}VaMU2Ou%Xja)&5~T#z3(Yg{F*(pW4%{uv9_0Wu}?O6$bN7d)8W0%
z+oM?SojdY-Uvu1PKBrYxCx3ox_IbS2ee0e*%}d!Mr<t7G@#pC4oPL%kNlBf-N4u9l
z>W!beY0vFHs#|a0bolzxS!GM(rjM_C6KYPgRlU_d|8Gx=A9vBWAHp|S`ww+rW|6j8
zV1BIO_(I+MBgc1b@YvIKYZH@T=QBYAu0sot#H2F>-s(N_c*pl62mPfNb#b#+GO<qh
z>&sAV_Hy0hE~SaL%->H)b^I11vhT#5d&k1JW>-CtGCuIfm67*Q!I%FIop&dmVwE=d
z*Yn{DljfYAw<c~8JXj@tQnHD~et+-$7b%->aQ>VTFquhq(e8~u<~*CtXRF6FEr{tW
zmpDi4zdMSex-m@3|DI1gu`=l6Tic+w$Ex1#-N0(OGS~gXA1OiCbE}Gv*H%u}JKKCV
zYu&M0YyHmulZ1VZ&z}l9+HxzRCwuMNz0>~q9s5<-{fZ^>X^Q2My1tnGQ|GH%@-pvD
zKP$Xv+R}Koy>nI8?CH(AuB*-+*?MBB#nNpne|K=bPG&KU;$BkMe|^m^vxvTw_gnbZ
z%xqb+++q=HZZzlVvOODDO|O~t)nDfbQ|Tw|?fYKrshT%uQo6+?ovnvo@@#$e)J1du
z)a61RTqm`6PTR!5evG-pDe%v&j>n80hW-D4Eb+B&|F&>>GV>8u#h(g0COX=(6m%Tr
zXutn!-T4J;vKd^Gn35UJ#zfpslAf@vU;n{9e+Ku6m_rTX=MS|t#+*%(ZLm<}wo7<E
zGvn~iCnAj#cG^GE@nAhWL)_jXmQnuMEd8Ba>yG#T>2W{ldFvQ+@h_3e{F?&i(_=ON
z>hf;V;(xa+tSINeqWVn&+xMUM`5|yNMaahFvQgpQ5Wf@0Ei%sSEV$s=zd$|o&(uB7
zX0e!7c6jYME)-EK`Ta=2M9Ge}Jqe$_N~bkgoPXmmYwy`^Nt?tsEvB2FRZo=YJU3NU
zzyG2tgRkzMJ8aeVJs0&Eb$>5-a&pgs&Mj(zr_Uc@oqE<_&%6mqFJ#=KHuoK>Ns3wf
zrz1l7z^l%fhmjlIR9PSGY%mc2-FPukH|fH*qci&K<91B>5c6usq{o+b&e_m-!azmY
zl)<s?!OdqfI|TUuccgCo+F&WidN4uZ-`$uQC+k>b1FkZ~{wuo2$o5)J=jCxRbxwKv
z&NpEj1ODus7%?Yiaz^*e^Z!%Wc~97$KcPR1DfCUZMcRT>=U1J0DKc@+0Y8nzIR{OT
zt&3*L4`PUA$*=5k^%XeXbhRn@-&5wJe`daac5H7P=To_pMeAp#oV>{}H*4N3k-H&c
z&)*$gw5D;IT4sM?z_L%rm)T9+$jKs_voQAmBx8;r8eeZ5bI;oUDYJLR+*wVw^*=s;
zbW<_NER3CabJ`Ol<BZBb4Nixdn)dUt|DUnDkZI!Mx(!@Ecs~d|W?Ervx`~BJb>}5!
zrlemDvHzaOGAbr>+<)^>v?F_B63^8%_8Ez5W(25l2L3d-Jk#y$WGSxi_CI)T{C8N<
zD0AS?`RBh%8#QixYB;-b#@YD>jSTN&IAj04Jdw<(z;|^8>nzdaKT}OvL}M89-`0L~
znY*K3{NNFRg{%!XxP@Jk9x$Ar&MaQH^W>iyi+-+HDr|gbefAIe8;mh88=9G87PDA&
zY-DlbIJo&C<BGa=#)iP!h&KmVKhBuNAFJ>`J(i*0VtMl!p%^s=ofBRR1~a$JKbik{
z(}MfrADCip$2Lsj>WHk^^kLzj8RpLSoTPXX4lG#rlPP-U|Kl&)`xs0FVtSc!1DQ{h
ztxaYqo6z(=g;A^_$MpG$o)yz&9lT>1F2{H#%=qy)rXjVpeCCz=8z#j(;1CRDU}5;Q
zlfmYo#G$7*s^@h&+{l@+!@tubZes9{+c#<-+b!BF8m89t>xbZm#mYVpzH~D6FqDXH
z*em*v?E<faz!}+!<bPN9UD>_o!v7oluI%>n=&PGzdun&^?B=5DACkNq+xrVm|Mc_*
zpDv8OwWsT}(Z-%WFIA?<^}WqoE*5OwaY>C~Df1cI<o`AQVrMsfoVl@UM#!I=n>Wne
zdogT#P4&5R`ZsnqP3B7PzHT0WRL1R;j{cNAA37H*OBG&YY*<~mYRM;#lS}LS&Zw{4
zwD(H*da>MXdloKd`Py?So&ED9jW_!~?B92U=XlgK&wHDg#gER~yWmsdmW7`rjxH<o
zE>*HP5yQp$ep!WwioZDPl8?eaMOioP`*^L>!7i4y^xHARX-vf}4k@c*ju>vQJ$7-q
z?8`s<KTNffm3g>0{{OPVFS>k@@0S(+@zin`_MXI6Z8BRu@Xel&OLblMEHAvQsdses
zlA;p3li~TBPJQcq9Kq4p;9|hee#+p_n<a%oPuE<X|HR_`f<LC~dzRig?eX{Bs&f%B
zffIBT?SyaqH2SiVck<PLlLSpa1_&|tE}nl{X7kSXN;ef-m}B}@Bu$*eXf7lgxHrgo
zy7sR3O*h@YB`xWwsu0}KRD53fWI*oGX+<YuDi~!CMIC26A>G%p^zWS0%2$@WnWDBS
zrzs_+XYYsB{R`UbBd#nd%GLfct8PKx$JZ;=lXispEh{*^AW{3<jPy4L`F<?UKi}n$
z9XCPc&!XZ(It%ja!=|dPnepV=t0M~YlR~o?>nB^+eLVSQh0wDVe{Hibv?`Unz8;Yl
zr`{u<C~zXJHMwia*B|nG-+%nDwmFvJXNj`#gzv8>xNZ2d|3mS&-G`1u>`~hBots_#
zM|k3C?f$&T6@r_W6~rHE^m!e#q@dbv$1c0riT>}G7F>-!u<Y~hm}koiww~^?v--BL
z{)k6D+l=WCS0^ni*lT^0p>(F><|PI9uZkU<+*w^;V0I#7M}*<5pNfnf{$Z<omi~?w
zQ@XdL;J2SZQQSn$&HFxFQWtpiRwQT7`=weNd+u-D^C9T2m5AW!qf@4e32y4!9Cfl}
z!%^jD$9U9tTHQP=bAH0?EU`}BGR76clbPA~K9E&!TCFi*{i;bPG-m9(+rQ$WisA1K
zJGB=GO<Ke0aH40@*H8PdKRU|4T<d2Q%klDU{kx+-d6~Cgvpg|b`xw8j!HM@hC#G_!
z{$OkoJ-^9e{_17%5lIU(8X1%P7-iQyV7a-ZTmMN?7?a_Vs*}ff&L2C)ew^oY_@v;=
z0<{x`Os$XdUM<>mW~Rs+(G?}k7D?xRGV?D@O+SC6p>pBZ%@aJHA80I`X}pGYg_Qas
z7yBn`uU%NH!u2k?MgK(9B&#P5jVmtP-@uu4ajFudqT|Lt8Zoz4tpB#)ze`w)EX$X&
z32r<eJQ$y!XqnLI7<0wLI&SefLFsd^-o$*J;H}KE?jb{3EW@l@=9LVtO&0D<>}7rD
zZXQi9WIwA=D17MFyr~UOHaIRT6xW_6`tVQ8!7UG&Iu4i$GP85B@N<4-VdvQK+36m`
z?;lJtKc>p;XqGs+W5-FB19OumxE)D!6rR#|=`@4$@uiQ?R{BXfOgrwkX4mf>=W6^I
z{Kbwh4?kNS#Vflo_1}f_YZ_x7&k&HUTvWVp=FtQ9l^JHAKmJU0$-$kx+s{@_3U)Z}
z9Q{M*jnpBTiX$)f1@k^XcfoN=m*?vTi65(Y?p8_~zWr;h%X9RR;^IjHeA`pGcJ4i@
z9?6w|OlGCO0`s+fN<00ywqM)q`8al`i|9#X6|UXKWxPUn@m-BJ*!WAX+p1Ekx96&E
zs?VnBZc9I1;7{KZJ@<X@(T9iB#Uq_sr@5UzE^{jN$dgIVkDXqw*_Zz5w8^a#*DVf7
z-CXF^6Mg)UdjBe>4U9=gWrAj(H=X8n;EYKiudb0r>Y1dwZ;zZ=nZmVlPqgeto~<{J
z%1&Yud9y!yGNaa;J<*3_I;KvXA-&AXOJ!e`#IAq+GuHlTbW?9K_Dk+q!=U}-6uWlE
zA^V@nMSG)NIiA$*iJr*uZbx+dhnSxa^-nVA%$QvLAjU2!wNuxlgu!%2ln~RbOkw8N
zT?;pw?TI~Cy>rLWqc0xB%x_4Rzs5LWkKvKmZk?wDBHSkGWk)j!orvj4%KYEq_u~Rf
za`KTkZpn-%@5+6gZT<2`#OI{7RWoj$DZh2VylZcK_@n^oDQy#(k8U%Gb&>R9;TGK_
zwRqK%ZWiS=v+pW%`6OSMH`CZ=-8;FJ@6%$YJ=pXzpmD;tgGq`pJ7Tr!CM-Y5m^526
z``o2}J7Tr|#O#TD^tHmDbJ?F4dtx><eleFnUh!%7o+uTGzS%1aH!_z0*%gy~gniB}
zZ5H)un)@Yo{po$U@#Tu}?!7VbejIPtFztv{I=lNG-;aVfD>9WjnIyUR=WeNFX5SrY
z^GNaDj-;NMJD04zxi7MQuTpY~;Od#|$M?k7XR_Q`zc>EwY0Y0pu73KY@PF-D4c>zM
zBkKEEkN!Dk^!HQJg>92I9Z}!<gnjQxhNDN+@9hQMQY+H4wa;5x=|s#~=Zp<5S(Ca_
z1cLV8QC+_;{_fNO(?301id3DdZW<ms>9p~Tm`cFx;A;$@q&FOiE!U0Uu0HA*elqUh
z+b8kIWA?=7DJIDn{eOJY_MjRsW77Txg-#|x@f%(CkI%90*(G|?LeO$|lcf}6{f)ji
z_cur+zvw+;;Q0B$y?HAQO(j_sH(pgf=f-5ryn`qCzjyi$&l4UIXS&WGof0vBfm&<_
zvqd3uM|!W|>F9|@6P>Q9uw+_KHT&4{_zh>wo3E7%etn!CSAOil%BKr|9P~PV?8~I4
z);UW)MlgI4sSY(tFgtIXdYS2qs^RZ9mws1pHS`{xVNqw-lW%>)K1<F2{ISzNCw*A6
zLhMK9?xQEvPAutO@x^}G8C9Kw=I0s%UtXSn;Q2(Skasrr`A0v@J|p|-fLp|&lszwQ
zPmE!;UEE#Ub5!%Z%*TU)8SI(Xo2R{D-1jH>@~h)}*3>;cb~dvzDPX0A;la;I(upiB
znQu0%^kC%-kPSN|Sa|TI1jFgp$~Q4jCtRB-%FG+9`(~Bn0gj}n6L_uHa4d>E{9%Kx
z_P-mAK6j*~PxvlAdz$T`&5<*&W6J+bOFt=j_mlYi1M^%94#*vx*nRNafqAYxvC&7)
zZJT+~Eh+l=mSh$C39rq!vi6>IF}xUZuG3?~{QPe#ntM)L{8kg4t7<EjsdMD$$wgl~
zb0+>w_V1`oVdk8et|G{N(N+J#HKEK?938Q17|w4vuf~~Pz4MUR!INR{XGA6QPKdLe
zB*So@ssDz)c-fCd%M!&8&i%6{Ep6f))8w<h2acu$njQJ2qUjoSbN|MzCz-?_2uK_`
zvFTg`(?Pwij)}1;iKh=q-FUlkwyTipChhu-NBP+EANabR>F~JI!+icggHzAXll*hl
z{;qmke>g2{Wur>dxvW6zm0R~PHJm&ox?x*>!`m2z*#DXV`bU_4zMPR!-Sg=zPs5x&
z8xOR_GG2ZEapCOQhyEXJ?AHFoaOEU}LFk3DA2LZB&bAg$xx%0rQ<(HN#zHP>PRH5V
zKO1Ih3m3L=yx(Abb3rIW?0<`7h66W^UUbO_GjMY4Z&>ZXl%#1?W7cRe>Ddf9nMDl3
zYdV6|KPf-IC^XZg{oR$y%`3uZv&csLeNZ@2so_D9=<3eU&djVYhVS>xFc<ivtn_cv
z<=I8M7|urKc9_OoWRd2Wv0t#-;Psv)-4(l@Er>F&w3@JC2XBn-nxrR<d(@cutv~8L
zVp_Ya<EMQjqv2MIvyJiYXJ*_vchd88zQv_mHA(Fa5>F~;e0lg`&%$(e#uz6-rrnAW
z%bC?LUSVNBS$K<)|DuK?Q_SpL>z>F(oZfIy_EQIw!9uC-3$G_;o&0$9bK?WPIj=X=
ze(?BU$Q+vVmH)(U(ew>BS4=RKyZ@NS{^7iHyU%n!s4i<{j__%C*}8W^*_s^!%FK5R
zVsc`ZoOry4V~3u^%-?3^Gw$tQ5!)D3o78r)f-yRoVYU4QBNtYN1K%gCaeEapVauNP
z-N|YUN8CP~xae4Yt%;pE=~e9i)e|pqI4p`~_;hK})5aA)HcWDNNZ-H|BiiAmru_Zz
z$y>}9Z2KGEx4+|%xuLk?hT+BLx`oG^V;N<ccspJ)3A1rnu`n{fjbXBG&^>nBYC&G)
zq@CxF%-Y<4F?LO(%g>#)XLl58rPLq%(D2Cq^`gBuHaboelsbLl=qcujNt`h=N_<a>
z+a#Sl|EZ~RXUnVu9`!ZNd)_2}F?_p+)x7(R76<#9gXI+yxzC@_RN@eATYjOPv5{N8
zQ&5cSK@^AfntcsQT%Ue#m|pQ<`<^dMKN^0YeQ4hI;H2nDuR|aC9-QL7R%sQrGpO+4
z&A83e3m;9N^y*#Irc)<$&Lq9vxW@i>&uhV2=0Bb3w=Z_^+3s%q?I9`6%b+TyIsbCF
zu#t-5Ho>)?!e&ZGE;+;<n>!(CS*7$2rScTT2j|))sy;Y;<9zUV&4y)8-4_em&UME=
z2$hZFnQ=Jz+k$dMMT0Gyq(Xw`Jf1HYmLd?f%g5e+f^}KnyUz>w=J&k&dZTtl)}MV%
z=}V99ef!loWy#XLoBN+fpA@*VMB0DTEsZmgZ;xlGCvwI7`*56Dg~#|-((}%rGYX#E
z%G<l%{Pww!xlEO7j^x&ClDKf>&9yzNk97XgZTWdpGDWiE>w#H&7v6v6XR!QC%(;fI
zs(~T<_bfcAa`0S3NK(+uIWsC1%wo>0y6+hw&lnNBG3iSJ%cPptH#^i#?N>y1#5@dW
z{q>=0&Avs`LLUF%Y!F+sKmGYj&-Oq@!9O=jCc1xHcdSd+A~+`WZ0E*+6JLH5ABxyu
zoqr_t({<O5&iXa~Pp~|nbp7+0kSP<QTCS+XO#5(S^Fl>62J`oO7YT-VeC2SQFqJjw
zPe3{gOTo#%F)Rn8jwJuPvRg{;5&N^GF9Anagxbfjo^6`cC(EqO5d4Ip=;a(i27Uv_
zfc-)-8q8PjeLJLbx&GBB!GQb0%(Ag_{zrZI6~V}oEOt6ZrISnN^wJ#<M9%;4<KQ~<
zC8p_qMZI0p*@pb1I}YeKF_qp<@?fb|*b^hh_$7bZ+M<A$CoZb6a>ad2>5RPU>2zlY
zXKVsr?EeFYSQzV)8KtZ{(&QTJ#BR*k_~%>9VU5RY<7FS8Shi>JT;DU(ujcPwEdNo3
zEq?D}zwpx$*UnF`d4BalV$zxE=k_kPzPjmU(~HI*JMW%7)^jg>lg5{`H7XtH*~fdH
zu4SKl=FF_8Q~v9BpPN>+Lg!q})Pt+ce{#;Aw%YjIjOjC#Oe?t_PnB2S%l?0YZM$zp
z$`MP0JsS>Jn>Eb4mb75jn%NR<$^Q?u)~}f$mvoYQ^MSei^WN`0SQEB$*TjW<OJ0Yc
zsL=^(x^co{{;OHXV%8t3F6!bwllJe;pGnTUHVJ;8GgJMH!}I6MXA~;uam5@zS6e-E
z%JV%3_uriNL%^`(zS4#;rWJ+%C(MaxaXGr@VEx{U=S{U&-ruxAW97&BllTrY#_T#&
zcOt2wVfV+%`5UWlFdy)7W{hF@zbEEvp5?{NjT@&h9&(?z(n2)(Xllb=`TeVQ-<;Uh
z%$W3=<?ovb3Q8T^);q)Nj)-sEz}eq%e+$p99}c!Bn38fj48N@GTk!h&nn`j>T>CO&
z85Xd=e82vKr_ZU0t>?}(&W!0^87jN`fMdjg{q7#V_g4H9wh_wuzx0<!$al_1n}Yji
zNcMZ}IKsL7)uFZBtb7w<8946R1l3Hq@rgTo$7K1pl?${EH=bYmsXA`o5n-E#r>hTG
z30`D&OIklkze7S#VuEh{o*l29CbGuLZLqAq`RvmN{uNI=1kR_3s4Qf?#;{NO?B_YV
zCUwM2XxXR`6JhaEXNCYz?50nA@-zP>A7E&X{a+n(X?Ev?Miz+$E-UkzIatd&ql#~&
z9{XW1%eDVx*2j;RBR|{_=1^MiK7*g><@awJzI^VC;lCaiyW#)jo%{@z3b71LOp+Tu
z#w<uWU_C2Q;s|3h<IlJQvHxou?o>Zeh&i3K^PcGH6Ni6ZWc)m#Q*a$eS!(u=^+!%0
zxPIfroA&>@Gd;gX{C`;R=fJl^vA>wue#EF>WKfk{%3*h6>$QiM^*{c|KXF0+K-V#j
zrj_}2o$va88Y#Sd(;1pPp=xrBR*sv^pYW$U%4FAH;6Ab9>=k`$u?6nuqz--G`BA%u
zse$v`#QlvNNnd^lZC;oh@z=ZKT0*(PiR<S#SZi-$IQrl7$&5D938Jz8{)v}wnf_$f
zhw~?7t}1f;KPekIchw{%t_5;$rX9U;`0$=@RXeUK@O&xf5KCGx=lQIkjXACdpBz#Q
zc%C#{>?7l(8%mD@m4Dn8+8K81q~PX;wNm%BFK8Y4FR+DqqmKTyNw*(gZ?;njP-V0g
zyFG(X?#+Zxo;!Gjsx^=9c|7CdVZ~O3_N1%AFCN55#~etsZTJz(C>Z<i^^At_CmUAG
z;8T3GV`Jr+wB4_cpFMi>%)@OH{wQg4z2Bhyf@fmv71y(p6LhMcym?T+;>6iYEYIi8
zdy>6(-b%}xt9tt0`Bq4TeP5d)#XCFF=;HKyCvP$Q+;Q}1!|m)%8{hrDz2jQaJn0jv
zr6*n~MK9TwUpG&7)0uZ~w=D9M@ZWb`FZN3GS;>!w`fqc1{J-I5vGJ4m;xljGw%+`6
zOlOhU!bd-@_<a6y?(?P}u}4=dX1g78EzXMNvibFBsnvU{KKz@r?1V$<u~aYhos;yB
zeENU-P|w**VJDfc9@%!jev-Ccfd2P2b5Bk1?v%D<TyXL4o@+gecrU)cF4taunq&K(
z%i5tzPP&XPH%|sM3GnVYt{-|*%l6EL>)y-<y!VFfKK}9StG!1zi2wX_<;RMR$KQRb
zJGyPp(T5?Mm?!MGly>&QZdKiw;LcAST%D-%{|8X!|M#5rR(ImnK7LL2<H@x=sw|Oy
zK810|9+vG~{%z0Y%8yoa-mTcszvptfo+WSm(J$*SERCG%dH$nGe`Wrj>x-s;v^1T4
zO@~W$&-F#uKC%B^xxnH_;>p-=Ozh{+n#DYtlCbUGmKDA%+H2-sTI8mcY9P0AQdss2
z#UIBP+!kgRj=3>2mSN=rmCRxW<{Pp9Lr*aEUJ}Uc_!RK*<%F!*i2om!D5?hhJr&7f
zJmFpbB!=WOwv9&%XC3^M@!`aE{$w3S`K?)hk~%Y<tz+G<-M>Zp%xYErNpI@9%O@x8
zKGRTq`m5iJ3a^=)Qm$@s*}ybQ%i<ExM4_743ye)?#NY3|@b((xtIaPqd+ykNIX3SK
zWA|R&)$*phug&^eB=xNG^1G`{|L#3l+;?Wt(FTi+3J;bhJ=pGE6Mk;Rltc3#t=L?V
zbn;!h%7Ue9PQ6xIV9$MW!#C*{ca$YRh;N+ud}qZiG5O~^%1^Frypp8+BV4xb<_)(w
zFVAe;smQ<3c;kY~R|iB-tdv~XcI?gyVf_tvlXN<qx*YP?bXuoB?B!|jNKRptSh4aJ
zw`lhP&Dm4Mr|)UA-d*$hN70ArN0mE+LXxjOz4|XMVDY=B+MlLs-+p>-*2E;i<9Dkq
zBa?5YYfqW=^OO3-9qs~`*KBBc){tj&ZqD3>wRet8t-e^4vitSQ%RMWv9*pcc;&g7t
z^XWNf&o0|veCF{UiRo{?D8*!-Iiz0yeYT3^LG!58KISU|OcP$pKax2S%(UaMh46t-
zt2>$<V*kBLP)(9<{dfHQd)spzCmHUa?$tZ@z~PKXXx>%vw|uF$v*dqw^H`n?NdMV0
zVMBBBzn6>U<=<b7s5n=r7b{Y(cSSOC!u4q{EmvPy<;f7DVLKs~;lhorC$*1$^pIwp
zeM02o{MsMvGxnU{*e}mGA?ADXzt^lk4xE2B`{#`1g;%QY9bB>I)OYo-VgJ5uT)eq)
z>IUYAzb!7h?7tCpLcsmR75$E+PXhkZCyHyDdCX>($gg-EEB9|k@6YPljj^tR_vKC;
z_<do6<YdO|L(jggl2l|r@cE5Q%mohTqEfqS6Tk2I(5_M9TgLc+fq^l}JNbX~j~MRc
z33oT|d?LsHzGEe$e3D7*e~XM5rv)7QcRu-XGX4kW>6kwkHnxexoQX-Th)z0jfyw>i
zgEu0V%F}i~Gu1JTIT0n$9n;UzsoQ_T{l?q-2fSh#uDqz_vad+~pFi>Q!K9K8;Yl;Z
z{UwZ^*fcPnzTR==LW13i8U4~1*yCkR&J|h{v-`lT4ew6O{rRF;P$EWs{{hAa|6XjE
zB+MmoZubRiPsVbgNk$@<7E1n`c``vZ$z*}52lr3?3tQT*);-y9<}c5MdaawUJ70#+
zcy*=W$A?o#D`JnZ<W|~b-N^E-ZEBma<>`)#cTZfbnRHF@>46CkS6`HUvxfD!;02}p
zO_IwFeo0!SB`7fC(}yJIq+^#QP6{krCC8Th-*h9>M5e~)4xC8`nRw1!&^>U`{>cS_
zQx_y;nv6HBzmRitx8aRV7mQe$V#2Sgm7TcpgXzTOey;~^5pQ2iSaacSGsDO0B8qq4
zRIi_+s>68t=ZYH-b`~~qf4j72vXs;1zW*l}Kkh$qxq4Ic@|QO!ZgGfu&@S5FA%3A;
zm_f7sTFgqmiJTiR3h^=}sb45&l2*U;@WHwMh7XL|b5{91;0y0*)a{UQj;ZCiw1=td
z!m~?-Pb3%@_I&J2l4!Kh|Mo<0S<Cu|yc-zT$oT#I`e<c*-R!#A3dLt$znxGi&X;uN
zbzSoA>-+xduX^-T^7Znkh3Br_y}QZr#}R?~KH@g#SMNQ0%{u=@{)RU(1{b#VcP$hD
z7IXDZcKXkfmv3FYYj<!YoxAkJ!|BYWD35)5=Po^;dE)FPzogrFXD+=y@%98`!TC$6
zD_o8@u4(5;I&*3Dk%&Dk=PqrXp>cIh15Zc5Ps8Tx{sFvpH{Pgs-e6|744&3t@%_xX
zZ!=aGHn^QTdv=cXycur}A4xiKdSlW-!LEz3GUrYumEB$}d4wTe?n224&afUG#+Vne
z|9Kz8GD;<Vy3i~8Fydd*`325@4hSTl-FS1CnEGqs)iXDLu<1B_jPd-5(7(Tb1r(n<
zdG3JZnsB>gM_$j-J=baV(RyuoZJnf(=J^w!=FWQYp(*m}@8_?cEHOU2|K308``)V?
z8C=rN?)kkst#V@BpDVvFpWOWE0DJue)1-@QE}z}?(k*5u!^a-J74@C_`a>7|_h1RE
z*}(dyrDM&rQyY0!^v2r6v`h#$o4Brx@yB#t7S)cK%%({vHau)UsKvr)63fuw$s#-P
z>WcJxC-j_S%9vzRW;3vUc*P#$QW(5G_QVFJ7469kFOt-Mh%#`d{|K0I%6!v(M(vwz
zeK-0-6*yz8cC<W@i_uTId@WaW^=;)FJ7+(TjWL;#`FW=Dv(w&hp72fHa3n!8=Gp_F
z&DZYtou9gSrStp<Uz-UJA2ID?TQIkH%Ck)o3%AyOd~qVElTlIaQnk*cM~@=*KcA2x
zK6~S~3(g;B$j!Q_TRide9-gke4R>cqS5Ex)WagQNayK3?n)gF~hT~)w(OIm`@00(X
zwo-l-Q)rnadOYd&nb$X@VjlAppW&Up>Bp0WUh_<J3wJb1o;j|+;Z5}UFU68)riXPd
z`@uA6-;)!O8>ZIGe!l0&jgmzvmTT6kKYQ@E_>5<<@F@$snfq@tGS4|biDT(`=G$lH
z#VRoczhsD<|5EdA;}g#5^KN)&m99HG?U(hMr2gYJ#h<d)y|_AS$D=hzZ8m2ezcJ_C
z)!g*X&i7Zhr{;XV!6rX{TmG5Hs=HTYME+=ya9=U4?em43-ZS@v@44{hQ1yK_YoDaE
zD~@&SKFz6BxADN{nWj?5*Th`fU|Vr=b;Ri#wdc?IOFXFl$3A0!<Aj)u;xv{6ORmT0
z9X_>F=G@MThlkG}wY8eh;AwN_!r!wz4K7Lv``VIz?-Qzdp>zJy^~yW!>+{x6U9_d^
zr0DYxf_K=rzJIFh^f+IleP&(dn-^!USXKYH|A+Z>apX*<^T)5p{hY5|o&4x{=cdmS
zf6Y2}%)NnY+OaD}9}cN)&F(+KeoXbM7t4dNzgE8{PptYcH+R}3sVSSc>Ytn`!S&>5
zXvr#`t#y@L+vf>dKb0w4n?Cp4i7SskY@5hr`{GJ1i-bByjL=@*mGYX`cy898-e7c2
zZVLC+B^-Zc`cIvkSNxjia%9p^=`|fZk2+s(e5Cm7$Yb6Ai-juA&#9_ZN<E|KCHZ;Y
z*?CpE?9Zh_i_ghz(&S!{)qfy(vScHZ{t|X>7SSggXRUmzXlKEdd?@S8oQ)?qBo1aK
zX)xG|oSB=+e_}DCO_KCU*G~-bGsI?3ntpUq&+Mab!#7R+miAxj=)rX-Hr_fZJZs`N
zQ!(KQJF{oXe%i5RmPN(1Z^ct~K0CWGg)jfCUfDqvyOkH`pAkH>c<KFF>=B>eOm;SS
zsBEfgS8J&2DOS%gU9^6Z(afC}&MjK{gjsoGK%zs(1$pTgiszs5G#}h}_N3YDQ%hVq
ztHmZfu{;no$NS&6m#;n^(&vx8#@I0Jh&JoLl>vFz=BnA8TdFRkD9JGQoL$j55AlOm
z=MFw-*gx;=^5ZAU(;D<#y^P&X{(G5Vz?k&?g35<jM!pSYhuN;PcrcxoQ+|7Hg=M6t
zc4H2EjEMcuthgWSn_n17$L9RknBn|~dEtY~6RUjAcEmMo-1y5Q>Ea&qBo3C}=Qs3x
zJQez^!$iDNn&IpkqjN3C4g{QAoAfjL{0o!pd1uznxovyEG_>KrSuDdf36B$31xgN<
zKEBAe`GwKOn3?Z71$SImc(-SPMskz>W9d!eqLG44Gw!ztX~zD0FnP~OmglpS%qz5F
z-Zj`ij(Jgd_QbU0)BGtHw(rbTu#GvQVP=1_%xqTK#Db{(0#7zv^_4gj_h_$UjAqiO
z2=S!OSNt9^o8}2kx>4a-I9JHv17p&`%Y0l9Eeocf5$is1qH&3BeTUv7rg`rctQL<x
zJ71WMBdKRYj7N;of^}UdBo4>SKAFbN`XH9!Pt1-7_r<?)ERYo7OyW&Eoj<3m@BDg3
z0p=7T;l_pHl?<Cc?(P*4I{f3+3h~7MC!Hl2FXd|g^bmVtX~uuz7XLzNY4y_|ABcS7
z;E=j_OyXt6?ic?oPP~tk{pq`Bez5G*>*o%a{fbx6iFp$7y3XekcQa#>z{z9AFBaP^
z?ES>}V9}3VO-art4!0^bOcVY8!+b*ElfxgFdS?}6KVVEMKj8TJ<gpJT9ih^ml>$#s
z9=pM@z@ndPMu@qb%!T%ES}S}XJdDwpz$Tw0`=L(og{AFHe)S7{$3J|?zII1RSZnI?
z?h|uX=pS99>G|q-gX^AMKT}V5ai2WrB*(G(lfau<Zv<KuURL+tcsl!_{r+92Iu(8^
zo!Y7VRKP$<mWlHf6aV~+0z1z9uSj0d_@rUe!3@*c7yZw!m5gPqV2}MbQR?^uR_8fP
z6Q`X&c0_pF{~3Q~oxPo&-!aQ>;jP_^!Yk(*-ki}m|Lg(PKS@z{)zmVQU+;MSW9G86
z8(i(L{n%@>;LE%-`%a%(GC5<#oAu?}ex%ro#jNz&e<;);`G1WR-?fWw8_(QKNM?B9
zEB`a&(b>OVEKlSvOs|xPKjV1n|FU~w3qBj3wEdI+a;p+U{zm~d&Xc=8B+ux7_UgvR
zT`m0cB02vx+*jsQh_?H`AaVT`zPD$(CKi<4)vQ0YVt!ZW%*~xM=Kl({-N<nwcE)V=
ziFMrT=gg^|=OfwZkp3d?(k{{cZw_o(Q+xg06NT<KS(A)zoI9{_j_I{yo9FhXcHcFN
ztf+gl;`LW0g@@<QoSrJYY|lK4+>@8uKXHBOxnC(5zGmvn)N9<9dqvg%PugE~k~>-=
z>t&SozxA_s3w5}~*8kgdn)mx>OYL(_TW5*S%YK()aPgs0is6*Y&z@+rO<H@l!!+`X
z=+e`7J*>AfOnh_4>eERv_RrUn?%$4xJ98#)_o{@-dmc~EA4#sb;=8T$_v356nonik
z{-3<|{F-%j(ceU$Mu}a$HrH)m{LY7UM=A`@9o&B@N9Wub>shYpvkyK96jrQ#<9+6|
zJ6EKOkTbi%O^zc;K~W(~U);?*cXHBBr6#7Bf0NC>1;1E!m@Q_GN1ujD!`GNCNs$NS
zMC0avsM)-7_WX_#d9j#NXV<UzdQ!u=^RgV{?yqSc+UhzFzs>QO<iL0CoN7{N04K+W
zoQKjY_<R4X$Sl9XyrRGL%ZZf-nPVOwXixt4@V)z`gOfP@HL8wQhMS!|Tiv`Sg<Eb`
z`0<-t=W9IBIep&l1-GTeg^!-<uMe_(snj_4Ysu#`XDgb;B2J$_t7PiLGw;ipGjBF_
z-8|65p5$4{wdCySs3_%cM_4|dICUV2E#^R9zFZSe|H)Gqoj0C2edE-W!w+K4oVir$
z`B6A{-oJU?VgU|mk*B=xc)zv&C}qre#y#ST`1?)kwnzP2*RT0A=*^!>L5a_?{X1&z
z1%7`L^=;}w|L7pOiM8oJPw!N*jbr>XZQWDF^>-t;=0)=Sz3=_rdLw6i(hcjIor{lt
zvdEivZt^4{;kgD|CoxEi2;RHnS@(XUp7{E^IdA9sy!8@vzV>1M=ceD??2n^_ZeLqJ
z^W(ZT{-2m+=lO)6Y?!^l)_xOH`+T48+N_f!8E#+WKKFOqX<ZI&<ueB>+}=Nms#rP0
zJ)-5_sx2QMdClAG<k~W!dZF#{85`}x-rU%HFY{jhsp!ca$2+gBnRh4s$7WZRS9Vjp
z-Xztv@wFv0batFwQ)Z_+<<S2xMoU=EwPw^a#oWmZuc+O*dgIIfXKR<r&YOFF&eJCm
z@Bhi_ueiJ?X~I2q&X`DsbsZ_b46G{N44gZkY}zA~_fY2f$3Gj--+J<4mT4X1j^@uR
zo=YX${r|W!`t0o=Nj2Y$+?i}P3GJM)Z1yJ0?=|Ocz1@=KuX54v%rVwa8&_}O=Fi#x
zu<XaLWgG8g&(mT2@#-I^@222yH`+dTb|g(&Vm|+>_>+vQ70Cxbsu)(9)W)CLnR}_>
z_|LxN6T3ctlIfl<*1E8nKZS{PPKNmYQ%W~yigUQLNY9CR6d`e7*9$Xw%Z)O>L^f*d
z5V~+gJ-RskgyV!oiHtWh<k#<TkNh!xPlL^~Uy(Tn_usowuyK<+N94TqF{?L(-rQJn
zIHvi-e66O9nV({ESsH#cJ*@U<WcbVI`)A^ehtJPCy=m}~uM%X)t6*Fa>$xCh$7}|!
zN6f6QKWydy)%{4D$a19PxNO*l7Y`l^eAvny%dk3zO?!qSV-iPIOdo^Qj~ENbm}fut
zL>R|1Y>wJ|q~`Xy6K5Xme%`%x<I2T--3|8rojd1pe*9wVwTYwV=jjPgxf+->-#iFb
zPAIkHm~wLS?i2bynw%cLnpGnHF>xaAgGOb=lCrxi4o>7``*~YfvE^|m8$Y8c)8zNA
z49})~7U7wYz|SZUc_yUe@MI2_kBZ?pv^GrTkhPeyI_Z(_jtJjXpU%$AmSU8D@bZYG
zMh<(-LxCI?bs;sUn8TG$dOtTSFvc9*;q!RTvh7P*W7>0KYB#LC5z8?78S9>;=f6K+
zWWK?1V%D4!&v%ym`O)$GNgnUNn;)41t*>*>-O@C7dvjpgM8S=7lD}`e!ZAx)fKO!y
zTVucU0ySa#gRYwdn`g#Gw1-VLDEq%t{Qeb|eP@qMJ|%V4PFuPAoq)Ri4u7-D%={M?
zzh1xM-i;6OM|=J}&`6r_S$_Ts_8q>m{TEg-vObVW`uoA=_=z9vNpeY#J{~X<ow2%K
zaMrZiBa8>%{#cm*=HHA-hhl%|*stMiin@8`%On-4>#Up4ex0k#_2%F`b&l!ZIM45W
z!Z7u6%;s}HVmC1~E|r{mZO-R2@3)=(tXnCvvMpUcQlPtqY56Sa9}8o1&V4v3(miKF
zy!6z&)s45RMDuT-{j^*(yyoo3rL6y-N_5`O%sKmEs-fHysqAxiCjTg#`ZacvA)8lR
z&8tmG64N<O{`L1NDEsql&#X0(^C#Ut^KF`px>^6jD87S|pUuvH(B&;<H@)fgYW_WM
z7v7K}mdw)(7yq8~-V|VSr#bHW$C#D!Kh8XvB>9#%Ju6Z)dir;Fp3DFB6JFfDdVD$G
z_9%(3|K?1blo9vt#ATVz>!&#!zx&wFjkxtg>SmLF^pv*GhV4dbZ%$l@IF&fr>&M(Q
z``w?EP9|n7xRG~n!6rdw{hpILK}?2ob7tLX{<~`$>*UF&um73yA^l$ecD+m+7JliU
zF2Dc1)V!(q{M^%tT$9f}U;gij6VLJW)3={_aV3AI_{W~xlQwl6zt^BwTI40Sz(s7^
zEblX~&du63^JisC_ujP!wF(&*U)+;)ka?n%)wY>Ig_FPf&HS&NFSd1|{oIoKlX()h
zY}hFqe${Z=`B(eaeDMq0e$D9h?$2kIgw3xCd$RMudyb+j#;NB*Z=Ts4RegT(>q!em
z4*rrklYJ)rWze+CQ*F+#Ik}5t!X@i-r-~19ZoJk|lmA3!g3%29;|(J3B6nQ;d~{~_
zfi9c#;c-(gS7x7VuA8Z-awy=+_UtWxuTA`Q=S+0bq^7Hu+s|!T`eb3>j$g-Tsyse1
z>+DO3uHQH1yJyLt+xm1;<@JKHKWD#fy<+Xnpy{{!?TwQ~9IZ24%4V?koHY1#$o~CT
z?~So1WGfH$A78-2f1z^5l$r4pkKVc|e0<_ttGFG%CLa7y@aD}1?}!u6bY4glT@g9C
zrHJ+T1*1<KuTOrrIQXdhBFDv7pHCe6acY9F02_1vsco-Vj=t=kKhIB8{{{24lM^Fi
z8<cJTH}oa_mJwfnQ1FB-W23=thh&D^3+0t==6Z23_Rrkf(R%&OjIf9k+fI~hTz+76
z^{1+yBWwIOF^ZkswjpK*U)ssX9g!SXTqk<$e^zao@crY7*^#Gug&Fx{ZT_d7*=ZW1
z6wPF_lPPNU&FJO{cR!!lDY4_qO{VaZJ&NKt%-{U?nW-q?#F(AD=6~+3&h(YGyo@ms
z9kKs6tW=0)*udoMeQs~uj_SnEuN;$wHk^IzdqH%~guCh-RsYnR^jL20m5w=gb58Ql
z*EZ*OoP6=5saWF5yt2m=DtIo<*ZRr$`DVw)6T5y~5&pFCde;q~#@K%^Ui6%QnLYpL
z`Kx8uBj&_x=(|xE|7T93#LcT~lJ?9{pJ>nF^-%KT#OG2PM`D~gB91oROS`#Lb`4YP
z3ZI|5SDwAtb-Z^Wn|$((|2nZRj<xdN*et%kAu9QqXwKCNu@kQ&nIhLje174^&1gMg
z!XM5_6E;X4@JPB~-*eCC?Z1hd3a!HR+vZjm{X1c$)B5S$PP?mI5iQ^MTr>Z%WBvJA
zeEH`db~gS~dYOCd%q@?FQSMm`kHyYB+W#ZWP_c8a-zv@@uf<M$xmlt0O7HB+BR6hs
zJ^vv1#K(;%-#u>pzVY<l8#~1}HfT$o{>h<tp~wG*TG&L!2&NV1+y$dIF^H^~z`iW@
z|Di|$r6k80ulpK8;x|v&_-saX>z!AfIp^QpUZHyYW2xSxS9cU-uV_}kZd7{yl2K>o
z-;_3qo)h;AAH9iNo&53SE6EoOkuT2eaGld2GP^+hd86+o^*1NxPUsL?ckX5Trw$?8
zZ;UsNzB$+?anbU3Lx>Z%V9uu_Obv#0@2<#hYvawfJ5^e}V`E%HkN*duN6fr+bL`H4
z%c?6?HK<p$QG6tK`5NP0{ix6D8&^GB*wWMGdgkHU+nW|Y-tsV!>%a~9=<^R|pZ_~4
zL;mdF%$XiJXZ}vE6gl_Ta;C@9vww5XJ)U{y?}V5?9$U`-UHRw0?ZeDxpG-gV=j55k
z(^u%)&&>F7=EuFW54Sgk+Rop>d-~zoQz!Zx7RMT&`jV4$=0WsJ+eF5OwosdyH+UYL
ze8XFj%*eCi^5gR_RzH?MbK?1`@;je>7|(wGy1(Jh{l|<OKH9$T43GF(?!MsCCa<FN
z`<-V`*tG1-x7&|4+J}8v@zVa}MwJ~O&%D?=U*hkyO@S)~&zyU4?+lZ6`T_2{Jm(wB
z=54Y#e$o8KnTuAzXI|!iC^<i|+WplEl|Mo=`ad!~e4c*lsYLV1C-yfKkHoI9YdUx7
z7~_urT(PSg5|U0_v{~@DB1V0o$JrBKf1d6B&>TA>H0ktxk3w#a$jNdS=FLBId4*m0
zjoJx03JNi<3u2GeoWK1bLF>`Z9`hNNk8d@+Dc#e#Q-0~;6Sw;tc&ra_#>gfAKXLQJ
z`E!>iu$-(tm3Q>qt&nRUWD^zU86NMsVD)WAmjvU1+t$1Pi=FD;kn}i7<;0yIo9Ap`
zO`55HD0!AXS8@uY?ACuL*H4U?arT&|^(HB{6USnXIBrN}k9o8qy>3P>3j?cD%u)FX
z8!Re!M0}`eeiX|vV={lzHs%ZKPsBLJC2eru@F=<AUj4o)>-TPuQBz__Uj6>6z|E7l
z5A&Qne<EwqgZliqH|sWk+0=cK>u$=1)cJyY*Ul>Var4B{tD>7`REaBbCa`@!bihvS
z$JF%~7k!ZYG5sKa(uWyQhnP+*vi`(beKY6rM6QjGuBTsR737<&6nTOF%#WK_SSBYN
zJ94m%@#MNZEgo0pGa?+C!DsiI{n+Jw=KuVQ(^FQt&P`kTByhv4<24I;>b5+ecJR$F
zC)1fb_MhM4#S}m73iBlHlZ#sJ+FaJOTVxS@cH33iq;36YzMpSecl^%T_x|taB<U+p
zd{)%|yCXMgiM-OONSnxLhZFAv6BA?N+!u5|Wb9bNYMsR2@F3>@LRp8GN!16oefzfS
z<N05yKkw$8`Sq{i;L(NivaWTzpWn8XJ*Gd&EMxn&x|joc8}7#(`5k%D_3YX2VYP9m
zp5$B%|JHH&=&RPlcUFk^J!CNNZ=Sp{&9`}m*y~d5%^S+*q?D~Gj&71Z`|sziduJFv
ze%T#=^9-MAWJb^7?#*YOPHx?Eee=xX*{qRzKLxkFuI!mSYi8i)|89q$9Vp07IWKgy
z`;@)>$~m9sH{F;RUHkdV`g^g<dVak*_w+}Luq*rC_+yLyec6^@`Son>NoCWDlBJ@m
z3U=>1AN@S@R5MZ`;>+93XTFCXoAK#foWHu#z5ie4$4>9i-}8Un)Rna#+s@_d&fNLa
zAmps%$u&zi6#Y+m-fA#$%J-G}g?snkJaYKWx7}rPt@7S{ne$C`%Io;^-&DD-=dj0|
z{XSK;f7PvXhfeA9d@Pk&yY1}j{H-#d&mNhY_tMQpMgPw{k?Te~9XsytJM(nUj)yl6
z9_rbdckZo!gh1G@n8HI>-^89dd}XiJ+L$d~n|8!b4c3#KkhD$m+_Pxz@+S@)b*JO!
z{Fr>^$aL=$>0uqmj`pbRK9OjCW{PUkt^89hpNulk90|Vm<N2G$`|jrsXoj6VdTPSi
z8yi1teyMWv;l7P$9xN68{`u_TOQ$_pPu=`^_OK_@`m!A-H-0!RFOq!Pb<>Q^4Q!U@
zFV=r(Tf=%`uEp82_s`sX^q~CP!AR3euZialGg`(n?B~89$YXu-(1sYzm=ilHA2RhP
z&4@gIVW~Xl>W-g>7*9k$IuW*J70X7ElWrBag3n&8dVJ=l_mwqD_FJ@0q}9BQnYn5X
z<JmY46Pt@kd-gQA-kf>(&BjSLXROGXl`r$)z%})WTr-?FqGqi<e?Co+A!2h<$HAC#
z7W=N5V&>d0>liwg#CURa?CE-3zImp+GGlChV_w+jvu_%8CjMp&o>cSoO~*C)yD2fO
z(QoGV%le<YAa_zE`C`h8YV#yffvnd%?EC*W_=Or@nrk*A<oAkiKW`jPW?Eo$_L6Yr
z>9ccY{1sqXGh<oghE1DyxE}v^W#NyxO`9)TJi8=YeDYD*4fBJHF{f%j#xw?Ki(R~T
zXb(faK+LJ9l?%L!Pp*(VbF}^Bi<rd|F2*N*yO`k-7%9RqOYH2iCo$z0jx^@>b_#Ud
z5ZQ3Ic*Z-knXh;lR-K6Yp5O87i2RKmPfxt&=9?FD?s(aiM7{HW^c&`e9cWbK{J4Do
z2iuB^CL6SqE*YzF$R7Lffaik3$p<1=IKQ6!aOrZ&vuo^5NxNS8<y~hx=W%Ikqi%iN
z>AyNBUd>6m#D3$}pGm5bH+g+ILMJ`g#xZ}#-O@J=#*Z#axiT5b?Fv19jVtNGpXz@v
zW}etD8FjHB?Sk^2Nw;TR;hdd3aZ~t2#qNYzECL5&{|6)`3r$j-@w-*@8h^F+&hMWd
z<e#~&ELCC2`Q(alO3W^)iz{_LE^PlXv+D7Nv!6FV5PNi^SH643C&6Pkm=`y1T)2fp
zkToVelIg{wew_=K<ZkqLFK8B=@r04{s(ez+jsSlq!#7{{de69`toi_T{{I2W{C_-?
zb44t}oU@NAPsU99$aHqs^aDT6f4^uKcjm#lQ?<`KInQ7GTzTDUl3?Hz>!Vktr%S1>
zIrZR#@Z*jv>pn0(usY6oq$cZ0{)>HAWIylN{OIIb`A;znw_j+?I`>C(-`p#Vn@`+Z
ztg`qi6Zf3D<!{d2*I_i)KK=7wtLo`9_q{(cg*NETVq*W(`EAxhP1EN$dk@G@{E&6i
z?{CgE(SJXEQUpA{S{-e^H0#dh<JSe#&iQ}dZX0z{$$x|Sqg(Gcp4k}e$<S-F;w01d
z`4xVuO!kvv480kiUJ-cj#rpT_CXUYY?>?QB+qd!UBA%zeRk*I#Z}{{~p!veGn^Sz|
z*X?e3c6qx*@|u?Wr`09;-!93$#=raj6QktCZb#3&^V(lEuV>Pdvu~%zC5wg~-28d-
zqsW<+6Pbmt2<B>^dDr+PrZDEZ!1<dg@>}*lRDKXM@r66@oEYnq@54^KpAd7=Sd5+Z
zNr2`xUd!JbeJw7E%kf|0wPftRAeTR*@)N`SX7S2%@2s;=-uz*m)UMuZIZLzfN8Kcc
zY^JiSyy|Wmvn*Wa`u)7Y^jm4y#$ZP2`g3pP8eiNyedg_{hIh&pW#8_fdHbnRV#Dnd
zZ`C;4yD#(Yv^)E^HsbW#&pVw2S(vKdJpNUB?#=lQre|m0_%bT*;d`NdjPHl&?8*J{
z8_&GG7TPJ1lYH*Y);B*7urbCY^*4oF;eGz<(}|?n&!R$8CQj|qNPa!VH+J7q1FP6e
z<;ipGr`!JwdO1(s_4UGkXMax1`d`}lY1Py8#{VDBaL51LlE3~>i{__=Nvm0(*WD~S
z`b5URz;ctN@4OhlO-zdC-<B=sds<iN(Dg^=xxWN=++UfKA9fs0o*ixftwkYm-t>gh
zX)izgm5Duf{!jGj4wK6U+{t1L6S@7bO8o9>H<#r2*D0fagGuZnYxS8sM^_v;^tjVm
zeElDpk2Pn0KA*F&b>517CpcCgI`{MTB;WHLhvwy&e(X5MxUpK9BW?d5nYR~H?7go`
z-#hy&jwN#DH8Ia642hc#+&lB9?xf@`nR{pN-+KGwz~(6l8)L38r_KzCcTv*cwMksX
zUD2B1$EP_#zb-JH<Y3wGQ~Q%r;K@yq8&y0S87>8iUYqHsbMf}KouUm)NexN4EY=Yc
zyZ)Y^S-_ph$?}KAFsA<G?%DA+6W=>mGW)q*kc(Q;lXSCo=G^}O$1Tm}CuA=AzjH!G
z!)@2VJ{6k{&luV7X-{Zx=8U<zWK-EyiLf~ZZzlRlNm;+xpu)#|jqBnm78dRq<|nV1
zPPp>xB*)4#cPA+xOGwg5+F^UNv+bW^(q4|^^M7o<(P+DWLcF@5<mw4a+FW90_J94s
zJj3mV!i*eK?u#bhWs*uy{A}6Zpz(ad`NOdc7uu~4ZRmDPYUGvwDG(EwbX9=ILi*IL
z3lkMiDrhgi;V0S=%gC_$QPSMR(v2?;9gAt!>Auc;^29PV&8;6bcd|cmKmYI4WeLVh
z#qTFfN!k=4ZpL_Wai`ERPBY<2*Un$$u77mGGWqmkW$BdW{-5WKxLY(5xso_4j~K|x
z-Yk0j;IQoc3vv%X%i1@!O*(OwY3sy@>xzdNBF)&ZD_B2#cOvGH*1{_a>L(6A;Ed@`
zs-0#1`DV@Y3&OTP8G5hCYE4uMlsa&B)|~i>0yAGkm|Jg1u;G|>>C?%Vt+O8YpO~6^
zN%j-ZsX1%Hu3Z;8byYfilVSOu{rzi>sz_erh@5@??N!<LDb~H`-`-vn_xOs!?=_EJ
zeth-trTQhy$|})cZvA&2c!b}$zq51mWu?d+9iK0>J-V!9e5>-whZ`qXI>}$Vex2vd
z%dC&DuW*OW*HHM=vLJa`XQaT+e{<@q>#uR1bP%1}kaf34`nuw2qqo-;!>mN=v#)VZ
z6-mvx&bsZYqL9v3#{Y9Rem*6Zc~v!Bll67>74FbmJQtUQr_JPaQLohVc(~@}++AG?
znSa_OmhqG>Ww(@jUVHXvl-yjF?9*EOSNx+-DqKHTXE$GDWf=SQ(;D{Y613*K_-s1&
z=ljoV!qb%7uZX<eepA|$RX3{tlH6kci>Dd&{{&XY>RK$`J!f^TD%aLhna;T$(SN3A
zoLBXjyL(R2-%rWja}(s-f6COJf0F%^RsXm2`FTavx~!U)y4>!vMQ;l7>DZ(e6taF^
zQRpPbP2P?jsgtelv+muM!X<q+b9y|lcJ#cgvsW{dH#gYtei9NJeYHX7a&#1XB-i6V
zRzFUsHB61yx!V2h)cYr#o#+3&UDdP1@9dxb-VG)HI&EZTgeJXic*SXb```7GnV}hb
zTW#yZXI_ave}(T;<@6-+^{2LUDs+8N=-V?T$=mIkyW*!P)lD}emR(QSck0}qNvUW4
zoL_j&?BK1}Gpv8i>&?u6k#ufHOY@ii6C^jxUvlFArWU=pp9?RZJt*tB#ku9@xrxS0
zZM^#q1WH|Hcp8<nx9|8uy$t?}mp@|9POjJ}asFDl^qK5)XSz<DxfWf1vdZyVddQ}N
zooD`}Y)_cK_tf>174uF#dUWpkdX}n#-;)3RpKbqWL45q0^Ec*JHoTc}PD&_}k$q<8
zL#ET=drr1Q%!!tLzaf1C|E*c_jP)<H{SMx}q<gQ0P3I!_xf8uT?XREAU448;tlhr{
zzLSrvIWd1@H?#E{_H(yN6=O~`@ND|@O8bWkS4{HH+vomF{7`@Lmi_Anr4Nh~I{MGt
zHmz>Z*}#}&cm8(yCq}gwK9A4-y1DTy-<`@=(-%0&S~q&Gd4BHB$t@aZ{uH-eh~jJz
zD}C@K>B)?*pHJOVd)PDo#Dw#=_D*Vgc75u}6DJR?K6Cxn?G+NOUr%1MO<&+P<DC8b
zh31b>Upe1U#qECl1Se-h%IgVFG)zvM{@5Tq@8fg%?3=%?%{Z*H;p?$09-H3vo&By^
zz1Y}@Ve&<fYd?3TXc){}<0>F$cj0&BRD(J1Z_irU-!xG+`C3*->YfI@N3Ra>+Qo<+
zIs5MS3~M*VM~hUPU&+2WxxaCv#YxWjm-e1`bbi6i^qGbB|9Wq{uUX)j5OXxiYll+1
z#mRfTF&9rH{}+70bIWc5$4iafJJz&qOunL*sXap~tRX>xGf89y-?uNP<|k^+a=U)y
zq14A)ITANT4&UVeFyr>Vn=>oU-}}~mq4xM{z7w2tTXiN~<J&s<kK5IhpEo>jTt9!+
z#JO$8o?{o18eFaKEimf2l<=@-qENtsmb;rTe7G_3jJ)!V6%3sFXXKq^WS!GD?@D6g
zflltD3m;jY`=EUDmgFn>3(ahMlBP~9<4Qh!G4{fY_w(kQl3l$Z{lqDb>t>s-#_Tjq
za%;_Q(sYddpE5I`^l&o6j5+=7<qwlS*lc{Xc;1aOn`dOzbFoO&Cas^5+7>h6jZ@Ew
zMGX@-H{Q+rw{eql&+CZwH$L2)wd`~A-lWD?t`e?pC)OQV7Pl)mMIyWrbdubj`B(i<
z?!1_M_NRXG72mmc|NBWSEPL2we8u_cs+;`(WA-;Ld)_1TeVw1e>t)xh)<-*4N-(r;
zDN8<8Hvhv{tKBVjy46j|CnsIeS^aipVq~MrpPN6EubQ1(!94Sd+4KO8D`u95SIAsk
zD|dpO{e$uRhui%b-_<qRe!R{$dE@N6f0}HQFKA6<?7m|5bI%3#h>i2lUNxI?m3?l{
z1-S*R;+{oeRSry_mt8f|xvFg(aY^XLm!zv~g$th@yQ0_ejEh<O8e7~7<<Cul^Cnh_
z=dY03l;L=hjX9Z-v-!tc{-ldK$FH#Z*Q-l}UB0UQ{b<b0zFAjwPR{C`eMR?XpW&*z
z>QkOyzph=g`qT42|0mCy-RyLCLrmjRrhLy(-VFi!uFAU3tmI_U`nQB>ZP9K~qeJf3
z6l--tC!BNJ`Dp>ywF%RDnSZ7B$4<y}U-<h&Lrm5yjroxW-^|UJaYe4OX@=67D>5Ie
zW@t<-i&nfUWqFTzf!t%}8O63n4-ar$5ue}mv-VB*gcC_&8>ZELYbq~3^2CODfyB3k
zq3-LvjUtv_k=fe8SpTMhiCrVfg@4mIM&*mr8~$*gJe9-$d+wuqu`^~Kx%t=e!I!R|
zE$tg8to(lC#0TeIu8BX-UpPPU6LaGQiNnc^D_+dvI;?OdMsT&rv44|2uL(T9Dtv3w
zy#EuW89HZ%n$}4y3ViT3iSrWsgJedTGjAdVm9MR_IDU;|>ZG^5j0@fGKaRP;@n%D2
z#WhEnOB_euH&{weT%CWBb<zgT<D0I#EKNOO_B&tx{8i_Zo2vJ)`qg#*cF8c5Jm43>
z9dkuz_mdrMGbcWNwV-!m;<XD}_CI&b=(M%Ze^H&ZV-ep)*TPd*_IzlHJ|=j}Ibz}$
zovUsqx174pmV4Xb&lOeGjEmuC%D!DzdBT;yQ?{M)#}C(!ym1d1Hm`8fKX=gQy6UaJ
zn*`Xm9Jsyv3e)@dHCN52c1-zvMf}>^v&){;dtMcP|EAw+-Zj(QvY3V+9~VUQueKDI
ze!21Z$AncEFH59#NQqx#T(IQ$EBmxAXY=d}5}!^SnJ{Pm3JY284ND*WUdhkQnZ%l!
zn|9T5b^ZDYUMDNoTsBL;Vzqg~9d4nMl?N)0+`Zm)-D<t{?p~`;Z~v{4y(z)7wQtQ5
z-ni(k3wpcjj8h(abg|ptWU#(sZ4kpfJM`ZItK-Z0-m5aG#<C=SV({O>`1U}S{ZEF(
zXDibsMMPIjem+ZY^|b#f44pl;wOT)?)UK!!jZ_a@#<f2!>8j+~X^nhaW`AEbonzY8
zNtVewC;$2L>3nNk<-+z9rtAM6E$!KK>a5iEAl6LXA2#c^Z=1U5|Hdt8_vZiDvgVre
zT=6L>0`IN`yuHkR_chPfXs+#HTl!b~$(3!pZhfl3CGx7|?j=nB`EI^mBH%68fBKq!
z*=g-V^Ha5!o%u9r$AmMVZuUqgt^9ZVY;{_{c5TnWbLT(aJjwg0J1Rux^UbUJueP#(
z7Y@z2uDjpH&*r3K-Gu(5i?_c1G0P$O^qrv3Gwf#x9Q^P&W<q6`z{x)s87(_+uisen
zk@1A-jZ4g%UsyldnfpWPD(_@X`2!5*$)^=g9+(_>aJS;dGdJH}<6Apf!uQ<ut>0#3
zt4ZXqJ~`#*%$UztdCO9FB~18t-?`VB;m?Go$LCHQf3jBV6NB6})7n|QGaWXRn%B?Z
zyLW+4#i*9I|C1;~!^uVSukf3C8qMTtS9|^E>&?9uC&QX|eTijcFw{AErQ+YUEz9+*
zuM4Ou9^K!>>nWhh(w%bd!i_5m-&RJ<ewlZ6r&iygzBL;*KbyIJ&CK4)jrGS5)^Ggw
zBKK#<tHX@E2a+RCK6;XNaq0E1Yv#`ReC*zuc_muv!dokuSI)>id%57l>y9+NCV}4A
z|LPBndM?#o5;5PXHB)NVwGE8>!;&wW_;XzIJDn-KwXAXS#|!>5ua&HsSLR&gceHFy
z*~yvH>K<wQ`f?@cc9Tt>-I11x*#D*%#Dtx^t|ZUMKjHqT%X{|4kh3!%s&iRPbjrBK
z5X%$xXU5x#l{OnMW++K)m_Ir0s`&QX8_r4{`gmccYhz#X3AG2BNs<?(&d*%me?j{A
z>;qhk?;CVKl+SQI)V-o~*9P5<zuOs>UJN)tBZ+VB?5lwbWX|q%mg8KL6x;N37URSZ
z+mbID9KZfz;e5$=39$^HPdL|HU^wJm_3zwOAun$)pT`TY&0PMNvEiP-a?zicmxL@%
zl&9YgUBno{*zn0z`;zb{&!!iPeq4!|#4Nvnb>hwb6)jhdFPuw}Wfq<{>HK2B^egeH
zpR_FIT$q1zfzF<ZYvx@Qn16cKU#qwdr_Waeg3_-=YNy|fycGZW;xp&3AAIl4*f}9a
zn}PjOpM0f5T;qZip<^2a>)$jVo`3y>W&eVevnH3<T=-J6_o9E<g1)ntj($km@N(7#
z&$AcjvN<OmT|M#f&lL^63`J}g4<+sY81$q32II}HhkqKb+?ajyan_}qpJ&YJTfl$+
z2Y<(E(>l%xs^=SK=RIOrDLdm}f9wQT`<vqI3wv^|PRadg>u+ds@1oDf&wcY&K8b(x
z_%a(~lGf{025KfXC%OJB2F%`BWp?porNo96PwY2-nD}zW)8}(8tdC~;_(yxg33bIi
zC%L&87p`48aTinj#k`|e=5N1H)ExUi^TOogFM0HpB-h-Lh&z6%=xm2Z-<-?lyH^OZ
zCo?RakQ9})E<j-=x4WfZ+3Kki88|tMZp5qz7yrMg>e<UTCuA*hPW`VoyRee`VjENU
z)!bb^r3d6=_Q!U4zu&;L;oQ6i#*b@y>?TRt2rf7{H#}#>iAP-fzN}nanh>1K@WV*<
zV8G13Nh%EjA6Q;IO1_?S{$l&QD~hrw6>kU>TuF+{z53SZ(>}jd@3~eyIl;JPhp)Rs
zYS;=%cRgJj#vhCyn3C#OWmy?{r(Ai?k<`RwR*^JmB9ooxG?smPW-m;eGk0^t$9dNh
zrlv;Z%$O*@d%4l!q{IC4uQ=^BzVyCq=Jum=`t{%T2Yo%&@c5PFwRhDQqT?2O>ugn7
zGxwT<u9W%vIW<!*Dw^KpQuw{%?6X@{n<UehN?Ju;2|m51{@0Xi{cAUE-5tGGNj~Rl
zzdGaFj|zKZ7P&5Gd7Z-icFyd%-?x@UAKNXva7I_>uZ`zF_@!<;y*K}+jNA6z`oAwp
zoN!K>_UQi!rNA|<yHpD7?%(5B&igbkwU6Oizx7_;uiW3)Tn*TIA~Sr=*3;kB4bSgM
zin;3a_Syvf{7XrPEQ(jfoH#K1)&kl2Hv=|`m;SkGG`Hfz6Y1BJ*Su+2{P@ax-PhYr
z-}Thabuir|T-%qFb^T;V(zVjr*8=v|Ngoi3i&Q$j{q*`jOE}Y(ILA)Q&^izhx@V)c
zlH=C>b68S;>OK*(UpKGRi@jRg-1znO)1kU2XTCr4;e>YbPM-9o7V&u-Pu`2U7O?H7
z=)}Skb7z%jz23Dz;&Ng7f?b#VHqV{qZ+6jtbzjo4;2n8-DcK%eeMwhYBo=*(*{r=n
ztn5Sm!I*g$`j1W9a9k@T*F)z)+S!W%@-dUIzm~XCb8UiqSjO|Ri~c_tCzK|#&X{eV
zzfxus6MsXj6w~X*KOMWp{yh|w?QT-P{NhQ()A_UQKYAWvZcU6fay<TX$-e6ob9dgW
zn<;jF<w*~(KU|R!p)nt7j?bD^Z+GIso|x0~XH7q`b4^3`hs-&%qRe)7-n-pl_?U6V
zgR?VdWo^6Cx4p5TqVc4>^)<gu0Z*^{J-XIwyg_Qu_ZR!0uYMR?bG7fT*h$gdXFuLK
z9iX-4tX^r^OpZ1GS14s%*uCLw#Es^S+s}HZo((y<X8x|2y1t~hVhL8U{ihDGq^HPy
zIlJ$zPDkAu)3x(Yr8nkpeD>+K+=0^cm7lLn`0X#I7Iwu?&;IoJPX`xW<(bqM{ariK
z>Fy=}i)`7Onq=RuP2IKp=))gBCaTKTF<$XAd&zR|#-^T^Y#RkdU$2`VtjhFw_KQWk
zVm9x5(cPDHf3>}*a4Qq5i16=iXP;X~&IsISK3S6e$mX+$d9)_Y$lU42DE_7|Zr+T1
z`Rc_x!Zv81UEHU2Z*l(7Wp?W;t|oduDEs#BgZ1uNUdcD_od0=PU6Nn>T6gFtugpz%
z`WJYX@IBrvxb;ro)wt<T+1@|sI)81}^huTfRTk{d?B8U<z<$YDje%cDfI--YK|H&W
zscu8>ge3n7GyfcWm~^H2<cxsdCte+H($-F5aI3rGaQ=Gq>66o6JbF-fZOehltoyFV
zOrOf4KkvxY&Y3d;Ij$^N|7Y>N**<$xW<*>mU%j?PefG~Y;cH%~{9Jj)pF=un+3lDs
zmD=-v+?aXhh~Pr^DQyue8Cy4fxb<Y__RfPxUmDFnArr5{^>Ah9!3O~`jWH8->jdIr
zCjVe!l}q}u_$HI=MWx5hm)7s;xT#h!-R#S?2D!!`^EVc(V87VqRu$4MccFCmPaVl0
zA3v%6@Obc>`B}`Lie!dWT{q7+dRMP`xZ+R$%H9Z`6VE?S%+=e(*x#s@-sonY^yY*<
z%c|w!Z#q`9-%n<oARYVf$pN(k)?epz-{@leZ*!&Y{RvI^`bP184UT`VvTjUz@V$G%
z&Og_+RfT&G?r^qGIy1q(8gzU>jLQzo4+=5+PrOz?;rf38!y%??M#qyE^t}?;#ULJR
zedFvJrVT7^$5v>RZRq&Z5b`nhLz*1Z{zlKp$&Bac879r$v)uODibo>**{>gnllmxk
zX<2rw_nxGUZz7t!Cr3=bw!)QR{~yK|x<48fl4V|KwI4fq{d@;+f5-IN$xZ)f{ILJm
z@-gmn1B2ba1y_7ImhEAlak=u!s=nA+sb?GND_{23%ul^H<Jz2t&hC`^pXYy>#&WLb
z2Ajl&KMNC-c37u3ESSu7{-PECpPOPX8}B{YVbZXE&x9RG6aIWYIRAM=_0dfq`!>(~
zyYWito9jtSCo@m&_;7vA)x?@j3S}!Z`K3-@TdJ>PGWq(4lOadvY_^(zb;Z&Yp5wC<
z-b~_3Zp#<fzLNCUvTJeKn@dSNohxH?7&#vt{Wfo%Cs*#V>%Xrh`JH6YywZKX`dZ>o
zZ^OywCU{(LOP;%S`lL3lMH6CE1pi-D5`R81b%A>L2_>=WtII!GPH5A=zAo-+^1dgl
zI^G)Zzbwjlv(xnR)}QC!NX=ijm}URm<?$vGYxeL(Z!*}o^`PIm8BAA0&QG<_u{qal
zq*VB<R&U8h&A=4#&&kb7lNX4uZe9O^^?Itsl&wGKKXHCIqrm3M)Y^GhIzxG~SF`<$
zmNt*Ok~mH0<`vU$ySpqm*YaQ9sv<99KW)~zyWLAmc((7C?|fCh!ldqch~4h}%H=0j
z1t!mqybxKqvTjm@RpEm@%HgZGUpIcbam7TY)GHEK|Mb_~etsp)n`Lk5rXZ$$%Jti>
zB|l->*<16y>SSOLcl_0<;a@lT2wi0BE_|B8$~<Su*7a*Igq%o>cr$JLuEw+1LcFI7
zZF$nQf8V6dS3@TWT{*!mc&qEiyAxNVw@6iN`1ONn_tZZd?bIwHBpbEY2)M<p7ErQ#
z6Z5y?#?^WI%<gFJy%Ik4{I&Vvd+%wz{J-gnNAUc4Jt{%P30$wc1l1CR{_k~~ey&FG
z=O&-WC%>QkarBzh`E6I$Z#)0pYyONFlTi6Hl?T2aeCQq-yXO9k&d3?(eLI5Q{678l
z(VPj}=N^7MW6A%N$o7k=GR?cM{@%gwEYr~vcEu+pletOTw>YD0&e_vDM7mEZ8}F<<
zwzK-mn`txcuNR&ax;86v&g8(ok3Ub`H1E2!?CiNm7K$D3e6zk{pMWo4XWRvgB^f(-
zJIyYhxYqe}PtUuwNuS<yzIXf4?Jyzv-}7p@v#;#0DeX|-{D%9~?X#bk`f*sV`SNPh
z&nrF?i<+X&CdB?XxjaE3nc+g=jB_y?H@?l7-(XehGJ{1aa{awY6ZjcpCh<)WV7PoK
zP2T$MvrE-Kc>3LL=r9!4UG<B)!E^4+`<XAgulUVBmdtqWgO=rr_4BXXo9S%+CYfRT
z`LqoxUsX6a3me+8US061_;vfKce8iznEZAI1N#%k=_@83pCmJjA>sz-nRn0MUh)58
z#1M9ZV-rKnHUCWv`6v1qiZA)`d%r&UW`ong)5r5J`*m~3M9kV@_xRZbKhTY~8<}QZ
z^LzB-;ln$#rrFlLnxMGxx_?mJhKapDW=y-j=B?thyKn!@zwU9PQL?2-cGkVVo<}Q^
z8cvBno_YB~`<`n7CpvfDVN$-GnJBFE@A--KM>?-2cf8y8V@{!X^p%?17p-rsytr!5
z&v(iko7!I)B<+5w@ucy<iJu3Vl1{$;aUn>MiT}v^jmGYbf_z~Yf>o4WFd6F4PW%zm
zb2(U1_k~*bf}K~^zBOKP{txHX;4K1fXYR0mW}Gm+u58W+iKG^O`<dNwm;H_iSRKCV
z+kJJ-`G(B=O|K0ut)1wl^z!Q3v#Tao3n{h~KI!R*{U7#`>FD)!@3$|SQZdowc;lVE
z2Sv$AGd{G&aPIqa>F`Mjr46rs-CULC&RYG6^Y8@oz6+hQ`Ol(H)}>v%Hmj+>e$R!@
z39ET_?$n8&sCe$mtFx<qN-562{PI3O=YgcQiVf{ut4>@`&Uz#*ape}rCKibeJF@y$
zXZuQ239uyH{GliJzu{r}&O-5<n$H$46V#dzyZeI4MApUDOZ4YI(b{mOFZK6^_a}b1
zEadN-X<EN=rN;)X8AlH>ZG59&w`0oj#w-ubs)hw+8y`&;S=_K!_N4ST1-q+nzDLga
zVtkKf?}n)Q4hbQnyE9+N{$uQPaoyn=HgWc!MctCOSmK%%*gcH8bol7Pg8e5H?Qe3c
zF$hXBYTjm<y>j<~li_nNemHqD=di8YEoLeEzL)#t7sbk&-)Bs2zG1={Gx0%+1z$tg
z-!%(`1^+RaDpxNReso#&-<3cAI!|)svNcppl=!>hMEykW2BvitH7BwUGc?=@O1t{I
zp*g8CY0~Oj*M&DZ#9sT~xM$;1u1SqKv2WHrj4qqYxcvPS3EiFo?@g!bmNEKVdr>~s
z@zk0<|L1+OOle@*?|ap1_VwpiUVMDO`+WYM?dI2>s0X;tzWC$nlggPMeb=5$3TV0h
z#M9$I+)~DE*E%O%eRA@`i?pjx?0!!;dzk6Uqb+mxmMhsr$X>pFuk30^*@c(KF5bEF
z^x268_PckuI(r4Ix4F@xac-jd#V2VCK8XGdSh(@a(aRlOGxwB6ykFRK_Qm=7Kku$|
zylGbpe=|qG`|V}LJ=ZnDW?sMb&&vAxjMEK?%??U4WGg4UZRuLC;$VAn(&g)S<7Q86
z&v(fFGxx{MCjE~)qO9H|ulzZC)=%?$$#dkFPhY6HNd3<h^J^!Ug<q>W|72E&?V|aU
z8Q5oBwynOP_wCBzjl0?BU*vmovSxw*=lL5??Y?r$?%(Dei$5MrmwvN*!lfUF($8=D
zI{WI?X2zt(J3{Tjv*urYvU1wR8z(we?${W)N+BtcWn1`_Yh|7LHqTtj^*Zv#gNuuL
zuHT%wH9lEkg{5c`%YySf2U5QNSry$8AiANkIsQY^@e9$<XC6&txpwW{nwg&@uU=b!
zFkkZGwf`Lv=~u5Q?Osv;FL>5A{)iZ(;~cB6Ui&uTtpA3?PZ%Fw+3@n{T=V4X*G}E$
zP>SFd`?rW`8v8w#)LR|1Cmo;j(r?-I+ctODk6pSoaqs($jQ2SdVsZpxwRdvZEjHqw
zskkcgkojG%Qw@`>6Ji<O$J}F^C@}lVrQJW07EYCIzi=+;-=XO8h!0JfkJ(rJUUOs3
zvDeoZ#ZTXuw&uXWM~(5K*;f}&mFoW?{!!dNVRKzeQD*&>#i8m7Tg$I*n)K_y&$3Hj
zQ;tNU%>T19pv?a-bxpOOv-RA;ueTCz&cF0@lJ?peKSEWQISyHw#jHwBKb!HPWAk2C
z|C1Ml=iSyj)?(Y*p<Vlld3n28_9q4YJ$&BhuBbJ2=pSnqIl6B5UM|y^D|^5Hzjt-2
z)!{|Kx35pVuUeINZ|Yo)^LsWWU7eQyktv<?;xsiT&OaYxE=)UFwREP&`oxz>D;r}!
zFviT$sII&|J^N?X!-=OS7CfwY%X77)uO=yD@1eJg`ej$oKP4Npf5pmY9YTc@f7<oc
zTW^^#iHZM2@s^dx7k!w((ww{K+sBP9-K@%gcJt0!-M;Zi>CFj-#gn%c6<K#5iJPqG
z&){6QgyG-Z>zSSNYHcoNgdJ2kKj&3k-AuXj7wqi(E=om9C`CVPi~hd*-24qM4;-y~
za*W~W!Ee{sOf2AHe3BI05qsq9_dO3<KQe9<uuFULZvQpYt)0{7Ome*B7Q2N-vnX6=
zO~vmYJnkIPbu%O;GwUBa9~<5A@Dt<ZB`oPH|A(fqM<2X$e+z3g%Vi78d7<`KCO+M1
zWLwFeeMSFm9P9VhY|+zeDjTKGw^;t3!v1#&=XI97`6sh2xn)1vzhFB!O(c41_4X$Z
zj$WO(e96qCB9XS&H$UB}tkd5AZLaSVCczz5=1)Zu_SzXO<PW=&v2H8hvISj#j_r@y
zv}n%O75A31TwkTibW`4`{K%?Jvvzef=U4Hz|CHWw_H}M3?^gXyA;0JCox*bS-88i?
z*C)>R+ZD8i?b@V}(+Vo@X6h~FO^ufA{~C6!weIGDS#z3}rYN`0-7`ObE06Wn=Bas0
z?Tgg(KVQG|XOl<ACjaa?%qMHFv`+mMBvPE-QZo06(cz09fBf9_tmoh+X3m@q?RJ-f
zUtdvqdO`5>oO{n^T$C@<XwyD^>D=QPY3(u>l+2&s6x;C7wk+w2%4#8&+;cw#PF`)+
z{He8clHu6}<&SRgKC$S(a@bRr@%dHd{oX9?Vi)$j|M2Id%mEH{=EtkAsK~}nzq0gt
zi|nLgPi_aDD=Js5BppI-?!3o+Sy|Y__0CTQ=AC*QPi9BVczOQCZMhqf4iz(Z9Y5gy
zxG7RLd*%kQ753fNCSBR4elv#mo#3>avYpA-H(qF0;&kcWAbiQ#l`BB5X{kig?wFg0
z?3+*jVch8*ajhegNxSFJLDz*V%2RHhXoxkpzwz%)(uEEU7Wc5u3*v<f9K|kKoLhWo
z-PKO7vORY_qyOA%DLOep!TJcdmJa6*R=aC6rU_@&3-6w>YvY#_dp<DDyq$J=+e8J{
zjyd%oueSxAzVvdZ=lh>m+9qE5d3oOChx->)96hx2!>McSo0!ypEV|O}$uUFh(rvj}
z7q{5&C^&cW<fTIslV1M2)FE5RXnc#MV&(OYm(w|(U%jLKx_QEnqbcUEn<o5NU^`L3
z_0rAF*SA|-ypcbl)9%Km=hv@Y-kz9r;l$A{>28LPDw-<<Rv&(;n6+c$p?@Fr<u7d%
z*eyL{85dvofjWWXYgP%(zP58|@wNMIFT{klpS$?*fv~dB;h&Y4Z@pAu*jX|8@u?XX
z`*k{9;tu5dD!(ccczfmLp5&ck={xVA|7J7$%FFEIhp)w4N!%E-VsiGi1#+&9tadlw
z*xBu9?O$1RIppJo)i*!HOs@R<AVs*roM}%?^p2W&jM^1U7iT|+{qK3J@CM^a{{9Oq
ztXeBSgqi;9Y&y01+DyizvY5%qKmPkCUvzVCsI-y3F}p|ig~ZMe_Wdg-U6^RD-dLx=
zd6P46!4uh^3c|Nt+ohPJSU5JHjQu}BGM15Danlw5&pXdPzIt)_+ll*buDEDB(IS}h
z*Gxw4h%b-M3o~+Ry*?16CU~~|uHe(9R_i}4R5;xFNU8FmMDm%N6%!q}TUOWV++wq7
zxbZ?q`3CzQrmp7MJD<rvV&*Dhy2pC!$`$^-A0_|C-end^p2INX(*7$~^dpl02YwWE
z7v$QbboJ^9o`U;KlP_7>ufO7cqGi>!EBzXEIU57aHgY9D^jK=E|0enWf!z5Qe|}tG
ztZeMJQEAEX3-8kJ@Jq!qY<i|~rgP@=mG|p8xHZotHF9#@()6f`DVsRwPIQfVimbKa
z)g`*GXNEjJ^y&MbYhFRR>eFsA-JZ8RKl;k|r;D2J|CwWdFFwd3#inl)*U_tyr^+{p
z9G$cM_P35FIcJ_vJ;-i*jd6dw`c=NCs#0@Rm$Z09)n7SWzDYyp%J-{ZJzdf-E&6u!
z@AK(FY4e``pBDJ-;-iUyQdcA5tJdG<saWvI^kz}c%i9uxGb$e6<hXix{f(zH+Qfgq
z={gbc@t~vKpUoEzA7qM|aQ*Q8+=rs)uSaHmV&c7USe=3WW{l3Y$hEmQnaY-$P2=R`
z7X5SO@K(=OmBIwB=94TxA3MxcsQ$L%b<L&k*G~Sp!E``~LnZ3g)R_Mh?sP^x*>NS}
z{{yC&2P@XL-d{0A^qS!T-{wC_7q3Kqm0-Fdo#c^}vS-5QUf~T^lLKBYvyy#%Int9+
zFp&2R)2ZiNOxI$r9eu*E?P7%e?3-pYO|RZyIw32Yef8-7W%p&??V00$?{m!6qv3O}
zJ;?nzgW>$2ZwH-|&h4FCSt7YV=j!k8@8|koe-&@kZWHy0`CDtmbMFZ~a*t)RuYCCA
zGV%J+a&@M^t72IHPG6PK5>kGV{r4u0@;g8GE>0`m*yvEbhH*hj^2MIymG_y}PG79F
zpk~e0wrfAHr@x(c<Xz`x^Phaoo31|eS)e<4M$8G3{~NDo+&j(YvP|!s%3`g$!%Kh9
zI#qF6&GvB*`@VlET=%YSea|;L>2;^W$DKD{%|4hN`h+Wc(pkY3RZTw+?6}yY>}R51
z*Zp$#iHQt5FK*_4_H)7Nh8+1wt~m>3K3;geG5=zLRP#iWn3e-yJB(vDAJWZw<GE|O
zTynzyw3t7!7rwGG#Uxdn@>=@i_YbCBy7oyA7yP(?QmOt;*RNyeb_it|MF!8BdT$!b
z=j$(HuiduWkfgoCAnB&z=55|qVGT<k+Rv)`xU%)I?5xF2nlU?LWXo7zq@P&I!TRH!
z-XnoVu@y6}cAVxA&e_n>F^OXj>&2e$Z+2-UBr`lzJAd=-aoeUjdf#3ysPMYDb@K%t
z`?>~AftYgw`$hLZu({dr?Bh;hWsV=+jeS=SsY<S5J-zht^`I-?KHpY2xl(;^<@BZl
z_fuBb-WT~aW9^Sw_xx8x{%hR$XM%itlKqO*8>erp{#oF@k#WU}N4HcrzdhU*({W*e
z&BZMrk{RA_@T>m8lyq0sN>bp&J^t($r|z}J^-EoTE_!msec>nT+O@-W%1#!DUJ|$W
z)|IE;+i!e{{qXPOwI`1+WQyiD#D`sZGHp}KVtx7D^Zun=eezsP@@h=?&4Vjsj$U}u
zE%~ITVW-!T?b%jlS3jyRxV3|!?9GR8<rpT*dn;%D+PK8)?TT0Df31;=*?l3hLxb&*
zg6R$R`M-}ZSe*XwOvk}pE^@oPR32<Up=p2N*(b&LMQJB5Y&sdR@1#Qh)#v9*iw-JW
zdwKeh$$gv3YtJ=L9*C1xxYpcf;PdC|vyddMr7vgvl%J?*bkCsUkhc8mITgolUweM~
zk=EtkSD(8dymfCv<U{5gUqv@IW?Z}SqIkQ|<~OZ3E7l*F)Khk!>+IY=`szC0?XR9t
z=i>iA_ixEb3*Y53dSO?pUfTb3sGj?2>84HICuVA0t2nLxYi0D66E9`v_O5O4P)y;w
zyngbW4yR{VCcn_#np*DZx2f*R?R86px3W!+X8U<}72m~FrTMG*dp4N!=H`{M-8^k{
z``T^)rF`3eFEwbD?R@n_;P-j?`*uGi?C-|jypo`Gy+~DW*TJya<$LC&-qw}M*S~iD
zw3AN9xf4z%Z3XK*9NCx?@6F9#d+W-s+4~RQ|GdTD?xaIQC;z;IlV#U8RCh2x?bcJ?
zGdKI*UiPmtlNQ9RKCkfY6YI&@CDq^N<h`F1^z+gk(MZ<s7xO0{n!hD5H1kN3C)ezE
z8yKt0uI1d^<hJVP!()G1G9PUYiQUt%;l~p3+)Z6f`KJ`B<aX|TeCXb*c6siHS6}>O
z(A;_Y&BfxrM%l-V3wPNHvR>_AsIYl`Vy}}W@BFe$-`=dOJ{)s#&Z`d>8kjdoO!9cP
zCrG)UVa4kWlRSkNv9Q)%%XxY3ezY#<Eb9rA{ATesJAdL@v$IS6<_b#|=1G%Ivhh3c
zKDwoUY|Z95pXz?j(msDZS4-W&xBh4L9QFyjSM8l>{d~eB)Ad(#N_O8bTCMkg-eVP?
zYqMwWe6v-1|30lb2Yx--@b&8Pwf3I#uASs&{QmA<+2n{oPdk~(Qmo2*ZnLu2G0yZ^
zvxv2#v9R~dt8JgJoV*tMDPiUw32(_uvb$S0&Ph1AW_HreS&xtRKiZdbIl%V$9s6r1
z)}~|#UJKaX&&ctv=i-cID`VeW4fyVzbui+5-OH|IuN~KpUON`I{d&OPUms&s+k*19
zU3va_mG%bF9j^1Q9X0*QlXl(z?URB#ZCAI?y*F`&jOgr3#aH~kM^(7K`EuD$y~1Dd
z;?DnOoHzbo@$IZgcDs1oG=G!WpT{36p3nMwd?$b2rwj2H?fKuvUw!oU#N!mjyvtuF
zGqcW)7G3?Y=*H5xnQWDJO`d#-sa~@B_mvenmzetQR&cJ^7y5CB@+^frZ?2!3x+q4x
z|Hk%BdZvjlFLA_NIki?#RgLYTqV?k77{>cqmN%v5UwU#kYjfMh?wcRk55#<`X!v#U
z@W#%Qs`(W$Sr=y&-$<#seC1j6f*0oVuROWQXuj!j^Sych{i|OtKYFvTi!pr94voH@
z9siH~aA3Nna7=7PM*;tX8nX@?yQGyY)^op4;<_qmDZrL8yYdd}+b3N&?*^S)5s-f6
zvfz!$%GtNr%&wfyzrl0wLh8g_TNhk3-F`Rl*Mu8WCQLnl;Q)J5Y0SOJGh-S3k{K?q
zzwo(YX1{2WPRHf@KASHunD}^s-tng5kBk#K(r#=OU421biCa4Qp8D+#vi65Bg$f;T
z=l=4zLHpwl**LKSen0phg#CE`$@Tah^>3+nxz-llwYmMF!(Z}UT;utV7rSRI3X&~q
zoDq4?=0uXC)wMfTS10U?WmuSWM}BGK%#)V#{I_J!{YjFVxc1SCj}d}5%?<CoUU$dn
z{7tS|H(ce#?;0jeIx*vC=d&NYpD*at3(XA|u&rJ_MKtLyM}Ol2C7I6j{QnL2Dh>#n
z&OGz;p7!QL2lDP+ow?RuU2xN)w`;kx{=J$1+kZutUt^X+aoj1bv)7i-S#$i!s`_m=
zzWUtLDBqqG_U7V$&qub6f396=-febAW7{2xbDgVSORQj1e$mX-daz>(M^fND6&*&=
z{bxOo1s~{aDu`LZXnNE6K*zdPrUkCz+7}<I-BGFRxV_xP^M%H~dt%8UH{)|Yn8Y<4
z+j!}J`dt;R^aX)8t$psQ+`QJTUdOb#%Kgqwp(!;lrUcKNFyXGk-q|q{_vMWu7!_~I
zg*6Bo-jO|#6cX|GzRZOE0bwhWV+DDnWU6PVwy<z}C%s}+-*c_`(1)95b@wKC_rAL!
zd-kl?uhM;Yq+Z>-D_Qej`q8!b2jgzs5&Cl=Vb(1^+xfQy_I|mpT{%5+sqN>c1@jj8
zh#!l&FLUkK@2NgF3K(PVNo<}q;Xvfdre3CN$FF8T-+S<m(CalF^SExO&uIU7T~XqI
znDV4e4Ez4K@7;Sxa(3{dAldp&n|fj{{9V9mdXMqOq2ou@H}u?K&ptL|=S^|R3oGaU
z_{y9jb4R@3^??RumW|mD3ga#=KYLfKu|D~K`c2W#8@D~bDf%OJg0=t4Ou3z``U{!<
z-0KjU*Io5B;_QyJJNHEQ-q4lZf8qPFhcB-3X}yVgaZ6`I_>GXHi7S&?CdAZMDD3DE
zz4xWzVlU@}ZRMYDX+66qyryCAvjy@SUp%;RW0R;H(}ihaAs?h3N8Z>Q8sXH?sd#>e
zPW{C_Whd4)Cja}hL)G?whtuPoTK4Y`Uy7LfZ|U7T0^2Wcf2?^=K<9?@xqAXT%d^kj
z6}apC$#TKY@Vf%v?yB-Ec>H(usx^;iz6!tbeIcLg@tRK(0ZVeHwO$QBcVGGb@t7Mf
z^=&tVBz_p&eRD@Cb&Y||9sau3%h~z&l&n`7{d;pusb{6df<D{qyUNz9oPzH%Mc$gZ
z=Z1>ps`5vNB%ZJ@SCT(`hw1Q5)mf|BC*BHa{`9@)9>a}AYwoCpO0V3$`RcKWXYCIv
ze3Fd0cH)lqw<{}u_pG@6x;F9Ug@1yT3~K3((kq_*S*g_ZDDXPZiZ{IZx7ClW{J3LD
zwhYUPJA(a}pWV99`u=C__di#ceLt-+Z*SS`tEDHeEUUYlyJ^FjEB|Wa_uX~&iZt4H
z-^s6G-MuR_jjt~J)PCT~%-hqWtNz@TlDu`K?B=zZuWRqvT%CIL-2atxAO2lz@woGq
zbkCOUuM{^=_@e)bL2iw3b?hdFjBUqrmPp8J-xrR&CpD2_&RpZtKUc1t_MUr-`Cv&q
z>p}g6M{jcIwespdf3bDq-_keBB_^+M`oHnYv~yQKalT#8U0;4$;N|wW&*q+dJ7@9k
zDa!FH&6fvll1QDqbQ)`F*3WJGu6HcmBAXy_{mnY{=-5p%W%q=O)MxJ9E6S;(agG0`
z?g^>&@77FZ`Tb|^!{W9b>Qc-2>hmHseqOtweskgGD;-aN&%L5`k6HYqMdXtU^3@X<
zV?<UTZ!N!n`&x(H*P<mW@1?7YIdA&Sq`TBS?E?4NO9hWFF5b9M_xVI8``L{p3*F4K
z7rNKARlJ|fIQeYC$s?s_^j3@S(-iHjWSHLI@MlBk{D*E&I6@bOoxg4L?2d`GB-7^&
z8|qiC?_oT7v!SAX^Oc3(Vb?le3bRz7i`3ZPP$>KQ=E3BP3uE`J*p)oX#J((PXVIQz
zw<llgEPa>CBYm}VdYn+exjR1B|9E#xFnpc$_x#L^p9_SJU+>iW`$(YZ;E6paTtBoQ
zcvq$Lp;uF&<&8wlgqBAaf46#zs#Gvu{rzzjzx^Mb>%V{8;!m8jOh{h(MrzEz1(SNt
z@BH1jV1@kd&W+C-#LM5@ul#w}>eM3PS(DphKR;ZMf9+~pH}fK)+C7t8lTKVbdaW&M
zZ*1~3C#E~4r|)y!ymra{pTPB&>e=^gPY8snygZ<AVgI$3*ni7}G}rI)_|X|N`Dekx
zBjU#|x-ni{k$&On<ex3KD$Rc~T<pBl&$vNZ{PhBnh>knQR|=fGb!&0ry!+kRt0%0u
zdFA)cId@yH{+_yfYFO2s8K=*@>$_vcpX6Ps(YMM)MRS_soZTI#p3g|Vy5{Pa+`Bf?
zNzv&I&u4JTK3`=#<K^EuH`FyQ+jOq@*Yx7>{+Jo6tA5-{{LyjO)-9$?VB(rdO;?gv
z98J*>yJNR`g`D$srrNj_%sn5b-h5_%O=^MT4TZbrRr$;6$_`Gqxp>U%W^d8GqRY4U
z)-}HQeDTbVd;9%GZI9pSc=7D^_BFShf81@@Hu2nihSxPW8dH*r=5OFJRqoXKJV7X1
zDVdvBX7>geL%u(vW(()czteo6`Dmxp2jPX$>V@yF#XM(=xwqx?M~8`=X*b<mxds0)
zU&vJE=3m_@p~TJmyYbecPj`2R-k$aD=-w{7t@morAD+A`a)O-U1gSr#U;TW%v@yN@
zrjy+5x=l6?p0`iWxOb>I`G3Wa1Byxl`DOACE}XrvH|yJPzRk-UH{ZBc{_)O*Z8uIe
z$1<D|nqeVz>&!aQd#CsA>ACdtZtbT7*OE7V?U~po!4q@$XmQNFql`&SNmWVzCSLw^
z_U_@Wch#qVySBvZ|04z0m{k&IuOG6$ep1A0n)>b~JWpRwTlD@;(bG*=A9-~%Iw!Al
z`)XO}(HgV3{*y=l?z8hxg?_w#$a`ym;GPqIp1<<g+?h51p6T3E*M4{1F`atqu<HL4
zT&Xi3&iA?7bMi{S%s+QoZ_Q<Wo^?}*wYB5Tdbd+k88@d0P5f|d&3)6Fy<C6i+^W1&
zwET3(&gZuh_O#B5%YEs5{$~B-N6xMa+b3`&1u5*k*>d#iA?xE$A1M9$arIDY9ODhs
zH#<w%KE}*ned+KAwhLU`KPED`xfV<cc%$O@k)8Qx0>?7D=X-9boQR0Oa;Pr)X3Cx$
zrY90(8!kV;a%k_pd&hjOA~tX0l)rCktT{2_>?;0lpLrFGA1)T(J^JlH<<S#|y6+dI
zo0&FzKYhQ*#%4mj&g~VEVIS6RzE?P>;cNKD8BKk+3XZ;LnbpC2{mq4Ivo9aI`-E}#
zy@HQ-%r1p@CuAipvyc6>LPRe~=yB3abwPpY$2UsMv!A8);l-1j>{#oQ!eTL(XZ*f;
z=<d(e*HRP~T|WD9oxS#_8P0diiX-o{?~T@wd&T;ElJdbd?pGr<y5ue&U%lQvC_ZV$
zN5(4`w?>D2zjpPacBIk&yM=c1yZ&51bbp-+!`6)K>YW1ru07Zt&-FNHMI*~oUGJ1g
z2E#oS>)+gU(edru$q;*Q<IS0O+%o6ySGu$6wN7L4o0uzGrXOgCxwq!#)h+x<?)`gg
z4xag&GE@HMbeEaN88fWIPOhDpskOkqbWg+6*|%CuD;f6O=>2oas85n}&#jtyGo#M#
zT;!Ce^U&;8s^kjJ+i$FIYTR4czvr&{kB(*j0zd0cnSY4Mzm*(u;kf)y4c(n;n;RR`
zZ(1FnQTqHrTB`<!W(0e~E7AXLcO&lfZCt9g{jS@|l^@ncUY&OQj+)=`yDRt(Y2Q)f
z+xu~WUS&h=@%9zMhw2~h?7I<i_NE%&4(=7=p)4_*7+9lXj(lX`cHUJW9lM9&b=#`>
zn>lsv1jT;4@_6H&o)brH8&1yVOy0nsbR#sP$@Js>-j<|rg}@U_I6Uw6O=?<MKlxzo
z&GY=MF=7R`4pc@|@60><pm%q~4cX+RJAE(T{J8h%LFfO9Zx0U0&c1ITaMI`QhRJ*f
zBYAu6Hr?lly%SNiNiciYzGsaUt#3~ph)ra=+x_yX&HQ^&lYf-m?NPm{(S6YL<GqN<
zjHP?7t$uXR=XB?h>bS*W#V^*Ibp|TlQcl_}a5B3`?%N9HjrS(~_&8~z#P<jBz9+uc
z-Hn=L#Ckh^LsRU-3HF}^4}J1WdLdAL<ir8y9n<yWmPA<F-;3VLbV28F{iat-Zi^Je
zyf`*V`uar!scR>GOP;#;FzXY8;N$dX3!^uFTJr1#)A75p=cY2&{`vB}q9cCe?a69Z
z8o#gIzdF@v$DI3T@5RiYB;<N++uTn}*9YHzuqlG6;p66uujlXiD4u`o!y3jtyEmIC
zeA>CM`|ADw>%0`M{OfoAyeuqk6VKnf$3JhHuzrPt)*J2#1+$*NKYOS8(TqQL*F2wl
zzVgt^&5isw@5Fj)q=enu5VJ&YljFRnDJmAn`tKEJ%+R@C^K@~B?awD%|8gfs9k}m5
z*RC?r?)ftDl~R-0e)<_43%mM$_v9r!_c~nJZvMTcdVP(rYK*(~S|xo^$*Ursml)6U
zZT}kNU@PA_-6Lwvl}lky_c~60b3dnRbIkD>M`sIkzWKN+a)$WvD@W@}H|?Iclqv1%
z_3hJy{;f%_-FbeAWV{uR?9Z6;JN_S+%0Ii~C0yu`w0li*usWwo`soc5T78X}>e`ns
z&%IOoRaGeU_k`6-!jo^Zu-*yy>0SH!XJe9R^|EPGn-13;Ts5gnt7ZSfBj-;!&+C!O
zxz}`3XxoY3U0do-UcFQo`g_To-}T}5e%^h0uf#jx>btu?zuhUida{La<CjNw*xsJ}
zndEhodG-xq^YxedB@TK$ziWHPe7$yN!YALj`M2`7ohm)uKJAxk*W%sR4_-N$wdhNA
zXxXmKg-;p0IXih;Pjk+l$r5qVY|hS@7d-J#c1&JWe0Ij0{?q@D-ZzV%ThLbV^^RFI
z_u@aXmkv5H-Vpw_NGOqURZ!@fnZJH~U_83=g<jZ;rsJKO^BP%8*DT<ikTm1{j*PoM
zl3O}uBa;7}?`23m$q>xRf3fV*!`;3QS^ix=IFUhShHCL6V~H5v3x5Cjmv^qYen=_t
zSbd+_zn$;Sf9za$VxeNkj9m|785VGzzj8?Hc<F)f9~nNLOxt;Q<E9n!bJ!*^PHfnI
zoh4Ht_J71ii91{~j#xiRVq%<?F8;b{#_^b$!Lt{1CdB?vy<@swoW)_s&#e4=rqL|1
zDLpSlZkh4>#=c>=IBBKYg4aKi|L5E`6J}9qmt>eSbN-1~hV@yyuN~H^{lwLC?U4W6
zo3}Pk*kT{nSG;D%+5Qjb<@aAXRCAi6WNSd=hn>P4O`Pv1+N*PPg}wPCX?Rlk+p;+-
zKcfWJSicgedr^D#R^73r9Sv5GJ{&!C-#B+7=ed}hKNq>)eRFb(#DXsC8*}V#HoU&L
zOo`R=_ZGDW-LWe(LT|jCaP8=Fx2*ZQPnqsttnu^FI@`l9Coy*R_A%dn^I%<i!`9L#
z0(n1YKV<BXkllaj;f>A)+1C@?)eSv1KDrz`^KsU<g)jc>So!#j{q~wWN1opOd+uIG
z`h^GL-;Or^Exh-4!-1rV1v8)DHG3<w;2C@UUDHVn+#hb<e0qLy@bAirmyd1|?_Lu6
z|JK4Um5qN|g>OhJfBEn*jH%(z#v2Qg?whPnm8e>}dwtBEm+$YkSO4Cmd1GUn>%k3X
zw>vzQE@{{Za@%#rN#EQ&dH;#>n=czb{**mD>7s+xw}ms-G`#M*(|P`ZINy!=H(PI7
zm`BCfZQ@~nd$RX_&fQaDD}Oz|dE>w;@#<TpIij~)%XZdoynE{JyQiQ3d~|<&_sYC|
z7uF<meyFI8;9hX!aZZ=5_`ORV6V_b#pS*b2@eXFm+vdzan=i!}K4cY5y8mKY{1fAU
z_fE`Wp1}C^W2ZE~;O_~Hj~A}*W#RbxL-fGB&kL9K-7VU0wNqo&y#swQ4SA(=ZtuTY
zH(BA~-Gi!e4Gl-zqZod5tg-m*$i-xH@4)fc8~Zjg-l#an*b!uP@4%6SScb-q<$vxJ
zm@Jmw!1jNChxmuHHx6#(TE6!ByZhU=9lG}D$FZZGi`L(~yYE?5$G4mv=I1(o_KIu$
zY1|<tIN^*-&`pDX_wu|KPTl0ecKWn-*_Kbw|7|h7x$5PbeOr^iSWaK}l;`QZNA*Q_
zx0J_ks#3rFb5_Z*nmar0p1ZT<&i3n`O>PGM|GK76Gzh=5LHnmu?H3Ex>326Ybhbpc
zY)-nf!Mf65MZ@GNZ?zgE&n{@6)w}a=#I%pfe{Q~f-gs#9MQ5p*|6g@%V0!TV*q0C7
z>nmqWm+fv+W8%MaXT#f5udeR7oALI}`aO3tj#}SYUApbwj+>Dm&g@yR_{W{?N}&<u
z&u=fkzwP$N^*0;$&Dl^lBTRh%g2qPPWJa!t<u~r#$=v-b7Ipq#f#Lserb!G83_J`B
z3=H>{mTtXYzE#z?;e_7mYj1sK+%So|!(n;+P5|S*6|tLNG)#JQXW5~o=7$bdKVm#~
zE%Sf5R-rqVVe*Mj)jRaUUYYlP+_Z5`FGteSIcL}3Uj4Ck!a}<}jLT+!Ozz08|F&@U
zo`!i5Kew}gi@8?0XwHvy6Mx&UUU})pf{pS<x*Qt!R(%g!D8_Sl)jr7!XK$`D>UePc
z&MM)Z53Yx<?l>;-a@o%VLJtDZUVLVCBbK4z?ZhP<_g4Mf_TZuRzPr~YlWwf|zv96J
z7J-a=H+YjODjzKU`0H`!ot~pNS41o<IQ#RwR*v=k8_z$S<c)bV|MrcJyWbmQ8KoD0
z*>j|$?@7+iZ?~>g_9&dc+wE`kF!s*UoA-KFrer?8AFV$BDGR6WpU&pWyFHeXkM1s=
zsCoI$s+;%vCNj0pm>EC$g?@+9^*4(<pWRT9kG!^H>6%S1)2z!n+4kJ;uPI{Me}7@+
zN7M5iQhkN&KmIN*+xVel_D)OF;}`wkt^8vZc7NioR1Vp9K4}~a?}y7=SjyACu)b>J
znmbZO^+i(5cN^7TRaF11|M#<g%{{5fLiTGqx;TW~Ig<WwC_X6^_{E?;`u?P!bKWT)
zo_l;#{j)n0msZ~tn|jmoXs?3a^A3)f>$l2oZQFCFzh=3P{F<BYkMDQA+iiRI@YE#X
zA9wEXJUz8)<%ih+>-GC)&h%Q<|M@P@`T0ASvM2xhb1!DLR{y7q^&jsxUJ9+dv3yU*
z%-NUh&+l}5Re3?{_<}F93ZtGxZ~VD;^UaBm_wJo<_AT^NQ1<DIk7vu-|KE_NR>9wW
zXUa+;@z?ugpIzmxP3h>|bl;yPdg{!il#19b1t(AJ{q^%6=iA9__ZD%+e}3|)`g`0J
z5q~c4d)NL(GaOV~^Y&-d+`F7_Bh4EaLPJAC85#cnm33lZSmw*Xz`zSip$uW_0<uhz
zEJ+=VF*Xd$?-`gIERq<Lco~>E7#W#k7#W!qlG>AOlN3HAS;p`&@o~iT#4yOlSPL?-
zzGGrFS7hW);$hYI>SohtkMCyH>|)b&ieY4C6k_aT=S~uO%8<>F9HVrWMc#}>wL>E5
zGeavA^HPpZhSJ|j3KB_5Nis~^IAZ@7F;^tLXNciW(u;W&qa+Z+6eGoSDS07-v~3cb
zt_srymTQg-mRvD<46F_>W0aDZxOra0h_hOkvSxO3*;p~Yb79DQ%jG4&8KcJ)^rDlo
zkb^^#CHL1-hO?~Kg*XZ%zOtluvz)i&JJRun<sk>-Z5O7y&)M!?U&OOrjOAhWO94r~
z?N**5-I8f?Ee%PJlFVY%r8*iG$5_NjF>xxe#jKH;HGw0>Ax43LNtA{6I(v*zsMz*y
zj#ft5{yc}6?=iwLKREUa2*q%-EDq{aH<oxREK$$FB_YTa!@(J&prRT3KZu1#Qhu#s
z(szlyLP8S$4D2xqOiBM^1ZA!YYU*$bm~t@0C?q{hQizdkO41HX{?E)(>%^SIQrnTl
zsldviz}X?Mz$>W}<PpoDt+gad^)%B(m7hwc47oxvjJp|ZV+0v&V;F2>GFfd|GqqUQ
zGqafV*cBD^lfHA-x+Dp5HUCg>oWK(EkMX^xI*a1LB&L{2EUes&vTWQcY@!<e0-VYJ
z?X@D96q1-?8BVk4H++eCnxqjU%FHVe!*A5V#1iwLA&?{KsY{X!!%YqbVU7<;46HF6
z9kKr&Y5#o3b950aue)1}u*PvGW>3Z#Mtc@^q2;mvF0C~F#}m2YH(#WxfVa__NlBcm
z8JU^=J(3yx%_3hUv9b2LaN2SUq%$$vGRFRU=qVy1>(3GWO2<`2tdwE3r)VkDR>p9Z
zpUlrS9#3TEWYc&nD6Ybg!`&$4z@5O^cwQ-qyIR~pkWtY4eGGpLuct)?S1}hm!}p{g
zvHw0LdoYS837%!xyojgvwT!a@dy=r!Ob(_bb|GcP7*7UvhSSWf9*i*@Us;L;&oMmx
zA`rtHBf;^UU(leF*`W8WN{qB%tig0AHYRhX7=c8AB(`Lp*D;=sOB~kltabg%y;h0I
zmWi>vlbfB%m)+tg180nA%$Fnw$TfaSn^YJrZU|I2y<~X#DMp67TSf6SLw&=W<bU5{
z8HJTkPWX32K~>?mLoCCcB#u=KkCQ@^Sd(NFl7wUbmogM_D8>{rgvcdv%!x@8sQMNo
z^qM(ZV3jaK5?2yu?0>6R0fv1soF1~*93AR3WA0iMDM{XvW>}{rBk|@26MxeOmVY8i
z><scMmS&PiIvKb{mRxk7qol>5rtmgKHYSGQTf?dt-J~Zmz6_Brf_{4xtCSd0Z5c9!
z4m0F3xF#t*V5nB-iV$*Q7G*g3-@_}3nd=va#e{<~<qXpWdmOrAYGXL>I3zPHiScAu
zJz-BwFvCX9SuBcS%rUH-4Eq@ZV}3hIHr$V4_O4-hqvIi)WHM1=4z~gm#~T*`7AB4&
zAq@rrF;PZ=gx5(-$^V&rh4Yw_B$GJ!V?KDq$i%dKj^X%~BqZV0@lL^pok6wX-^*A=
zSw{92=|?f|WR@st8I*J~d>2q#C7=a5ep|pm$Vb3L^2#1Z0WX0Vdj{4Cr(^DWZ__C1
zU}T7N76@ZloTL=<EoK5!?EgRkZKmG}vy#4X^b3^>6e&h@3UbUA(fH-blEf%bkj${k
zQK8^_5|@S#i%dYXRt%p&%)=NBj)$@?Nf#CV@knsEaEK^fV*fu$vP6T4vDbr9>kNmX
z5=RE7(hQETNe>ySw39fc(irA{h;fouWJ&tS=sij7iB*UMn~7V77NgLmH!-qFF^ZBZ
zNh>+Ot0eg{aZ4At>`pQ)vtyL)h&iM*>z;yGhoYuI5+|bqW71)U+ngLfh5jTnbX-W<
z&lsG<$=Jatv!$I$(8aVZi!rlFBP*HVB8N$XbP|6OAH#PQ!L161SdwHp)MFWWRw|z0
z;hbdQ#WYjJI7x6i2V;@|(`CjOr7ukF_gHupnO-J|u<#1;GrbjbXPguhtz+S$d`E?Y
zUBa4^gO|xS`Jc!0SVl_=iRCH}7;T)oRbt+7=&G71irB`iW{U36j(NhA?5)w|v6nGd
zU~&Uvj9tu%B*mT%3C%k(5??1IsTdV8X}cKQi}qw{5{P9=5|woK@Z`A1;m^e38?!&D
zaw5m)P61=VuPRIrB^Vh%tBL;mCo?czVoH>bd6YCsSHN3g3CC=Yt4zY2TZJ4<7^0;Z
z6;#i}{uhf4Vsva1iPx31R$}CM$|TUw=v=~-^d;#&qmVXJl2(#vCo`voA5+FL4;cwj
z!Pkp4W+a=IEfEMzQE^p?Pg0BbNb+THSBjq`oU9mY^rzzvL$$JSq6<Uj5iUU?hN4VU
zCQiXbuJD-3PJxY*$!%QBDuS^B`GQO{vt@EG2r@~^a5VA>YUp2M;uUs@k(EijD3_?}
zylE0M2eZ{J21ggq5@wBe9*jvE`4ZY5%rOk-oupV86|(tbK6xrgYc{ept0Y-SF}Avj
z7{8TB^b$8_5T2xxFR0JptRk>iKv(IA6U%L(rH;ay&PfXk%v6+wWsB@P70yWH9v84x
z_>xpDpggJFa~(snG;2qWq&ovQqlYXfqbJiODQzz=Dbp4v*%(7sNf)<&ulOWgnZ3GP
zI2A-$goIsKg!owmxK%zG9MTZi<X{))^fXvl(a!K+#e$iWt8>EBB-0oLMkeVA95D)=
zOsXBP1x|iY>}{!5N?NWu(S?Pd)hTfTW700w=}v_$60c+27*aV}In84#Co<%p5m;={
zqaso2WW^ZpK9->&N!2AOio<mcgExyK(^sY#)rlOTPbKp-m_3*(E+woIVlXzG%*2v3
zhe2IFX|GBwL&E}#td>_X3VE^rEF)DIRRy{PW+ZVZ1(XLXBr}{%l9E{D#38YdGfDRl
z%ju@ArZMTA%wIVEEobC(5nzjE6P#rDhruT*(}j`!3&X5Qj3*d{8M{<8LV1k@rAm$q
zxNvw1a42v@9CG6Kjb(6F6JUzrPE!9f(dOAB;S#5(93~9k(vmt>C&d}0@mlIkND>!F
zbNH{2{O?oq$4T#5Hwvt><no9W*ko9y!XvQDBY2|0I&r}S4m*c;vHw1YBziK)_v{lk
zmdZ-iWzSZ$&`AFGku7(klHW?D5JedkkH<{hlen1#4Q?>hPT-AUW|s<^ko1<JmQl||
z>ST;e#4pyz)0pPhtQ2BeZ?us6Vp0mrYcb}xA5_`jGQCt<A;ugfBI?O-n~S;7P)RXQ
zj75AB594nxSs`5)fjw`S{!ijG;AS-G3*mkGRY<5kU;A07z;6l57!4g2r9E69J2}24
zCVTUIjT1a6ko@nx_|uP?3a?aIJsE-pUh>?Zq|7YvR)W#vOTlCzb|ooZ4udF9&l^gd
zinU52xvzQtPZVc-&9HQ3QO5`7wMp{NJtRC5WS;9XP0nPvE%$t(<U_s~`R8iyrPk`o
zJ!i|-<Ytt4?#YxZ^-$vZB$4+5-xYmTq`phPekt%#=Jh4k=hKzCS>{fC8DpxFbduws
zN6tivxBi|F6jn1x#015xPErU+S{;+T;(G^Y46DT^KF;|r3dfm^0#ukYt_CH!B(WG2
zFdLL8RW4>`<ZW~?dY#0QY~by|>^V*2XOq&Y<bMw=sysdk>vGI?S;;X!R!iK;<3|h+
zL+vDk7aW^aBwZSKS(uZR7?fFqj2i@#SYmF({5M$Jp~@&5BPh;d82%yozW_@tqfEzr
zrj{7Cgbn{5GOC#9+JDRx;5Aj&5*M}hWLhX_%`{sm(aKa+?4>}UxUJ_4rprRMN={5B
zlKzT}&y#%Q0zKKP8ULFaPy8(F%MqxsA|_7HR)II^OOn*Y)e{A-aukG_8}cQ+6qJ~L
zh2yS-N0NU`#6G4*muM?lF&WeTm{;7&EHf$@ll(myR|iBaFrUP6+h%IQCl!vD2?~u4
z5iu{4<Rb2{7|!R6;ZR8a_c-Ri@kUPxTgj8m%uNE~VoBB#oesxh8CqGzlses$8TmXJ
zIk<ES940)DQDrw{TBC3`=|@6z({6UrWQM7QzgXBJ8Dr)+#9WCni#fx<JSpN5)5A_t
z6@k{OijFri`V3!%3fdHyVtz5yFqp^wFOK;i%iuMM#j&VFh+)Qz<1wBN2NjG313fsB
z3O=)lPFNb_&Z=K=MBsls6Ho9&DUAY$2~KBJ1paw0RbY(SoD{*N(=j0_m0?aQgN28y
zLR%>3B$pptT_Sf_6qZbjW$@@udc>4GV{em3_spaaW?q*F4Soq`mp?31nF?kIb!=zo
zWtu1xcqQPBbSq2tJI18jj2@?dB-thH;`F$j_{=&<>B@$wXSgy|EMp{>2R=~@$cTB#
z+2)dDzz{7|vCM-xNwPnYV-gcH<43N!4Z$(YvHum$D8w?XmblJwI!1+S^VAroA|~4%
zF)A@iCJf>a3Tl|{S9~!{;*II}lXP*#R%-=Sh1*FU0gIIOFqjJ~h<heI>=MxY=)%O%
zEfTWKg@u9p4TC1botS?ye6jx>4J48or5JJrn37(FoZxGTIps0`Tg>ESf!Ke|4_<i+
zT$YG!+SKCDv3OOIq&^471woFON$d{clG}YwD<v)X$;qIq@G(Y_C1#U^<e69op}7*1
z82ere#1zJSW#mp03SGcvxbCk@(vO1KJhSqe3THluu~m4YGE++MlgEP?!vg*e83%^H
zvHzJS{P9S-Eg3S)gW+BBzc0y*yq;6KIc{+5Q?c&Y8mXhSTH+Gtj3Y{7Gu*^w&b9h}
zNP5e8$wgw#3(hYbNybd9my#x#-dM$H*09$_h-ZaHfy;IV(Lxy~7Pc9otCLCvGY)^1
zdL-c}@s1-&mP15^{l<kE?E;^Y8Qx2OOPcUL`QK-mRRR$XHZh-K7-ml5W}hL?IM+j*
zd9FYi7r$N7$B8^8X}kqlXI(V*B^f?Y;p<$jpw_9t5?c|YB;X=&$ci;niDOmliZc@f
zzwvV}6MVBo;E~@CkEEHZ!aYiyn@%z;6}q@f#!$~)CFU^`w*V7Mj4oqh(!p34hJ^;3
zUoME4^q`SpNn*c3a?A+<an2$ZhHYPBl-4TcCNa5nFvlDhFt!&;6nK%8z?#(YC+50<
zYk<&A0mdZfos2>;D-xLm_oyU!Mz%6?D;nI6Ww3nR<izC37o%LE<ic1wSD;ntptn1x
z6{FDZhq3=flUW#kIo?kaN>=#yDwa_p^5LYf$(IitU9yQqFvKS2qbGNlz-exU5DC7H
zNu4JI|MGWCOZ4UK@Dl2p#FEPVT*BNVimQhu`;u0_$fWL#zKkN1I8p^?#H{qp$d^33
zdA|!cqi~X?s?bj{&um6di=#}Yx#B@d$Jw4w)wFjJ2(si2T*S0ChV}VU7Ul4KkEET8
zb^TqQiM+cg#5_r&kAYn>MrDN)qoAT-deRQrj!vfk)kj_nbaRw4DSk;(`fODy#iFmm
zkt7tzP^-e4#1Y77e6gZDS$1CF2QlFgNx3<f0(XiCdoykp6V_!(_2jzBt<I9JCC2}9
zW12X>t1vrnMZc2fcQ(e)lVU91N85|=tFY8^OnfQ)o#nB=&{Yqs7`CU}-6}D{KiOWs
z6#A{&rlK*+O4=pKi05Pqi>t~CNmilXN^C5WTrBLJ65LGUJdA%;m=yUwXGX~DwEX2|
z?9@oGX8*rL;5XmX*Df-bEqGpbOFWM@%A3OTT;{jOtFmmxZ%U`<yz&p8sG#^X!cqFS
z2P5})gB1c2F}n_kF$hgG)=+R;J}GA5*$vtbx++!+1$k6r7BZ$VGrJ_kvuN)+^j^i?
zBx&Z&NhcTrCUjjqX^_;^ktV>H<j0~dC&-XBQ=#mcN>Vk8pkSB^d(uod&v!~KMJMDL
zR2e*z|2>QOlgz-eJf`YU_1c&uJB4)*J07d7+`sCn;GX~IW{Amjp0m`vU~^%1hr|s@
zlY|%#?is6ootbpw$%atI)hh3EeC#;hCvk6(eV5dEG5DaU&JAw`#u%Rs&721XFZ!N|
z;k@xvicdSH!zE^+jF94mNR=56B-K=6@-}STA>HWd$e7HqOv*~ZE$N_?6N^vM$0Wui
zE5(cYNoOU)RFeNcj<$Nff-%OLZDXt9zSw`q&pz?<pSV|mE9s)}TcM;2f*e~{39jgU
zvUhom(w+;8?Hz7&oQ}C0P@<ir<l^xB$PL~}djpzQ6tAl8XghGWo-0w|eaw!4SsbA;
zn*tU@#W)=aSmvCR!Q%4B;#yMl9FN%ljspC#bCrS=w3D7FMmfctC{Eg|<fOnKV;|Fa
zL+~N1?1R;e0!f-N&l!|iC&Yv&Ej0{_de$J8q>yx4V0K5&53@;(vJ;XD7~LydSt9C_
z8CG-(Y*$=odm^5L@m9K5lHkc$i<`@1oX#1%6HR&%*^vBSFqV;l!O|sDf#cdvw+0rO
ztS?r4y_2~6PsBNft4JsKUGLNk2=L|Hk?N8t^oDb~64UFI+%r3c_<u>o+*s+6^k8{F
zHOr|7E18lsU6NdcbPqpRud@F_@{4{>hP#)p#<UAwD6ZvMy2~-aAvk8sg;s%xm}3{Z
z9XnsPb1Z$!AbvtHp{QwE2Fulz46d?*6O#BuPU=4KV3l>ZICklyt&AjR#TU*bro9Tv
z0!j8U9A{!4Nb|<13kt+9bqHd1{NbgLbgU!6fidQLQfWe=LQ673%R@CGh6%efgdJIu
zjwmGjj0v>loHId7h*QDg;4OuTAIm=Q&ARzVB_WAH*7Fb3EgN|)p<5G-_p-ah$Vt>a
z=E_jf5O{O)52r#F1HW{P(qE^iaxW4(vlcsi78d%UBEZpD&651@$NE@CX-lr-&39L3
zo|cXBh)G!UsLW$e%mitM{7IP(F9I@VdpzXm`jMc-(Coy}u5>7<ST3lN@n;nH1V~Ot
z<rjZr88{iL8CLH}^86WbV~0kbkWky_62=bRB+fryZZcf7u$i#S;$e)$g4pK`M>=y|
zZoJ@l6jvlDHAT>`Yf9pVqMP%&v;Df986uSw@8}hjK2j1elj^urAe_{BRe&i*h@q5e
zqQ<T-3V#Y^o+LI+wCK3T5w7rIiUngsR}tgH!xJJsgkxTGFg(7r=(<YRq?bL0d>oY>
zmRcoB9Dgj>J9;cN%O;dtGVE?$sNQ)ow2|{gpA-j2lKO%TOq@l}f6Q38iA7Sth%rW}
zFkt4N37Sd|uLv=+cP34~xaKE^HU~?<cBZ6>oYAisS${oKVX)3PqNI^=;?9qEP4{P<
z{~sgA$SN~Il2Ik;4~KbWub`mthl<al69ax;*O2M_X(2r$;Q(jszt^#hoyR&EI3G3G
zOcX5?2vjllVZ1(xjpe$rAItSiJgJ3$W-#zDHDq!tH&nlvA^2h*r$U>;v7`>BhL0y0
z_ct~O2rEora)}Xau>5~vqg$h6XU!y^Vo4|S8!AG9#u|Z)y{xRgDxxC$YqKnc_pekJ
zddV5dXq_}kVu9~VvCm2#-!{yblJ<xZVCK}|aAA?s{U#(R#2|fPw!%ay-yaQTvi=^z
zGlMm~x^kcX7Fn3V!ZOuTI(SBmm90qot6w~dDIDV6jUN9s*S)+Zq&<&wBC{w<E{o@6
zdxnpVS0>Hi7EEUN@9~0@J>jF0c;XM9g_0bV9alOTSwBwU=nz+YxIq8-j6F%Kr4?iU
z6`!2Q`k0BclI8ZC1@bJn&rOUJ6yk9Ic9O&S=dlH9mP^7i8GLz9PUKN7V%*NLd<y?g
zuH7=5ZDQ3oXUJw|vRm@SPvkKylAO)V?4luU&Tj4<`IVWOi9z#Gj9JozGf5UnAGR=w
ztIV)vV)x*PaoEAU!gCUnsN71{<SjDFEEBUlHI|AUW&f?qv3~hxKhU%`|0OYPmdqff
zYEjvhY*(YS4TD5u`7W>5Dcs6>TPrd|FYy=8_UxAm_VS%V4vQ`J%C<|a_joF~QenAJ
zf?CyN4o+)jhuhC%gbX`=8@`le<vsi%#*#1T@R_6@p(Ks0m9k0hjdyr8zd1=I#dN6@
zN3m*q8VGH0a{OTK>1DIPh*{sI+r!(YA-ak+vQssTS-)NQvPVo~KTB^n(;>!4(H74+
zNoLIaJqM1T)|u=fdcyW1Te@XyR4D7g)2z`me{(q9j<Hl_>(-TVNqWW+c#eg464Oxw
zubC!uCMfe5s(oZ|OyXnNlyoBR2}jvXKNpVPq}{e2G2J|!OqxR6rd&lylR8Wo7Ej{p
zzmUYk8m-RtyvRyG{lv8-zJEz4dtFYmJQw0%F%#lbNLv3O$uj9!(t@%h4E*nAyqWl4
zM3?KY$0x?qyw4Pq+JxdYcj~iT^yHn%q|f?Wh^dev^~FSi&I^KHIQ3l^*cl@(`As-0
zoa)lSl>S+KzYv3|!KRm;(o8=NSsqwDXTf<<<`ex4`a9QoURq#s+d(YGCg#|k&Q1og
zDGd`X?oDQz$f`Lv%6`FoK^9X+j@bX%3022qRTyTm3NlCv%#3AdV&{u>_#YbM9&;(7
zQ0CJFwU_Q2g8yI8mr<Rd6Zw?Icw@9m<YCYK9y4R|8SEmOg(9^Zw=oLI=LH1*f8;#j
zn4U$vfK1XXi}d4;5=+j<gm5rBJW0|>`gCH>q#Kt7!e-u_7^Nwxlfq@RW1<Q(H>;p0
zhxx_tY^p+4a_qc};@dW#l4Q4CuvvpQMuf#zdBIwNQ;!$hZrJN0B*FNhxNqYfrU&bI
zZZpQJ{JYM%THs63O9sUgf(l8BG3yn|+c(@w`ezh)L@4?5eIbbzOC>q(-WF1Nk@57R
zfbT>}1I7scJKBPRA3u2tH2!Flc5dlpPLBB~AkK5cCB{?QNYb4{Zj!<iUIAv^o&_pQ
zYh}(Jd)X3WA#jE<Nz#hr9Otp5U_pWS$4s0ON->F+zbf=Pg&#2me`Ksy5oluWD~e&Q
zZ9I93rS@jp2`0@WM?LhsS6cctujb?z%FwooO!8#Y=iJ9?JW1%Kz+0ARt%*$Q898*{
zv<bvKt57~~DO7m+top<^m*380%D!_(mB(JuDf!-$yN`Nq_TPK-a=OILX?vp;N+t!J
ze$d6Qd4+xNDIS+6&VT-%oH*gRv&D%CsiyC~N}YP<Jm<!dlm%a&IcFqIF-!i^Hly`~
zFhlx-W{X3KGZzLtbxEC>b>%>uMN*N+g#!-99=SYpJK@Ro#3dkxC0qKrt0rSUOSbZj
zYpxYDnV)})pS0l0%1tUOCRnIUe&!yKAi>@grNp>Hla-k>dB)$bFV5U`=Q-0<`{nS2
zb({_L27e!1ar^kkQ-O#1i6c`=%;ODrH@x6!SS_5$z{wbMqtoG4GNZiJFN5TN7ydiC
z&G^FWuDa36GQj0fh^NK1!@M&-MO{6d5TEisL;YVg&#SoKD}@+&6IB_-4_!X#csZcy
zVg~1gj^uwvFP&%Z6gT31`rIdquafb7)K;%El15C`&%EYGyqa_P=gBH%MuwY0-!=to
zJn&HQ=Hl4@PV$lr4_<Lhy09Q%Thob)$|{_i6AS(cL{}wPIDAe1_tv{m?U{olhw{so
zlY+}nW?p=Ka!bVoRnLu4E0QFV|37q2zVYutwTg4n0;Y!t%@|`QB&$U9Fmq3myeP}%
z8=0lj8?t8JoY;RGO;@acQk`_wkmtqCSQUnwTq`2xC&d1HoaD9OlLh;Y**!8b4<zSJ
zPDp0h^lgbs>IF$w2G9-LG2F=v9E)T2d3@oIVR#b*zF|AVCB|vR@_PbxENTJVoG~v1
z6WVWYU>51*aNBwIUeHI2=!txu8KD`A0u0?Q&yVEpTj|Q!afEqoGDEjZOn-onu8=1a
zgG=mxuh<@jD+`?pE*`K={+~ai-jOk>B;cvriHiZHGBywTE(-?LUb&%VXUVMbK}Y%4
z%>%A&yB}D;V-fAR&7`i*?9;*_7t6Tutb%mRxhTox6`9Y4gbsdZvb@ps@C@6N8GZ_}
zJ^!^<9OIa=<JFT>6F$W3nErr6P&zI4*uT>)M-n<2-g2FMH}OFC&F;k2XB<>6EPD7)
zaKS~UhXFAX#z|jqGzcBra9QGuW%~miMvfV6j0cn4W0LwFFxlK-K4JNg>p~~Tg*JwF
zmS6S08A{69JSgz|^x>p+qj3?Z>Zf-_9HleQ`AyiRVBx_S^V5ov|J;lEH}6z8d3H8f
zN#4@>G1vIv{gl-l>#u6@#oRys@>SBU2M*Bre_jR<V0VD+{|ED9oMUb#H2siE{_p*O
zmFMER7^Tx3Z*v|VVTtK2*pSTd-T`zNZ_KrVc)k-kF&8Z#zgcB?@=`K)=eNL>EN6Qz
zwcb=Uyt!}AmxYfmNKR~c_37B*k4YD2d0a{raNzmyBLAP!OND<=V@gx*3oLpTGf7~L
z!1fdcg@-W;2VyFOj+}g@)M0nxtzt};;I)Gcy~zxhCU_R|Z+yb^;=%tIuB5h`H=^V=
zEHC~cwd8U33cia)Gp498X)ZFfla$oBQK9@Ig^AOUqd(@+;kFyAnQpF}IKi;8{e)Ce
z;S9c$EbNDbJa1lPI5tT#dv^OXmz60e=00{lad$$|t<4sDGLA7W$Zbkeo6yxhBOvzw
zb7w)Wzf+tSeETZ#OrSJo@`K9%1)$9{KNj$<IF+R1{LJp!4M`VShL>j~9x8~&{%0sY
zq`dHkBi~jL*_AoQ4^3Qz8j8P2MDj4-&=4zBu;bsc@XY(Wn%)YNW!9@Jv?YIvd#ZQt
z=KUGZ^xF2tR4KgAX=IgYzxXbOE$7YMi%H417B`%hxPD{iq$kRaCr<u88!Yl&`o>h}
zX9@xwrEQ&F_c&@hv}U{#;b`@FDkU4!z9BE^|BVtsMQi87UAoGzHBUG#{?H)#fXlhl
zsNjLE%cKX6xk7hkF7627cZuP1xxhFfT!=|e<H|*q7$a6uwcX1*|MQ+#WAfr_<9u<L
zwd3%^dk4BLv^LZ%V|^lEzv;BWhQ_Iq4?lHk20sX^H#i*gOn`S!EQ3+6!NrI2dCvrx
zrW?zL#4?;Y5+n73o#TnX)vM~2`N|I@t{$>%40&iUNwq4f=KqJ_JI@5VtG3K}B2dk|
zq2x`B!Oj<PPk1I*y_?WBx$5LZm6+!|oE0BAVxRnvuln(fXHtV@WB&OkJaZc~aymMr
zSS~kOeadKSX>^R47TeIU?itUHm}fj(F}n+!<}*DwrT3htyCdm?-;p0{3>$oRKH<1`
zI>GWedr?Hf-3M&vRzy8veV7#Tj3r|K1VfcYQOuvxPc_f5pUm9Pp<(gr0iy%xlJsQ8
z2IaODckb<&mdJiXL+0WsVa0@n?_(w$vwZDvTKY-SD~`C6<#SfFy(mg%+|V8KgyH-5
z6ETe!`eryjf5y0NMO6Xg6Q=%{<_iaUK5l)&ynEK&Q+*aX517mr&A4;?A;XCo_t?I~
zRI%Uq-;vDls9{Iuzf&J0md$wbz%AzBjHis>lERYYHZPda#`J*U=!H)YId&L+TyU^K
zIGN$iEQ!st*iP({KWMN~wqbrD$ALXPTsM3+DEMD(H%hX5&SZN}GJ^FvlhM8i;ipU~
z?GFr}GIi{X&<<_*%gUIf6m`Lq@rLo^$4omkQdrhDG0ZvS$hz8V!+x=4VvjfIAN?nx
zwZT;R(Z<Bz&yt=najxEQQZ^>(;SNEqj^xh^x*KA`W0t+JOWFAF#{YttGYjN+Djsa@
zN`A0?;bg^vY<Kk=jyJbDt$fLyWc_1_08`Snh^1Q(Bt<42PjY%tGU5D*vnMuf?!0&)
z`QM)fYnqZCUEn+{60`Vy^8LT-QW<vL{i}4~#oo<#{w|a;zWbMDcf#@Dn5~H~?nlJT
zoMwFYuh<Ir{#n->&rZDmbc>lU?@Cq9Xv@UO8>=V%xgr1QLqpWT?@68e)b1(HeDU)M
z)2xS^<oY#U7)})Y{@`!ThVv{(KeV$Y<tV?bI<R?mXLP5mqNBh?N5<-nF%chD^O(Ol
z&yjd@YSO2ba~nS-Gd|2zxE3QQoPF-!;`Q(PcijDXEb0D<TZPgWcAUHMd4puf!})J#
z{5pH{ZDKOxH@=>SSwhu!?!W8g@@4FFZ;x5weSF1}$1|QfC#hG}_T7AUWC#1%l>#R(
zmOi+^@!dFSn?p=Q(uo*HPUn<IFS^%udh+zYzdgx9@WvMP2hCMF{%iG)z0kNgPf+s3
zMQ-^6%CC-ZkYie)cl`T?$mh2n&$(^zf?1GzKbz+b=9k-FF6?>L(X;VWzZ#RQ#Jv~u
znk1Rj`I0^~C<$MT`|$CVyx^j<9S_&P|CxT`)#H^@Y@Ro$-nswA?ehvrf4RlCyC?G8
ziPZMw&TstKV6^U>$DKPrqgR+%aNYdNy~2#sl7;=^*-nL{FJ{U%6mH%qut9LrJIS3A
z6JK{T3ZC4<+54kI&7bA;xrUCrkLSL6uEMGR>FQ3U$4}>$-+49tll6(0ip4K3NK9=>
ze)V+guiFh*cHVjM@BN0P{)68&uj=WE-Fv<<$ZqniCyjTL89JAr)}7s$w#s7inZ}hn
z5C6})_f|Ey*&t?mOwsB;n@(&xId|fzt1Bk%c<j|+o9Nz=l>GU|j|lGt=NOohUdB|%
zbWDl~xK*;^z+Qglq{(;xt=Rl=_h-o!F3Bq<EZ(Jf{MMp~3o#)xZ%V{Wj51=ZxNu`d
z+lo`{9of7SV%joREW5?1Iw48tA<GJbiG_X99yTjx$er2Jzwz5M@s%kzX77!eoO|I3
zx8bDupV+yVGMvc#*Le7G%d?MX@0_S;SY047)BE(fQ_G$)uD0#{WR(2j>5^klSe`EV
z&~y7~ViMoe)Dzu8Yqu;p!NmQ*P-2IC`e*B(>NPk2%1z`<epa_bujUEYO18*Urs${V
z<{Ws$l-=`$>B<9Fmc-dl-@R;SNuQqOBKWqY@)~0d%fWXl)e{ta)%RTZ;9>Oi!~cgz
zQqHQ_9F0C85%W3e%;BUbN~`BAy?D=H{ZlT3Jw@9(PJfJfplrFpC;FM;N$v&L-PJ2U
zo5eKq*d=%HM?bO6<XiERBjAbBCDz=xjX6JJo{4y|UH!X>%l`VssjPSFB#kDV5PsRL
z$rGqrbzNxEPY&;A6H9ou|D80OUGkLu!Y3;>K2=&Rv*R8|^i!p^^8L4;iIu;WS$p>0
zqn}TNRxRO)*O{|KV7c7q6sC)JUzT0uFl#iAe=fF^{k^KP{({vrH+J56G+C2<#;&8!
zMZ!P%{%_Lbboi6}KmB1)qpRU9jhfT51>`Qy+^7})Xa~p1jlX9~r#;NP!T3~KEk@(n
zN2YSg$1;`&Kiy>FKU`na809u8bK};1cMc}8&dl0;sWIZB)tRR`a+fQY@BHo?b4Bm1
z5m)!!f7*QA(NC23^SUd<{=3H;^mDcAku_V)BHvj|WSIX{VeK^582<G=cTe&xJ~eZG
z`orp+N2;HAXFu4!kz=P!2b<@YWM7qw^;`C-#4<8kMsOLfxqkJ@g`dx~&dt00b50V^
zGl_GR43ev~pKz>SbL8`b)gKuz&at@FG=IWWk&7vj4G$f3c1AD>WhQ-%{ii(P{R5?Q
zj5meuMk~jC>EA7CvNdm_knmq2)7Q0z57tbQ^fo9wsrtG3y=OAZ-q{^ONvu0xEx6>K
zr0^m3zuKYQ7B_axf8hA6=H^B-HOcwXE50S0F|PS{l5_pdhbvSbH5YDNxZmPJ-Q;Ig
z&pggQtJskA#4Gjjc|i-A&qrcj=d^G<NVE7TS(&)~%(aHt|0b~vHyHhyo~T^bxWp(f
zsaSKvNs>wU%C3*1PBAxvPQEZ$-EmBMk$O^3^295C8}=OkxJ%7TCi&L>3+s%ZNJP$f
z#KO74Hs;7pfjJBBDaa)qI#6flx>DGYp;<FYl2P(ep^on}-_1A9edKKTb1)!D_tcpW
zOCS0)CdtRled^1bBr^L36JzL+lapc|JoC(W$#9-$+r^WnJjo0TS)3h}T#^|+Gdxd`
zVR(IKea?+^BmRUl_r(_MP2%>tz`0OxqNEdtvrUJ$5{IWSGp|dMkeuC%g2x>Hy_i&(
zV}%%AG6}bdN#BV0;CXOS<V1$c#~wX>9IwVInta1*rMoakcXH0ZXAhE!SarVz261e4
zdvff;<i`gqcC0aAjCqt{wvx*%W<`Zr(h9Dcq%%*Go0FVlmdto^{BiQLgF&A=9{qTj
z^n}ZQg2=}Oa}pGiHZTg`a9e#-qv=WFE1S-fW}CFR7w-QWDe-8<)d?}$60a`i9sem`
zdGfJ@)T3qnoePS7Z~1cO>N@wRm}5`wpP2IK@jc^L7KaqB*u~s#e)cSuImS8Z==_H<
zPj4nBJ&O7K;Pyk#qzAJnD`ea}bbcc5p{z|-X)m_*Jc@lD`L_6lfC{GouQHR_msM9E
z3f|R9I{kK{(FY+$or!#M9seX%EH11o%=p6jL&_$3y1v}!%1j1DH>2ohOKf5e2y9|d
zeG;me<ZXFp_T#B5FHO`4naI;>XZ7jEvS$%eNzoIX#8wF{d>ZL1^zM_znMaW~Z}vW6
z=wEyMb0fc}MnKdjfiE#-Ozaa+B>xX&)Sl>eNO}Xy^M^6coJkUeMH+X0EIjvO!OBzY
zQZeVcKUA-sdHntR<R^l0h3RQ;dHW|iOl&xMV~e_hiS%P>CgnGR5|d|g7{3v=nk$<A
zEZ$c*UF|LN>=iE?KW|(iH=$kX^^;iLo}}!@Doo-(WFEz+7&yGh`E-Rz^Fi#-7oIv_
zBbL46u>IWqgjp!}$2k`PWoCo4Ne<RboDbCmD`zv`ekOC8LHo<|IUJvAHnvVu@ntwX
z@p|hXi#aZgnRWsKHHQzq+V&)lMIoFqX~FXkeG@EIIJg<UNq&6!acXi0>&r(uJLKe6
zeUNMWc)F8ev9M##E9r@vs*=1tZ)6SqT^NoUy4Y-cw!rMITx=HaSNR>vs@<C$l%i8O
zKff2R*>J_~!F2rwGac>~bDq5w*YCb)Aaz<nE6LDr((@y#JDHS|6ud3HRvq-%<R-xX
ze2VAF>O>>9_Y%nr`<6bPeE*X}`ome9pHAk9WmwcbGxCkd&W%aSFYohEnx5h$_bWTO
zaqiCjlNon2a;pSuSXE2Lc65F9em+s%a-vOA?30P6Ngk_W;v}{k{!|KFBq4G5^!@4G
zv&)T@5<jHIyt;bx1<MA`q$g`t6l+gEne_XEfz1t;NebH1?H4rt70ksR_j^B`xSaKI
zRi=^qvcpbB-sMRtjIWbexTBuFjAT|6J9^}BSIdXHj=__PTS9+6pSbiA&(nBsC;jDG
z)1FP7%>7lNG$We-bEWX}r<0;oSf5^e`gws%#gmD<riw5>pR{y}+{=~QKR=xqGl`qs
z$zJ%c&6CMfW!|29HZgc5>+?9-x0TN(`YAuX5tG=#@sP8@Cy}G!ilF96#_k7`9tt0S
zKIwC&j;QyuN&9toCyBpR((HaRS<HUZ^GP*cDtp-~PnnxOnIs%D`{3`u$d2e5R<?#v
zdGF^_Qg56}j=QvVVukFNsHL{gCf%Rp_BLblTalknJ%yi7>SXEQY-f2oarvf~1`-b(
zJ{p|nc#<FSq)*IwF^lnAp{$#RKRVW^C|y&M68nB)%Ax4hwlQ-bOmLpou_h&#NwrbG
z)ipuI=e@)yj|*=+PbN6Ml&E+*$!x{O6prS{Gcq2t-q?}IdScUL&;K(-d1L-`T2{_^
zb8SYlZ{*oYEX*3SpHFi4EVui4=Jb=vH8vAoioMEci+L?+kZ|yXP=kEOO{K!)2W?(5
z#)LiTcV747{<P=)isms7tUApyPL#?$?U={^wBJttL9q9{nCE@!>^FAxKj{6j<m`(B
zAGja(R%{fHV0zkjEJ=RG&*KlC_6Mn|Fm*rbcL?YRQxJ+h(A>{>pzZ9z#$!BB`itH_
zT0W7p>+<HaGvbmT`%f&edNyJC_j_wQIo`0HczpEvgiD<azvC{jAOC5T{-|_f!G&l2
zPdkzdS1~rJi9X-B|77y!Clg|#*fLcv&3n>ceI#c2C$7Yq9giotOg!{ugMiKp$43<_
zlTJl6g66QF{;*%<sq<vQ-III@Px^~F9quwFO^TKH$lLLA@$u&qilW$3o%r{?G1~sT
zFXiRziCU#6=1iRab;1(&nZ=tdWuHta*~R*NnvMFC39js~yIJI4|I<!A{j^_wVd}JP
zPbO@8u{iR{gmo!m!gmf%oRBX+&+I@lPtwojX%jX6t}<X05&pLGedO~Aer&1ULCPY+
z`JE!?pY~7sqHy%%9i_8xx?hXj%-j54WXq!ouB?x*UkZ84lD<;CA@^8Gijm0YCw+-Y
zFFy)zE{r-U!+WJ>>GkxN!GfDadHNro-z2Z|Y{GJ$r~jwRe19^*Rs6iS*Cx)*e_pkT
zoXF(Zo$-8T-$XzAA0iQ^9r2Ty`MG``S@BrnS)Xu{({gRLm>U}y%oZH9OL}p2B8%W6
z<}8nnj`)y!hZrPJxCg&F$l4xrVuQ(^h8dMz3lx_ym~QfmVY@JYW6Z<835hKHFO|<e
z>urviy&;R|y;v*{qvFC<44xm>zn!}3!>2Rn*4}*cEV*uDN1pBq-i^MU?vHMW->_dH
zRdKiBp`y!)H*yL$j*C@4XfbF{W@voFu_2k^-HWRS!jm>NJe51bljM3w;I&xNl`^w`
zn~US0G)_1AoAYR+N0Lv#S4-w6djkI6e67s5!gT(#O*gJLGR7>BS)TJ`vtm*nvuRBX
z`=fh892XdD-J+jt*4^<r`SO-`yjHpVL66rd$8d!RKJa8Z@s(5hp>xuqdPU3Re-Gwa
zzSvR2@b<~NttS6%Zu38TySYVEH1^*LIe$iuN#7sbcD>r``tWrQ<A={;E9X?+48CwG
zg(Ln=rpcABNr!eTJ>*Y%ROJ?<A$zPz=;;peB&BdB<;52B_pv_N+52Gb&GkHuii|8V
z6HfTD#uRjN^&1?I`FW}4>CUYy7rR^BZ29(K52Mig>We(<<?jogsMO?HzkeeGclip%
z37rhWPwibL*yUc#l4E*cw`og2?~@I&K}IWOr6pIUeZ0iXelF@qr_#iSFK-7OUzz>r
zjk~bCcue<)-LKaAONjr8nZ$Z9=5)-<qbC^5C*0$5IeB5XV%^hC;xU{KyDwQb$IfAB
z`BM19$6sOM9Oef<8YMqI-_jcU|E<*Wtuh)<Hs#KLWE!|-&I=2PO*s!t<lfAif9w9r
z`)4P5sNIOFWaMu=uFM#<BY$EZ4|{7x?CgievlDE$KS}wrf3kGS1UB&-oH2VJZ`9eL
z+j8W_8uplH8~Br)!eS=4t1zZ=Rn0k=^wHzw*7FmbyLU!RlqfJX$a%71s`ket54bF!
zZw&X=`6^kI^!Xge&99TC%x*097l_;Te49Vd`E!#?Ci!+h-~9e*M8HSBjgPKdzFe5x
zuyo?%vrpEC{d}<O$Lkk5b3Xo^ZQHDoboYU5|NZA{&u{2I{`c|Pv=vp4Kc4vBEShxV
z@tU*$qTF9!Xi)iQ_(b4!PAua~fo;#$PmwV@IO+4#^>ex0Yo5xU<O_UL9=q+?M%9EY
z<!#F+*x2NMdbWNpZ|F3}!%x?(jrNhSd&VKXAxc>O!5*&u4=i)-&8ugd9BW?qoM)O?
z`Hx>uep$`F(9u$T{!oCjSbX%;jVr}sZ~S<&uI{NA^Rso{d_SMgusZ)!_veJAAHQGl
za9d;dY?46uZ<&qFm-%)I3D&zl_^&*VS!inflST76n{!$&{%hDi=Ys5$m%gl1bpz~Y
z-#afWKaKr3kLbOYSIb#a{g{55JzKX{ES)8DDOaONWH4*~TDGfsH<?d9UpGbPE`Rx?
zn3Z~)y;vTGdnd&6ZNGjp!~EGgHSVoHjbxuMvT|JDCl&Kt>c8BF?bQo9#2)(F#PB_}
z7rWti(x9=k;fcL)PozxAgGEnn8b9&!RPNry#QJD)#8Z2Z9;F;6{Vev#N~sHv7O33q
zXB3<meWd*`4};R2H5Z;PZcSRuXuB$gHQj|_l{NG0P3-XtXQ~C2#Ihg!#B})4LJ#J{
zyuNZb^G{Y)Fg$s>I4Ex7tt~vgPZuxUucG$na`nkXOJ?SGi8>4#aZ?&nY@RH+S~)9u
zvZQ+1<4-3qR6bk2E5%G=k9W_$wNF;6C+`c{yK&BnbKSpECNNyon4I{nLDux+()O5%
zyb+Twemr&9V$veFn7!MT7s`EbdA{lI`?y!{ZJsUhjGT31qS!O7=f6$g#rauWnD=}^
z{FO^-=iKVoJwNyH)tmUKD>rTY_}ubM{Jof#W#69euXwV=N|*h6;@<R*-gU`qQxfiX
zFiflxy&U6zvq<jAlFT}7KcW6dOMH1g#N6xXNxIN3%#{7>{PX2**IsTt(Ia_b&ZNtN
z8PQkr8$KPoq4#LbqA(WK<Ii|m)8EHGdv^2X1<8qjXJ5SYNWvw8jm3A?rwE}LnrinG
zJ#*eXj9D41_qQedP3A4W`6n((+zNimn%#A_{YPtT&kcX(y)}2A-`ilnXHDMIccM3+
zY%kIhKbrnx_u6dVg2iErr}Lbg%BbA<u4t>>=LZRKj4N1fFm|X;4%xua%yVf^?419`
zkJn#tnRq*6MS!{b2h#+b`c6}qCoIB>2|jPwJ3~%ZvMxy3z&PQnGLyabvRj$_E3;SR
zf!12xTp0RfW$EL84BumfV*fj6&zxPh+UC#7oXUx;$)6rf*t~ew#`ljx9g`Uq-U*5|
zy}Ze_xy_g7rdVtW!>y`0E93cNyga}7CB3WId~Bh{qis&b&0#jN54QF&c3jWrQ(@GU
ztUTuZpm?U<d?Aqy9I+2}Okh0F$jNQ<V24=e$%xqh=}&fM^~Egwv8(CGn+4x3SM~8f
z+7`?IU>oD?2gMth?5$VYJn)WXxcuM@Gh_1qJpPAUVw6f4cFbx>VdRv18v8#YHicm$
zlk@W(69qzUB)4-e_;3E`RYQ;Q>Y%gFc07J4s=yedek$f8;~(h-Pa-<zY+f)aZP7XZ
z*;ji0eKlC0U~k<~E!O%wQdFcP#_+4+o`+pd9U@v<6IG8s*!Sq2{OJWO-CZ(0ZyrCm
zcJ#&>PGO7WfBLIpCRKk;eW=-|^K^ROY4sKBn(ZxrK7X_BThhW!9QNGjl1|>dv3MfS
zL5-LfZk&?ak`8x2ik3L|X2!i6jZ%Rumfu!2xG;Kl@6<|2{;wC?G3)q^#mWr4`s+W<
zdltT}Gx70B{tBrB2i7du@MBF}^aQqb_gOwYIsN7)i_8l{M)d_#1z6;~UW!Iav^+dB
zAt^^;#!Q~#6P6A!J+c489!|A6`uyzvRY9(bYy4gw<$U;UMeUzee3mopW={-jloqv~
zaZiQu@p=gl&YK4m?!^8JdZ97tYExO4-x38Gp3@ddpF;XyM87kM{;)>uEr<9!lLLE_
zDmwZEx)fG$$|Pld^1q_~N>^h;|HGq?4m@UidaUr#aTOLu)<)_2^}DLy*=~Dy(&E-H
zIpGx<|GrGneY4WHQ2c}T5q<&h4^Nvu7a57XQ4XK>^u+g-Lh1_ZPX5#v_&(F3{}IPz
zgQ*QI?t<K(7S335{czHQ@Ix^VPB+Jt8f~$d`0@OQfMkY?PtLe--e@}au>0keMQ&EM
zPfw+;Y7%5k6zG3&^0koiJqFI|RXgKmoRN9h!(2bPVUnP<RJH6voi~E>MWxTZbdP+^
zJLj3m0$F?hM^lq->`SWZxTM%2B%72YBzx(}SwX{lO!gCubqrVBw|Vr2b?(d$vSCae
zcPa!QEPAuD|K}Q?*Cq0=EjK*te|k>p^@#-*K9A2UaXmaaK}qucN&~)vPK8iMmx(W2
z+H>D<v|f08;rN7Y^4C&gjCA&{>v><vx<TpB+U||48$1s)uIQFytzXk6_TWtQx~{#l
zSI>P2e)N{L@Ta`qvyVUaB-KpdeAxEU*fA+j;^v#!72O-<S1wPRHcgR*jZ^Hx2m6x`
ziVU5<89#o*^77QeknSLjm?t0W|9+Y&zOG%Z_N4vV*6p)me#}|ddh)@?pAA!W-ZQ<Q
z(dwEbsrUTt&W;C9-bT&1z3%zjlnI(|nRniO`nK=EGuEeXckgXn_H)JNjq6(;KX|3{
zhVh|o($i=6p8Ye5e*W~ylYgDzj~;z~@^??8@0*EpKR#HwiitOtQBG=>e;Lz;3r8yN
zo?nn6FaIX##fpmx?~^)IC%lbic*UXcijg(;U)h(2r5lp}y<z&}IkSC1;=3o$epy+s
zs_W6cle$3W_z7kv&X_j+1Iyn%d1*EOjq0Npj~CuJv+<ppVp7$Q7U@REc8geshD&$N
zmfyH?`;FT3#t${me(itqLUZ;j#U}!a`*uuIs(j$o5wLjkvlnj^*H8M$wByE^=PyiG
zW_RSv$ljR!-+sl0ninrMk3D|2Vw*Ed!rvn-7m5^K>ZspnvwYccsk0`j<F($gN6#J}
zeYT<f^BbMgBMJwOynd~lzUY#BLc+Pr>K#94@7%ZXUH{Z4QE5rL+0L)I=e_LB?wnVu
zn|PMKP>EdmbV5v5g6EURH(%sRJb0Y6m0@bc8|Bw?d)Qc($8qY%9XwR=Z_(2q><`wh
z*;!!O?Nj{h#pZ{n7P)B%<W69WS<1jY!N`36Th-1Z_RoKDHO#1al(HxGgzb-=jWuu8
zpH8UgkDZ`xJO7=kjCk3z`{$D$-b*`pw*B9uw~s%pFz|m+^ogT&r5{@|qpbbZeP$0&
zYYG_iPfve*<+aM^1^UiMGS07IUv=llD`lAnSB~cIm|eEw&Yv|0ew^60`Mu)Srn!cV
zEM||t&HgU)PD%f*m}&3M4KZRbuJ3$)xcH{ru?>$WbSevM&)@jWhI>QF8qN(DH-3Mm
zJyDHg_KV!J8|EKoI^os#LdExkNzcd5sVi2SR`0y0!uUdnLvi+7<(Vd%*Y`|&r>MVE
zqVT!Z6rRxMm#uFK=)RHpHAUq0#T93t?S1-+{d4Csi6*Dy9@&!}#~ZKjcz)#ETe)kS
zct7v?y7!su=e1pBlSF3nIDcC5XKiPxrta0*7r(FV+B<2s*+GWF8Af|J*VkA+<dA-`
zPxxKZ^Hck8y%h<v+^bNLbSwG2XjWpJ$dsf8g^3x9Hdt)DVl?4YbjHr6#^nEAx1a6V
zk@vy9K~yc;HsV8!^+fI#Mw6H&39BPsHZKwkINtB+us15v<NUn$vN@Bsep}b~ry=Gf
zv*wO$C5F26oebAxm}7dAGVWiK`Tgs~pY@aGGW7nLS>u^t%x5aL_u)zAlWe>@eoSOx
zR_5fY>DKzh^7Fl9&6=3*rx9y=KR@4C&~e20=GtEFm@mnPb3e{ov!XZhX~e{WlaJy=
z>(}&%?N}AbbaQR$=M{IJt?cz@(=`g4_*(nV%k@25Id`WpPCUGN&&oNGD|#oh`fk!T
z{VAZ<`R>iClAmjfjejacv8c0Lj$CM{^JLqeq=UOGckI2(9=(a>@^kmfH9gw-2WmdC
zWv*-Amf4>o@Mc}_*|j~hS1vWrU(>Ty@N)|D;b#r$e_0|oaeY0rL`8@-e_hWc@m6l_
zMuwy7dZSmfAB^K^H*4^yteyI7Zst!J&o$3aUx_{;#J7jJUt&?uwG#z=Ht)EW%9#0_
zJwNX~&ou5ucQ?#U6`Jr);^mx`5elFFJed%4Qow0R^Xa%9JvJ|Wf3aMhu2lA3VkzqU
ze*((<|D4sw1>aqW5#v>U%^NsPLWS+&s}zZzYc;p;ZF<4GJ-brn-}AXId9FNX)qXl}
z`=jEc7fnA3J)Kazn(wRaTb}z_GM|H=Z2WhlD(6Oa597_YXZ`cz^=_ONX#JuxNwi$0
z<B8=2|Mxtq4rlBiP1xkP@qt6d8;&;}Jrz$TtkfzM$yR&Pd(*3F<Lx((do3=m(SO?a
z{FFn@g<C~DnHT$xJ^cEt&rkAU=<GkomR=Tr{P4?@-sQq9x#ubsPCxC{RDN<MU`PH1
z*{}zFDIpu4Jo7a9s4MjM^s@(@D|$Ge_D%13di-hM+$Rjt7q$6g8TF4^)II4{NM^X@
zy|j8|$D7cneG*SLpMG-r-ht>1-(nu5oM6;_;B{E|aUZ|Mn`DO0laX8JDR9MHJHh)&
z)2L%*N&3O|vuC!=?&!3?$9dsG&#fs5)iw*x-dk0(X9vs4i0~QZT~F5@eOc7$obhkY
z>&XnezFc4bcb85l!?o8BC(Cb)`Mcur6y;>@{#E*sz7@M3-&u1h(Bh?r(ZPh@H%`?(
znL3TdkU?@!vq@*<D(2f+M-Qx?#pd7C5mU>oud{01nnh1$Oxe-m%6R<Pxt1rvDF;sV
zay$Lfd2s!c2h-`GL&6Vi_`cL%_;iCQc}1QxV-jQKCW}kYrx%~}`MR<>d2PLKa`dq$
zXHOQ+Uhv8E@w0PJ&)s}_v0?@5=jSt(gFGS`=02G<F(mx1%Euf3BOYE^^Jv$J6F(oZ
zepvD3YKim*BRkGd)^T5-%+7micH%wXL&giL8z0<!yw>sUo+mR^GCr3bc|22s`F6VY
zlbM?exOe`Y&`~J7BEj_V<84_Jxo3BLGSz-q@J9N{j4P)lw*B;ud^kx(aHpWI^1~y7
zNBdtmJ;@WF{b?#wXUfg|g%dVkP*Z<euK(bE+b#9vC;wmC9B|llbMupFm!5t&vU#<4
z`qODkg_6YBsweS^J?`{=a*-*?=ydFVafw()&X3GLgI}#XV{QHL-?f8(dLBzZ?Emv@
zTFIrV-(gF_o;}U7e=_5wrs~6|U2ny2ZuqM9($uK3DfffyCWV<d9F!F1>pz`&va$Qs
z)*Cy@CM)cIe65}Hz(4z+iaVap+&P6Mv!TrPrh&$TS%N~ocRn_KWPT%g<Amj8Cg~MZ
zOe=-5zqsysIO~K^_1cSZss=sPTLsfkY?!FH^zlPk?Wc1j-)Vk2u4VpU{)d?7vx7f9
zSpM<o#LG;_Ul>o+>tA<%uh|=;_$sw*>l6RpsGjVJd?k{ikoiuSb8n3G1&Ojt@)B(?
z7c7f;qpi=Ws4Jwb6?4q+Md8QDh_7lrKkOZfbl&j2ZB&w&_@d+UTaD>AZno>b<$hkx
zf8rIRT+9irNEh{YJpXj=Id5`!e#d#shmNB+>iYtA^!!*Guy4&1uD9=aR&HU>RlNJ>
z>A9^DdO9Zy?>#%WP9-W_^Jo7FlSz`Bf4;AL=jNw1*Q>`uYpvWn-mNU}nLoaHdVVYO
zXBDl_p^CFRLkxR$-t$c5dHO0oqw7g@MqlSVSDwdHt(yPzb4NZo|NHYB?kln0ri(l8
z&wInI_w%*z?EVVYx4c^4I476XTx{ILJoQG)p};9+^PisI+Vm_Y|3a^LXQfr9$`=Nm
z51W!!t~=cS{9Ii04*891PH%gDZf^z4jhICK7cUh5%t#4ixiMAYZwyPx%=%|%-fnR9
zd8_zI>v2!;2G*ArX>U1Bp3Hc@VvB$EQ=z2utS1=tn+`-U#_ss_ka6RemPY9_F^|vM
z*G>DucBD{n$D_0J8%@_N42pbsF4^b@EBoK1YZo4!JHn`V=fSyoh2;@@cQig0YWV89
zVXfHY2OAj*nNF}3imaM-ZsYuyb{&Na?>;?eesDraGNbg44k^a^2j`L)&2KzCx86H3
z&uGSq_a?D#?-g%;$M<C8<tH0<z2VupYR~H_ACunj%(>BW{0&dBYDVSpN#QY1)1yBL
z9DVP!=?$N1_2vSxS#PUvu9^3S_uP!vN|gt-UnYNhR+1v2`-X4lo;Lz>-|#-2%=mPM
z;^x=P=}%gGSswrWWc2Xax#u%NBVrdc-r(){xcN=|rJL6dJ~W!cbt2`={U_&cO}%L7
za_M;#%gon2Pxq;M?$)^9|AtrOd{m$Kv^RWJkqT@-Gd{oNN$Gg*FQo9vAma^}&n&J-
zE)gC@JA~Yx@ELTx;dM-E49fbp^7xz1!kaVxr3hub6YykK{kPlj0DJQPs#8+@We0^V
zV*ghzI51Js;P%Q3qW?~;2ztQKko@rC+1E?B%DHbHSf9M$Qb!$cjN3^IriWWrd}vD2
zUT|k)1JC@XRd-KVSxC)VKJU{-(QCrLLO+NlJ-Je@Dr5I??LGS!@&;T_R@Yc8FgbQX
zZ&gtLOWA(@8O`Fi*F+v$tp4=ez3Df$wCcWeJDhZ6f-m3Rl%(nixr-GC+F}_RAN}Hb
zfBv2GX@|(wX0z6v+3U@aSNH6PU1YZNip?KiirsiHYa+w0H}*>>C%*L%jCt!m)qua|
z#jLm%xtmXq`}f?PVYK46X=mo|3tJUldbK9~d@IlWWp2!;)*Exz{yx5AhR24`2BYIl
zD}Ku#c|61K>7S)q{qHV(6t=IPI5X_|AFaxOjc;{-etYF`LiAUIqLu(tOt}hA$Iln4
zPk+3eBoX&n?{izxtoQ2Cj+5gS_`PY=Ipp(T;=Ct6=GMP&C}sHfF_w{`GC{1d`LRet
z$=Rph{Wr-dtUi^rA@=C=AAY(nW^S)`OjCL-_Uw@LoV$}gRW%>FvmpKC&si0sFPwk8
zde_pa7qjAJnz{Xn8%d1fjMGk@@9;h0v}on~en$6>gACg2AHF6sy^!?{ba8Q#d3T`d
zQ;J;Gk7s|6cURrm@?6Exkzx5whU};RcKR_tPkS%(lj-C7>mQ%}3;x6*{cP4`i_9C6
zPyYTq%I%x<)3`r+-<y*Qe>8_HYp_VqyY<#TchmeN&hx*X{&#0SdLrrFgpP)Q`a_&+
zldisDICob`<Ti_QzogLO88hpzH*XTDe6LsWj!|=_;KTQmcs~g<TEAlo^_Dm&-W>Lp
z$xmW#mRO_r+YnW^8Oa}g-!Lxs5}dwC#q-IRB30(V?)UL5Tg&!4ZDOeYD4+hT=ZOQ?
zVUayAcs!I&^M&f|iCQTc$m8C#nCqSJ^eBz`vZr6HHfp9Oy<_^EDWV<AdiAss_qJvI
zESb|(PCorSf08hFLhGEvBJXVHd$B#dd&$C_Z+qz`tE11q6rGeg`<C@o+|w`jp8QC9
zcKGP?FMg*TGcNs$>`d9SV(AK&$jMG~pM0JkE!?KWp5E@Es{f90E!#o8n=+yABqCj8
z!k&Kd<L>*!ZMZ7w`NPLY->P-JUUB}(m%fvC{dpok=`cR{vWP|ViIvxr&+7fB=du3P
znP6D9;`$a=!vK*hEYY8Wv>$z0#2T9t)bs4i(}<X7Uv9p&?|RKEtNrA&M+`6bLEh<M
zP1ip^x{>_O_2}Ex&z^r)Uw-o^k9OqeH&Qnrq@LjLefrto?gxA4ia>7Deue`c-$iX$
z*!R70TAzF3qFCR3`zN30zBpz0w)rI2hLuY^Uui8ZGvYXQuwWCL@TcqQ?7VS|k3Y{j
z()jfAT<>VkqMH{EhKOD5{3x^~_JUkwchm9r7SrC%`T2X>^XHSAROTGK`=?m5_}!ds
zZ?vs`dR(6ndc5a!mg~<&CT0(J&VT66$eeOQ>FwN{ck26>%(!!|)9jsl@S6qQ0p0AE
zC%A6dZ1zS|``Po;E{C2x^S{4Rq;m6HiMdao%-{6%Yvr>inl~f6e%${2ntSHEnJODr
z3FhZ-l(Spt-F?&TX4K<vD_xKNeEjGpm+^=8vImb-He5N_^>M<xM+ZNj?>S{XDe%Tb
zmK*1E9_VdKO=+BPLh$JX?W~AohM(6bPSo!DbpOw@`!UrA-Yb62`mjmlRr%qa4^3m9
z-aFpWrC;;vCEFXX2lpyoxc#tye%Dg|fs6G0w~S^FJgZmOG!=xXCow<DJ%95@gLKTf
zqzgYyS2voToE-j!@q6Dl_9sv8%1Uy2Ke#pj!JmdB*Ck|b&Z%$cihF){(vi%TS!;dY
zY%S}Uv3bqS=}+!YU)!?o(C3Z^SvtpFuHAHC^`cV_$^T6gw%lM&e)#ZXi<g`$_lp3|
zx}=E<k{KIJ%qLkEeYz4+IPb}Uiw&R6qo4lHZ#eWsh<n|av&RzOeEi>YbIqOTkL!M>
zJ+c2h)o@#vQE7^<-}4vCH?R2d{0*~nOb%CN*pnBpg4B$jz3Kb@j#2V{r1V?cB>e|Z
zKQ+WXbTI6^6`qsz#L?#RgbW`3RSKM|JKTOgd-C!KOSsSqx#W*C_e@kvd)aV9>@^e5
z%c7+ImHTHXm{#6Y?z#4M+AaULWtRF+A8mbG`tkXrPsd&*y)M{r_2J{B*I6kNwGSR2
z|8i~K6urNn-aDs0d;EOT6kciNJ#T+iPLo@^ZRPp&o*(v=lU6l&9(|Ya<iS%{rrcw%
z;~vLM$YHF1)z*LE*|WKEEP)^SUx+?h`=Fm?-2>Y&mPug~4b9&AyxjC5H*&)CqfcIV
zdv~QuK215U@Z!mf=RB`nl73u?F<Nu?cZw(9(`QeE+}NJ|srcTIRq>kH@U1iJo|u}C
zGWD$uR{We#V)CS}H(uNPidk|-!-=ag#wL^Bn}?;oasKyua^jI)%O^IkZr09uV^aF*
zmF2;$9UZLPJD%HHzn6JGgT=NoBY07m@GlMywWRm<l^uaARx8K<QV$DmFf)E<Z^U5n
z#$LUnDgKSo%y;$?G1K_dAHT7GC3W<TeN5a7$vra#(x>uGpF45)uMcNeZ0vh4DWCjO
zsv-J(OM}EqMai!824$n*iH2{jZ#JJWesAS>VD5y_V=pXUn5Dn5SaW093C`@d<{u8;
z@Hx!*&cr~r!LMurui&K4R|lC2U&j7_Ws$z)>Df0H(_To6uWoqv^Nm?j%v+hIx89hS
zlpbeku+sX#7;~@lMYmVinia;3vHx>pbbfkFUvstag;@;C8&eCGq<1D3RWXVSerdmy
zeXuu%C-$AO#M}iB*<TxPi1~W_mEn#Du7{Q08GJm~aVLG^s)t7&nKiP<T<q9hTJieE
z(*~KCv&sLv7kqy+#UuGy>(8E_N-I4!KG+tqSYU_!8-sniuQQI_+j8*G>^DZn4=U_B
zqRZbKpS}BVURr~g{U4W@H!gctetnp{`Z{kcL;u5NZ(Zk}+T<Jg)^?T8d!wIk+$RbN
zPvrWl^CDmEt<kZz4e@V1PRGW*EBmpkvE=BR<VzcQ-g|6n`nvI5;*=_-H`8sdSHE$c
zSaA2ls^pkguI{J*)^%xreCtxITH#;z_t9&eho36GC%sLZR3QA$Wv-P)%%|9wde<Z-
zoc;L5dGeD(#qTxK-?^lJtTBE4%0;=_>GgzP5pR;qZtwfl;Mu>W`>ot+o!2=sF>mBz
zp1+WL>yf^ZW&5F*p2{qTySMzG>r@)^MsClW3GExVz4!innmPU1leaHYHoo=we6po@
z>u<Ri^A9979J76sq&AgrW6}hP{sprC_e|kSOyYU%=gwR&_CZ03MPRF7_vMoU`#aaq
z66}8OFPl7RcJFu5)l*e}y!Z2L{#n=HU$|#oQN!FPlYhSUpE!Z@jqPupHx1V}Nlb4x
zsCZkiWhvu%?|33dsBqF7zvtd2wm;W>u&kUCy8hnDS2G^Hk$Ls&(|d>D_fHR~T)Z43
z8TbFK16NYOnwV8@96mhRVdfsmZgg*k?8K-?9%5@ID6l0RkNI|KMOt#!tZAnIPEVL(
zyfORRPw_o7&Pc3K<CqYWac9kqm~VPBbrTuCUe5nNRZ%u3Omo4Zhd&P7j`+2pDv@WQ
zlxy0YH}7X=sHLsC|0eOzrMb3hUB_O}onP5lAhBly<21h>@il8+r8Fg-e`DvJ{!VMv
zsW+BhlM?e9xZWh6e{bhiB*=7%wQj1mccw(xTeVwLwX^NsuHMTUDO!`l9Dl>tH6`iT
z?qBciy<W4VPiLJtwc&ctK}nW_yPmSXozh_Xlj-1_6f6CON6NNt$$9x}-}~fEt5Y7m
zWvs5`vg+wgo&QEJqqOE-p(^(u>o<1YobO}a8BD*-w`9`<_h$ztz0rOf&USTw&BvT~
zL8{ube$EhmpX$XMxLxDZo_85@nl`EkO`0*$`kmeU8E0QG@@=pBX<@YP@^Tg1W+kiF
z>sN$)YMA@N?(r+t&F>;YqvYK=zixXQmcLc*^V^8EajN>c??Zm4YW-TUmN)so_RY<9
zEj#AF30=6%^moU#8#8hYVnp6JZgQzuJ+G_D@oI(i@9l+`q|TiVT46HpKD(;^$~|T8
zbgVqjSewn-VO#em?$(17Cw7FdJm3HF%*Pw$Ki+KF_C~ijw{!E+m3jS_^*%rC7S~(7
zkvn3W*2y;_DoiJ5uMBw?z5WTa^2#{-a}KYbK76IY)7pQLkMZ%IH(DMCJh^H&ZB{*C
zuO#94b4THd{cj%rw|J5rc72N8>Gv95CpoegrafD6!F3~>-HYqz&dmsUm@<22zis!1
z%}o;W8&~{J`sn<8v5IW}!VNcCkI%^X_`N}lIhK(xf5GQ_Yvy~(Yd(LkxLk#KqCjo+
z!_qfz7M3x^{?}0d(8e-f`;|hH!gA?{28A<LeY?QEW<h*gGULSx@!xCfHlCkgH|s&L
z@w>vC@6z`ii>Z7o8>tX+<7>==XA_O)ypk1-RLELcfAGKA3z;qk?h{v6ggyM1zA^ah
z0+Z^xY0R5DndU!OCOiAk`8QHiwdxO?lbczfbYR61SB6F%#+X$*HzmZ*Ec+n-R{GI{
zZD(KPeq?y4A9>*a<{916-C;2cQiLU}w5?Zll)aK+`Sh7FX14uXnNJdz4sLLqACt}$
zF1O@qLhQeHv5XT9Mc1#rb@OfXsg5u5jP@VWkG*7CakxHi!Su}w7`bBVUcD0U`4RT2
z+V`N>zXh*8?|7$tFy!%@Q*XswIeg0&Tr$2`8@6KQ30X$pbyKXrZIL?l%AotD#Y2vV
z{*#r2UaUI1B2PPIHG}(G@u!mxK71Q{_O1B2y~T^(yp6r_qFsWqf%ovMHAyeGc5R%0
z^y8VxS4$@|9AD*MdxU{q;#KaA32jf5e!ZP;{ATU^wdbaPelI=w_41EzrHi(682;JH
zH^<22%{!?R%GYjKcKvJ?mYALN$j;%`@^_L?T^;_cHmv$2aHe6wv&Wa(ncu|s$85|x
z`%{O%WB07Pl5Zw!--u!B5Q>?!C;9)%3n!SY-$<OBE};Boqv(yt-#$*zoxMSMqJX=A
z<(?Pf5*sw%Pfm`UqIY(K;f%Kr&u<kyANfX1Gx^=c1+4OiH>6k2xRh;F;JzWJ>}7J#
zSDE)>Q+?iCw|gb{`0dSgbB?~dw&mfvJquitH%Yj1GuOQn)0*qzx9(P~QN!xG_Y0qB
z)^5uDyy3kdqvYWv{nv^2R^R%#bN>Uzq*cdc_piA%VZOq@x00ei9d>UP+xS*esYvIc
zmr6|QTgg<;LN|@ou?+Jc{N2I-@ttJxN%r^^JFV8RthsgQ?gWqeZ>wGyeweWA&6`tO
zqr7jwjo<SoYD>f8+8>;6q%%Lif2zAcxx3lwg{b&PW!0n~E=o%BWrsJ_<-Cg9_h$0;
z4zA~)nhwWIIIt%D&7)tFI2XU4xVFA6=A?qo8^8Jcrz^I1s2+do==aHU>H5?6%Pw5&
zh%=rT^FI9T6NkG~_S;U>Vl-w-ec>;9_(V(W+Dp}^wb|F4u7CT+Z+ZOGg0dIgUs#^2
zGTn{-W44Lu?YoJQPnMm0_fjob+5Vm1{Z;%=Z(rE*A^OdVPrBS2_s^N9D{%M!+S7A+
zKSx==4_kYtI#R;$#{G#b*-^juEQnP%T>XFj>Clr^%$>iJzXadDxGjC{neEn|+>8pA
z-uiVf@=_FBKQBD`=8S*t#kXfyJk9&I_SWjBQft?2+gr-^coLJY?CbSsuKxP-#xLaR
zB;|>nN8e0bfBNU^*)yNjyq#dmmAr;;;a^$#mu?(ANkLy2-%QA@U%+y0x>xhFn9Lsy
z_Vuq`K5`QHx*+7irliOP;SWB<%zq$o^tFH2g<JAQF%y5ie|D1L!y7;Eh?qw=tBv10
zH*P+#J}2}=blFS)8`3ZKDgL?eC5-t;aK)Dm+>OjJf9BL4-}oq7Zd=txPUoaIew#U2
z&%Al_$>MgG_x$%$@+WCF8vb0U<eI|CxVrEGTa4cg<<GAtSI&^<PugAIp}z0k<VwaB
zv-?d?<kh^Lyod2czz3ce=I$3xG}%bZEIYR1+(LV+eeWhupP;y))$||ZhF6LIUI)7G
zU~M}Pn)E|k_VuiUjjvwK{WyOYd&SGZ#KRr7{K7F}PZr74#QtA+c8|>zpYZhe(_U-u
zZo2k>G3MRW*{j!_`=9e>+V`JNuWx!2RP^(mz^6BXmw&zyn8cFm6ujn5P>kD&=b3^3
zdiSq+%^&e5@Mq8E|GQ4#h<bGK3(Ir$u%BTc*F37OJf*#`b<O*rm`4@Icf1LFn)OrX
z?uYP?hYluh_;hq7^Q;G1$6rq6V^3~)w!y`;vReIc^Tq`o^WU1zon*0EMEKsDAg_}F
zQ#T&UyCo|6Ht_sZE9Mh15!?^!7qLH{6lM5k+Szy2r$344u03<>c+!eSy|N$iHY>&5
zF2*vme>+>EBEicv-}-G}t>5g837l7=co@4qO092ri~inXnzL@7=~cd``aGw#-v#|u
za`<s8;6n79X}+^PO6)q^>XKHRpAf?r!Sa6UTI>2(`tRO<JN+@EJF(`?4lQ>>8Iw0>
zS1fz}BJBJEsjMe15^gUiZ@ziz(N3?2>~AJ*e7{3AVW0o|{?s?s&nIOozv=9M`@VYq
zw5XF?MfX}&GDN<qotU|GPfhHJ-3<AS<#tIw-=BKJ(a$&`{BDPf)#dpq(SJ6&OgNsB
zzJkx4VR6#sxooSxi}OzkNQ!^6Z#hpvzV_St{P(Mlu6eDsm8&%8O-<~-FT4M|t2tqE
zbz}3qhW-Cl1ee@;9hv#`U5zKl?<Y$#YTs3>D_V=jOsvSZeqTLx$H%)L-X!ljHT!+l
z{H)00#qX@vOz2IYaJ`@DZT0-<Fz#nx=D&Gcv!zD<%)9d^t^RM7)ta|gaYE8AhSD8}
z%8#&ApX_Yyl#*7TFgIRjw@%8UipF;h>OWalPW{5%7|YOdlX=fR%?+^(Gvscbc=_1z
z09!BP3ijGJ>)uU%*EBb(h2z@S%$}}WE0jxJoX*4;+}zZ$reW?02Gu!MTrr=1F&VyC
z;wkvA>ew8{Svy(|ecjXW(_-Sz`5zu%iZr)=(;7dEb#2THBiY%%d={_z|K?3w$)gE1
z*6&-FPnU>#`|I=srJw8HKi&Mc<?>dEfFEyPH5bmj_lK)Np<+Yt$2D(HZIUT?KcVtn
zvu34H$lLfz>vt{nCxyD#zqME8Qrs(&|E78Fdlk(xoht2jMdv=ef3cwZNWkZVh5gIU
zrD!Z!^JuP|Owza06ILmzEl7)#n&tXurf|gR9dmv^c;7MKn`h$fcZE@spFb_>dsBAl
z=f@>WSoP)PYu^@}w%GCJ;OUjDxzn^}zVV%Vh3%>?WBL0P6+gD{9DTE_{57xlG|LN2
z59b`d@>OJgFwge#(_A~>mz`=)daijsMd<wMepBtYk&_tXgr2ROb3G+s*3ac<-<Esb
zepBPA{QDCV>)W!+o7f{)U!27rIZbHFn{rWA)@ak}iA<?xKJ15g$FF%)rX!qJ$$s!f
z?M1eO^JOB|toWwZXi>@SxpJ|;mi;G&S#QgVCI$adO5~ZS^Jd~)B?Ftcvrlt%zgcnV
z`X;W$jp_3r20vkCez)Rh`B%l03BPxKh+O}q|JCX@_f?wid+ZDQx1sanjDlHPUf=z+
zvt<5P(VtJGm!H^E^JdveF21*`rtjzrU(;3Wkyyygyh$RYlk@rUM}O8l5lXtYXT_U`
zj0e`5DljG;jqzhQetn?nq~O;BAM}beP9J)=$%ua?)AK{`EMgA6s}%llm$&BKn%La0
z`mg@4S$AhrgqF^Ou(UsyKQ8z#`F8%_(^k(~MT73WP1zOh-edP_-s+91H!o~$<V;fL
z@c;4WO;Wby3WteLAHU3>7-#$K+S|l$r<B!_f9>8_b#u$Bjce|B=_mfnUwiZ4KB;K0
zxNUDAZk};zgVfuE@@@frwq4Wedw%9M@GHN_-eCRo?YDRF?~Y{TeqH%;*4sGykHH$T
zFGM1ml{R-??-RJcXQQ=8;QgP!uXUV%bAa{vfkXD^k{-Hu&P|(f{LhM)k6%b0Z+^o4
zFYWwS{hJKIAKh}^tU4)S_&RzPLmtl;?eniU_1=6FoxT1br)9$;?al6f4}WDDf6AQD
zu%9p1j^XCD_b1979>;hU9N82hHo=9T_5X^iH&4X&mo)BQ^CI%(rc;lO_m?I<k=Xb#
z%lPHQe=~M<L>BzzdJ$<Fx#?3w+3^KZ`TthjdKj}&<OqXo+MCE|{ayN#b}}V!D!g0M
zAA2C=tIWp9y&Dx&#a~9X`NW=33GHy&8JE0adcTT-4M)kY?2cHu{|7#wh-KKov}E0F
zt<Q4rBg+4+yJ=ZD>)^+w93qlwNsk{N*s$QF?6=qzw?1xCeDo^RSK-*X&oeiktNxUr
z`$AHk<I?O^S7V=kdn0MaXubOHwY{Z+3EqOgPe-5Z`2Qwwmc+J-qb~x4CkQaFZqPf?
zkU2{uKdGUxcfxO0h2)C=I<aeSEj2&EckQMB4c-%G60#>m)-TlcNNMG=+{BsF!@KqE
zjr^OZOShSS+%aKxuinWm=6sCGH{UdFoxP&&<JY5W?$}A*e0!2f@?zYoJNiNb^$H7_
z^WOzeYI%P4{gh?l6JokJp5IJZ^Ct9a=G7CkSFWBqz4k}a3s2*(J#`x;*1Qfs$*eyq
zu;NtMM1x&l4L@!Pd-P(``4<thnbm9FzsY~gf9`!`wB75M21y5!Do(un_BQg|3a<_9
z>+W@*?7ExtAzkjpqMzOE)3n#`efZ0e>4NmVw-I`g0=-)}o~l?}QZSe)u==~ir*~o3
zj2y&1h<{pbe=2ppMsx-9>I26&p41j=;QNy_dG+0-rcT>?lP0z#`>%X2YbJA1;s2_;
zH{ON5PLWRBp!azLkFvmo{U_#Dypm29UGbxW|3&lXn~T%me)?U)``ql^>g4AizcEMb
zdmEfB{O0GC#~ZRY%6vYM(f{Vz`cLnIub=Fa|95foxfx%L-n`%cW@YZ<*Y9_DZ(vM%
z8>VJq|M)}mn^n#+1x(du-41aRR{Yu6F}d$enEj9QNph2-&TjPTdlR<bpT&10--4Zw
zUr*@0vtXBaB-7ami_gCbpD$Ec^X|ob-k0azZAgFftZUEPi2c(%<TksA?lXS>wCeKP
zXMg8LzC)e=Z(#WUNB#-ybaj<au8rw4n|<Fti%&BCGv{2#<e6PHFRyQV^XS%_M<GT#
z7~Ve8Ul17l?$M?l*6*K8ef8+$+b5~-9)0R4>D!<o`uWYH=m~#wPVQXx=HZ4U?kUM~
zhTmd#aDJTBGjFq^VUvl`FUj|Jt2^}%GRC}l!XC3TX8y}3FQ4>ooRR<ihv9`q{C{KY
zF1~sac4ybG&+i|zCjT$L5zEkb=Hi>qgyRoi^~opyVVJ(d)Gd?a=Yjb#1xe=*{LH`i
zwlD46E^qt#w|&mB3{QXcEqin4z12RJ_s{oEN!kA9`O;@6-#<IJD(3AoqeH7t^!-?Q
zk0ny%T#QxZX{Q}K&%b+;d{0)q`ljl$_g-di&c&vGX4?3s>-h)9gE7?`_Q|)&FOXzN
zYE1l}JT1xm^P7vZclBR}UR$B4?D9#vY{850H*a>RG72ZZd9xwo?3*3;%fqJkC&v6(
zRFSk@W&M*&Gv1y5^>fwxt<f)X)+~LwJ#xpC^IO!iERrg^Q{N<YZdOa1R4$+WW~+Vj
z8b*a1?nx8llSK}2$-Y0^`g6_yw|mc?4E{NNS^o1^5mU>=^WSdito?Mq=J%Qj-zwg1
zUER~^sc`<!Hq#pmB95QAVn3PX!?K0m<q`khZp(gr<ocWQyY{}@*~v0}@y^Qg2L$Th
zC~Wk4-f?%$gqbHEJ-eDQZ=Xf}iCBgeOos3G+)`(`Ui{{G+l?xPs|NRNUgRBnb$UY2
z$9EU=x}|PNu)a8+{eFM=v8L#+D>vPE`IqO#Ho?_fHEw=mjb_^VN8*zBN3N6K`ID}_
z+^$i*e?fJ``@OHde#Ja{yVT~RWmwbwjqmqP7v%r*Zu->s|DI0z`RNLC?Y7(I^QWcX
zUGrvdsJd8h{kuKS7wDXMzwYb)x9hi_J0SY-PV)P8YsC|4rj;d4c)D@PHK9}LyB6Lm
zuYa-j5JNIo%!{@27_vWnig~d{Jz?#?Wj`F>yja7Tuzh0Ere6trCqzA3u&w>+0}=Dv
zZ@%QcJ$B-CQf9*Qd+Ne5fBKVNu8O>)@gatJ(W2zdrhirjJbU}G`kK~-=QVFX*6_al
z_=tn|!^J1I8*}vU-1ybkbk~(b)$YybNCwWC>w9*abjpfKFEsFDes^I<Ot>Ty_v;;R
z*2Z)=M>KS|yG-adU{BipZbIyTmI*U2+8Mvy@?A0JSC_&kChoL1tJ2;d*!*@^`piJv
z{by!x{mshr*{b8`r(1^~pMSGD?oG@V{^axXx2AGiKUDpAD)Z)<mFLgQ%l`SUe-eZK
zd->z%XV=etb9npTGqcO|MQwj_`%k>+&KiH3OL<G33YYQgb8|v>u^ybpD|>$J_h}8Y
ze>dd3`6!#DztYX`f{}RizqhM)rBwUuY0|BKzkNF2l4$}f-l}d*=~(n`t@cmhYj1Y^
zPHnQe==o==%%qNNf0cFD-ppC2%6WhxKl=U0pO24U;qhP3`f&P$H*bu>-=%PPulure
z$&4tz?RqyEGT-_>-}LVK-ASAmnVALL-@H)^j@&UrK{#eAi)jT%jKdqX<+eK(z4>}+
z=V9(co23NzpNRg*`JnuR$s6hJ^X{cR4}0H>mA*52GWp({*{}R`%(rgi4bQvjG40IK
z^KS!wyqWWR?MtzW=lVu3U&p->-RZ*f`kcnfxc8zjryB{pcxj*ib`Gb}%D2gD{(apb
zsjR*J+rM|Bh8G2ny*%<>RQuSg1MlbDYqpGK*x&Id^ajt%*@t5^--ue@{mit%`T6S$
zpWlf3y`O9@e^cYfMGc(~RdFvBHg<kZz8!Y7^Go*%wfGwnE4Dl|xuI`*jQdv0+bQuA
zeV8gaTGzZ6`=rXnxcZG)jjEST@tYq%cTD{AYLevYW&5Yjjhs|+{N2}-7mpt^O^%Rn
ze)}cq(8mYI-+%h?`ox--;>s5Vf3kTWGTHckni_NF{#*SwPUNLr2;RI?@rHn+^@q5)
zcj7-&gN&y79-lBz?b1~1i;<r^kF0*p&zSt~|B9Z8m$v@Mat+Md#Ps)5$jJb%hYMzX
zJz@Bb_2-3D!>_DUPgk_ZY_*;K`K^TCd6qX<(=RCWa!yzzzy2cYjW-kayq752{#o|p
z+v#c%N0dJ*i06LfmCc?S*v0iyLgt;(O+oQ@63g}Ge~|pK>ErA3H(yTN^M1<K@8%yn
zte!U}eSRU{z2eH*x6A9|wl&`RYVoCVMWee)=O&4Xn?EPNkDs3N_WZHW#&2%kx;ZoT
z<CEV~N!MCm&q$Gc`1<<UFNSw?6*Yf)?YesJ<IAYN*XHqi-pzX2Rqe|8`rDgX=f3OJ
zR5$IjIcBcJaM8}<`~9yR<;M<IZm8G$_U`OHKdHbcF?F+EvwuEdGK*Po&!lxuZY<o#
zPGvPECM~%zd4kY^{__tMtd1}Rt^dC&e;%XGnhy2D3>y~dwVnFFy>V;!kEGit_S{H6
zIl=8~n*F|~SN6U?J9k3Ens<KRRhduO#hiTq`@P>)^%>7j3h~Z(w{PpYd+*M^TCyOG
z@2UOG1-C9fD&cuN-{`B$dY)$t>o-YQzwx`hm4ormib5rk_dk`Hw`e{+z3!XUwfBD3
zKh?UwbG))T8P#~^)0?w#^EZBtjbNIQdc0Fu>do#qezkFvyFOl8c~Z%EV{PBYOJ_gw
zq`y78{=}1rKig{ie>fd!{Kd3EOYhpoqz<MZH&WmE-M#nb+%1ck#~U=O-=6!}vLit*
z<fFn~<~Qf2KU^L9fg@>0lvK?7&q0aF|K9oSHUDO~*|KBJ?1m?z^FPQmN_^k5MblX0
zf5+O$S<-V>USwo`qP@3)`v?1j)yF^h+1=X^SiCcO15?tT6@J}cIrR^JFlW56vFeCP
z2Ir#(C4BzcE4+?8(<n9gY~7(zFaF|zX&=*uG>;1%rE4B6`rz{B{G&x>pWmIIyttab
zDfSOTQ^&US2OaYBKk~fxzx$>k)M;tP`Zc8&!y-269NjrBn`OSn-MpAnuP>~)dEwL9
z3vcSpKJeO4Szi(Rf9KlsUwFg+|NQW%nK34Ln@(-h+L)As<uxCcr5ty#-J&yhb<Q{5
z^7kL6pZ&sH_V3NbeNO{kzFn?=Gok(M#nqp>88*bcQ;z$T@c9dGY}ty#HQy)wetU8C
z71pQkrv&|Z6Q*Xxd@ttBzG*4v-v?Y>HJRt>=hx@I@rJ+Td752WGX34f%RDbnv#4&-
z(f<1H?M179Og}_#Fus_T$n=G`x+lqR$+a!b(>Hfqx$)*=<@)WqjIsYqlK(dp#WKu{
z{h$1LS9s57=a(1k_kZNL@lcQbO46qA3X9I?lB*cs?|3EX(r}GE`^|+vj6aMYZ)tw(
zwWDp1PS2qY_S>7w&L(~5yq3Ow$=j!jeP8T8z4;LSNoC(Qx3WzKKWx>!mAkF-{oB`{
zPipGCJlel&(f^!@+DVsvTHk(ItL6U9a2eB)dv8AL-JJ3G3)8MO9}fL|^>Olr?u(hn
z-<N4$Jt=(Vn?~iwi|1c9e6)RE=B>Nr>QwQ6(hPfM%KVsgrDye}oA+bq?47iwWa_Dp
z>hpv?%z1OG;oH?4f*T`UrC8RSd|#o&#9wx3Msvmf4YS^y_@VwN_xM+hwhi->-&V}G
zvt;Vr|NZ@01(g;2yU+Z%TDS4=latfmSImv~^w<#l@BO=<=?8qwH!?<iS~zdkY_8sa
zjbF<5yjeZ%T}Az>c!g(n@4x21`=l!LEB?LVv7f89_-OCnlvRJ@)%%L)|DK*(@SS(_
z+xO*@f`4YsdXrXKRUw;nszKs|ddv?;HNnpHKW<O>u^?gxtNog!H!pr2XS(r6zvk6~
z=r<xC_8z*nV){Yrq^AcItFJxwGrUrK>|fE_D(l)znVtD>k4bk_O_g1_B=`IlPrIpK
zobw-dE&8VB&yp?OvA%wvs$}Pm>UZz<KM8nq&E?UXhi|867_Qbc{r#>#<dOz6%U$)y
zpZ>qExU!3H`|U|vf4s|j9>rMTB-9hrDY7u^P4>(0Zx_0CeR*{6&BMI>cegiLgug93
zrz+H1%6jl5*X&JBb#-s*J+-qwacp~=<*&<E(*31wLAz=HtJ2rz_t(75-n2FL`=70T
zX<wvIZjGwH_qzGxtAZ}>%$|Fz1pKzJM++stdG+vTr|#tF+nYkZKbdv<UDo`^F>fBe
zUFGvN?^wg?Z+TCeryu_=^>{_9=>K^cH{U(7<i5c6;q{8HjZCi|J^q&RWM%yZfp+1E
z&9)!Kx^Ev~a%OR53DUU2!fnJb;mp*{$qfc|lRkxO{Q6Q<yg>5}@6T^aE-u<@+Wwt-
zx$(g3KBWZ_jE~$NvY)WpGhuhdX2S{pu1l^laESR<c7N)dsQX@lnMF~~U(4>U5pP&`
z==F~<t#5mo_p}t9s(u%$ztLLh|H<>+UzOF94|Kg=qWClFd;Zq=vX_@r92K@3Pxp_x
zDEHDNzMcP(1Bb-4Is2zsoU?q;bJ2CXaeR=Q%e@D?4ZB#ry@`2kcay{W8?$Dm$E5E|
zPW<**&|o1Qv;Sn#L`H#Z?)pEC79Y7ABI_nGvI~e#h>u;<(ev|7^!c}cf4+-;&%)67
zE&1R6+x;gyF1J_y`>{pmsY;+nfX2VC4GI1mn!~Qm+4%6z^NlXYH(A&v1$c;`N;8ez
zxb)PVvyH4C4UXNWO_y%Q{(IiYKe;%*)Axzs-;KdX-(U6Le~9n@iBFko8y@j7UW?g~
z_h-A#<9AV}l^pADxEy~QRhQHuYWF5;yBEikHB#GjCVjN%y~C8f=0#N6LPyho&)-Gv
zp7ZMU`)hM275$p2XWrk<wexf6n}*Wv6+c7YyuC8zZRG!B7D=x!b-y^@>i+VQsP>Q5
zd!BTJ-8eDp=-bHpuhk#iWgeKuJUY$MnX}PQ^#7a4tNJZg>ZcwEs84z$STCTzZQ=GO
z(q&((wYuNE`%-;-n~UDLhr3@zXKk7ka`tZWYirw2S<H=_P9MAYsl>BD+2Gc<chSE^
zSd#^w-}v@5|IdWQ&%c>H6nVgOg8#<FFNTj7c$il52yW1IW#zQnXz}q;N%A#==-=N2
zCNh=3xi;M@{d3a0nEn6fe(`-5b9Z0SXUl&#Z+-re#m}jeH0g(2*}0vb0)NXUZ+(3E
zWwdSi7yX;>qTf$45V!lY?VHJyFZx+>N$<Xte>DhuaXCe*wQ+MZV^YfxmG3t=e@r_1
zL-pt8NuOuzi`?9N^zCK;r7ZqBUkz*DeKq)}e>2hO&7`xFtWQ)_f6=>ilySvf%a4ZE
zUpV$o{Aj*&q1ShvNe$b-aLkYSs#C;p@~e(|!@Koc0;hb}IsH{{@;9B+Zzk1U+b|*K
zi_ZC&6^!$5z7zdDZEfnI{G&6LRea}q$TUH%c<bs(UHshbH`wZL`0Ok_`$gkvXWr-U
z`UiH!gnd(ecr50#@`FoBK?|B5-<h!5e*cWi2P<PdE;uS3EbZ=@al!NW!qtbHK1!eD
z$^R&r81wQYH!H*9>K(e@ZbmjQh{^wCc=Rhb*ZC(g(j0d0C)=KgWq5Yv!WY@EUyrkV
z<nH<=Q*Hl+>-kryS*yP39dCU4|G|@(uj02paL#V%xLf>Dv?7-Abo81Qk8@$uXRf^S
zO}sq%tFG_`8^+a>mfiTIJDG|1ljPx;mW9>NzUaQs{{436o&AE^Yu?;Ay5eV--L-Gp
zdC4sSUzIZ5_?p)L*>msB?OXd?XM7jA|HWX|&b)~Yt3Qf-{A8fUvao;Y>50Nu-@M&j
zq%PHY?e|BcBP^XP+lsYLa~OY-ydw4`^#6oY5jWl6{OErCcK6hHMbUE~L|^Q--lQo1
zKw{n(<Lz@!HME$YZJoP%%iG=YyP`GL=6z>=|8{pw(Tx7D0&{0H9DToAFI-)7;`3V$
z@Bgp*z32Vf%ei+NluubNjI><5@I^9r!?f!+?!T~nU>)QAX6@Xg0ZJ<u)Mn=}e{d|D
z(fIkRV{go*hJ;odCbvCr)+#gT#$B9s=3(aRwc>>gwx+9MudTF-V7f8=^Q*Oe4Y}>Q
zr%s&jNL+2PqI7*P)8qw}|0`H7>^^&QOH$j6tD7F|;D7)8oBD#U_Wz&0jC}Os?B?9;
zKa4jR9?h+mKXNJQaV_Hw=k(Lo=N}%k`*+gn$LEf-$GgJ+bvn%3!EoOq?345CFK!I)
zb9PLz+hF~V@y4k?D+4y3YhnF4UCRFYH;JotFV}8-`{mizYTN9uvbk@6_I3x&Thrn=
zFJ#pdLCr4}pWkih`=evH<C9qPy#2HIJS4<f;-^M2<b3sbx<yv@_4_R`MOAjE7HP2`
ztp6syN#f#^IP0J9c0Nz-3H##ldd@}F*7~DgWa8BuMYF#N=(FFssnGUz(o(z1?)+^r
z>$i$ju-<(4G^_E8%zJMGp=;j-ym+^lpI+elP2ko`*6V-2a(>md|NZ*SmXf-ehn1(W
z7{`B$6uaRS$MM3#cw+0GKbt~7aNqFzz`5h+fyXiGFD}c=?{DzlcxK0LE|;>H9V<S5
ziJ5!;OZ}V9qc=Je3O^_xySVkM)7D*uM?WOY+a7zrPI8OsDTg=7|2voW&0w4?v2T0a
z^*xi7=lxKs|I=y6#khJ>VA8~2d%g*&Ha_3ob@b6j{<EFOliK5B*PJk#dFJ{g$9r!V
zg#O#K^KsWk2Kk=`)svI=oXDwoSKO<|@iRi{7UP{SA}1$_ch2Sh`Tk|t%?S%Xo=iyF
zXnywM<VQc1JRT|~8(w?FGuxr7>+@$}wMn6Mk6-Q-R7e+%-0|~^i1(zzKT;q6Do?Aw
z_Vwlbk2~M?-+HupL&&^|Q)^}$|M~YY{`uCON8iuWSD$e9^^}R7Y`y0MbnbjHh`aFo
z&3Ca*ro)oEYHL=Wty}r#V`JH&Z@a|oCk6bPA=|l6bbVjcv)6OQXEI#Aw*REwEcXfh
zyCWW`uGxI(#{0QXRc2J)F8?Ncag#6u?`zITy@-<k>Z?!vw^&g6Czj#O9OV<%>R;L;
zy{b1Bt@-#t%9Cj?gY&;193LmgM0D=8-9I7n=8HM5ymQL7rk}oNoxkr(%G!^KCm1el
z2+V(^W+VJ2AisLU!9TGtXDc&&F}w00#*+8njQgG(Y|N}e3~?JWH(z9!{cd*csvAC^
z+@5gQeUa)<dN;e)??TxJ&ZO9F`O@3&C4V%nxPJ2R=0ywmKG!!K`}RKh;koH1^)+A8
zZ#VY2a(qeobZXhF%b#CNdA92P@ds^sW+yU)yf{w(IC8#{S^dHCR{oDNrj;s1?`Eo2
z3fOE26;$j?em&9MS(e%O-#qR)FCGiTsf2tK$avo}W7?DSvlrF!;}*nkelyeWJoCqi
z&n89GuX#7~^VZLoK7SP}+x|`><;kC~(Q8v5t313c`%|Ie%}mvsibt+#w(c@|k@K;o
z>{Y1Xi;|_$-{L+eb@DvhIB`$M#n}w;Z{Ez>B(P}Drkfk~zns+_#dqYbMB6u+drWU`
zO-TM9WS0EPdk<sMn^~WivoyZF<Y~F_UHV^+2A9biQ(nyuePZ=ubBJyI7rCO(75{nm
zZ(T6`^PAb;KR>VEvpMbg#|_R&?M@BXCca%W^~&S-v*-TWv-w`dyV+0k(|-%Rn>~Np
ztkjkKvf+OO=Dxfan^N@T)Nj$70&_nvJaI-~`IpGsYZyD{&icAw?b?r9l|6VqTL15#
zU^pR9@aZ4k-}k;K#J~MA_xHU6y6GP#o<Dv@nRDY!f#|RE_I>^yT(*5u+70b@7oV>?
z>f#&qmE+zf<?mCftKPo7ICsBmKxquy>u&9*ABs!<OlzIbBl%}az-_axyYCM^IJwI2
z?M1uTlU{4Txx`;GzRmmi>ZeU-`ad{5YdEm)>)g-HJDz{rn_sy&;^RK$_xxY$KEC}h
zG3fSp!T4=&E}oyy+Pde<mdNSV+dqA~IPF{T_EVc2_+oDg{e5@wxnGj@CFxR@$5Vw^
z-%M@%Ijw5Ha8qVdc1P$N&KFN^zPb3^Kd^Gs45uH<J!g0XSewl{eY4wl%Z2k3t9C{9
zZaLav^Qz)vY@*<_>aXuoXFO^V$<Kb7ckR1L<)#->>AxhOO^^|P{d@6^6C%PIjy~((
zo!lV)_GXv5@22+`{o^-P@y|&8`6c-Er%8qR|F-t7R#BR*aP{}Z$h4o^`h%4pM#Ov#
zzCGcx^}m*#`S+MU1pk?MMUBPgr-jtT3yGT=qGKx<f2p?5dvmc|>AQx}Cf5y`-$Tj|
zt@y~WVrzeuV6#T9=((g1N3Iy#t&#c^TG_#A`})I`6AMc>e&YMHzvbP5<bT&62UeNw
z&ic7gXu{VwGq~qBaDF|pOKa=4yQkk+cer(J@BiPXm9&P*x@Xq`?%8iTXC=n?O?e^u
zW^K|6{-59H3o#}tzMjy2?9qdNT^lMkB>8<<Ao$!sTVH$A{ck&FeV*XMZ=1j1<qxmq
zRX^IDxAe!?e4DSz$y?Uk@ZsZz{@JfD$FDh2JaJiv^+ZnF`j7p8UQH0H{At&_z+mE!
z+>i7B%*a3ZJ!aM^Sw88H8%}TN_|bQ;;7#+M{;v^x^BgB|F(!qckW3PE`+K8KUXF9Y
zALY)1O0KvEQ)<@Uda8On=5_U9u^HF%|A;rPRzFaE{!R7d7hL<M)_m9AleA*RFDBEZ
z!sADb*&1f$-<w|Zc9Mg@>pjO;<UE_&R(k8?k*>G1|Nhw)KKaenQoY#^(;ccRVm^sa
zWXW{wdcyE}O3mGOdp|}b#w5MFIz9JB*S;@{_wVU=w=wRkb=dDWZ`ZzgcQr_L!SDL-
zqSap_euuC<?iP6wwW4&*O0%0E#Xi4#?0r%s=<QX#`cE5}r_IpcB(?4_*TmNjvhS~!
z{+g_`ZsPj-J>Md}dJ4AgXq_a=?>TeYg71~tUrSYYp4=Dnb&<bDlikV%zh68#p|#?z
zL;tsk?RI}z{(ds>_!1ue{9NDX#{bKCp1w|z`Tp+e^z6zCkBI@I`ClST=SY8AyzBn=
zMbX<Hp8U|4{(VvA`YjXYep%3)wDqBA{l${9Nr!8`&#T+^VAu2;lNuV!pWK+S^4HPt
z!SQ?dGR~dkdHVaD_4g*;{^t?oc}=YH<;^1;nx{UN&kxG{GvV>G3GDSY8?T=0PWtT2
z{eJ(Ppl8=Nojs|lfA4F>zqN0FUHZF8xNFOW>06e6*Sfh>_f5#ht^1=-E)AY?#`^6&
z+0Wmd!Z#&-dGp(EQx?lto#Y8C?x#rHdG%YqGE=7M!1+d<s<`*RJ+rKyEL!mR{qMP3
zSo~MG+P|N9<;VNq%O^Qmey|FC(qP&Ub(m4`%^$1#(;E~ctls~5I!z+r{RaK&mtV>z
zz1!S$P5)cRrQ-|Z4spD&&)4DJ(Gxal-Je^hE2d4EUcB(%u@#!9OVd|*$gjVil(Ji<
zU3d-m=Iax>-v2INbwoky&70rapC)WLw5j`h@!lsL{qLTAzHuq(&CBU)zWi@X{<o3q
ze~P8!md8)uzWe=ozM0yb|Mu6CYQ0Q_zMW`{Fq_gow@b!pt%6dLn!v`g+Y1bYSK9sk
zJwxFz)0g?jKb^>y|NfJS|ANY!j(Z>EI_@QZShTtEwN_ZigAJldm%Hc9IeUHMKD|d@
zk8A%F;BS2S=8MXuvaKsus}x0u8?T-=b@HtHZ}w>Hd2r@<gZ%o9+;9G!-@Cy7&DObL
zCl#5Od`SMXarK^^`#vOnYt3Z+UMYRQDMxm$j5KR%)=kd9?|Y}XO}o%pyM-ltjdY58
z!HMOjKUW2R@pNUm8}2RXxZ-l$<dDywiq(ajWBInLOUb@}|E|VcFyJ@Cy;pZ83dFD6
zTzZ3XQmT9%<NJ3%b-t#Z6smsn&hGmp-GbkTHoBUhlu8tO`n4{;`b&$qgzvpCbx~Y1
z>fXHzWIOyx%JJ<xzqP!HDGX6xH?7S3sH_$9@M8C*o37S1Wh>tPu$k7qRe$=wK!)iy
zUpN2Wk<c3Z>!W@5_bsag<kK(mPY*Mn{YYl(m$}ciJ5+1mZ2cnf&m~gp?8<lT!n1eY
zPhsTmIwh;!^6k(K*^5b=jwlt^?PSuws;-#KaE3+t@&C|=m1m#mKcDd7=&$IG8|gbc
z0>cY0s{Z?&eqvp>eM9-a4~!pjj@evVUU|~^_|5B&u9#^sxE5C4Sov-5u3LYS?tHDB
z);#}-!LP5KC*S=^nHaX_hi%0dj%__LRo|Am_a?o$_V?Cu_kWdNmwUOSp4-g4BxLv1
zW&e_&Yc#yNdP8A>MeFGa1wDU~Tg#8m;QxJdxt;0b|0&-tpX><kpL+7@=C7hZ-h9x%
z>1gr(;ri(j%HMoup4qg<^zkR(3A6rui0foZ{r%?Q)i?*fW1n|?oMUlO@fu^p%Hz{p
z_h<MhL`L7aVwU>*&4b!a9BZeEr=KwT_+9VW?$_tvc)h(cLq7Ri`G=VkJ>vdKFYo>M
z|KW=IHyg!ncFCNbapfc<_lsTnn-0f+KGD@xeAoEvHyO4U8yS~BGT~d@`}1w5#@#pP
z3x6*0-*5CcS3iI){r2IPMWTkjJHGrXlxsA-_Hbg8EAM)P*#9P9kJ)`-tPFJ65nTAW
z_*<tz@r$EM0uPl0p8kGyzf<5XAIC}+hN~C*|G!(1!9Vf;`+HMQhMYaIE4guf{hBxT
zznu)Y^T(?osiWjg@)h^VFYnz~3HT*!`ue0o<=cB}r*rDPzxQ|5-wChyIrqdo`;e3v
z%kb{r-aQkZaov&samMa_$I1^T@9)OnK5_5ij|nm1Z)W>&C(mvZUhW?Hc|w(zS@Y`G
z&EHQba5Qrtd$i%VfI^~S?C*(fk5(4g#_>;_<g0Mu-0vg5tL>T|{f_<j{MDWBPad{x
z5Su8~(6YHSJF-&ab=sSQTIvbC$+zY#Sfd~qW6vZVcWQ^;o-b*gOxj0Y-M$}@G~<!@
zO~F@dryIUF{3zjMPXpW859!jn-(r7k-u&kF?Rv2v()?d8CQZs|(39H1;rZs>?XtOl
z+%|rX4ZGI$*l>gXxA(Uv7tTH55U%sH;@^jxdnT05(?0QL;*%4aLR0#8nXn43aAy)&
zF1(R}{mYLJm2YmppWL&li6f;#^dG<F=f4)Wx6XaP_vIaRrsv9=b}!tS{U!O+%2_|U
zBPLYJTOX?ZRIzfe^r4AgQ|2)#RWMH2di;Zeeq7^$v+wU#?dM|MvtQ%JB};dy#eeR0
ze0+4JU%tDc>fPP%Pne`<7>GtPcm9*eEB>qe?Mr4C%cREp8{gf#_x;<KMIFaG<`#4;
zRB=?|{2Z41_TKMXf7pI}O|rJ$D>TvAan&5Ydc8W<9iQH8U0rtah+yZH%B9)%*Elby
zZ=4a**TR0^_#fNX>n9sqKFywA75-$C*q7PsPtRo7Rq=--tN1_DrWtQPib%5t|6?-h
z@cPfBy1>Z$KiBPswdWts?wZS0{*1Asq}1mx)4hhoqkoxd*2K*GFUPq4YC*~m2Ax0L
zhBjaR%>B!m{$qDc_yQhtu~i2ID*Tc*UNANO{Qb@48NGaWDw4cnPuysAf3Vcl`#&e@
z{Qm-!`G1C(=A<vN4E@LF9C|asZ`P04cHWP3O(S??$|e}g&;KE~@x<2;3>#-GssF=q
zL+i#Dv9ld__ixmGFgtn0H|CfPNx2)6-pBs?A|@ZZhT-A`*O_0w?E9_rpYP|FxvST<
z-Mam6h56C1b7$@35ncA=%R8N4T+6<`S@mu6gT61ScXfWKN5oVwP~Q0Yz_XUu|JNnm
z{kr#T2gk>SU-xYP5z8~txBdMNh8vfb9sgog{atcr$L^{BQ@`w8Kl$^&FK=IWujt4Z
zjg!3)yYZ_{TwBx1#WU8%f1GkSJ29zZWz_kGhKk<E*7k3Q_n+(N%xh~}^y-_<_c@I#
zR~Y^6x!v@|=Kim&<P-k4@9g<%b6s+VN1XUa8{>|bIh+qt#TuXddolgc`?ueBm+Esw
z7JofzcPb}+rONl+y2%qJeLrJ0`&9FSI`P*x%YJThShMDf<Yf8%eN&$_?EKt*z0PjI
z#?61kx9mB+z<$OTlay5!Uv0K(OERvUC3^Y$g}?b<_4l6M@F3xK^7r-Y%XgXonfg)h
z`GM#?GZ@o8g(<``oU;D%Gx5%c^^*$~Uqs4hf7p=3#QE{#27e}te>=~8{IEfd$>rXJ
z&qA9Q9G&%3q5s(evHHzlH-4M&CMko-_<5}AjhMcq&w6K{#0H1W5;_0%n~lz%q<1?d
zZhq+gJah2|{-3g)9P%G$DE<9>dOhEr*OT`q9pE|sY16Ea+f09Q*xy{z%D7_3-4tfw
zjn+RHm%J5EI#{IWvrGQ`OzG}L^GZK2m{Wgm_1tFmq;pJ?dtTQ)T5{;`oD1i_T>rWK
z<C&AiYffvP=-~dMpY?lr)z&{-*H7n|S+oDw9*bpF_kMqRG5JTs<&clx_2RTyCaqt<
zd&qXh_1%#RrpixbUf5kFd|P<)mrc`Ws>b(RZu(~Uf0}^(Lk{!$FMGdD5I(v<<Wc+e
z&tFY$eQGOj(myFs`9&{3{p;HGPgyd*>+L<YH*p^G$v5BDu4RdjZ!(|uM&L=4`?9LP
zDw2Wx+V8*U-9617{mmhJf12={FPmb6loUmy>$YFje*92#@{iAx7&O^*XLgl5aWT66
zy^7WRr*Os>y|+=5-Tr-5*c~-#$G7jB{IqV}+v4=xi@|>t&vx%lqgSGuwg33GFH$OD
z{aW`;?sc4+#J@c%Rc4GcbaEJD{^~sa=<nL_Du?Zl?i$91{6jxKXdU8wuu1#PyN15Q
z%ng!U-1-~V@Vxr)U4*}53#a~#1#AATSi{HpC&s#C+w6-MKJQ_j_x0b&Y3u%lY%(_4
zqH34A;g!FH{IRd)KU|+}J-WB*_EOhfBEEmN{8eQ#+&J;Z&#xc%8eIEx$Xw#P)#`7u
zSG(Er&naZC`6^rfX~KsSFOzQy*}vc9-^7^o<&pke-nrlIz1R7W`G@nX%yzb)Yre={
z+Zg*@_LhFc-j54&xj)Oyo^g52#$T2{ZQp;8>5RB3{o#aY(T#|QKis}e+H`j1^tx*c
z3(ih>Vr}<rqw)vYBboo3eAG17od2hCZQ8nrF+1i6C+M$y|5f_r0_Qj9W;Is(F9<aI
zadjdC>mf$*gz!BV?VZ)N{-`)Hu`-DNpLjarWBlh&I}#a_K1rK?WOMEOC^dV*!QOup
z=9fNP{6%VSek5bzhl`uH8|zJ%viQ@vum79W-xP-XpZ2ZUQj_*&|C;Yo+Zfa%7EN0&
zdNQbiVd56!e<!5+K0ln$!60>FrtJEUl9ErNzpb3IeEIF~l6CiweZKp3?(Bkc6~Ubh
z?@ub4vG8vAKWkOq|BYWH<6|X%Gwt4L6m;6;)58xDJ3~)g)_n8pQN7qK0hSmk7Wq@L
z|F21OZ|vs?e)H#>WZ3#pqt6q*bQw+Cv+29~o5)Qo6f>`F-Tvjx_Rn9XqMa0TlMX3n
zt9VLgHawdDQ~tZu{}iKtf4NVzSn_;w%>MWF(249~`CYvEPtGyPexJW~a!KxAXGPwu
zt&jf;{)#>ONBGI^*GGSdMqD^>eCN?ajC%s3wkj-r^GzmP@{iP`;~7F<zARop%|hU-
z%-wayzh$m<{`J*3d+ew5SDC8+l7FQ0zsyblBl&CFE+02Tsm=dBU(fl+_rLPX;@7o*
z`0iJKntAr#+infjH{Y&>s?PG?qaE|@TJ7A3_CMc3&oX}QR*%TB?=X9l^yS)B)yQ8r
zh0?!7-u~vCubz<D+4F<R+?dgJ%>*my)j3IVfB3fVa{sErA)B^({tKOi?R%!3@_#yQ
zM(v(23E!4(IjL;4d-;~66!uczg*kut@*muh{r{!tWX9Z{|H{JLkr`{h1+DewdTe%c
zY4^qb-;=KT@jt$LTIhTG9;<Et_%HK(?v}Jn-Wqe?`u&tw!Dg?XbDt29`F%U(gjD7B
znBSrI8Z{@2O#S`#{C~b{-%rXMJvnLKg2b<Xw#39u-^5b8@rT&_uh+KUYW}r<0;9t2
zPrXkRVn1H<Wf9%>S8&ahRl-jz6<WSti)J(^`xqq5Xwm=sYtYpLF;8L{mjC4yYh8UH
zQXpi*9j5#**M5Hd`1#|tXCFTKPtm>i?b@x&+pXf}7~brV))rf&^^fgKkoBb1e+4^t
z^38m}5#xQq<?;U?=Z{Vd%m2WbwC_f9*XL{IpQdUwe_kN4TccOucjrVkjo%w@$_cMH
zaP!Cc8!<D^ZT`Xc^M~1qm<j)9<gDI!XOpPbp1YrdkGHPq*Q$HDs6yz*x}&S~?9F~v
zcRy5^VPC&yMr;?e@Cg==r8nQ?{kiuoIBlY{X$50a@x)x&n;r)j%(E4IwPT?Hzghl=
z>t#QuC`(<4s{iop(Qg0$4~;*5x&AGG#^25Vd9LpLGVyxNKc46>H-4XQ*j2afAJ6O0
zJ6}sD-TuQ9`Q^rMF|C6!uXi!s{L(j>LGWA1|6h}to;GWV{$+W3vPt$!XjZ*v1~dQT
z&`zP#QKF|`sTR6UVqg6w^lti!y>C8PCe0{xm$($yptok)nbSQ<3od%hTeC5-d-|EX
zw;z^dH+cjkGc^0$JTY5A{9}Zkf@kvoI>uiIlK-d0{E3}%=DolY4jwl_1&f0-Bz$jj
zNPN7udFHSB6e|UvE>+nhG3Pk+#J&X8f1kvVz4q1jYg>Q2aOCT3dFRE?ta)PFf4-{q
z7nes)jGV|Yx0^Tg$C>{;yZ$z0o+whdaz5|-?XRWpt#1k^eLJyv!uzaG9G||F-c#4I
z)!*X~y7_L$x>=vf{y$+n-(Ve~@U`5RiT~Rg>yJAYH8I6py%_rDOZm6^rzOh%@mFlG
zoF65*?@dgnY|?}$e>PNhG4ieHRq3AeIM%S|k=fUuuc!T-cANEo%^Cgb6O%r6uIXE_
zJ9grwM;#A7E;xGZ;f~{y3zQ}@WIK83IV#^^oN!b8=FA=2s$`Fvtd8wev-`v_^K0q7
zShJt{*-PJ^X8QWy>c-d7_kJ9Se_nRfC7ta4v+zOx$<5|*j5}3@8N_d|{$8rfAo}n3
z_Yz@_x9^iDBzHV>=lK5T+6%VlC*?P9sj~ljQfTwlWyO=Cr$kNLA8ES(Tglvzdp{We
z2<g?yP0;F9+Oh1q;;mOl`Xn|euzX<ue}nPYt{Yqp8{63%HkQBnz3S&eb=iO74}Si+
z`8920O{s!O%oT}z`GZ@2?4F<~dDD{nLVVJ-OA~^R-rRnpxzKk1wvx?TtN;I;^l8S{
zimxC48c($n(rLB7d14mN%*^jU6>L}DHjn;ms;ZE`d-t5mFJ<ff6%PLUnzs81*Y;^A
z%eSyhJkLBi<5pYP@ju4fvfe$BJ9$QW(#9$t<x`taM{KK~9>*}VY}s8`mdw*SliL?|
z--vg2+Gh7dO@FoUzb_@f<2g!y@or7w+Wyb{GSBwEpIU_WO2l_6?R_dxTJzyXPtq$j
zfyM}q&TJQ}?B^M$-+Wx-b7O1g)VE&}ubmV)dyvgOZfkC8B!|TxwM}3B?%i9|bbcqZ
zR@~O&rE9jdRDR6yZ+i9Z%bPq)Mx95WUVqKK`io_+%H??Gc>7Jecd;ByWjg%z&HL$$
zzgW(G+xqAHX?D%Ovh%n94UOVW3~Ty!{98`;QkKuX2Ms-K*L+R?Ry`q9eEs%<&07!1
zub=QljpNDdFX=zGmdWS;(Eh|VeM_OfzJ}cz#-#7*(@);NuEPCSIR5q5^so7UV<ydb
z@mDo{!kbuz<{5tv)P3Hln6&X*{hloa_j7MN5ug6wpUXMnL(=^hX=k@8X<hsH=FcW2
zrHF?0lbX~bI#&OfAo0d{^OcJ`r^grnye4<y%*UuTS~E`Sxm=3a<`TK<+|C6X?(Mhu
z^-Pd~|D3@axqC4e-acV*?~F9nfBC`g(TXKWml+IQTb?lR|4Ux=YR~r6=%Nhcf603f
z?5h2<Ab-|>!RM1QHyW(6`S#@Awl{me-ubH88mv6^qh-mrM@Oud?tS_F`Tumgd%O!S
zv~wR2`~UFk55|6_3Goka{9ro&Gkv1othImQm+OA{Huu4%HItSlZG0#Cd+D1sJb}f3
zb8c_E<nH)M?8C9@#_7jCNz4qbyy$m)Vu<yprkfvae{M<Ho>eN6yoq7{%6pZ6R()&u
zC;8&po*3>gH|IWFJ=x)Dhd})1Z7KPx4T5pszlH5Q>e<P7RD1u84u{Dfl)i?Q*WLUY
zzAbrc{`>D?Uk=Bp#(aBPbnM^dFJX3;ANKD49yX`r&Yiz4l^^6SR=@H6KWX>l8B(oh
zF0k5sywi8^{FfPW-}ih7RWA6ld%--$<o{+#EW5vic2uyreGV->^5g&G168j+GEUvE
zzv)HR2liQCA1wd=qgHt*+w<S{zn$jnD4#Ip%_>&U=+%kIn<gy#pnhUw)Rm|DKUZ<4
z$n5ww&3D3ux~<9YKkvwR_T|aPZ^5UopZgQHA$iwH4&{$xkG}^`U9Iy+rSFAS(zc{p
zYw>>$SJq#i(J2tkxZsUF_l3o=|Nh_Z*z+(gd2WvR?nP@3F&$#zV|@PU*o##8$`|1a
zm&&fcIOF4khPLcKS`$9c{&eB^il%ix7wY?Swua5%-@Kx`eo`;n3YYG?YYzB6p8D<c
zAIa-)zlO|z!g%_R!Kn||=Cjn#`TA|P$ak9`t2WJK_$77h{I-;JU%S}C`6ifreX~F8
z=ciEDO|$k0O)!1l^#5-P6L05+!rzK<Pv87t++~o$B>YEW{dG0L$|<MkuIW&bUU<_&
zc1x;x8O!$6wR3)pd=0DpRrW`?>|5B&Q~!ixYgH>9s(<ktRe2s_{`QCe*Ph*9xq1G7
z=`MNqhkw!n|G)g%g$-O^CUTX{@)h1$+^_zhe?eRIfBv^W`QPjnp8Svh^rs&Cb$|Ic
z{osH8tMbi1{-+0=?Kkd-<yo>@|BvB@p7}ExzZW+~PU^}&W5L9K=RbGc4$&tK%l~s#
z>{+o}H2vp_jXsIO3zmn<{Aatuy<=v)B}3?6mQOYuGMCMidllEO3XPoMb@uP+pC5YJ
zV_sGN68N+9fav2{)$uyN#5Zh8vbhlTXk+jF6Z;Nb_#<#H?r_D!*gp)v{%xNiX;(9G
zW8|OQFTLA81=xRMZ`3-vBKz0GW}icU84~yIICFi@PsRp?Qj4@73~w0bF8C7BB_$iT
z^lR_OgRWY3T{nJz>pgS+ORv>`qX?<LOyN_%t}ov=J@fvx!&f6>PdLllF|EjIJ2>I&
zw=FAUSNGn$`C;*%Ka3%7x2(F_b7kX9=er&I6#i7Q@XP#VZms+g_+{hM^~DWOo_+uQ
z=KIFY6Krn0`Lglof*@(eW713iJPJx{K5qE+!_-3y&wu$~5cA<f+16G0hc9h7{CR`b
zxen{oF3(P+{8^HCqjPq}+b`>v-=5ia^U1868yWf)y#H)n74^KMchB9)$6kJzsekQe
z(V9=|l^KrzySsUnKa=7g-mmK(&)oK>DXM#hcDxJIYZisQZ{AM~>;Af~yly6g<(K5i
z+|xNcectVI{uA?$Vdl33DgG?%*XFRVKfiJPkA1ltuRZZT_DX-JeqP)@eQ!?Yx(OU_
zcF9S+oc{akx+~J3-_B&1|NYHxtNq&-guQ=O{PB;`lfUZE-+Wyt#N_`^@7swD=ewq*
z|59g9I{y39!VgRv=2zF9lx{!$abb9h_qj*Q4^N2sW!98*^XtM`y??q3j-TBTI_r;q
zEANhZ8#}k;@x0%$=zd$$$&|Z4R)j_{epq$%X#Bq$8$|>enNM`iUid<SyJ5p!<I7Gi
zE)iV^=j^PzsH=E!&e8v8o<*K8F#mLJ_Vpdi+W&S1)pTq9Td910$*J9Y`<1W%w)txi
zn)`Lp)TlMvt~GBzKcV*jiOg4D<khp5{XdYb6!FIXpL+Gz$wz0+&)by1^JRsXde*ne
zSGE?h{W+4*|6hIT7nVftgl?9-X04Y`7Ou+_`@T+Z|JOyKe`Xy{o7g)!;m01;ax3k>
z>hlXH+e{ZbcWT;)uCA$U?p21%-YoBVnaye%$FSzxwjYyt-~N-FdYx~@CWbFx7kP)e
zJzUJ^sLQiY`;U73CXEZ*R_Cb;7?kpCKWSj~eTube*U$NnCap}kK3QND-_}ikr7msf
zwZ3=dbbaa%-lJct?5n4|+%qR|^M9e~)|MQ-57btFo>cLz|HtV!8Gk;WeefivV_WQo
z7?C%*k>4jRKQ;TPl7OlIpX8@AuG>}!$4s7c@y$M~Negn1uH?|?dGO<rkfl)Ji_n`4
z(Yw@z8QGKS9xqyR^hASJ5|ik|35RU=FZg3|L*(4G7sWfP>vWiY<n6h1AoKanPiJ4x
zjyx8UpS|Di_dlPLCwYHWPC9<R^S+wHtFqWLTB`rQPK%NL{`UXI;G198AD`I!?&lv#
zPl@R|(hU}ySZ1CmNbb0{xq;nE?u5hY@6+$J{nI)3qG!*?vynUeHlN&BRrg=~2}k?s
z*!ycXE=jvpDSj>K+w`52*%p4C9$PhkarVkn5s$CSnD5^4;3SLq*2$|>6~68MGX08b
zWVG{x23LVUWxF?aXj()_Y@KxXvG)#>vtOs_cUzR{%rc1I^JSVcgZZ~PTPK}wl9FHW
z`qa~;HA$NryX*g*m~`~Qo9wzhjQZ9)7nWU<(VxFC@$0nMS*)8T{Ga?|=L=DB?Vkdh
zejNTf?c7q6qEA;QMTPwn$lAojDgI9&NY&}d$-`BWa}IjG{=hil`UA$88<A%lQco(@
zEPR%}Fi<{@al_{08yeT$T=*fyBINf0pFdmDw|;-SX8XkGW53t^6*_hIl0#O=9J7rK
zzh~8-5cqlJV&2?;d{f0G>{mbjRP~?Nwcw51$6sYJKX_9do_(J(|9{V)8z+3~i#rbe
zsoZg)@(=q;n?LPxfBRR@<UGvy|3SsFji#$tnx9Y!{eL&*<K^RDrs#)mO3K@FKPvjd
zb^oNUd4IZW9{sttb+hl|X`B8QoG7!t_jT&TLZRCdSN}};dOB`jq~bh=-~Yc)J(2MC
zSMTBDKkbueoN$kJVEgkXrp5Zt?dlFk)1OSTvwV*35Ljn9p>p@PX*Hj+Qd;?E{QIo8
zRki>7^jfpuZ{oI2dVlu+o9)+b{mpuRS0wlA^tr!%|314e_etgdrzs~ILUw!Gh5d6|
z=QoL|?gx*`1g@W_CMv$RvuOY4Hh2AG55+HML;v{~W}e$n@VPl@zxUKlU(cSt-nZdV
z%-`AR_MO3T-*3Nsnw2>x!ZWK<>|d?*muHpx5?r}+!@iyUIlWN0!7p^pm%E=-^JOE8
zivN83!t#9+XYOCOB7LUEp}dbzR{0;FT{rDtZ7}cW?#ju@*L<#9Rtih*taN4J6q~%K
za#7Ns`d@3?1S|g6o@x@+zPG3I=GU`Y^_!%ucU1Mawd*Wqy7%)`Gh+<z#gwZPEBF^N
zwkCa5jQ@1D``Mv4;;(1g1f;P(-Ya$6W@&KTb)V;6yz145jMw~kyE9$ubkC-kITG<N
zKV5ugzlQCvTZq}pL;b(2zMQGw_P0Lb>)EF^KWnaiI~&Pp@@CVAvr3FMzrUR=ky|Nq
z`ft5W^NeR}M1BZGK6t-*(d+8EuV*(t`lxnbkNnDyOsl_~i%I+cxz6f@`1$`0#+unX
z+b8{8$H;8`c+L%}g$1!6d}4pse^~RtVg8Tf@f9)u>bGr3tNV80Ot0y$^G8=?CoP!u
z@cxb&r8gK?G#y`gKK)1Z@dZErO=P@bGhvbZ>I<yL?w)9SFWBnfY|;O#;9vdowHL(r
zWBPy8eK{AuX45wJq=S*0m@ogW7g*#l@$>Hou^;(<xgT2mD98SY<b`DyF7@w@*z>Oa
z|HgG^|1@0+t^BaEo#~(Z+@l_XOnZE+|93}BkNtYE_SI2`t=3lqcb`y_{X94M`-Q1m
zUs)fYPQJQg&EqfUWhE7UpIUP>;OxaL(@wV6Eb6bXE&P9T)kWo3kAHSdZSddtYw5Gu
zH*~t(;yxWw^kEAvTR!3S@jp9eX%*+(K3w+S{hta`ci_RwiQzYmKK|1R{v;&&S1agK
z!1F)1zW;mtT7^T9L-qBBB}yFH)fd|9J|39JVS0FS_z7;N=C9}N%axcCqdAs`vON71
zw0e$|bzGb7nk)8xpCT^)a}PQxp#1T(+QGA{pDz7*^052CyJ0_8rf@NSy)adKrNBb|
z$66DG+@mCVd7fHD%3S|);i(?~&&Hj<S8YxH*ZA`EroF3wemT2bOH*UZo`C(|&t6%{
z%lbs{*a1~xCN_q!xBrc%nM~MrcJJG(Z>G)Ov}WtBbNU9SQm@JVcYo?9q8@tEXikgK
zyQSiqR&h^OUOu{G-L8lmXE*Ms>)&!cH2bIH-kaAq|F?bg{lnbpMla{q{NK0d`~KwH
zTPJ#GoBsdP(0(ZC(?|VBR{u|a{W!l>k|kyy^VK~oZpkZ%#oRg_$zc28ldF?{+ut{=
zzu51uS=5yL<<s<ExBq2qNZ#<YT4q<rj$oOXEgeP?DKW<wSdXr&-E$x~`QydSEi3Zt
z=4tA#6qu@8_UG%z&z}S~|4o`YV}ooN;|A$B6Yo3uNOhl^UEle-`_sqLBNEJ~8Yk>O
z5h*_*F5AV0NqMov%eZYfbQ9UFW13#QKYnh`^BZR78=3SRzkE2qRib6hY5%lEi*<f$
zecPq9@khsrESE(Oq+>q)mfAB*$Es|yzTu?8x=G@nH!PahxcKkHgrcwS%U`9kF5K`&
zboI;wHy-AEZ1lOg<>ppDj*@R*-|y5q`7lUm+x%|px^M3-f7Z?Ua{lS38xkK*emviQ
zL#qAOihtoBPfp5v^ZQZR27v<;X8){i|8PV4_=Yb(f8-oLshSnHGpCj1#J=)mmKi4X
zH5b}GNf^&8wO%8BVDhso1$QUCU^`uR{lqni;1}le9?6LIzdqA$6#Hf+=e)bNch`C8
zbxixSbdpl}$^};^R>mCB+WYNqZm_D7>HjZxrZ{Za^Z#(lpBX=Of4>#`yX2>w@$6XH
zodTJ6OrK2c_%p+De&cu6(yX6`0e|u$+3w!mWbol{e6cF8{rc<q>Q)xkEzEOy9rJ!#
zl>S-l$+xraf4p5?QitW{&o6)emV2|qH+|c=tCKi(f4^HkO=upI_M5Mdi@XK7m<qza
zzO?-5RQqejp2l@*%-a7iS|?A_oE&xU+vD0O*2!^SUuIrq-#e+AgZbc(G|^0foBXmj
z*F5}_5Xh^zNyYoyWB-#p0dov~-TXWAm!D8(SZDR*w_9KC-Sho%(A!o?Z;ff&{?7V4
z@mTl2S^B>(Sp0n0xTZDeQ3Fq+?Tq^Kb7$neZ4uF&DCPVv!tv)EU#C{LT|y51k0S10
zJg6vI8C5xBx9CZezdO!t-KF=3@#Izca}yclPaJ-<BkBCc5AQm6zB$^lp<K|=(&Z<E
z>`c}hj0Y9PwLhsPG3qm3coTnv@s|0<Q`=`RYL5TGc;SF8)78kQvHyjW*D&&3;^h{L
zi~0E~*oSiyL-xOgrF&bHmj65dZ|d|33vJrAoJ?5q<%<6O)PLeOAGd^EntSxi75nSj
zJ-bf&wL8T8xmrH0UG4PAGruES)gm4=2*~UVVp=~VYXj$@3)MADKPJRoNI3su>!Axb
z7iw)vOxy9I`(x+Pe->RIR$l+p@@)F0-{pV4+zg)2^ZrKi&Byk?Zyi+%bX9LWu#tb0
za>bh;LCS&ACp~sFZ1{FdYkrIAp6=k;8$36Ez2$eZHKvVcR-^a*JvS}p1<LK|*v1@l
zAm;sr&o^)Oi>}}CFXGd!qfI9k#dQ8;ToEb%mX*Je|LlibdP2=RSXpD^{$Jd1c7mea
zoGsluSXh%@ZxI#!#yDZppP3y=U+%~sZ2S@XKlRHU?ZrufEH)csHeHR$PQ4UjI<doi
zdzbajjGm4{2JSbrRJeOKvP``H;o!4_Uqs`?Hy&;E-<f-r!&&%o^8ahumtxLuShBB!
z$^JEi#)E0G|IaA1#xf+WWeT;K@ud6*>x|Rw3Jh)?>zLB7{EQY~6C?L<ZUV#q#Z`MI
ztoh)3qqy(KDqn`qKk8eK`hWX&f9IYZGj7gMmH$1*VERF)|17)wUnDd3&yYBgQ9C)h
zf389J=5O~;A7%Y^-zs!c*H;0H1MmJcoAiH~J%7>(u5ZQ@_uYMX<^GyOC4ExA-aL}-
zS|MP+y0Q9$;!Tsfe_!TKJZW$#ws!Nb2WpaeN~a})a+;?8Tz!6%RYOd7?EmnK5^J^`
z@ta$;%BI=)-hv0`pGf^a!TI;oYVB_u@16g8S8J2Ry_@2Bc3mNn0!y1MjxbrqK9TxW
zGp*HZ^Y=UcVl8IBzu)=u^v}%Wjq|m?HF8BvzEOX)ackYR2{M~cC|j3pczXWZ9lNWy
z=WIRu?T($QlzI04Yxf?Bxvu!V=0OW<>AicRyPrr&wu-;}^zH6xBc}U}N_M}GSGw$M
zTGi8_{_$@86Q<r)w%-05^TSW%I^O#e&Ua3KhEdtC&u<zy9&I@iZx_jIzf??gO%m^f
zwdt*Fy|*W<{jbv!XtpC||Cf6wIQi$Utq%Y8<-TSd%kN2VzTLkxclSx#-;W$4JM+xH
zO=#HC(;?E-)_VSc(8gx5uK7BpJ11){UQ#Fi|E|&8iCh1!Ikd9-j?w>ffBL-tZ?c@a
zv~9D*-9Ph|U#s7w)z~Ur60h56^?OI>$tuG+<&l3xm)^c%SpBbsDeH8Lpy~;w)qh1(
z+5|m2m>x~cW4z&KuYLRNw06NKCz9Kl*GE2T5?s^DlG-Au`TBtC^ND|tPq3NQBKY)s
z=c~L;O-KKV`Q6*GZBIMPN9z{8m}_k;&-R|!Q+i`XBhTjxlG$%I?s&+rlQ@BwC#I3-
z;nf{EwGr_bCbE0R<g~@?ymqgJxhwg%SoxDHB@-fgAN><6ui!s$wRonv&Egf;bUYRu
zTO+^qJzq@S>=kmp2ls6dj=8X*t}5<Nf7;8Q!vAaLEV~}t+0mGEbZ`94Hm;(-`OU27
z_Z+=feIiFxyNxw^*U`@{ENMsfmA+|a*>I?ZWzzm5sPq2^82<m}tcLCXH`Q-qKG!EX
zr>XktvM)ciDjzIrW&5S~dv;9PpAFCF-0td0yn4Hp<C6Sc>%ZF$F`tf^zu;uoU(5QU
zOD1x?*UMUG<emMq{rvT7SGFEK@Z@#mU#sWqYg<`<{<B<O{NvKR-lT0mXGLrbKl{&8
zKeeMhr=5N4AB*};4ctFBE|uTEXYtd6$3Hg++&q8c+s)JS4$QxMBj%6A(o-GJB|dj~
zw=jR|IQGlDpFvvUZ^y#JGvB^{eEC;o!&&if?`}?Qf4!gk`pZoXA(D^3TmRiMbw>A|
zKjxR>_4aVo@0}FaBA}<f{_ld1pZ~p0?^v;?qh|I2roWp{-YS&YXcV{3^wTBH`EA;w
zm;b+x&HuZ6>EwXiKiZ}1daoS(w`_W9z~w*M)1xDE<NhwacTwm6#0-b<ca^H^|7yRP
z&1o+`Q|*pU3|sT6H7_5C&6>OM`#ilFo4>DV=M&@$xU95$LqPJw)6CW}_mY16i_z<x
zWL{bIZinL89R+C{X8HT1%T0VTd&TW0t{*Nd*2}K`sZ-JTGQw-uNkLBDJJuU={v0_O
zF)QNwnj^7^$^RcbX-sDL&)~dg_6GLnF^bk366#)G-!otO(cW{<wRiv0-N4wYn!bmj
zbWO8-<y;rpO&uJmf96hlno+*-zt(BDM!!!7-Y^~xntieI|3r?3|8!%^4*DFseBtWC
zU6Fr{m+zhH!QFK;F7-_5rVG2Xo}6bAf0hz!b#k(haM6Et>(dNd|Ljm^y8Qk@y0U=l
z><t~li`ML8KDUWSejkhVC$<NV3bo^&rl;Rz^1r6=?OBTU+`pFLd!A*T-^<yV!g2ZI
z@sC?#llL*!-|A$$(R=sJp4mY+cP4*4FO~E*vSw<}Ca$g){;+!=y;EjC-10qi-B!L8
z0w?zT*9}tVaQgj6{?19E3x9O=zMfR%zP4r6R_Ulce<G%QEPg1o^=p0JRi4XX|7P!+
zrcooS?<E)-w!iP^Q;Vn{`Nv=9S#NUm-}24stL|i(lcrAWygPQi+Gw`I^v6!t<i!_0
zhQHa7udJaV#&%-WJ*Ej$E123OweuhEjhoo9=7ag{3zP4D-kCRhcH!n1bE`IK=KNs$
zz&*orq6!oDPA1a|rkIB-8pQceUb<Ypqx{c@8)xKiom}vXk^iRW{>q0NZNoM!Vraep
zV#oIgj+w#YKP@)=eE4R@nu-(C#JyVtqQ6LQ^%oILImZzAUHa>~r$!rhaK-=mr!dLM
zs%B<p!>i<fKVlgd^MxlS|5cRTzd-D8=!WS0un*GwNz-3%h-`lw^G)i%<_4QshCP22
z?%kF9b@d+O&v{QQx(@FA_*F{3UaU!Q|4X4`{4=s%ePEiA*L9_@{^UW~?iq=9|9q43
zo7%uBzkXNq&$Zu8cQ<6ET}a)yK-_wc=bQX*Qt?0gSbluj{9kU~v1KRPEq`zSvif9X
z`!0b;D+-VQk<I`6N#gD1qKese_V2$+eV+gO`_T<z`#y3$I8%S`kIZVV|FbXL*!smZ
zQseePVdXZl$n1Z!?){VbeSgpHY_VUjtN%>h`sabUe2YxXPs!4WH%xCn>}g-V@ulnR
zR+;JB+GIn2-kJ7x``=d)f70@QuhCa}A)f!|{*&qwy}xnh-&+34O%~Mueb+p`Rr2q(
z&xKDM&Te%t-Sbzz+^j{i{7=fi!vb$!9NB36!0G4LLi_*MmVMqNXt+k-{`<X-m_1Dm
zv;GG^t!WYaUwwr2?CiNW+QeUd`hU56Yt`&EYn5NhM*i6;lHA%^T`C*>{^D`ln~bMh
z-tD_46RTVG_hw*e>wB*`^My1dSU4}NKl0)8-VfEbtM|%%n_u?uL=+q6mG|2B{#;o%
z%_96?==FV1EKdH{zxnsdt+}j^vvilOUbMUZ|K+JZojMPiCaq%infUL@-s-D7kN0zR
zziAPTiE9^#mVFr3BD(99s-d{&^2nd__sDI{+yBGs1N+{!QK>W2&#t}t_|x?7DM}B2
zhuZ#R6>kx}`R8)H*+#x=jQiwtZ!&xBlrybl4ml#=ZSm*cae+w;!gF#$XFvXNP_~Sr
zRaC7-qp(cmOHl6qU29J=Z@lO^>u1>4loQ#HKOg-cmiP3s>)F58q8?pfWdDCPcYd=p
z=TsF&X6xfOZ&V&Ul;9ovzoA36`p4BjNgM2L{<*6C`sK?*azC!lTll*4O+#DPihHGR
zeuf=?)gtQs=jw!?KQ8(($0prN`rwiLzxvVI!{%+Gvlmaaf4$!4+3&0FETR=jO*bZ=
zUEsg_!~H*3)fwBr75%&Vgw?7+RoGy8`Tt*Gf;$a1D!;#xnbx2p%)lu=yV3qiOE2fE
zmw#4#PTw_MyiH-pT7L%Z4Xctq=H~z5_!=C2JZAEiSzm(di+5by6FQ-_vhmaB{p;dF
zqnotP{|(O$?-ckRdqdrJ_YdZ0yDx}WD%9=g-}?6Ddv_Co4Jv68szN{Ccgd#xT()se
zZvJ#7`9Ce9f9CH9{Qmi0cvSf&jpDz{r)B+L(;^lVw?d{p&aiaP9J_g~qVrF0c$U6T
z<?AJp?>BF%ei8ZpZO^*hd;Y3@i23_?`p<_c7SCG6o^GCDt;Fy-lIiQz2vOx#ViGg$
zTScd{{$F?0;ehpwr(HW&lzwi=naB6|-82XNo0rc2yEZFy{+gDlH(G){Sv2GRhp!fz
z5tnpgM~p!CoqyNv9qW*pP_yns?s3sgJJd>hHXZyMcJ<WtL$4xc1dDG9_b^*HGwE;W
z_7nkzyOXxAn{3f=)MV;pO|Ev~`Bm9}uEd^pSoHVDJ<IQJ^jpkzmA1V9mp1pQ)9pvx
zk6tc(v)lW}#B|fJw+&m4HU{j!@gdoCQ_{0zZNi`4{{Qm!>EREpGAI9(=cg~?dvk;{
zB=v8l=})USKirSs>U&lB+VF@iOUs|iy3*+_+;dN?sJMU7PulkN|H`YI9B#IXn(!%~
z+-Lo=zIFHZ-}}W^?{zDS+hge;^!ne*qSpNfWO~b2-TB-su%Z2cLgd$qslTE^{*|?J
zz4=#O{wdDwPr!ZEhAGyKTq)`^_RZ|Se?zjtOFoX}0%Nc64#$l@-yIiR;i0s0+rJ;3
zjAwToSbcyc>ETD+Uq62YpYJf#I}vkABIMP}S<I?`e$3)$JQ8z@f&1Zu`*u7AJF2ey
zlqh*At!TSIX7&fp3+z{$Ib+Osv~B!x?%9EecIHQH^$+)MXb=s1cQA+lb?h~UpPg?S
zF7&U8efa**zq1<(vcryWYVJQH9<*uAtbI44#6F0}NgZ{_djF;JQ|M`fu2$L8&zt#7
z^;>lI3x4;xw?3@JQp@^<=BL74Un;cksydmh-mh17(xGkNv#d`JllG}s>)zbj|JeG)
zq{$BZCW@^2nsI8=hQLV^o6OA{7(zosLq%Wx|0@>Bz_9ud0|Nse0|>-tB{9Y@#_%vC
zF@~uN$TGz+bu;kU#C(Y1uuS4(5=iQCNV1J#OnT42>|(*7&db2;!pN%K$;_h>W5uQ~
zl*FLOp#N5oh24yqJ?0WOt2ujeH)~=SgWCee7;E-;7lzn(jN3hu%o$l(_wHpmsMgMS
zFpw$PlI1WbQ{uBE4~v*{j3+rHgqTh;T$Q-UQ2&Ybti#6`%NRZ$iH@W$F5?djsx2JG
zNxVFfDlDg&G&vc$nZ%MhU6O2>(%&<&Ix(G3;^FD`X3}Sm)MbiRv5H}dW{(x>V2ovq
zk5PKgRA<K2!l00}KFKoaSQ3*bQ}MeP{v@UU%!OSH0vR?jpIA<JGR$Xq`;p<}6qeJx
z(^Z%>d7TBB&$qFhe<8?Weu2TyqLWjfsqr)K)s9Z4)XzMI(-@X>ba}jFsN=lIa)HA~
zBIcVSpGr(WgM`p`?$vCpVhu4iF~?#VT3N)Hro?b01>B2e=wZ=hm>Z)MBNL;=$SxTB
zKRd=^LCo=3hFL8B4l`pJnpl*WLu1@yE-?y}e~Mv>dC3sN8}mPgIYyNw<|%`cOiZLJ
zi#b1&2@4a0JX6ffhM3nejIj*O4DKBq4BqSvJPiLHB{Rw=yZn2^{qh}$B*UW^mL$C-
zC59xKq*+N8Nyi;Il+VY6Fnncr5~G*&Ch3Pj5>w1C#`Yv7J_&0j$?`@C=le0nNsNIE
zca#1lsU<0KNQ6F<j1-iJmFEiQ<VgPSz#_ny<iM27a6V~|M5U0#MJAEoj10;;F}z8a
z8JT!pl6EF>IWlp2C0$_>WVjn+A0v}=R3*lR!&>4wOVWKNb&c460xy#p85p>k7?S=c
zF(ti7GT~%SVwn3PrhzjSbnA$?&{Boif0r0qm24O^UvjK(GUwQ=7_(l%&V^IzAM+0-
z!AGA33S9VNR8l%*xReytUvTsaUF3St$opj?yWuBBL)H=(X@NH8Opln44BrL9Rbr$g
zCG#dFG3m0#tItqTxXq#5@iN9j;4Pz)ZpS&U_+So8j>lY?5;09bISPNpFmZURu&kHZ
zr;_xMwU%x3MV9Ihtko*r99NjMJz3RNH!+@d(llj^;S`>vk;r_LO<#p`m-I7FDL#&q
zERj=%q&*lpbTv0CF(y9au$^>XXaYm3fMth-fZ%8L%n2Wo6s9Wsc=QNpb1YSfQB0Sd
zEW|5n#IhslQai&*7X2=s#qXH7TbPa~@v!PIVLZvAzm+|nHF623KWn5bQ?!-P%L$Az
zZ&}zCX17RwOyXuqtn=h^(Q;$k`*#w{PD??a&W<DnnIz6;kFzRrOlO-E4oW0_W_Ye5
z5X=4FQFFrYBnd^PCaov2|BJY1uvjE<C+V@oyi!=t6eA^Q#E{G&ZR?f9rpq&}TjC#=
zf<*_T!eS+fB`N|%N&;14thRSqvxAs&c~&$j6mZ7qF*Q$k5yMc-acG4kOK!ZvITdTB
z`<8c9S#Jtx?UG=*D#qn%*{OX}!{v=gmj?&qZLY+U=WKU%wT`{i_T%|{g=cF+%=Z}K
zm>&$13PLg5Jd%@w6uL!pH8yn!3utmlBsFlyaK<Qz1S-V-XO<}u<-eSy^j#obNMZpe
z1G|RQ{}?4fp>j^4T1Eqh7>*c)B!!1b3NexfN=~BOGylD1saIr9VyPEOVrVwpm!u>w
zuv1dzmP_n^34s_x6P5P?Vq#xCnJ#PeF}zn|$d6&%>&bY~(vQK`C5FK^h9%pVg*Cf3
zM%ZWKgxG%yDIBgLVzC@a-zBY+1Qi)sV}2;in!pnCFNxv1!5<gRQ|!#_N)`)PSp*ld
zvNOimHYmn2oMF@!i1`xpkfTyrCFU=OGQ-=JBz_AY<`@ef7KbHFF^>furgAX&UKivz
zDx<;i!z1?JD+e7OPmv2eo~(0O?=r6TVA61L5K_|cVrSav!Is46TA=l+iYGbfw~{Mw
zvNxkROE{-T2xF2XhhXx*m&%7l-t#<Xo69<v@x6+H4%hEV>_HbCwEV4A1TGtIV$^kb
zlw=m8E@*UWv4fD5qtO+%Bo>PqE`lDdlUXbsIAR=P-m!2yNi2?$W8iiYxSVvKL0Eu2
zMu=mlFsFby<6fp1B{l|gMh0u8j|o-JJ@yMSa<eh0GkL^3h)KA@5Xy3yW8wQ4LxGrE
zF^){){GAM$-e)&T7%N!B+-G1_ViI`GAuyAX!9Vuj<5-4C?<Keeds((C#QwX>dL=OS
z-%B2O6?WdeP6?izi#-o$trYUx?4*?xCB(wdDBY3#KS46q<D3U8zbQAXLelG`KP<M6
z-e(wN#3GKUd}8>><vWo@^{dY&M-dMW&ZF!rgcux|n^{$2?i+M7^?Strdn)vYYl1^8
zBVP<B&(=u+R#K}a>J?%cZnDHUF>>%Ed2!4aV2@!HI4#U+aDp{1nL%Sy%o?`MjEbz@
z$^WBc9JrGi7RT)4Sj)g4^Cm_<$%G;He@2W`3`>mCJqZCdjw2nMF)ujY-B!4xAQHpQ
z@k2<6K~g{|$s;=E1ZzACyOY+I7!fwni6<Fk1<F_$lucvSDkL*>$MiFBWpa4%#(FZO
z2$nNsHN^h+ie<PGai4`T#WwjrM}CZoMN&zOP?y9W!C5u}G5j%?nLaD_@-2v2E%Cs^
zj@e3Kj>~5z7e0+O4$LvTV|)dcDQV@za4d3(xxnP8&Yb2D`|nvSqu@6I>6mlcR{xb)
zM2uu3(mObkxE0bk6l^${6dXij1imCaNzynJ+wfm2i8Yqt6+?f=Cj}c$jV6u{k)1DN
zRT$-E;uumh4YX{Wn6wP6-p0r#Da0hLj?rasSMW{ZOxmmPq{BYxjKYNn3{x45lfEW7
zC$SuhF;GkXZ_Sa+$ia|(Fp0xGrcYsyO^nc!fNX}$WR-sp6K@x2HpK{t#c;%?URF`%
zV%YAfq$Z&w=sbzRSwqZVn~LHr#d)3yy@px|nJSDz9TFTKvHu>n3N&acnek|Gm@CM}
z#Kf#N_|l;h^TgnnkA_>vUJV-o4rc|sm?Me-oDwq<rz<8oD*kegS*j7mBCqh{zju-Y
zOESZSn9~*pEIArA95KBDvHwGpB{m%uNb-ugmQl#b$Y6Uh`M+SS3L^toH)pC6hsnN6
z{LQ^=r<2$`$~>4jtOarvlD;H8@@P@fN@`%0C{bce(319;BAD?xDdTgJ%7>&3*$ivX
zyzk2EEDm{vytlZZ!dW=Q;)c*0uDOCWD?ND@GIA?wC@?1NPSRM^l4Qpj7<0(V#YN#+
z64O^9j?Ik5hgFgo1r7uVH!^-tIuKJ4B*((o&Y0BUDB#W+lND&dWFVBxa4AVi+MtDn
zsaom3z|@-zu|jedMI2`zuhqT5bJi(|RZD7;6<5?!%_$vUm^kli%u}gIaO9Y%v4Y=1
zsqyCo#w4vn247S-teFfwm5v$C(_Ag2!PxxdjVHrhR}Q}4N<mMw1!^a1N_lhsXDVi?
z%(IJGA*i4$A;fxD&>~1#i1|sUWITs@jB<sGMV1(+q=RP?a}u+Fpc2>5DFRcSzDC%U
z#u)f8B>(qIX1JW>A``=TT1Vh&3zK4Q(lv{m4q1b|GZO0^L}ULmL~jXly}={y%o4-w
zASJ+=B*eh}g(c|$1FKe&n4my3KSR}f!HVaMjPsK`InGNsi@u*^aDd~o%yPeodn&7>
zSBeA+?Ga{Hus_`C<z|qZ#PnGp$(cd>NGH=%1`{Uc=L-8`sswZe8<pF+{^l?`@g*}{
zOp;|u;+Nc=bUjAHFzJck22+;n%$^*no=X_LT?9A^zc}nuxyQh+5Xh1w!obfT^QqI}
zg5Xa^q3<4yQpyfBmlQ(euKVAvXczdOq$+cL;Y1H3Wu}K-wJn?{V~%^cbreW+PLk;5
z$Q8PNF-b^{@wQh9qfm_3JPy}ODltaPf@-U^Ud!EYVq!AqOcG$QSNM{2kAYQ5i{UA&
zWyoIM!y?5VmRh$3S58WIn^YjN-Q%_NT`ggi6|(oW6gxduXld?}ee5Fj^dQ$`mD>WW
zT+E%4PnlE?aj~kfWC&gK*b`GD@>w>%rCIZEOrA6+3x{MZ!&#OjdG4<<S7R0#$1J(X
z$2#51NV3$0HI&!lo{+?IsruWV7Oz-tDp*hAkX@jq*gAnRDdGlmxr&0iQ<Gr88x~&i
zWR-vSc$K6-2fbFfDD$~f>Z_JV-vrL2&ZRo9RU*u^p1LF*73O4__?YE_=INM;F&&&q
zc}y=au)I`}I%=`r!#IYC@4MXIwS3?G<afIo%ItJ%;7qc!_&!N`yE41%=1B?`hHF(O
zo{T)CG{InFUevyoo?rNOPGXoYxz>Z9b7Mk|%3aR24D3O|99!kr`WtejYw=A~Vv@*U
z(n;0jpPXUT!5G8F#CmDLNtJ{Mxrx8t$V{BhG213ROUO{FI<k*3C`seBZL$#0N~yVr
zJ>|+-9w*EavSSX2;_Q^n=h=KtF1M;vz}I>`pLC`R$Id&_=}H`jg}8UWH2Q1rAI^V#
zBC~)A@9{VVNvFvE%Q3RiS3N5W1t(8h@iVwkHg?~nLS4D|s6r2=gm@M!m5GU)FG!{{
zi@KQoeP#Oh>Ljg=55;vqH#|);jk&1(MkQhX*)oOKNxCr~5}G@Uz8TzJp3to#(a5~e
zC5g3$<FvuoNdd2!cnu3X+%k+?quzQ{X4o>yDltA}c2E>dwEgeEyVNBq%Hr`F274Aq
zCk3V$RY8l-Pd&acPP8azTHzBJ?!j!Zwc-~?(ws!q7*EfPPDV+CxgN3qZY(m|roa@-
zu&DExLr5&cnHZ@JjT1PML>=N&B|A><c=CbaCP%vIv6$r(**K%vglm_n%q-z-_4G7k
zJj^*!De^v}7PBWCuj9!KV-+S(+d0z}t({^SE_h62;9|;{9QnWFPtM&(3Ysc2jJmEd
zp6pFaTAdW<=)uaHBpGpCMLa-u$8C?~f1lz{Gi_E<h+n0UJSkQoeWFHYC(A)qz8G;%
z#YztAcd<eL?#&9Fsl;{HII1!#l|7qLHX!-mN48ugCgYV%mXl(b?320~PP%BCiZcm%
z@WwE+X9iDTOnS>u%jl(&%v-`)%lg=xY38wdVI!A=DO*`yGr!gN!2VW{$#Vtwj+jZ&
zEbKlL7aedh)D>e9SK(p&$yBYF!=3bo>F-4L|8ER<c^|Lx=gJg{pZmfzhV#MEo^Hk%
zPp<b8MH0L(lDv67y9z&k&;PaLqYA6%g~JTiDqjlXg}5hjedcH=6!@tkq8ulylJr`H
zS)R2sMqb01k#S>3lKe{v*_U2S)3sz?zLb0{|5CQ`9iykkb<O$mFDJ2ObF<34oW#Q_
zu=<MZ%Si$s1y0Jm%<_Er^<y;a<5(f7+)%-n0x_mZCpC^=nwZq*-x$yFP(dOlXhN=1
zzzoIY8#zjx1&kaG{GM9N8|@?}x-gq9W--VX@>JVd#VnM>z&pY9HRps915f5yAx5pA
zIq453evtTNY|O8&7PD}nXP51Y9mdisYz)3uoD&6#Sq%@)Sen2RbE8RDKsAX$HilDB
zlyT~ZWQG4Mv5Yb&bUZgbn&Hl<GE3L~qk_8$Z>acGQ)Q;bAtIKOI_x=E&6Q`p;EGo<
zQnvaoWFqM=X__?2N6(e5QrcI<#PGAHZLq>ueP?0b8JSX@4z7{;90ipn=B6D<FF9^s
z;drbdk>sy;xJt}MS|#R{My4X~Bn6I(Ou7k=Js2&8JJwIKn%uFO<E22%n}%MdmY5d}
z$xJbZb6HmLK92eCX}n&-QplT0SeG$LEXl}P<6y5hcf$dOR!*m@F8`DNO?rH&*F}O$
zujB5CM7s@UENsHb3{yF`M6yK3%yEdh5@Qx~hJjh=;EBXm7M2*^9WDkjp9Ldk|8lS~
zXNdh@9P>YxVNxgSWCLHuRoyDAVJ_Pp9WpqQ0@-&;#4PQoXk=C9i2WbWB*hUdtq_yn
zX}OPCC&_aLi(t#9qzFeAyZ)q9hFLDD3_h+50h4_Hu=+5vbFj$T#Y}6w@SQQ1VfoC`
z6o%UFIUFqv?IKA^AxynY6CQgO?muvknO|u`=wD{ei8A3=B*KH-Rk#B+7J5!Fe!;<G
z)5+0%<$}+NGmMHeQW{v2cw;8$2s7v#PKdEeTEpF`HPMB4E91=h4Kd!a|6^kq<|?dC
z+Go+KWXxncm7!IskjZ_Yf-2vt#yv?#9FqKFPQ_>&NDJ`9{9#ynjlIJ?HtC*15@?W=
zV}hqb&X4=SuO@{Et*Bt$RL~SV#h5wiRm|(ymjApQ{7FeHQx%rPeBM#5#34{E&hfrU
z#YtZ{=Awiz!~2-8$^ZUw?))FZ|DSWBllCiyc8OA#7hQ}D(;XONRwc!8Sh{e0*vGNp
zqKo*>sWCne3zH@|2{FiPaK>;b#%$8yKNBm!FgIqkQ1fenn8KK^8f=UzNufK=7#?c-
zJHeAvVU3EVlIID}8|EBtg=|fpD;~rcF1XUtk;(A)#f64gM&YE}fgKz%m0|Ca4gP&e
zW}ICsG^5dq!P-$^g=tK>M_f~-6Jt#Djzu247k7Gy8SS*|V4W4hll0NwCGx-vp<_ZE
z6Bw3WQb{u9jAdBQ<jZxBF=<88q#X>x+)Ea;2qeALI8!?Dgvr+^shL+BIg(^Kge=$_
zHZ)$?@+q0&eYD<zr1#1Hx~HCetvRFGlW8u8ol7j!Oz{(0TD%S_Ngp{3Im;%capZ6n
zt(v3q@V((L6}}|JHB5yQV<*HYX*JE8@F|8Vo$;#6fft+-M<%gka_m^-wk1?#2G7I?
z989_{oZC(^*s5Gybc<u6`;6eni=H_Nu*K*yCOae@jAdBJ!6fi9CXGpgQ7K9CbMh@)
zCPNm%FG*`-no^j|m}8C$=yKSvihGgNm>`|>C+50<y+?qw>fEy&G14sjdy<4aBWFuS
zCJX%g5X)fkKc>lvsevy>d4r(K&ueo9>Xlw9xCfkOddC#`F!sMFM>4~&4W^7q4MNHP
zUd1vhM1DW<HTh*jh)2r9sK0Itl6c#KCHUGb7jE3k)0UVV!rP`4k!;PHs>;*ClCG&F
znL5={tYhL_7se#vj#MT~m6aKdf{P{!equb4&&bVUX~~$xJ1HqMUT^}FsY<S<NY<o}
z!b}n?g|oh}JXTj=o;Y#2CHr$}y$LZ<QYCD!m$0aZH>-S55GqmRluvpl@@CRSAyF;K
zi+@!Vrq*5bly6}&tiG)BTC$6&yyHvKXQ@6ZnJpe1+CmB|Em)Igcz80VR`klw^8di6
zA1Em%+$oWfBs1&Y%G)c&gqO0UiU==ZNf#3?+U%SqF8orMTTJ*X>tl7MqskkvsnmHg
zJ=bnwdmhB3TsC!*dV>U~)-Hi0Bb}NQMP~Mx6_V^Hg|v@eZ}Ral2n%pm5_0B|<o6Vj
z=J}}LILXJg@aqn%i@J5ccpmFbl=*4F>+kx0iOf%)mo6&GugdBtIkFbNUZ|_$lj`6r
z^wdFJ`Zpsd_s@o<5;0D@4y<OF$QCECJmz-89vM#tehn#`*&Yj%gcKUCC|x*^WFVl(
z)H5q-hRKAa9Weq8ZzfD@d1x22gh8^yk7bqI2^N9>ISxm4CNk(Hvg{Z1_h3&DT<oke
zo5AYGM~)cJ<baQi$qxUEk{M>i{NPeZj%8H5@qu%O6GQZlB%^Q-uEs+GYh$7rvX7|5
zxG)rIu4*`&{9iPdk;$}->357oOowcY$%1X%9XsaCn%S_`u&LbWK~q~8laIsuB<>g^
zEe7s}&_`Z|Iun$RT-;{guttE3A^qq?j|mnh%o?}_J(U?dk{RC3Si<*UiwDPzS=nof
z6jvO~V_;xlg%2`wB*6CnGl9mOC+Yq*{~)p<>YmeSp(|^aO%V-_^Qq0AmMtlz)b?4^
zPl2uE{2u4ME&u%P{9iA^6K2<@rGIBBPmt*oE6*TL{>3aCwC&f<U3#8Hvu-VWysGy#
zmS8Pc^WY_|S*)gO*?swEZDl=b@-U8d|7)LDQ`xhxZt!C*T+1@sOVn(+NYVGT#dG=7
zrWst4@4hA`{&T)*oXnlfxM@$tt(TqnH0OHwC0;YN3NNwKHiuU822Pn)+A3=Kl>OfX
zX%)F03>>P@tm`YD@;v;;uta4Y|C6h%f?oWK&$i6pwc==NOUR^Iz6rlCAG*_?Ykm0M
zT5)fY*lTM4qjZ08glefg|I4C0N!H3(Bi_=BHO!mw8B@l*IR=;5Zmni@pR-N3qeDf|
zAb8eNk)>+(Q7oO=qE|f`Q<-I1uRq;Zx@DRKYj6~Mww8+hT6PoB<*Iy*6WTJjIItS&
zPuZ%<T(Feo+84G-tW$U%zS--nS!=tMb#_Z_diRNIB4Lv@JUY18ri<N3jAI|4r#yR*
zNs#+=k$GxYh1ZI1V+orsdW$*RW{Ue<rn@CI`_{8;3sRk*&9hjQf4z>#R`uJGPq(mJ
zvzvyo#IMpZUApfr&&pDfYAY6z=~vC!4#kQ7x!u8ih3`(3%raTi-)r12vn!lpF*@rz
ziPg@mM@pT!b83nl&zg`mkE8h4u`YX^zJE#l6PDL^TE1w@6lbQqcW;Um3R~w8#p|jq
z*RlNM1eVk_N8<O0)<&^LdddD`@%6O(ns)u=mHk`AAFDjP#>(x*8p-3fQsl}OmVZ24
zpL!&&s!F$py5@TEtZ^y$AH}aNBAu!8V0!B{-epl-)2&!Fb=f0*J+_LPiTHUjxvZMA
z=aQ_lx4VX@hpOGKrD9Lmk1n0=sp7m<^c!1V6pLy0{GDr6-=1q-%D89lPA}Hin=Gze
zDY9sO*L^kLIjg5JgmucaiuH5dJVouQ7yk^=>BtgE{b?}&O3}2LJC8)qo#s%+T6pV=
z(_Fr#VeI=Sah_+|c2z#JdU@;Xt84w6DvX$CH0Xa4DO~*U#Nmt6K1{!~CxuO|?RglB
z@3##`D&bY9WKJ%A$7bCrq{&iya$z)k&s4#hX+6xB)$O8K1ce-{Y-G2xsEK^PwdYEg
zMfsU4(W-XJ;Y*sAvY%d3!zEHaRc6<c=IX7yN-Qx^wo=Pg6iV5+-z{nWbyda2%I9AF
z8QcA=ntnRUYuC$#vo4(ZrN?e7%hdYlFQP@4l+Uccw{kh}l30hjvs>k3STuuV8Z!B#
zce3wX(tOF}Y82}uy;<9OSU<OBs9H%yx8{25yymi>xAs~HYgJJoSNl85H&b*~GcEKr
zefF>)ow{c=>&qyX;F&FNS6vo=k>zqpf6AJrItRCwt~YD>a^-Op&sDD8DDfEK7gbxF
z*Rt5LH>YylYxECfIl69H_BDPME!M{`r6-q&hw(&vU)J=NnzU@rWc8)ltF^bf6qtO7
zW7piz`!p^;Q+=`gNfuV7&Xx~fR*F=YntWL8)41vF-=(rMCT*O!YEH=%PcO53+xKPh
zPF!ooKJ~MgY{w*qltQ(Z50<Io94$Y!Z>sh#mJE(rnyJ37|ASzc`s8`OEpIQfKh-KV
zefc5k>zpm>2`op0Og_|~YdY2ZXlL6~ySaRda&<9J)G~QbdWN%UT{d~OUfgl1aIi?^
zQ=!x=jg?zjSBcEHWcKP7OZ>f${#hcOn&zeKlQd;|*;jea-o0hA$Y$O06S^#gtf%*y
zJZIguq)ma%CFBfS6nhLy-dekWC#UO{CIzv$uVqoTKEE_-C2QxDZ>4;3ae5KiBK%KT
zUfzlHV%6L(J|~PvbuD}UQ?1nLyEXUn)w2eB*?H~Cxq4Nn;gnc=>&K<?N2jnB%Ivwu
zrs>II%Idq;Ow4v^^>P#YYa!8_1b*L}ee$E!B0V(;0p%BR#u6uu?-{7HDypd7+beTz
zv-iit(I=GF_2dWNVxQ5fazdhS+LCF~vs5IO8LSSzQyIjXCoBAW+V*QA7D3$dEIr;5
zCbm+U{JYk&TAypY-@4<Z+pFnYKkKhrI9GkL-VB>I1G_e($#<pYS5D*Iw6$RV7gn`<
z7Y(+s%d+TCl4;_<Iq4fi_W~8O1u|1k@_uKQUA8|cN<>Xm$L(2V{`^m@Qd8!aPGWLb
zw(b$msucJ)H`Q_{>%6J1TUa)WemZq~+H!O6Nvtt8Z7QmP7Mq{`oqohMjHPPdT_=Cp
z^rf=GUMingvvQY;`EHvwTThpFfd_N=oz7n@%Bj5OogYfr?oKkB;P1zhx`>~}I$k{C
zG;i+oIpwEW-Pcd|O#8}O@_xSTsk7nVqS$j;1;g&l_hQx251YI9%sr9o(}W&BiS!cs
zZT7ximEFk2do9ZbnVVbUzR7+u>z$CvBDGewKveb%??a=wt~d9#vv^(Oy*Fw0brx2Z
zb4IOorAzgLr;13&etR{=?K1!0Fwv-$Q*L;P#%8avnQ7tF?>o7?i*56{wz@U?+`Xst
zSn|9~?w^)jxct-$-Qc@NWtS~E^+opbr0o9=4!sfEC(o;AaS2kLf7+p=wPq<#{#KS|
z-u5RF$6IQ=SWMUI3YN9jEEl<cmF3^FWou&FYv#ACU;i}a@zWP7!h#H}`P-gaH_v@@
zQ=vcXZxp|cjAibX!u4C&)x?=D*)V0Zt8HZ|JTd9xY!{D)ZBKQU^Zwkl`NYY#_iy4)
zWs7gv$^7H}vZbuzJr2{Q3Z_-9-6}JY^`)0up0>=9?{gM;Pu|u4G)s2kT6IMpx2Y^|
zjvD>^!V;-z`(`aq9M1)xt7UW5mxl4)@#em!<GY$oGhB4hOJ2qjwuSTd)U68QotQ0l
zICL)eBvB^G*0%{8cii+iEi~n)-JwrQw^g3~yv5{y!*!calaJl_vPCC2%SQ9$i8_tf
za}Md8{5ki`6Q-D&Uf;KS9(l3OSbaD4X))gtzFm{JoM+!Io_%j$+a^0*bwM$O<$Z4Y
zhF4hyFA1%;<G7?$vVTvOZ}!?UZq?v0dp@ml?zJkvWx`c$!=wd1zX{i}t=d|~VY4=j
zwd!~4rYM$4t5ZT__teBz^@Wz|e7VFWY5HfX>WVNoy`586Of%V~({YJabEVmzaMp!C
z&)ZsUW&LXEw<IF7M8s~Z=_20=*Vqc9Tr}7<HC^?#vTCX>t6*5OJ^LrS%oBF6z<Rk=
z|1%k;nEkY#BfXr(cPs0f)-rEaHC<M5%|a0t<?C%_TC862ODr<o6`zW?wU_-1lAX4i
zMf~h>9xvJdJP);fX5BUU5GAg_6i~I~&t5U#Ac+u@4@+4m1;uVyz3k`KkkvfVx0;)j
z^8ciU+@AaXm6y!l)v6Pga#h-w1o6zZvYoe=_o-dRHF*m!@e1<~b6LMenSR*LXB#K=
zZ(U2x7WTVqIKo(FFLh1%BKO0Hv3bsWRq5k<c_%zO@uah+omE{kp*Z)y_KZJK{I|27
zpL+Ihx|V0?sg6767W19GvV?VBSzG<4zcNcIw^=JJVcu|7Vu@%u%ayGxoow7!*0`+X
z*{j>L*4trM=z_QRl~+ySN#{QG=JcME{9N6R9&BoCe3`6v(OWn*Su89II$!(i@}w8e
zTg&3Y9{;u>dpX;ZyKCJuMZ`~r&bghof6E-^ze|#v$_m3os=W5zmDw_LiPd%S&0g})
z?HaOGugsOzRu#Oqj<1SWxPL;>X9>e4R!do{?#}t<DWWWYQ}bELT$cVNZ?@LIZkaTf
z{pdA5-j%M)LS6QqQaL|$TG4v_cT@GJmN?eUWz{q(ifY-lo<C?R`@+&}Ki<Bz?6p@}
z`sF%fC+{m_4VWgJDShY*-zh8G64jE6<w;w4n-+VW?^!ZQPVJh^r$e>c3^%^+n!|c_
z8ne&7)}#C1oK!XIT&V0M@l)dXv<YQ<3)au&yZv6_l-|B;lc&w#se8iy?uy;<XD8Cu
z@AlGFb8CoQ_ph@5?i3x1X}hMlda~C}5)ok$597~$xA*T9cFmsKbK`y3qWEvJ3;sN+
z7{sE#RCRI~ySt~<8rJOPY_iwcj^6CHyC}Z2ie1oC$zDKC;KL=AlYDxW@1wTxoK<NF
z;$d9No-s*Oy-n_~m+d+I3A2@3gq&|^o)V4aFK!Xl5><ZO@o@2hKT|d5n(~`<{9M)|
zS~h_vi#I2@T{McnlwI&=%K^=`CR=<sbG8I7Wsg_ol|1=8)qF)u&KFkGNj^7D33)#`
zb7YCPUKp$9x;1yFuq&&a@nUiJygb8X+9ali$k?_#cXq+(E-8PudD$#89<m+1p|Hfr
zi&ayV`KxAR9ZS_oV}A>y-+Q{)mfY){x}0^}lu{#}^Fg}lw|G~7ofH+t^MplBo89Ys
zOOuyBlgh;?7ICijsJJe61y7$_;)hnw=ib7;pXFkd^Moun(Wg90OPJz#qO;k#Gi4>&
zGE!Mg-<6i=&suejb*WV7mB+<x_1`tc7fluUEaW#OcA9v?C6Nj%R?WZh)4W7(%oSYD
zl6sYuJBoe3tWl8Xw3unzoBYn)(e-3bJ^w9>y??9hb(IBM*gh@IcsfNrvyA(Rv3Ikf
z{N<L4OqOC*l>^2q@lWSyv1-oLi)pEdH*WO0J4eTQ<`S+;JmFF78~96iwpLDah+A^Q
zk7L>=i%)GEx|O%5u(NiFEMZ&AF1wWD>U5`6L8)ssQ>IMl<esQB{~fz88^^XQyC(Ur
zo;rQ*=OaJ2+;C8N(8(5`yu_g=cW#*Z##5plZM{o)(zeP^khxT}RB*4#&S^|xO9ZQz
zva88^t15e$1@7-N+`{fVO|*gY-V~7@nVDW9t1S0VoXaO5`)v}hm0epH%cOa8Zr)!a
zaA%TGp|zlD_Nm5NyG;u7=KiRS5)tJw&lXqQDrzj`eP$`|R5gP*o-)rV?f!2y<wRaa
zaI$J%Va;B}!#kC|++NCRE9=oyTT&F{+Iqdz9$NX&S^Q>#yuPX`uO_R1TSF$R+DaQ)
z)}33$0;g`&I;rbc$$0$xwAiJSn0D-SiYou=rSg__tER};ohusl^(~QD<L0`=V8Ytv
zW_x=xS@O23&S9SvR6A?+`SWo?<$klPOy(2`b?)msUF`M2b+L_+icKMtzW33ur%UwD
z#;Nxyt>w4mvEM4Iaz%FgC3YRFa_&s2isgRMJ;EoY7A;}fBOV{d{|!_p$oyC3p2nM;
z-%`S-_wGK&R+WFO4b%7z=TBS0baCDCrBW-)M0~y-SZ#8n)coOeb%`)>W34lpQ$;gb
zHT}#VZWTG~$9FJ_y^|$7o1I%%pM%wLs!1uUSC~leO4jTpis7uErYRj@$PRhW6vV0)
z!n%^}Q|Qz)o~qJ%8k6FFe@I}St25a+h+!q`noCL{=KqbP9d;&6l8W0s<ID~1tt|W4
zOwY`D?ABalpW)4x6o1BB`pEIWOLau1&R=3Zqr{f=6#s{3Md7-x9iHajj5oS2nD)hb
zlI!j=rc?bo)!v0nT)S_vWPA@wTOPD@E5COe^VUo9mR=&))@gb(MT#^pb-ik|Z*n%v
zjJ53CEZa)#WHVXgGb>+-Kc4E_u-o!%YgCP1PvTlTDfXVJ`U0&H)+_ZwSvW#%;+M6B
z=x;T(<UQ+^dp=YA&r%saR?W*Sg;_e{bGN_HVG7InIhFlrnAy549;KJlZnLkQlKa!9
zGs?DkIgiX#J1HLLJnu8>Ub^zA9%gqCs(kWq)%+#atac((d7ihbd-D80xxne?5+x<(
zxw5Zq#iuPOeNz5*D*L-~zA5U-Q>>nE<u_0@o;H(PWnIu)MlTlY#RjL&8GJJf*4IwT
zjDE<@9slp&N*lhM-SgjlU7%W`tR>~ieT1p@scELp9aa6@fN=X3#apJ#6P2yJ{JBLP
zHBF4WXN!LAxx}9FiTBvkjA^D7TU0cgYo~k_k@*?M&Rx_T)>9V6{(x2ATZNCcT${~W
zQ`tyOKl8UkNR}sCVcwkFrEjM&N3)nNWxG2$`zO2UH0hOWzH!XiQS60$(W=}XbN^1{
zT_5&uhbOmf^43c~(s{Kt<MYo?J8xzGRo8X5!U0dV5{~1s^H=6fTbj?q?mngNn%JV6
zmRYJIlcSIP)MUSZQskxR(Ozf!<uWVH+9G!SS+kX;mW4a0cfooVmyrGiQ7m~f%d+R(
z*PP3ucU)7(nSFzQ@0<1P4NIBwSh9oF4K#V`OMBO3v4;7#Y3j=yTr1AQDj2rLYu{Ck
zytVu(vQ0~m`><<9iTuyjUAt7)QBG|#vu1du)mGLwzRyCgT@SMCRN1Q)R=-uXWpY4Z
z@2@QO{-w4a{L`oKTe)gnvWbl1f5Myj$thuy>a72wspa#xpHgXQ7EYSt;LiVcwMc3z
zv(XjCn<q^z4yAa8i%Bsu$9O$0VX0dAr_YO5JN3NIuQyXnxAwhEe|fn6NQaBia=zmW
zJfmIzm93XqaZSJA+mxLb#V1z(yKbq;dz<g=*3_H-%EDB3sv5U@DjSs<{wez!C2l0*
zQaOKFhzwV@t4yYH27mEN&vH}ssqEt27v1Yrym{B#%**oWd0H3V_jsqj$n(8_JmXY!
zwN)&a_AZu@+RC2IDjVg(KJ`!WuPrP`P5#$~iZpulR<itF`?ollWs<h(lfCK%y_H%g
zS!bQ-*=h5_<o^~QS*3MT(wfwEJqf7aV}6BoaTKdp!1{S}mIblr1qEEYE3|u#lOKDJ
zHcOlSRNb}z>)&k=Db|gPyRl(fi)xU}lhkcTrb+Rie;R%DQSKbmIPs~TIr%K7SDJs`
z!v2*#c$%yInyff^$(JUdukyx4@mj^isB%S5zFNiF?`8ICX}|VVUJV=HOJ>jGqr_K;
z?D7^m_NQ{GsLCZ)&CGD?t+ER88Da8XugsrcVSWG0<asE|&dh(6Yein!1zch8k6LfQ
zDyS|QFm;On^ZGBm&nL+w&N6vZ^sQsl%OhD^SpsH%m4C}Bcq}gEkJ40yqgRqj^0rvd
zp0z)$ET=^}Y$;1f2}e-!HP)WWo@Z8j#g-R+ol=_3qW|gsi|zlW{8C-?;exp5WKKOJ
zHbHM*dA{kB_<xlO9`o7%ZFjob<?s5FqP*Dbgui}btDP%9sm(UE`0dRo@wK9P)Be_8
zwx8`Kvd|`Vd+gLx^LAa264#M=zH+@|3ESpLW?kLv*EG|YvRmIv2$SW_<k{t!e?#h~
zP2_e{%Q!o)D0YrAmz`e(;<uWwo&NI8`P|HJ9H}O+dc&9dg(~Y;TIt$kFm*1TlOf7E
zjr%E^W}J9qw*9%ip*!m?7;U*3n#I!ZDZs<PG<RVp>!h7xUH6w8e+|)PPTI<rD$BZr
zMUz+f8jtPjHP%yj=GgX45=~<0p7T9o#-`(~m((X8(EM@m=#-yKrgNWp^8E4ED(iT(
zZVuZPp0~U|LrUzfZIhiOT-#FjG=-`2YLXw%z1-)aQ$(s-^}Kb|UamLwvJ{b<7W(sV
z?wrq`|J8XsvapfWXHuT~cL$S&%Ckv3YL~y*q?4q&qyPWG-?LVD2zJ``mvtt5{y%?5
zufX|vKfSBem^@`U7Z{f{tW~KESyOUJX||nIl(?*VmA8<xz0_P$U!Se)UMyFR{QQ0G
zMwGl&Hml1^?!7irOGPiTDL-Wr-||Cm@k)8#m6z;9;<b3!EM7g!R^$qsX0`~=&Y(44
zmR)u=*A(%dT9Pr9J<e=zHf!6<-xtkREcKr(Dsf5d_9fwV){H2&B(^qnmVmYFtERjw
zfBSN|%!@Sn^;xH<XiYUT{-WZM#bclTySwkgM2`8_8a$V7*NszDoo41gV=C{uJu=om
zZ|hEzU82m#;}U1w<~RA7K%Cy(r^{bmwew%1Q^TH=bJ0R>s><R$!fXxGUu|5rdeWN^
z=3i4-jxxtAi78~i8}qd=ip82mQ=8p1H)j75J1?%fSMNiD+kfBcVW0D4O09<m|9g+f
zobcrmzqjz)+s1{xO^f1hVbz&EUEPnRd}S?9xJdu9DeA$jn?3C=<ZjLsd9##dq20$Y
z_V{cUOHUV9p{cQ}Ug}8vy<<J|%D3sgrOJCsY`$-8V!xPQ>#58iEnCH|vzA{&RV&E$
zW0XpcIR8sl%`K`weWv>H+*vA~AF?IfitpW4QG>QsB{uI?v!n)z-gwQDG?h=_?cpiB
zEV7PXJQ^!YzfY2FD*1lpt%0ZXJ`q{V6;UgVFO_`U+_dAQg;$%uhUwK*5vdhzF;j#+
zm29T8Ew%SGTXyl%<QZ=bG}R3*U%RThYMG|VF?Q~~CYP9uzL;DpV)FeOzg_>rHJ(?K
zq_?mNw%@w%#qM=l>hqfZJ?yDLJ}xJXjHgKN5%0fb!V|Q(?Y&bb%Pn1YUsl<vDX-Xd
zChZRD-*cHIGD`M|c>g6^KGXA>;*+-O%Dl0UGe7@7o2PZD_)OkwmsvE|>+LJCnU^W@
zZKaox`T1ax%-1I8!+7Pjd9?S6+)&z*Vr_clz%-M|ykbk(+E~S>u>bQvA5^+;Y0UM%
zOTT|w)^c`<tc+~Xwl~W>rH-#vT^rJo`+C!+&3^M*C0Dd*vWfFadsZm27>Uf#X5o&W
zz9Lh!YX1C%qMxQYsBkQuV(ZJ2cPanaR=yoN`z=o^Y~N=$ZC{dZFVB}73N{>Zs(<`0
z9JhBl{hr}#&(<xH-=lbnwAGa>C3x24Ee+wlJ;}L?nRTk(>#6Fqr|4C0Wtk_Y9Aq!0
zX+PUj`mOn1qlcFS59y_3s@bh&4-@~VYHKaLCzqvgOMvE1qbF-BE~o$c#2&ENZ?UOd
z(DT>xSx!f}$t+dfb&XYAo%d91Nu*ZJJzf55XVpT>8dl}pyCj-+$#@0JHcvsJW&gEw
zJ3YDm^Ka-@1)1F|d#`D$yjFgy&TsR#V#{^DY;|?D=sxq^R@=mQ>(X@*D{@*NWy;*q
zH7Szas`>DU$$`b)f9>;BBmQUCsk$jzutr~bw31hSD|-(YOGrs=5tmHA^X<0#>r{m!
zJ(NA|o_XrWPWECIF}vq_)AXL|TZ!Zk<_|Y)u@(I6Sp3apy{r8-k+4s^ALi67(tQzT
znlp3F>~Qw@pq$U*G0%Elgo-jniG)4bI+yj|HSwuN-n0AJy~KY%-?W}zPnC6#dAp`;
zP^`;R7B!O_m)OI;t=0IleB*Y}OJRCbGF^_$WmOYhF-`jHTHh*7t~<H6*P1Y}a7W25
z@y_#OyLD0}^V+P*tl3`q=P!v?vxRA~mdq7dv^6en$>gOXJGZjleEmbkd){gX&w#m$
znU&>&7Vs|-`nj)XihaZnqsd{jrc7DCh4(gd?GtI++v`)lb*xLBBI?VuXHGZkw@uTS
zu5V$E6A4%?%CVJK>EBfEunS&nOO*FMTbJaW8)Dga{bgNW&efFKHP5rBi5+SP-t&5~
z=lvVCk0uH4WK@`XW64_6R{c|#1Wq>Z=xCp<TQ*5*j!CZ<_oW~v))o%k4pHy6D?uuq
zJhv~2TgyKD)F9G&ZYjI$5+4^9)1`Btis(${3qL&DSKzT-L;OkMmuylmoRnEaJQo<a
zhBH`9V%Aj9my}dtlB)1HDWO{Fx5>cMQkjjzi$!6A_#};*ifPOlD$=_r>u4`4o5buj
z!O?oH3g<WGTFL4Oo$RN3A7sj?=*9;5eS8_ciIsH<PwBRqQ8F7fU6(%9IW}!=l*|Wh
z{^wKOm+ELov0m{MvX~YVR6ormEbD65)~Px*2G`iLKXEMTiCW8-82&cFTi8pu;a$(S
zJ6ny_g*LBbzR3S&i%kJf+$8^(_7kI+_pEvsxK-zu?8gw7`L9^jwy^3H9}ju=R&#6h
zR({4WzHzFDC%JiuYw;E@QOjXj?k&E{YfC0`yjahlrELFL)Ixb*XsHTM-YO+i7A3y?
zG(*Q*v$_0-r)h=CSb1@oO`Dn(tg>j5%9+>pB0E1dN1fpO*;08;Zpo!o_KQdCwAYH1
zv+Tc?#};IyZhG~UPQY~DSnIR1Gd*W-QHg&3X_A0f_Cv85lce2T=lcnzPI}y9c2ext
zl-g3$V_qf|o;+81s^5M+XT4T_zR5{%(+}BZx-*%ko>_1`bV8JwC6mQ2langads)7!
zvTWmNU&<oLqWsnTa1_6T?u#J4L=}sbxw0wTQ>O7piH7Z76H$DAYHg9wzy2TkTs3#i
z&qS%Jo1R(DqUJ5ie~EJ?%h9F$2ba8YE&U!On^z_4?kTcV>V@6HNpg=A<mMQyT<TrH
z;<Z$uF_m?T$-2H5>U-JuA6HqwMc(tS{xtTpcdNW4^d=SG;WIuFIx)GDkz+{`*O{rR
zezlsmOHJm>99I?2(}<fn``z0ATl{CJ%BirKvTxqWRNEu0n%t+c<EOL4^BZ0~GHd5N
zy{;Q+6ldHQ7{o0g8WzP8!_NJh$Gn6^Er?B%M^f$?_fLkx>*Cv<3NLvpx0Qbi-?b^c
zp|;jf(q+4L$S>uqWRVSJxASsY$x^tBNB)Z_|0%K3B|oOHlw9NK^Wqa`mGag%VijMj
z65{3NuPQITmrq!AS6!x93(vBZ`QKUcCP^mo@@BncDP)g4d-vE%9`B<HMPgU_w)Xbj
zn!~Y2H2&1)A9<fF#Am3TWXM>4X)}B3xhJodi|wB-WX&G)ZQ80QbC+!Gxosfy{t4T~
zlP0cGjFT32NpVg7vBcuK%I*7W7{j$#_snJ06#g_tMxc3dpq@_UvYqO4^~1{5!d!R1
zR@u0OPfT>u6_#f~#x*Scy2@{Zx6E2Ob<QN&7J<h(TiU(Udo(Ujnw`rXD>r$G^c)@A
zNmi^ggQoB=W!6!N4!IpQeQKQSt6NPW^BDuSxXxspF-2wbldLI!ZoljI)Oj&ihb4@?
z--~rKQ$|$S1=d4r*~7N#Y-N$Xrhj%)tDW2ng$XLkx(YKj*I4sOs<O=HSf=SI!KUD8
zYOHX3wdN%LIN4}c@hGwPRWWClvcK#V-eSI*MZZp$HPU$dTDEPr+}Bv9{xUhaBt)Dy
zdV2afws<Q|%PN_?sV;`>t0t+3+w^PwT_bc!?J(QLEI-lde>21%gs})_?_pMD`>VHm
z^S0wctG1ZOZp+f&Sr$3R@;d+jQ|ue&SnieORS`QXr=jZizC|lj);472%7U+a+qd#0
zv)D!PXasfLw@F=DGAVZ3&TO3ry8L3ccQvb5@-1Gf`-bJ^H8%Z8T0MM{EWXpyuFIrs
zF)eFWpTb%@>0VCTolERqEaINJM(q2&LzGOPUaFhU5^!nXMxM$beT64>-X+yWzk=B8
zYO}IgygZq?twTchy_Bg8l_|~=y>*iJHsj5?46~S@_8eefU|?flU;xkeGjK4V%>P$h
ze)OcHue(6SSS3H!leLCJRMkaO=4tevk1u6YQW@fxDxW@`J<DoUP5TDztujkh!nev?
zmMPAXe^JTtyf-&$o6OQF+oQRPwah=PwP9jeyF^-bp29S4z3Gj9F4LE*POIIsv&yz-
zE~|pdy-C)x_a3g^B2)Z~@#o%dUv*xe_^Z#N+*7!UC;eNTap3{;7P~!7!jqXrBo{21
z`Eu$RFImH|CCZwbvOZ=8o;JlyvSy#x>ZJS3bzjf>`Ruc8mzbvRk@IFP^xf&HCS>E~
z&2(#z%2Jy=6>XOO9c%e{*_W%b+G$VmWZcQ?tgh?Lt}GMr^8KSQ-c8v&r}naLW7%+x
z?@N>@kM_r)=u9?EZI<kp##$^olT6;SZo4%t@Fm}rP&Tz9GmX}>Rc!C)vdCUB(7wu3
zA0-=R7wnl<;>Ng|C32GZ#@xy!b{oRlw%DIw|F=a%PK7Zp);=>|qBlqDrb6Q(S7nbN
z)`)2m-b|V{?n~J_)=m+dd|+4Mn(|GoyIT)^iDG%my2o2sWQMy{&AY{Ovw8S~ScAMv
z-Db>^+Z=Y1`x(Q&6U#k|3z$z`N-$S3s+^PO#T7eAx~t|n%aN!pjo!*LDr5KD*gIE=
z>+4C6@5~}|J0`KjPYP=(a|rtSFN*iAT=;6yxZEi(b(L9b-R|kiO0Eokedj|7i^5Bv
zq@dQLH>WANx?bJOHv7%ny2!b9Q8i1w?;M+z9prL`#eI_8uf#0(lR+|bvc<2zKYDSF
z`q?m%o+!7ITowD~th^+CcN5dpzK>g3w?#2|X}O==s?#XjI*F-2zIls$jqJ@W?4~_7
zUhbE?zgd`aYrCFbD;~zP@`}r)(x?zC-UzKDaiy$kQLH-Ewpo6&r^@n8o9^$^ywrt%
z`x1%kr(7D_l2zJnO<r2V8tFB?ZjxwSTeJD%Yc2D%MCMOrO)^ReX`SaO`iAAW-k1KD
z2ZU{pPLZ)ad)8aV%Cq23@qI0q|GF}XvK^8U0WZu?>TR;#bN)}x{*_x)q=h15?g(YF
zC@*E#7Z=oR`#04*IZi2a(rSx1J{D6wPvuMcd_3mayw08+`*y3b^{;K46vRJqUH`Qy
zCRx3&tv$7pil?^4Pd#?=@0YgOo*w@THm_=(w8iGA_@YT{?z2wZ>0ST)d&$!+CKK#W
z_~{pT%4o2NpXOS$ZO>&ZIf1F|lXASGgx5ytq}K&%v(MAaf6TsfExWJnrz^H6qIkBm
zaX+8(eRVhw`|331t?bWO9J4%)>JqBj7M9;)ozWr|`>a)Ki|EGPJXiX%w0On-+MSEy
zO}8(P5;d?tw~A-_mX?Ke(J9s!Th{s6y@|T-CU31R;~qTcSr+TulcN6|!dp}#cKr&m
zIpOWnb<Wz0pW(#UNe=6*C)sL7*#)2c@@YqLwU<gx&GaDeV3s^@<_!CJr<n!!`Yq8n
z-Kx&Ob27>^=HIfsDg3YM7O$Jm`Ya@(XVzW!eI+cil5>CDwYhkSH`?}`I@{XSCjui5
zt=>{6rT=nLP4_CMDYf0JL{jRz=bmwQ|LiGJXzFxYpl#{%>GoAYqRX}HcCKU!`{NK|
z+ZOfD!EWtx6}#NYT}6EJ=jaBx28A?b%FE9ck>U}X#<cnLi6!D3tP8{V{QaipuvyG(
z)!o9b%;a+ApMMdrTc=nQe-Kl%T+76*D*U#a_gzx|;1yzL+P;!a^E$8IE8Cq@d4AP*
z<Sk{nQY(3d<w{<Q`O3|*ZdqSMj!iP2>s4{}O%Q9Fr^y-?*=$zLw_7dVM0>I8WwZKt
za#yH5u9^FE`wQ<4YrMWX@|-;RK<CZJMXGf{tQW4mD!9`wv+e%or4O1*A5Rgnp89fo
zFt2(x>%Wx-`MD>*?hBm%S2akaA&XUfWv8<2>ryr5W4E(f*}fEUJ-X3m<t}hiI^E;y
zg+yg{+mk|yY$v9v`bWt!vYDI=lfTnCMfXt@kIl<JucwOl)J6oc$S(W#YO9VRPx~|}
z-oLN4blLyOu9><-mpvn>E;^fE-jmf%&x$X1=eO5Ov=jM0U#STSkCHFblF}+syUVJ%
z%6^`z_B^J-yY&%KGOKK?SF7YNwVx;6K9yZi<XD{jJa6MH*0!ZFi!ZTmxb^n>+Ld!y
zO`@tyF8yJuDfl_Bf0C8>+|5yy>3)}2GpQ~6a%l_u?oA%HKRLqtmTmi#p8jTTFw4gv
z<|NlGuhlKL@?7Pwn8qZ_x-E)r;mOU9wq0CqUOk=5YO{0j9>4EFyzI79t=L4q+Aa^`
zO}Aa5&t|%kmBViNlNyJHZSuOd6}3LWZ?rBk$FZ_n|M{|&U9JB1o?HDAho>d?$+qnZ
zx>|UOrD09$3YP3?tlQ3QE8SA3%A0e=wRjTqh9ke&?)rs&&uUfolxAdMWi5}gix>Io
znU-bK{QN!R7M7{=uDtpDGL!wTr__a*MQrEP=S@vMz&h>lrFG}LXP@KUy*4c`WP5Iu
zep{I4@*0*P-us~80^UcgKeU#z%&2FKW^MLfA;-+*Hn}FIZuXw-OFfT?&7a(Vlr=t1
zX5Q{84NKXY`Sx9rGc{s7I@fUOuh;JB(bmT_JUiY=?C$t7NkvzfBgNv<eaUQ_D@)n!
zwzgK>IJw<@+w>r1W80%ytX`S(0(cIqvg^3N(meY?O;ndJob}C8RVS9;Q{uKnURttB
zHg9##^rT5_va<5BTQBiM2XUp(4fv#*{CCGvo{lMOr@7sF{spVZZDHBN+B~hqRBneK
z%loA)0ZV3cr=FN1A0+&8i^}ShAKMO`&0tYT-Yzj!+e?127r&LvVsA4>p9w`wQ{R1z
zVi92rn8d)b@C8rYG}hDX$~mnkRavX1%CxfkU*rA!iGAx_*Uc>AVOO#>*~6BGPUKm%
zcEbuKuE|sXY~@)!O;l~gn=|J!#8#b>6n?qvn(~g`lKoHR@2|00>c!LYc7^blY16i{
zd@5>Pznu5@HPOIN{44uP?wU<Yni_V~H#tb>@oDLZ_ZK`xzsW7LVvn($a_{r)t@VuT
zUzf0HvK6XmEoZ8|ztkd={rF1J&Y02=(IrtjQzG&wbwBmKZW|D!qY+i^tF8BHuHaOi
z${y2~Us&Hva-MVko9`_z?aNVZzB0SBS(4VWa>ry&Hdavy_hNN_y2QF#*Yt^C-coi!
zv8s^kN7tu_MDh5nOp&_GQxnA=FMe<39GxY+w#(L(uxduJq_WknXL*0>%#16N$2B}z
z`&GKcd%eZ9RFl(=xv8>mo^P$odd|&lZtg+Wo-ntjTkM;UcCT@bSR(o$MR>YwF+<{>
z&SDQeTjobav%-2}=aycNl8N(V{^DHecW0^yQ?|aZrzlfqg7$@bw<0I+leoQOB11rS
z;}*3>_NqxduIw2`x9{jJ4PGl=%f9L=i*nG1KebbCAGg}JxKb(P{$ABTd^;vpe_=Jv
zn;HFQJ==7nZ{atu=uYxVTh2Sz=*RCZ=O@YT6MWg!{6B<6{1U6F&fRQt-c*+0bA};o
z)}GprrJE*+M=||K5m{m?>{dMK8$0uZCGr<kuTEu?wR@|QQp;X4Rc4beW0bD>wzq39
zi|twImJ((&W8QV6aQV2R$rb%wOIhA*<#A%=zQ*%bm#NuPO^&D3HBMhKP?z_!c$hAW
zxRdtFl72Sdr(7&O)8d$2s$9!d!(K4EEN%4=l?Y>B6%`W0%KehfaqhY@cC}mcIWk$U
z>}8ALzjIC8;1r8;&-_gO+@<`}Sv7-2CwQ9hvaH=Ia>?lZrMav}Z}paX@;nuZ(rsI}
zQhYUU`ki@PQ9K1~8Bsj8G8=T&&M)PAWb3qr@5SDe)>~O}d+KvqpG?|tHsRm8$tS0q
z-p;za>8FJJ)Fw}sLT&b1O$CXzEsI;8h;T14Jjv=(w5EP3Z}Qn?Q=&wYv}CunW}2<5
zj^EjDxs_dT!=#BSOLbzjej0}!-StvcsQt#iyDi>smyX4mTlW3j{k&|BxZSp8{+S~B
zYsIa_%eS;iSS4*a|L4p3Eh5{jdTgG_Ym4`<ZE0cQj$M<ooaNcIlm$Va3)v?HskpPR
zs<PSqRxRV$r~RtQf@>}=mEU?-bxG=Vo7BtGk|*(cs(oeWxW?kHx%b;umOWaknM-+9
zS>2~I&#Rs5$N$b-NU=?Ey3N|GrQ(g|W_Q<gujgU$t`j~T)Yft(cxlMFY0+=(*T(Vv
z(r1$G-@?gj7-hF%CA(UvOjs04BwPRLr8g(BE)d9m(x`sOa`J-p=dy2KS?M>sck{H$
zYsd1ourCV{i()p4vQf)uzhtqBJ!uki%pV1vq-ja>Yz}$xNv~E(p31YdZ_{+I?FZGR
zkFWfFMJ(ou>e3(v@!I>_`#rpE&Ryaau-U20>yUqD<96PHCHKD9Pc-kDx3^?Tm~BJ!
zmbuok_G`UZ>}=Mm3N?RTlM^K}k9AM#njEXO^69#3miGIzX-;QyuTK0kRd}iHuWPLP
zwd_}UmI`*>G55ZCW|Oujn?CDlvwSTb0Z*=jHKDAJ*RrhL%Fiy&940E7&FZenJawMJ
z=67NIWkD`J%UK#iS-3T8L+7$RVAb@i+q#^$Yzr^TeWhTQ@_AGCU1B*J#qP)xv6}V$
z(y})!tG2Roi?nZH&t?}ay1q4vU#})~IjdT@FHaTgo|UoAOC=}q2C`hMX_@57P;9?e
zpG$hF>NJu4Dis2m^F6t??9mdvn=N)Ca@E(JUQ<NkZPt2mO$e&bWe<*eX27nXnYw2!
ziyhCa64gaTt#!|HZ(p$g*m78hC3UN)7t^*YHaqu<yqv}rdNwS3E30_pFJIR8Ygxso
zF=hPz?dtw+J^R8v4}L6WH=X?d*&{{YoK5QIPE{V1{p%DhKP{WJb*@UMoc|L6wG%z^
zsiC1~CT*Xrl)9!}GgG;irTHeS;JHb@44Z;7q+^XI-HyK1trOv;bn)2bcWc>0C*8U!
z@Kr|Ai&yEB+VVYNo~%Z3A(PZj$jPYPsyXa>NiQyC`lartEK;7#k;3IcEDAP`S*+q~
zSz>ge|DLT|!t?zKtGE~I43(dntnraX_Ip2gvuQp*y<U7Nn<ER~RMB(nUek=$&2td-
z<TSZ#wC2RN9>*Z@2ebEbOp$No^PXh9>WWdEyr<^Wx~Dw(bN;>E%3>!Y7RKW@ug78f
zro*lIp6qtswokbYqW(WJUwt~yX1e)u)~P|FdEU<6ieJ6>B}>~fT^pxPvFDYHlC59O
z8hrJd{`NzgN_f^!Qmf-DzWU7isrc$Ezw4&*ypvxRCc9(j^_S7|NuCn@%uGQ`5=FeT
z^)0rt9-3Mm#Fo91^{xtA7~9mnJj-NyzO~lviJ5UtRoaMQUx)9fiMmZ6yLy6_e_LBK
zIp)(OW)}^&6lR5%pI#~Q-n>`YOzT<_rcJD9`4t|;rlYkd<Y!XNogdn3MeI@*Y~`<D
z()=^mXlq;M-b+)f!zUSi2-(f6vBZLdU9dD{y*7)>-H?@DEaf&Aqe|VwUVA2QmJ};{
zv&mEWu#v@~DJFF-Uaz;R?(A_|dc}0<DU-deKfid1_e`CzSLF1kxdtIoHrcG)-qnlJ
zeZ2HfnXpN>|J>>tSM&cGt8x%~278j0UhdQ@?yOaDY^$Q!Ij;0CzvljWD}NJzK~c-E
zrTWTCwM<zxgIQCvb+Wgza*L~c>hZhlt-=|6qDs|c?$kN#hm6{OX0s}ITF;9y<P}?Q
zdvuD5Z9>Twxmxq}XXZwyubsO@U&-c5Jny>HhN~*Za=H=g*GEigc)M|p)fK;f2KMrw
zJ&Br`)`xh^wz3M^9rAO?x^&@UKg*_!pLZs2>R0uPmfkgGLKK(SyFNDiwfuj!RrvI=
zR>@9Nzg=#aHd82|hc#OMz-giWCHMD;?9mGDWnIpzI!(%I>HU{mS>NxuK1IH%&1}-{
zf4!_LRirP=-dt;cj>RkZ%z@>6T$34=JEm`8sb%Gklm4Yu@?b5y9goSB-T>B&D4vwn
zs`sX{D6=+SVzUOd^v<kf`B$~}o<GZGo1Td8uWXNovh11J!+MGJQ;_w->A!x?@ijV^
zyPD4@bg8E&?@xo|V<#ooYQDS@KULglPLSqFrl*zWeQZlv{%#ei5*2yEY}CW%#a`mc
ztjTMcyYz*hc&#@3xk~9-SMT0h_Tr1K?3d+Bp0V;3^|HmT<b60*e4D_VH`AxC(VZU5
zV)t&z-Kp&HQ#N|pZ3t3g4ZRb^K9Bvx&OSD8mKoM}8P}RsvnoF|n+Y;rb2ZD(Qropz
ztUV{0!<b^;eu;`=kFhuM-s@@;e2T^E*p|QRd3dMs@3XxaW&cvMcJ5l2DLg)3_L^ym
zOWdt5zQz9LSY0N|@?2K#UJgHgE6@63wv45|D${sPYKpzsXJ@lj-CwJ>QuN>4XHVZo
z2S0mR)RFt_<L`O7o=oeP?bVO%<%weXD9ZP?kH?GMD6_8hDNAr4PZTege!Ry@rg=JH
zDoo-Z52Ui6p69tbO8mFCP|q2|ELq9DY|29C?yR?6%^LG&ne)!}znAqlPg)(=ZS=+_
znDvl1*Sr6DeqSH5{MgDCIcJ{uI?wf2RQQZ$e?0sCC9B%inva<#uQY{D$j*Jr(%kEG
z3ACa3?EA0%bN0nfRS9J*pO<OM{I6;*+xwVCFVod)S%37-e!x~cDdss#XBhjBU#{j`
zSo+z0KTS<s%6D`77mn@hno-*{HVF$&lvyESR`%!UYF7QPep*p1vRZ0hwWs*Gv_;tF
zvTBNGP2+X=7gKe$=D0Thk(mYO|HW--_bzn`;@wx-V-e>oy}C#03QNCL{p+=?3-|N}
z@t^!8_jF2bCi{^}){fcC7q2|fo987tZSwxewc(5`4NpbY{(MWle@A$d{8^@EvG-Bo
zS(e|wX)>j%GOtx%Dzc%cS?A1T6}Ruaw>3|S%D?kW)MQR&zx!J5aw&_K7kdfs)!pY^
zCOr#TqNI1dRn9ARBg^%bBA>3Q&Gfd|rY@Q~E31T6`4(?|--(z{LZVas{g%q#Q7y8(
zt?Kz;zMQ*pQ;=Z?@2~2vZl9iQo}$y3gD(kWs>W)vR83|7C$lGH?m17{cMK6`-3=>W
z)T9XRxg)ZLlV{GgA1r^T$%=97K4I9jIz2?BDoRC;m3xx(L>B8!>fcq2|NqEVzW6=+
z*i=~)w!#n|^-oGS{%{2aseag-{B+4=Yfs+)%*`z`m*#c!+?vL$^JnVBJ4K66wRrDW
zRr%EYDwE}_E<3l>#FvuS*wwbMeiar{Dbo^T$c)&<CK7$cXf12Z)f7!lw*J|d^jp-m
zQ`xT{Hkrb@L3F~FKN}R!q=?;{o4!TnCx7@fWpP%YJ$rhmuqteuzC_o6zr5$+YF4i^
z_q0~A6fQ9iU+#8^%{_aG!&ZJVv(HoPPUL>R#N#=M*I!Lq=A+J}=RxjUZTgq>JdU$_
zu%0Jks!Zat=yl=hPT4${m%fwYxi*PwW$h6^(T!_;^Szs$*7ZD(({c0`O;fP95>H!O
zwuSZ3r*+R>@K|kSDHJXBa$B4?#bT|TzWVM|cER5FL8>>hS!*o4IWFIt>*~o;JdNpi
zPpcPem{~X9*1L&z&n6jc?0LI-=>!kf!Z7>Jm7;CEd(Xwj-dcAyR(*DPCU+AH_sY|r
zav!Ja?D@<TU&5jj*_&?_X>)p8vO7zO<-OL@xhF2!9TH{D&TCo9QfzZ5+IPm&KiqM?
zN7u?EhS(fhD)RMfn0XM>9=k)USvE}4m**{5QYT=0=+|Ywo7y6-Q{$ajG_$tKnzC32
z<!oIkTHQ0-gH_*;EqJb(Pl?UZ)hww+J+GF^JWyp_&RDpXWwScVR2EIsFG2FkliFrZ
zbz3T?HGA#0l}-M|O#fDWw^`Tlb53gA%}l@6MA7or1TU2j0;!))JlS$+Q^iN0V_)62
z%rCHu(r?qUwz566mVcc}!joj#-Y0(gQ(r#i$@Anj35#RnsIuSolDEKqb1Ltwp1jrD
z8k-I=-j!J^Q?b=V#nj+i%d)(=;x0u!H`dF)n)1|w#bwhvkFD(4mt<BiTeI=9{M$>s
znQ5V3;u}=OJ%!HMFOL$r%f93_tKe3)60UD^=4_rSADH{<l9XGBe75}Dt*nCb-9~+P
zuV;(jSgX>)qc`R1Hh!5)Cc0Lvnp=6l@J)$hU6^`)dfr-*M$r$YHZxYss!ioFsg1kD
zR+!BqJC{v!TGNvDw<1@!%I(>+)QdYXiiMTc!8=-d!BVlsr8Aw)dLD$cZ(Y{=;Hkud
z?mW?@d*`!umUZ3R_eCj)M`bl@;njcdy=@k<WN7o~onGVr_xEH2|N3R?O^S1RGs>gH
zf1AF|ZPS>(MaIP3HH>9nmfbB~R<)N+s_eD<U6-;;$@~mrDUaIc%JNeCUc^?NFVADH
zu$Ftt3tQjXH`O+n-TgI7p~^mc>#uLs-^@+3EVaAkx8}Hh&1+WQxjR0%)r9=vJDvTZ
z{nu30{AovA*e=HLAIautTq+`08x2}rB4d4(*U(Dj`P7<dF@rdk&8tPDmdf(gMNi|+
z3JLQI-G7oxwfp>|mg+c`ylkF>dwJt-|60N?px(EYZ`Rv7Z@D0S)~1;c;^&H~@NR!l
zetF&DQzoC+9$sR@o%&b2?~CtV<CUTta#!Vidib^ZdYu<bz>1@jJ}`V_{>n3_-+)&-
zPDM0I<S3WqzIlaP#W%1UO-i<qD_D}?epNQam3`9X$c;_x3fJVeYO`<7y|QF`+fskF
zXUp%qO;!DG8yKg%$5XXPm`!i$r>iVdUVWW*$JWcLE&X}X_k7S3#Z1|xp9~5s>;wPY
zS}w{togsDV*&y~LEwLi~7S-h}4qJIR-v#=I>|4$HE7gd(Ln@f>%(}e??ymi~lI45u
zyjhZyV^mGAUOl~3R9W`pG%kba^Ch-YQM@6>MU&WK6o0ZSgvCDjxuzzNRe!bZ)TP{4
zSy;cwoPGVYVhVdOw{y&Xbx)>F7Uiv?|NOY-W=*Ym$$gepw&vM0FMa{p+)SRnpIlZa
zrunicEM<4u%DYv;Z_b44e=qv+Ou0FA(IwtBmlP(mz0qckUn^q9%Ds15(R82vVeIcV
z@$k%fcuSM*(Mc(7;nO`A_pnb4;`!srv^6azTV%5?`!(I^GbMa_E}CB|(~9R=DJv$H
zy`@Z+sk7(s*ZGX5YvuQeJF4&n$^6x2zsvNv)MW1^CTp7q^OrlnQayImHe=`6Eo*-j
zuQ@(_T4NY*!An`&Eh@}HbtN+CFIo1hy1KF7oho{-=hoXO)<dTq?#ySHqro~wbOrmi
zX;Pg%XSBD<EMzR)BC}IoWE!tnTGcgn-$}Ci=h#_hU6b*-#h&u|am3ZA6y_;+ex5oM
z-X~TY6v`FFn!40*>L%HbUplAo$9`K@HjU-_rKN_M;_kY<lG?M2drzO@pMUpbt-es+
z=ANTHr+41!3tX=$v!H*@XG_03dt+D1irQWa&6N43tIAY=d#BX2wJYO9!%ka>uUWa5
zUG0*3z_jC8m)M_0sk$gGsp93*HM3We-fFjjUnz_wX0?mb6Qx^gSHAM+y}4HWWXKYe
zDEnY0Un^G4wd~oZA11Zvir)@nS-Y&~gg?tvUBj(w&7YcnUn(!ob~HF8dnIe(Ru*@j
z`fI%1K^!u?@>;Gpm$E1?HTSZ;Rm70kbJFk9REC0ee{Pl79E#Fs;+5OPVX!)I<r0m_
zOfekEK7HrJI<78loyHPl^Ns1G+RVA<i=#w->+D=>e>%vY?OE@|eRFO;n*MrfklaSA
zJIA-GP8AWnRF`#$DJ)+iYwk_|YZ0qO%6r$nx)QPcGP~m>-OGv#S>vNv%C%Xzx7zJA
z)r!(N^1Jtg*EZEl%#-@>xNUqW*SFqGYdZ5e7S>PS3}e^xoQ<-&sHMZbmPd)lr-W7W
zmEGD<)`iP@F2=D;(k)C_%Kuj^;foB%DfgP5i&Iz&qg+p|W&O9*eii$|uPl!9o_kzk
zFVmY-WofbGa7!fPyGin2?mr4*I<C6iTVh#l*-BMo6}htw?}K};WQhoFWi6azQR>BR
zr_Em-*_}TB=&NfYZzc(~m7Si~9K<Sqd*!M?dBfLh+TYB38+2MqW5ed>=g*xxzf5h@
z<ImR)OgeT^`sSV-KiMK()!)`rrY_}=+VXsx3s;=@l9gwKmM9tRDGk@@^I|O&`Tf$3
zYZB{4PW3Nrsb2Ew&RlA}FW1U+%TAvrJJ+SgZ=GNe&vy2JWj!ya$iL%Jy25MXr6RW{
zIf^HaecRhM%WG_@+59`YXVrFy#Pn~>R6o2_{VL0|Al5yrMYqp6vykP`HCD~FtW&S?
zxvl0kvDvqEEn9#epHh&)uRS@-dCs0Xvob7;XVyx_LY}`<=Y6hT6C%DbiqB{1#HpgD
zPb_|)T&S|Q+JS3o&H8WNYp<}Jn$(;Tv09Py36sKGv#6N!n^=2R?DJr=PWwG6`m@H<
z6QXBYe|om&oVl9b_cBVPUt9mEr;9wVwn<n1=7qlO%BNWc&ow++n`*t*#8yVWrv2R3
z`%T&>Wm_}+dk=rL>ou8wZw=@AH50gJslHvp%y+KOXurj2W}}|S)tUTUTWzA4Q)}kb
zWtU5w6f+YEoW(oy>f4w(&X=_JxCm#;CI5c2ean}Gw;?i5Ubf4ra;>;>SJxzv>%iik
z<)VkeM8j_O9^C$U-Wd({3{SpTPg57(tumoOF*9GXIWCnoXUkR<+WbyZ(`P^X<NIrG
zE@ypX-k_<rv~jEMR#tHpg}rZfY-QI}Ub@7%h38HX%cRvdleVy{nTKC9Q@qx~ecASb
zCVN$>3s(^LES9hO`U_`rT{v^F-c$FYLf2pSl{_+~A=#7MWXycdAJo)kDHPqiHjGbX
zMeW+}mkigiia%@h3f<FHWxA{XOs}~6G%c3-r`JyrsXeBgt!rZ;yQ%-0{DK`z%5`Ke
zEa4XQDGXv+<!yI*S+u5XiY|K_Yn~@ZqoY5ss<zw$P2+WCn>{<ZrJ7{V&gDBZO=(@<
z)!)~BGgtB^=FB-ez2=&q-`<(M7q^Jd5mhN+RlB76%_JpTJyzFUTgzg9&+O|fS*^FE
zh<9jCcD^GlS5h)fOI>TN?3a+)s)}=X1(u4{snw}O3#e;tmC@|m<|!kb&CY$&*2wyF
zkMm}h$}6l!Mn=<q^ciV~cJI6tvC;gqR?O0stR+juiu#ZJ{NSm=-IAcTbSb-y{xv?c
zQ#```7pIsWyR~S6XF`0K^UgWS)47^jC;M4Hh~imhXRXh5VI|8pmN%s$j&gJEU6nES
z5;w@(;Uy}BI{&YLGXEblN7o|BlSP?1Bj&)?Rj!|(9$m^RIB8)4%X_=X;MtAaF0&df
zU6K;b@<5w~d+L`dtF{HsJ$X9bXXnr5f0M58I^ALspQf~g#b{deQtn+_mX&cyhsbP-
z5@B*<^yIqqziKJFUC-sSc9C03^pF1W=2@+qp1M}VmzQN~-3!4DYc73PPFuB7Ka|yC
ztLRr&iy#q4tLbt#*&omQ62u#%DXX`Y<sVx>P-^f}`QuY$_wi(1W99bFc%7cTl;8h~
zi*u&<?Ww%epPyPgg=ZG4rXTOewLFYbDhEyrv0Zr_wq7QFnj;HKUKk7a>zcx;{HKok
zSn9HBx|gk$5n-Jjq;ox!-E^hkXO+WSSQdy+oo2+)_s)Ixr=WrimuOA)7gKBWM8B#u
zEWNx_weP9Amago?WZB@U!kO|8JDCFR><HqI^;X>L<{!l##(VGGou^M;M808-RQa$}
z#C@rd=5kLzm8;8HlT;_jJF;&T*{{<!O|7@iUxkh1bNyPk<LoD%Oz7zUvhxzpiYQSp
z^WIOQNj+btu%8VxpSjCs?NyofqK3k0;;UKJmdrUPQXcYL#fyEpX}D#p%G$P1BG;#i
zOWAJ-VLk1|x`aRX_S*CB{Kc8I`KL^u%E7bg8jI$7(adYQ%HG;uBGt>6L}#yMZLrxH
zt*W|~<y^_q=qUC=o~EgH?6$}UvkFFWMyy&IeP^na-$H+@(^<BMCNIt5IvmrIf3kJL
z=jcZ*_r2K9o}A$H?bx(Z-$|_ctl3ev8<z8$O%tk(Za*_sOjG^g=d%~~>J)DJda&eX
z&(~kqc>YweaqGW3t!aK+(?*s(;QCg!Y?IHCM*pX*t6a*imjC(eG)7ff-nI9>uoq5c
z7qq(@rE_Yvu6(L$+BQw^Hp@$k+3R1sElid>vYdC;7V{A2^eHT?`wsl;ZEk3|7gF20
z*8k^MzcjDAe?>EOt!B?<)szprW_Nlu@06uZjP>2otUc>%yYu$46|%kY6I&f5CZrOP
zDYCJW-S_!DFZnX>x`}q@)F*VUoxf-A+byhpf~VKaSw5FV?V76Jq??-_E#0%!Y{5sP
z8LYkkp3mE<x|F4P@2f59+j;83RIXmKdC9Zu6w8Kl|Kk2m64lpuuw?$kPx36>(>f-t
z3G@;v(KS%rYk!fY@KaCl_56tv_R(!;GkK1^Rjpcc_aduERGL4#-OP1QqD1T}+s;SX
zMLwVN?DZD=0M@D{)<%7aVfH<nc8AOn3XhVP<Z;$zFMP^VwrtxK+e6d7p7Q6ptSz(b
zrSLY}{hy!hy>m%r4nO-XUhSU8C0^`DWt%j4e5U^KVzCZm(Ok<iFO_RqJBJtR?4|Wt
z(d?hRMU5w9ty^;;SbVRSt&UKmS8Wzs;d=3hS9u~X6@ODP)aH-aIm>v>g-dKZPFEMq
zm{@g-ed4X@&nKMUvd?VUY}=(QM?~jsp3B*P!{4V@Hj8y?{FfuvC&VKiCFl8gvs}++
z<<{k&x!8aEy30IH_vXe~d$HVA4$)%SKUH*XirPP&d3S5G#V2b|ck!HE{`aV-Xnv^R
za{j)lDvJyj_-tWX&N4gf&!Oe4*=Ofmc=uQP&9ghxMEt%@P1?*J9mc9%HuaA$tBAL{
z1-tmqnOC;(>dGFxHTTMN_O@H|uWVl&JlS1F)%c3+;vg1r{+46itCp7rMe(Y#d7X4v
zFkN*GYu*$df%(_p>*<OL8P;XrGg!&0@cEa=OZ%9T5F@{ZU$${yN-_<P)E0l@DUduv
z$lAq<{j_D+&g0MC%9kwT)qW|v(AQW+^rwvA?y1siOb?u0^RBw*yC2`ilY4bL;xm@<
zuJx%^c|2vWlgjU+HD}k`W=x%DC+&0Q2}ks+)pvT&Wb#PAWIB|uy5&joz1LB!k-N9e
zTFN`Qw0Vk7wtBu7PtD3@l6u|OgiQ*rv2&jiVO#T|<d*2~>1#7Z{AO~M^`6^ep35@}
zw1Js5`zzZ;Eml+O-ltdHX6q`<dH0Mbi2cO2HM~JAZ@d```GVBr%rmu(_?GFaW>vo|
z-@+5cuE8_;^fIo`>(jq&{QOH--Fl(t>`sA5@tEqhJ${p-t}VH!xs|nN5{G}omb$)Y
z-zI%{Jn!EmLnm8~duzT=)lmzR^*pWmeE*86EMA*>Yo^OA(9(2x=X!spkj0wMm*msE
z<nPyRohnmrwC?k2nG32D%-NUgs+aP5da|APcK+LqOZru;cBhT~C(ik|QuT%@&!!uz
zCkiaDE1M?Ov^V$UR^9WJFMkBPG|ij3=L_q*TP!utUr*Il^|JZ5UVMhlmIPI%sg}R1
zE*M3(uFn>aoGF~W=FD_i0o#B};(S_nr!N&fDsw@V$<hAbQ+BDTE|=J4CowlLmH7R8
zqQ|m<;mr5DC)rGYzwlspQDNB1@-K=lVCV7Ltt|6cxi6^{WwCN^75&ZIk)o`-_WY`;
zd!Mkkh4Jgno9kmXU-vbu<`UjJAxnaJ+?I>X_j#hcm#01|VaY2O_7IW4%Bh@wtmWQ}
zg*-BQGaRPKK9i}sCZ8R|7_4${r7V{!tIxmeNEVLiZK)?OnP!|>dq4D+eErt%eLeq!
z`58`ISkHOvt$X0>{T3d{1M<_SGV$bJ<FTy%zIQTr(Y-C2p4`(k7OLg{o)R;Si92FW
z3isioZ+9<^zN+#+UQEr?u~Jk=S9Q+I3kED|m)M_ODyuM?`<hjDijD&NsyLCC+AQ4v
zw>^KsGB1?X^pxquR`06S?>U|@1vJhI<a-)a9m)S|lJstw;Hi&O?{7J`Qgq?dsC83R
zG}o#Go8}iyao^7Bb!v;*UfCT{EX_Noxa+UgwJq5**}aKvUYz<gZ&iu+c3v!bZFNC>
z$K*b)YAcQ67oPb=GRo)1YgYYfDyw;}g|Ro!Yjp-~HgKzt6U|xL-X1M}cy0L0Nw&H?
zR$lCpnytNa*~?jHMDfgB%TgO9B5`x8xS#5}r;Q1JekH2Q<$5v;GPAnX{O-xKw(m>K
z6b(CR-6>FedarV|%u?Mi>NcvTu68FpSzPYv8Kq6CPG<-+K2s7SRK#M@bs#8SNM~nI
z^_nZIGWGAO%Ik^QZS9>mW9=6A^&ISPHeB|s-j|a#S5#z)hB&LfAII@+XOAyo{>s9t
zDw^j!Wv@#C?^!R;gs??sTX+&1Jl)y({3cb&B+l*LC9B=FyX?4g9NXqeY^JlK798^x
z-ssmZ&Hny0li=jF%h{HzFr1rguFEbi&2dta&n>s{&mx)hOS}ozuUB7fx#Z1sO=agP
z75(E}I?5K&ebU+Lc~jl|MJ>W~t)f)7@o80+G*9K7HqSIfzPm~{T9bPbTZyN#G|MFQ
zOikIjd-b-eUcKhSe{$ZW*8jy(@-?DMCNb<uzOMVukJWmuiE#)^c@=A6DXUHv->Ic0
zTUw_D^V_~^KX8ThikG-_%Yp4I0d}4?J*?+k9JaIkyT-m}lhxO))^lBiqwX$zDJ!^C
znwxd=7M5CZ>1mGjTW#Jiah}6}a*13t`@u<KFOPrvcE+vzq?hcoW1bHFe7!I4B>r8(
zyVapvg<}^p(@KW@cS2P}H<;ZkpW}W>eG89F72jK3QEs{K#an+w@hoMU>UCCtzi+iD
z-&Xd8OplkSZ(+|^qBc3N{))<?mF&JtId(ri^M$Q8C`#hXbaf}UliKrmcCYoC&vMQy
zDu3UaD?##-yI879Tx#m3Jn?0DFqL&-l)!Vj?w2b~lz3KtdKNO3|LhW9Z&R)-yd7RX
z6*3v?MJkrExU7}%VvSSVE5DMtTm8XOi=Lj6aQ<UKGAZ(F+@^fz|MpUjv37aQdiDTS
zRdr3%sXPX&0?GqrJ3N&YMb@ga2J7<OV0K^2QYd<Ty3SGds!J+4dv~w*w=u0!TcOK(
zg|Fi!&qNmMa2Cx=f!u5s-n^A8n%i00!esBCR!Y9|WD2|fH8#!FEZL>Holz`0;&Ly~
zUz^7KQoM8;tGJJ-7fb(Io>Nh-!7tg%x3EuQT@q(<B#J$lebs4Bhxx1gGG!z5-z2T(
zS(GBG`JvN2%t2Fi{!^Y0Eek5wvb+<ORlT>Pp-$IFJ)8YyuvqBsFFXukD+^qiPm3*f
zE^X<$w0C|_)uq#nIm?1PljUuHscJ3Z){}4D;&z|)u9vLmN>P!mB0t#N&n@e|zErkj
zY2XR9mdVwuIh7^sn!k87rmFv3svG-ri@vq!pCuE6SY<<1KCgE9YrR%>md%psybK;M
zOWrzOQs(D*I7vzI0Z(z8O0we#+qL%buHUB#7@eNt&S0%2!?;yPX658Pz4JC*{P5)S
znoIxv1J<m&di)aml}St`F0a|&OcHpU-V?V-AgFtxZa_A>`_wNHx*T324NK)2r?|dg
zm%YS#)RS%JqZ?6qWvuRNd7PJW`R8c(@kYO5)wvRyWVP2}B~$92xNEF!%S9~zte@<?
zQq*-TuX$$T6473s1ws6ew*6hZMf@0R%r#%3On>i{$5yj!3*OqM>btFeYSMDnBTK7o
zbD}2+Cxx&~WBTj1J4%FgwQT5A_JHNPpKfLM;(fKm@D=~$sq9HIr>1>A>#*{R*l91>
z-7KfQ<u<WDc<G}x$wNh@<z4OR+d&@HIR(pQRO7b)$l|SeDYV~|F>FguCU5jh#-ltJ
ziuX>+l>fVwX926`WihRnri>x13+L^9T=$Z@Pb6WINtxL-zcojes{dRfXKHG7g@5iP
znQXqkleQ8qx5B){`PlqDlW)z?oRq0;<u1V5)1m4k=Ow;#<sEAkC9UGxwK)#gVyEy0
z9^m`)M^X2~$DJE9_N*<N^1zGz3WL7ZlD@S(QSxP~ycOID=l8Dilx62ss$vbW<aRq|
zZprQ6b7C!vskn%$Z2Ae|Qda#UhNS&7vRK3RuWozE*06SMvuA^`M?w)Z>le@UqRme|
zdK($TOW0$6+)$Vr|2jpa{WojR?2!Hgp+A=}@6f5-$|A@jTeP=%FaM26-%Z4;uBq~!
zR_K|2G^k2+kLTjul1KR4*X~PR^4*->Vk>(dkKQynb9RwwOj0_bdMhoru~dbz|Mo7E
z`0l2~I-}I2E{cB#Yr|Sr%^=w?Rcw1+a_h0hZ)NWn^YBwXv&#O&C6=S7*ca~W_+!Nu
z_LA>{&53J#r%rSA^=5fT@zmHftmS!qiFfX5_rF{CUYz}Ft;?RMqxybPzj76qWrfBH
zwzu|2@3c?h2|0T+%HY7JirnT9kH#nRLE7vuP6pUAo9;=~)d*NT@s7;IX%%Pp-iy*`
zTes!v77=dQWv7~Tc5MAzHoH42UB|NO*wj-WxE6<q`LXr{ai;T3^j4eJvSkwM9>%Y1
z`f)6pJ6k6E+3sJ;)^Js1|0af><wZfKSbC<N2=^3pO}g&4V~=oPkWly$kxcp6wW3DP
z?_FZi@nW&CJLfHRSbmH5lY8tgnRe&anoF~m7wyrUD{?MMK-P$vY5MUhK1oldsr5}J
zdsnM$=xzTh<1<awo=vu><I*Iy{?x?n*7d<pA4bW~vU6Xr@3W-7n8hnfTz4(&voO9;
zZ>3n>3(NT<N?G-@#kJOo<g48FnflR+@5o$MP1Z=S+WMDl{Zmce+U}{UWeNLbyJrh~
zq`5%QlW*##ZcA%h*|wSY^;NMo?_~eIw#Z+$$#31Jn%4X;tZ%3Atd?0et-h62!HY%5
zNOt9nQ(85x^QT>8`Bijv@!Go9tE#<GB3065MofpMYpAj|Ty9zWbGx`_LD(ys>nXfG
z3j(jO>U(+^%(Yw2vtaL<y=77S2N&^9^6>ERW_j<$^U+s?FIQhwMf>Z|xdweI+B1Ur
zJS~p~#*5rt>N9&O?+TNgw`+d-EjKs*Vzb|iJwEiBrVMXpeIJX_S{Xfl<J6#(CH`xJ
zS)NJHPI+m4)FdaAwX>?GZ*BNTmJM5Zi`h!1Nk_0gnBp42qZG!=xN^;^E3tK_SbVoX
zS;;FC8hdz>be2p3yK)$7l{Sm-OUE^A7E4*bvS`NhAJk_$_t&OP%l_6{ksEJ%uJ~@T
zayfhc&4&Fl%G<uBu2gB<>Jw`k9mG3*=G34oqU}MlZ}uB!vM$MNk<d|F%hD{jQ+Ml%
z<)Q)Gx|e3^w|c7jRohlLr?nYnfBSn%rDEy2C8`tV9_y)Wy27h?OGc(yrE(R&xGoR#
z;%lD9mlQZ_%9gUaEG@T|t(>H|PRf6Z?PhkDFxef_pByxqQ(6CQHMvoC;)>0WO!h)u
z@kk}km+6IUB~$!V(j3EBV={f(RaqTxKi$h#$g*J?)1DT#y3PBZs(zkw^e$`dHCCya
zcU_`b%G3W|e0}D)(<Z|UJ!aaT)=|R7RxGSjRs2*#ZcVf6(<!{hs<T?fZ;Jk^?YVO=
z^OSyTTjVcWww6`rsR6_C2b!rYeT)Cvv{+TK#qa+V<jD|IacYvl5(QDQRZF<5d9F@%
z6);&5rfPYzJGeP!Sxu-nd&wl$bBm9+O$*}f^`3p*)p@FU(KIKet=l|RrMI%pSR(UL
zE!?kU>QYwsa8@04d8@Vjo7AV3vMR4;(Jz(X<i)GOQW&}9%e3yz{6Sv&U$gmZ=Fcvu
zI(4SS<)!S?DJ)(p$$BpZ7KyfRVVS|)6C&e#QY3KA&&yG){(7pnr?qP9`W7;5da3;E
z4bxT@9j=@Zn{7c{Eo+U}^KAdJ#yF^}@=V%Fl^dZdi=z0WSOj0XADPPjmA8G*qo*Ej
zN5pGi${e}wdU-GVj39ocOdh?hqEpR-%BIHLj}l$6{;(HM*;S9VqC!i!ma%FEv%Fu+
z^81?0ugqs3Ca+m*ayCvpGh24vT9&U<rhAL7))Zf~Qd-B~*k83~<rV%d?CWh=r#WgL
z-S*~>*c8|AQA}a)w*Cob=?PjN!W*a=s$IRj!g6x<P3Aq#FW<DkoTA!zMfLV2pEUIq
zS*(J&EZesH$jW8aZ@YDkpXHZ~+f%86J2_Wa-J^KF$gy0yeJC_+C9CNcojn(CPhr31
zv)zwHbAQ^@X-|K$ifgUZ@?tC8_E^4;<zkg?2#2Km{?!5=T1P{6E)|J-s-@gA!Aq2F
zz5T9BqT8eN*Rlwz>MRf4+Pq2k=G3LdQLK*~+%7qL`fzHSt7rOfs?3+<;-8(O$&r)W
zy_8+eHRuVGMZ4(Rt@>wmWtVWDH<=t<a@3Q_eS1|F>+H2QQtba!l+SE`vy^4F$%@l6
zSysw!3N_ts<@+j<X;r_-7WTEQ0a2i(dXDivY+FU&Pm5S1BcC<7HA3Zf7{AOaf3{2f
z3r^|OPWgQ`o5y4=?*$*uXx=YtS@x8uUd`eun5%k~MJFn}*}F~Sy3)$6os(FnCw%>L
zlFzdBVR}biM}g0sJWc);i?@Zz?|!Pu^tQ`=OV#$8xNnz^E0=Y77HBzt*U^j;HDb>8
z3cV~6?8#ugHEFrL&y+HTV*Z@HK5v%`ZU4LF>A6ex$~^y1iPfIcS<I$+ja9#Bf>_I2
zP+LxO=G4DlN)?x89%kj(w$?hBE&G$w_7%<gt5`mza<7>-cl~rm>#B1pGvq$6dtz)g
zXYzJ$*{DwyPoH>A;js^6<<4xoF_Wuk4qK4SVegKxwi~Ni)wamrp2N2CI{OtZ*5y~*
zuHMo)GMDX65YvpdV^^bO*VxZq&tG3MWm%?N`dqbRss=%9n(NuGPnoN3x8HBg{8KSY
zTMmS;mt8oCVTSrQFWzD=))~H+mR{xGB7cQf%xn!;6whT<H&x|hnb&)L81}LlZF!=-
zRy~<DH&s(|YrntsMJ<~v-fKixr}o$$o^RK<Wi9(P7Qtx+U;ot3S9Q>~JQRIzL6O(*
ztGjb&vTf1v;*q}h)PK7y*Awo0TVH3MKfc55LyCyS^y1%3esh|AxW>A3l7yjNSc{6E
z_lZ?3%BdVTr@Wr>huOAjmI#Zo*5#=$PA4td;ixX0aMJpmu;?-#y_K>dQ&a`Fy!{)c
z8ncr3^B0@WD3KXSp;jS!Q*AogR>g_Dk37TmateE!WOvNg37WAdp2|l|c^N0mzLvjW
zDRT*Xzskf3tWv7HeNs24FBRiCa*h3Z6#pZ2>B=R~;@G_OnOtTD@7udIgvB(|Wad)c
ziTsbkSap`Nd4;oNSgoD;l>Oh_h0ibZIjm-V<8y7%YPNvjvbI+#g;TZ|wcohi$Fy>Z
zQq}4P-JNSg&fk_+=bpdSM%=^ti%qAO|Loeh^e>j4mC;e`+5XRFPkrqt9+S-~xb<&I
zibWjDyw_}zs#?mMqo=yGvb#@XTK@F^yHM7WAe%!x>Dv7hfA6}ynALq+Xr;%xD>XMY
zd1n2sx$ic;h9z(3oZXWHOj*R^7R}n#61asuufKEo7t@rY;G>iEv~0AV@`p}&;3ay-
zJ6cTV%~BS%Yj$(IqY_o*mg;+cyAwXuBv5Os+eex8L9QRYWR5SM<8{<sC10E0<7f86
zB{%<F<$X0tspv@;&($z}5iPk&&BRaa)}DN?m}O;loMKVCVj7-h`(Um85AlX2PkQwo
zzq*-tsr*!pddb!~Re$;P?r9<M{AJswInQEE(wnOClclYwWzkZTYoRQft3?&RvMtvV
z4L`m7&RX$xTX{aN+3P2wver~Dg!P1$$}U}gD_)1GDhF6~;&d&Rvvhv%(G99%jb7cd
zckR(B-wvLe&F>MEGs}}JYOTulHnyV;f76!TiIiRc`mFI4o{d2t9<F1v;w{=LqU<I=
zNnxhktVz}8`wT9r+AQatZnV#5wP<08O+XY&06X_;R`;b+!e>>(SW~t61U660l1dK|
zc@|}Jt}6JFN)fAg)EzO78Y@=irEI=D8d1DTPnT|49>kyGJ%itD*-JJ1AYS3wd*Uv$
z>bw?F4_>-VRoCq#!wW{HU6*DaVGI^sviiyVEiCV(el2-2UtaajyX+`=H__jxJM^bb
zpQcf-!n~7_<NqGcx%|~(yuGK50;e3BYMObCPv(}&?J0+@Mwx6kI=m~#JlyrW7kjpU
zskd^q@v&8FE2gMVo_s1~P5WyW&1<|7r=DFpTRO){tb|q8OUH|S!+O?+O;<Ah<ee+d
z)jhHFwzv3CZT{U;U8egoU(0zH^re{BIa~GTR3A~+NWU|TOL<moWj{Xc*7QrPI+H9r
zne6fsr!fWlXN2`mJr%;cKRP2sX)QZ9`!=6F(ew4+g|T+d{3068Tdl1hmZ`acU1Tl4
z9&7C+WuBgG|CTa0u+Es|@><vQnu}@`3-?;_WLCkY45!!cx+MB(l7x25F1AR&n0rfE
zuLrsR)nwUU>cgDH{$A655&QL@+}EbOT(^}ysb~7mDK`9U8^U-ltebLu3r`AfK^V{0
zm#jLaqB*zN<Nrq9TFsmA#9Oyj>um_@!F5xf1+x}PFY?|!xz=++E9;$?d<IXFAGd_2
zOHMqpsK}GysKDd9^K`5A{O_2Y<eI-HVueoRC7yOq=KZN_my0sxPW|;#jc2RQOCHat
zDUz#LT(+u|`rR%Ozv31Ck8kBFm7=9Bi>8U4nsYU!ZHCYB6`8CLUhjQ4onQXelsEI)
z)cj;_s!Lc*<@xezT8U_Tlu9FKht?IIt-PhI;x=<+m&z^<a_`7Yzx!5HZQsc|d!({i
zPi@}wAg}Dr`a661OQ(r`brFu^m(i9{&AhJbuCbPVElX9{+_2p(PwxNO8e}CFIaTEk
z%f+Dn^10W#g5+OosxK{N(RbVB<FA`#GvlV1>yt%Z;%weZ4_P&rv+4)woO>yz{#2-L
zj`^#t^4Ype&P<VBx|PXa)>@aXe6O6cY*kqAp)6L5mGW!1G8J`ae==J7=6miQCBGai
zFOz$$f|@d(nf(jHS#(7XF>R_8aGx)`ZNgklu?^KN=BN10=E!`n;)^{QI*a@2T;n<H
z*+z4Wujg-jUp&|RXYWlv8QpAI%js8didbJukMoi#YUA;ilGfMG7QgsX`uUNgTYayW
z)Si2LEoy0)>Jr%won_&wzAsxiw}#ZSao?MpHFfsXCC%#kTc_6kV$IIdHEWDg|2)TF
z6-(GxQDdz#)2TY1QR_nN-EYNSdN6+_i~eQtbx}HB_?NwG<JrHI^TN^>US=)#UP(?i
ze!KYWlbH)IEz6n1zf_$wq^@;Rv|QyEb^9>!i<1~iPV(N+aMwxoTpi+}e91#iM`vX#
z^U?-s+psP27i4AP?567f>3OLun8sApa>LU(UE%7h5H1y7bMD2N>%C28flf>_T@qu)
zsvpLpsTDp;l_i+D?We-+IkS&$4bx=fo4(Y8bxGV|K_lh|Q~%CoHqv?eaV4)<OxrY1
zjfGPpyu@EIPx8`JJIoOFY>$_2@p_l&AXXi1mTpgJVKZiFv8eNwvXYu2g7N!AvSse1
zn%nG&TX)rTrWeaU_vlq7$EK=Jla`!Pde=H*iR%j)tIKj{m-1|#%47bI`KRyH7xh}a
z7lNm}*u|>(Ro1S`KkX_1^fQdVud~j3rDK%SW4rQL{5AO{X3K=vnmpy7sLE;)(!7>k
zhbL?M5$`E{ljBmoHpw*YJ29JOZWy0gS&O$H`@xmAZ&cMTIVeqKzr(xkNk`d*M_Xcg
zZ|hHV&aw!bVyngzevLm_i&ge+j!YEK3f@JZ?3lW}G+ckJW!L9<Rq|GRJzLdf{cJDZ
zZWimUqMMgwsHXOOVY80PabVZfWm&RSMy99DOZJWxt5ko}oi)rMJTltyQ>t5h=CVgl
zQ!Op<TT;Pia_TbsORXc<bwzJ@+s`eJVpa>0$+)Fnx4g|io0s4JPy9;Wkf%y7*QmUH
z!ZmGg{3PxbCgImu+v?UaMX{QCZT-%A^!1k~Hd9WnX0g7)(&N`W+3jEu$9XmjE1qxP
z_bRO9WuL<8F7w@P=3&0KtzPzbSIYVXv+ATznH!y)v~SwN5S>p^qVpw!tXP$&iu_)Z
zasJJxyQg?MZfY-{GvTXF`D*doPb-rqa871oYCje|b?!>uFEM|zR*E{MOn5!@MUZ^C
zcI=v|@=Mm9)Y6oFxAvqi|2ow!Plm0=+w;=BnT$l|c`~`QG)Ad}FvYyv<Fb{#oTYOb
z)6^+1UIw#fYqPJ~y6%|FuWPIU+4<=&t$)j$HJ|b#d@b*VFrF9dzOYQ;f9E}|gjG|E
zRe!1P8SyP4EV7@h($*+WP5D=~l{J8^t)?Y<I?J}Kss0kO`eC+vqxjinV^;H<OwmnR
zyG!dNt2xKysZZya_+9E@oi^oJkUGECnoLhsZvj?DCb6rjD;568{!$guXN}P{sR)>*
zEAwvRg~?N1Ggy2PIl4ObyzLs*D3ilH0-!?=QRn|182<m3*#<igKvzf1bnDjm_}FVx
ztoHiVRe45y<|~W;|C&{B3csYzwz`!GsjNCds%EE!8t1Ltdxd}XRhDflRd>Ca>UHU5
z&$d)q_s)Oryg^>FtRlVIEZoI+??$n#VvX_g4dnAzmtMa1-FfzZOIadS>Mp6A&SK&A
zpW~P*;^oQuPT6?J)heIBrRMo9Zzl;Y?0lBO6x=%FlKiPt>;c!;-(Jlty7iAJ&&D9N
z+mjA&;LB_`^k*|#&%Sf1Y=^JkWtIRdHtxS$PIxm_iPlaPF^J;RSm|Q2MYXHpuuYHI
zT$b!0n|W(ptb$Z$YL*JEwY{arT*9hp#b!F!^(p_IX<U+X+*V%~pLR)A)F)&u%av1e
z?aQ)Qtf%VlG&K*Csm;{aT*>ly>z+xxqSh{ZUaNRIbTH(8G6-K|T$-h`-txhj&FU{d
z><hoFA{-oWbMt%;gWFr&yYEP7pOkX=q1F0)I`4<L>1({BPulh9FN<E9yVdsYw@s>^
z5$l#s^xUykcFl5;g-=D-HZqmCIe0Dm$g#t3n!jv%rs%(`EXto&c+dCAWN+@3@xP%k
z)5o7(`R&#<+qa0DNiF#EvP5#%eNSuq73T$=Zm|kZJRq_2WB4AOC>wFs1*Ziro~_DW
z$y&c8r%~qB7Q6jQ?pyc|#~4pxv0(PvVz=M)e-yiT<(=i#FIi`pPM&h5ecr8HUH&50
zp2hPsd9N<@cW1vorF7NhKU2bA2>(-wod51tD$BE8rAz!fyx4u&3N_hgZ=RQ_`!3G>
za1_fG{vDb}y|%LUu$u<eE?C848p5idDO)f#?2+yMS6_Jiwb?b#&kxAvuUX4e!2aV}
zpU8Ul@|d$tR_x_hS(jLe?%gZ$^Xx3^wLDs^+^G`sE#mUoQ=_$P4q2~JdOO$0n>Ai~
z@|TtEA9#gSMP(a5OrD=MBXRPC?Q>G9r?Lhx6#DEHSS>%jr&o#H?o=!DD%~e$mb!fV
znpX<&%_*EL%2Ck`8j5<bPG-$g9${6{qz5;q&i~D#IZ1%?bF6*-=Qy`LulcjI#qI4|
zg61wd_2%sMkV#DXm3)tj#nm6Dp6+`;EAxcy%ias+Q95oco2uKU=&#k!Wxc<&O~mG6
zG_OHw-|g(R{JU71_w-&3%Vhnu)Yeg#H;lci%Kpk)n-U(|X_h<L#e;0FXtI1QpQ9RE
zCD*-_r(0Dnn1B5)6%U_j`&ODPnxby}_?PWc(=+0hU)cn+*ngKEef*lee2aRzzR@(j
zRMjbQ{mMb|zmGiARFPQT6U7>oD)Xi1r}atZ)->)lBKMZE7EY>P$*SPRIxlk3#G`%R
zwlRsQT$o+6W-Utw%c`ZivTOlcbY?X0gw!1IQg0Dyh+@6SyxgjlIo14s&*#-qGM-D>
zmoMSbV-cTL`Hm;?)}F{umxT5l(O=55_|v)l(@ky~J(bZk`M6Y+pY8f}(Mv`Xgy#xP
zWw+k+qW{_cnU=wGc%noUrCzLCvUdu*^8IaIf}dB-?SG;g-*VfFCwyh9&(>qvTwPnr
zC#}`YTs%iYKKH8Vq)l49Yiwd(vsF#ynY`xC+9@LCstHj(9;!^iEAKh8C@c{=r>dpB
zmOq!beiHZb-XDIvR%=<}mpsv8E1WLN!m6XSl{G*{JL@HL4~yn?mOWl7nL6%Ec_O@A
z&W1*@_p7?DF0tRjU!N6J;y?4*+SD!b^Y-*v>hfptI?Uy@<w?9Zoii#^*X{Kg^GueS
zRQ8TF*1J==EZ12_vqxr&JovqrW&1{-C9L}Ccc;3Bvi!Q&d(PT(y&2OCEt^PP`79+r
z^=|IR5}FQM<+3zCTJc|+yw+FlU>xf|Z99(W=b3ZcleL%fALJ7#k&)DLIj+n4BY-b_
z&+=a^%3DPkWENCy6}3N-U;BEC$T5-Xs0+8f!Z=huZ{1U`xmI?bN@*xhSrx0!RGmUz
z!)c-wOHXN?WX+Z6cw*-Nk|l2KogK+jKWaUdk8ZrW=Z3TgSL2e`+dqj;WVV?0dTokA
z;BreZmL6SY3FW>d?{(K({%7(iofHk&R(VxrYkIs{%aZTw_N|)kxti_J7XD4Ff>GwY
zD^uPFyM0;3lDAcUJ&Wn3uN|ID8Q+(wnkuanXJhRTWo=$I^>vVV-R$YF{n%sHhMvB{
z-f2}bVe^qR8PlMxUaHJx|ECm(7+Jedf4$WG>6Kc>S6f|Fjirqgqu1C?W&JcoEzM-F
z7uV!TA7!}ah+T<ewbNwT@G`sj$+|Bxvu5v^Qom9tFURMy$P6$3`=V1dd6vz+^NvBs
zXU(Tf7SpBd+5ViD*pEi>++pwkIad-i&cPNR%=$IU^}<q?h57Y<{Ms*hy06^XKeNq0
zg!lNeDet!UY~lSICUW~FtIkx}D)!)OEHA$;{S(Q@tj{XD(%Oq<U$BVCwr`ugnI;8o
z4;Eon)d;>hVe!6hula4Nj}xY<UiGp0!WW{&`{5+FxrW-7!&i%xInTa$$@}4I-D@wN
z%RZJ-EPHr9X0vm9GChkvw&wX<UXxSZcWyj+m35azQ;WC0zt7KHeu>Z}R&lf0Y*}nG
zrt-dcGiiS`zrf3_UYFIUm95JPVo}(A^zRmSN7mps@8(S5IeAU=P1yZck*ax_tQI?&
zrS?X~iMx1qF63gHHs|Lh@zbYdYUWh#eRTI?tI$b4={bg5Szr1w&3iVdI#krr%H;M|
z+kZV$ua|Hs{V~|}mE~);zRpq=SNHot?5V4IUM`I+R$Xz4f6BbIL0d#`>y=z$)qKfj
z8Y04G#b>3z-e5cXk0_DM>8#uQc+Sq8Q+LVqp!U+uTlweCsngWuzrg<}bZh*v)#aC&
z`lf15=2*nBbcw<LX~H%0gI4FxO^vwv`s|X4ydv+H3b(Pd_T0G3V6;UiV}hH_j6AQm
z;=JBjtR*ieR9?OMMQqZ$`IS?6j)k%Y@!TtVpt@(C^n#XB7l%o_G7LJb`c^wR66e-f
zc?NMC*GsJ2#Ky69_SUwLN!}k?injZSR)qC-tWIGy`pIPY^zS5wC5I;qZ}~G%a;bRU
zRhbFf{-=fZXrE%YxaX}al%%`ALS(I~idx8vrD7#43ZcGlimZF~WoOF-S-0)ZV)6Cl
zoD=mb&L&%4#!`0K&g`2~R$HZm*iUPfZ1ZG2BrF)WZs*?YD?E}>)24;|&1PS_mi-Nj
ze$D-*UhEkzHA_|JFJ)2SGugshklq=>Dz2r%ww1N>T<O(Iywhjzi3{hI-1(*Z{?`R_
zd5fc1KP~mWI*q%aWs6lQTjV9NcAG0}c@wYMU&#_JUCS!2`{|3^#Yt8<$5-%pUSr7)
zV&}fXwo=_#RkKgmWcATYTW#Om>Zp?3a`!0@&)<)?9v|t~?6|_a-AiVoM41so%oM}u
z6`3l`c?>1~ZGxWFUzo30$uPcjmNZ?xMMp&CbCmElE%R4PMP{6N`s#`(bCh@hi=eK>
z<Ed{Rvsy<<iS2T^wnT+lMt<#GE1j~a$$Qu9?!4mr#EUgdJSTeFP4TU)huFoZnQG6g
z+^u4pDKKrS%_|n!OIvq($~-z#yp-2wtN5q(nO>srm%8k@&a)zj=`wHQBwiJU<};OX
zED9@IjsLdHxcZt;c&bR={gc5gvzLY*U&5-dk{Rr}J4&QMNn+X*m0dljcb(aLF`PRq
zME0p(K#xM!O1Vd@Izc?$x=hOV_fFLmUlF~3fhN2D)H5l30&!ZOUY*an!us_VFYooK
zQ+TaHSoZI}bNCW#vo`PRt*XVY9aC6qo#$RrTju4u)vjSZ%l$P=b}VAoU+T-(J||V+
z(_Pa^OxY@jy;y^d8lPNUG3kJ>LB0yJpIf^0Q(jMIJ3Ve?`$^i7%$*L)Y?iYv&+jPy
zsBI)v`{&G3(aqO*4{Wk)+k0pU&-SV8++Vfdm9kZNZOs$q_d0gVH6oM!L?z$-e^%DI
zY{5dhr84z)O{$yQW{d6$sZ+D6b?k1n>f5#d8V^?#&wZgM+xG1ClV9o0y1Db&rEXvL
z)KmO%t<TG&VxuQmSxx=BlwFhO%O&>CeQR5`vdgpHFIzKnsc88$Ca;wt_PVSGIUa89
z-MQz}W!dy9p1u1Q)hRt#J%53wz&4#38eY1)Ssho~xNKqH%#${WdEVtlciWelEMcnb
zSDY`eWp6WMUc#)#c4f}&EZ0!2sl3bHOufQ8F^Yes$STijLv`k*Jg>KkXbG8JSu@R(
z)sa<at{8KeYv{};o|jlP*NR$hRXf8Qp=W<E=F(n9-UxNxSzAT_?_gQdocL$s^m$QY
zJbrtN*Rrg=w1#n|Xy#JA(>yCnS#{oBb-KdKtTwM}FRvD#;+DKw;v1$hWtV0|<;{8>
zc5Nz)Y~HMCtQPvmx3GJ$ehsU6x02OpDVLtx&1*X1Q7kUH`ra&s?5Qth?r&ey|JOT7
zQ%7O0+9E&JTWd{hCv#M;dFaJ&u5FS#HGh55`z`T4spU~2&zAE30xgH*{diTp)XJyb
z{`j|`%Le=QMX}FTuU^ekuG_L{?o-b%d5gErwY<zyo++ELH>8TyVu?#UzkjGs{Hm=1
zDz*Wq&ra3p6U#fd#L8cj|AS`AJaLZA-`1&1M|p78wJp<I%N{8rK9y&tPsJ9VX=2Oc
zWV^k?n3n1-7w`Ymw(QDMQ^T(@hC!m4z7<P(JEjS3c~h*tlxGL4Y%tHARez67Q9pK6
z<>wZ057uCxBPvr_W8zZnr}`NO>F)Gq5#M@cDywJCoS92i_H1fl?_0rqdE2>5T)Wbj
zXtn%b$@OIGxp0{mtNe_&>bUDnyyp7U``Ys@=eF~@ZTbJi*TBz2`stM8?``+ou3gW2
zA<SH6mA}Cx;q9VDr{-+DvRbs_Z|m}}tN9D2wq5qIv|7uf!NNUNR$1k$7rS{jtL@?m
z%eG#*>?;51+s(C!VVUf;?~j^zOgIuEyp(74w91vwZ082g<(KaMxYSmS<>Hnp%f1R<
z64m!s-xa&--Pigl;un`3UAl!oj<qU^-PfCI)|GopMc!<c4{f;`lw-1z-Fm4Q18e!I
zmKEn-vMqTkT6!iRSi~ucU67}KDSOOR@ndTm{%VG@nBKdvZp*X_?mI4}HhOX$^!vR2
zs_<mqze49@dbdT%#N~LXI(C=3Y@H<gVl(T8copw*?&q1O7w~_MKYG=>^9}R6EPZzM
z=|)PKo0loDOue-Bwq~8@T9HWBE2)Y+i&ZCcca@i4Q+b=k%B{(q$FAuau#7pLId#(V
z?^=%Xzr6HQv*qQV>U1_%PG&kJ^VdsvgX*3;bJ@aF_^n<V3G`msB6H2yY6+8|`qD|J
zDJ)C8cw3kDUDun+dM<qJG~w-2Tch+dmhxz9VUIjFRcDR%+-d%o*!OR;I^Wv2M27V$
zPwp$%mD3y}r=EX$g;&T+=C!%B^bRghW+n$cw*|k~9P?v;cbe<N{IiRA_@}9FRJDJ?
zF|&Pbe(@6VEYsDI8u3&2YU#3U;}V!@F?DNG$ee(<<#{!CRp;|rP0`WcaaL!|`MIk3
z)1D-<ZuXgbxQcc2^BrH8Fk8*u{Zys&5^H?q+7)YhSf0JH*&g%M*NV^Wr^d6MEy3(+
zr&o*a@y^;VI{91wn^~f(mk8W=zv9f={ptI>_lL-`PvTo=cE&|4Zz^xgMP?PPAd$6O
zc~`U8O=I#pqP_aMc#;=;2FKN^Gk@2rXtB6oRdEVRE@^wB<s!dU#-HDCtNI*P!6^3Y
zp6>E8^{ZLzCP`nOzTlea0ZsP!QkRKAtUp+FmWl>mVwvH|U(YZ3l2t#L$6>9B+g8;N
z=LJ%DUtfqRVPU!?BFFP(JxfWv>C;P$Rr~^3EP_+rTE(u$+%@&~krtI$UDNP|ch)7I
z%Pb07wks;R{!U%sCt{S%tNZ+h+}uY&EZyG1E^W_mKUw-dgzeO}Y4fhLFZ}js^<?f9
z)8^%#bUJ8JvP62S_>yZjNuFE+*{9|$x#7nemOtmGmx#Nr!}QuKa-K}7E|qh6qHp!O
zeVHU`Y%Kiz_7%~cUOcV-(o0z)=k)iBuDkO_d*&OnaNc6g)OlM)r01Smy+zjIOW4;i
z*%w|sf4?{^m93pBdVpo#t?lcl@TR|6GO;Yv)_ra-w|!n1&-tuAzO}4sOXO3<jF$g+
zzg6B=X|K-_@8xRGFO~3R%B)m%PdR^Ts_X^bxj`xiytOUzRVOhenK3ZRUQwB|?}*sz
zOR{}=ZQD~-8hd14KH>V?t8O`0Ox?%BOE$w>oWV%)SFb_|o9`u-TGoYDr4!bw9%k8j
zYw5LV^7>(H<x#BhJX7>dWtQ@qtNhu@!p;6WWbLcSZ=YOULbF*{uD>qg$s2pt{O$Z}
zTiE~d%)Iq(V*iZK6F2Da%~xqr;XQLTBqECEc3!t8o9|M!WEKlmg?u*m@;QuNq05*a
z>#hB>R=k!~@EVW&B`)o}n&m7%LRq-2*czsuVX@sACN@>;irG?jeV*J)tjgQIU7f@|
z>+9X;OGHCh+M+~_bMI@{dWmg+KWlf*;{BHl*UNNh@up98zs7CbGwXTkn;_Om=~;i~
z@_v*p2~uHR$$MK>b`9g@*Yi{}#Vyv#TxMBN^7hIpmLpfAwyrn+!ul~vM{<GfyLqik
zyX{03F0-d<v6ipQ)=Sw?BIh3@*KPKEUyJr6X><OdqB-5Q>qS+r@%UtkZl9~i;WFJz
zWWrRLO<wZKt64UOv6^a&%VnCWns?0YaXcoFTFa85rDL~vn-!Z&u<D0ZD$XV5dQ~-!
zd-=|~*M?ltisHZFx@Ptt#~>avZ;40JPowgl3T5Q=%P#dbW+}XLq#&EU@TvIzBL%;%
z$V#44Uo_{&9v=TNR&H<Ax3*K)%6Q&yc^rQ~Tm1G^)o(pDwtM-0F<F<eYW`x$o?^?x
zYI^FA@TuL$i!X~TsuDdG`=nUQgk>qeZO)@0w(?9Csjd8aJgQ#0YnN}`<E46glf%R#
z3*s{Q?Vrk=oqJ8|tvd76YSEPn-%M6t;o1Hvvu6IhZ7q+cPSJH#pEJp?i1*m7$!;&d
ze*7Zl<#%>RuHkb14K`2qes^2U^v7l{ujDj04R=E?-J`l(E2pxTvlfQ2>MU>hc3t+A
z_B8psr60UXMNM~XTO#Y{s;s?ic_~lyDHg{zg_Ul1N?8h*hpdX))3ns?c6gXzru>7o
z>|Xpq<y*bxp9{V2#ecxM;m=E@cAo=N%~xD`uDy~ec<-`spJUICZx0I>^y>Y`ziVep
zKsfKiZ1$MtQzi4Yzsh{9o+|ClvVXO!*pym#@gAf0h*d0JTg4ezOQP7s*UJd!^n6*$
z-Z@pqd9OzH(s|omI-;5rty&|Nic7aQT@v@+#VeD_<hUdQ+y?Ip^f|MZeg9PUi>e<k
z@iRv83iIz?%Ih$d_ku{pHFoYI&dR%*%UP_ZEx5_TbZYw2bt>#?kxI|E+r_aiOI?<I
zjqU8o0>$N-YrnVJHvfofKkTt+)!d>js`jftTw#rj+RmriwOo{AI(KFJGJh!(vj&au
zBg?X6{TDYM7tg-t^7PUIFPW!HS=782%>KpB6v~z@y}R)#JL{dr+FN<#S+@nTdadN?
zxOR8ROPN`37e7gUW4ZT>{Mmp1WUW^wdhDGwNq71bp1FR^I;TwLFWGZPi}hD`<9($K
zC9<JWA}3hNBe$32P37wnb-A--`)c09S7rKwB+s&oyUkf4y2>kV{*;>kK}P)djXat6
zm|C9BJG<}ilpZb1wMS;EZ@*-^`W36r6h8BCqc9f1m9q6$Rd}jcZv728U8Os3E89+W
z#cOPWGnbsx+RG=*+OId)WMBN*vMEQF>PT&s@zOP#61tydb5^EwKhOHDEMc~pF-N{<
zvRmo${<+Z*y(DHO@3*y6=3dL<)twsm*=&)@?$<j$E`7?*y18uGy-8jxSX`#o$4)C=
zq(0}*tkCZ1CtZ2`vUyjlrbVg=WzOMYx7f-mDBBvx_VtoVmz?lPX5}UCW47`izr>TS
z#gp|`#Aa@XulkwOj4o%+Y-J6&B<AO-?8?sS?WQBjwwx`@?@jVn^^JbaUxO6?v)=MH
zwPMkX;$bwee}C8AkN5Ct^DR?Ker@Gx^kQ#)>2rRndic|<Af7YR<^^9<nRAML+d7Rs
z%sWF|j!a_x$6ul1dQDB;^LZA#+G<vpt^6x^>*Kb$zh>*vnXxd-O>8ZDIoq?(|Dyj~
zn%6kDYqd}26nicIlU}k)t66m}@z$?p*WW5XmsL56HG3_K<5w2z)hxc(#1u1S_LTa6
z+r`p3*>74*eb(ICHWB-4vT9bWVOv>^ct2K6ee#s=UR-lR*}93|Y{mIo^_B+fi<>Q5
zzIbJvdd^h&N)3(_0okp3OH-L;cl@7nb%MNb7;A>M%!}{sSE6LH*tt)$3jWhMVd=O|
zY`UIN9Q(G{GJWCtJ=fYouIa6v`L8WV_p7?Ip7-9jRcz%ex!hdVPviD6Yl`wc^NPiH
zi+F{oaa54_E|!H~*ycsKoMz`<ULH7!`<=-1tiL)Jy==7VE^3R!-d)N)ulH<-2#=<1
z?@HmTtcOw=Wv3)+Zgri$|F)&B%LOj8_3hajDuGi&G`W(WtX8>m-RksSmUAa=>}6Qu
z8(!*Ksm1#2`Rtk9uL}2SFXstg%9@wjyhXK3?b4S2-wq4;>`z$fsy&tEC9C@-J+;V|
zcV?H%-c1QLx+xUJa$QRDmCVg0tjk$-{A8Th`y_1TY1RLIO@!?go9R~mr);TlW^<QM
zUmqmeE4i@#t4g?54bxY)wOOHu{;J&b<XynJ^Cj!%YwV9_AN4wa%~1a(FTbj^K=QxK
zZ7)uVxStK0EVA&^ewme`E}^V#%i3N%$)0|u*NZjjb+7d`pXY1kio-=_uNB#U>dUP=
z)%}xJPoAvt<c`%+l?kTTf>mCv(!IV!NQhNGy5`3!m2X>h8rZnwSbWR<_Q^#?1bt>@
z>0HZlF@I{0B757VugYt~#H*Hy6uOv~^8DPyAhI=S8jE#U?yR4CO|*1b99ezUo0n?@
zFJ+z0TE4A&Y5LOVl_wdl1iSAEnZnDSrTk-Ah|5)x(^2eeMHf!en<2JmnP3=eeB^-*
zB}=TAhDF8|pS-mAlWAfm`+i*!b*Yu5q4BFj1;6t4MU~Zwq^)K%4QF}tD#k4&{HV6L
z*5bt}{If2x6wXyMDN(UKC3btx<f*dPqhwjVR9SeUSJnt_k+DdT&I>(T_(@diZ|J0y
z=CG9h*WvC{SxjqPf<!v^om+QJY{SZM_iHk*v(ze7Wek(A_jkz7&DiS2=b$g$SIWlv
zE5v0wQ(MgyD_xci!lHBemi6Af#HwG!(K>&^QugeUUTH6u$l3>UJ0|+G>ThSg7{t48
zHSe#j?Be{k*TjW>vG}TTB~FNWDgKbvI!JZ$FZR1jd8ezq3SysOrRzAASAcg%kO;%2
zDf;3oqS%#rWppK{hMtX_Bzr_L{8Ce(>xC$mS-sbv?yp(BceB;<GcmWDT>CaO9iJBP
z=)w;b2}ZV^D;LZN@h!gk^%j3l@2t7J6QaZyYV-MDo$5brj_azWtM8PY@e`F^%5Hs0
z^vx?)9i55ivP37WT~e~NVVd!JR?R3D)4djTA*`EMvaT()wVZiZ-HW9#Hp?wF)#lq0
zRWJ5WYfH{vVl&ES30TW>kcImxd&%25emq6G6_MU8%<5Y&?p;#L#lPkF&$Csx9@?mJ
zIW69@ORPVf<>Rw0yLxAHvo?FFJq!vrWzUO}Z`#c+H)CaWZ=@zm=TskweP7~^3uW{7
zJr%duI%nz?UezG(8oi5?m~^s>XWVx6sn}}9HHqJxXKt3RNEFlZ@asn>@m^N+X1ngk
z(&qOxnZIl)k5Wi@wimz8{q0NnSgy-_^b%Rex^}thAMXcwT4H-kSyFfL9=XcC&`VTT
z^!C$h>D#Z0dPJ$)@;R^PPyEWZ)`)ZN+s(^)cTJP>UvucaN|@S$skweXx1C+Og<oY=
z;>P9`RY50~FMS-vuEYAsNF#OegKcXLPL|NqXbV{$;H9IV&%4^^{}q;<%i8)>mAV+0
ztYyg%|1_07tXBMzxXvn>h^ahh7f%iO)0f5CxmIR}NJEtR=abyir`|ffk~Myctr_d=
zC?PE)|3BMWBKuBw`b2Ft&kB;eu#5HRR5M$aRbe7po6Q>-R;^mKis{1tzszzB3}M$8
z7#Nrt7#J8G6c}$RFx+5ey~x2L*l<IE;idv33+psyS!VGqEZpqM)0j0`Shcd4Slv}w
zf?1|+W$k1a4`K;k$+9+#<+?Y+KSm~oo8b(C(`^`|SlEBDyvSyeeQ{HPi$y1#B}{?k
zrUDZapC|JX=0cVWUM$%xovKVOLhKypnS5FI^6B!QRN`i}xXAs1jn(cFvj}_JBr#b|
z6@Hm(Y|VkpY^yTG?bh;C@)n1So%0giBVwn`&i#_ZT;xrt$O&!sNKX!19z)h`YuOJi
zWp&{RS}Ix3)^J^3IEZNj&&rpqg;!a1JlQ9)RxMQzQ58|)_Wa9k8YPm(J9j&4a}@tU
zP1SFz?6QKpYE>S3@d~j2d(9?zm1TxDZ{k$ZjXEw?y1a{dPW@%s9L4j9ojaRNFjFU(
zef?HZWu-++1maEkFN;6+xT&DQp&c+uBT_+*fqRL{F_m>on59H_s&G{pSG?5tDtcv$
z>Su-w4Q`gFo(6i1d0LT+7)qG=<gW9ld9j~kUcQBucd6XOYwW2}tnLyEqF9(TjiOkp
zSh-!<SxeT6<^`#;d9lYc`v!4OcTLP<?cB<m%Cb{yp?<(k1>UAFY}P?cg`!$jth48`
zzVWbM!pfvOX$$XbzP=DP<yR~_ujoFW#Ly;f&CVL+6P3yS>@REBDxRB5eXgn$Z56*E
z6CcDQ^O8+Jgl|fgi`rC`Ub%^J9uKQ{CHA^>aCuzud+4R&r#e~J^Pwl#1Qj+O`}v*^
zr|{(XJ&aPl>YdCQ#TJ#OFS|RF=cK2WxSblysw~mSwd{G~C$@^KvF8P`_IR;ps~q?~
z$@3wXkI2g}I;@`3lB}lNMNWtL-_GO}3uV=b(m%mBeZB6FFm}_mJX=FeB3`pJUl*^|
zVio-BcRR|4Q^rwO+I=rOE312$>M<?boTa*6GLJ)i4g~QgvV^^4+q~8OIZx0vH`X8>
zU!Kz5n+iV0*FsNmGI{acn51B%thz`?%}eRYC4DuPYgw*qJZ>oP6#Qqkn53}X>;PL{
zP;6!t%Uxf#rFu+LWWU>HMDdygF=Xq-U-I7WvoXp(!#8TB&zvw5;V70owTJUXOD;j@
z|3Nv0fq@&o|DTV6fq_Abty0l*dhEaVL7Zk?GgojWP5fE*_QkCkSu7qubdwAvJ&rfJ
zbev7H_qcd^!&gNYkDC%lk`#8BbY5r(kYMMKU80@F*1bfkiJ#d^>)RD=Ue+c?+2nr@
znAids-tusItIXl!Ru5d-6Z>!C*A1R3-BT_)c`8qGv`Kiwlhj$s=)7Z@?8XA82(Fb$
z9O6PubFG)0aY?dgT78OBR*8x8FsD2xld#pX7`9SgW$%bo5%o}2u3F8v&*b$TjRTVZ
z-Dlx=!SF^zw^c~rGDckAOV}{#Yn|(@iEo(m8!j0(<|uiddM)YSXm(9E=1Ed&rplj9
z3O|Gd1)YUlPqM_^VQ|z<auH;4Ka|n2XCec4H48thu|#u2vI;|^NQA-+nWRk<P9EV%
za!pd?OJ?YKowWM@iV#7DFq^Gx*Hn74`jR@^eO_$k*vp|N5c5!BO3dA+H5NJtKKCtZ
z*r-^bZ*ihwE610Zsu#CAk}Vh-Vpd2Wp7d#1!$AkPn1c+g4BwK%k~YW43o`Iq{itza
z?yx@_!{m_sUpSfJjkcxAe~oAk)+%eh)|ZSMR1Qw#%;mVrGhtbbaz~Bw(UzEd9MRfI
zDknQ)D&{0z5OBF9(azD_QZKzWW+z9ZLTJopj`p6Ym<G{?xq_Tgv62Gzw{w?ovE+}6
zQhw|<<9MURu?gNYmU2Y?;g3<WuW)23NNsy~Vw%<j9hQ}M!ef>lU(m;R;)j4(#hf!d
zNna+M<8(_}pv@@ikyN0?r7b9w>GmQjDapD+mT7`xLrBurq{s_=icTDbN#|db3C;-R
z_|H-K@6Ur+MxLZc8`up*VrEDAty#e^{fd=5=OxZoT}yr8zb7TKwIyeGbm-c~Xq=gl
zq$&7aqO(QAG3Ee=tVg-j)YG3_IyiJ|d1kZhmXHmO*(%6kA93lMqw^xgSPS7E#;*EF
zCKDTY*{&|($dqN7#u?r4>j+o(pM~o>xTdmhxIdZW{rr@P9W3^XCrOGWZ0T9h*rC)R
zAP{?I!$so@1s*XP0<nVMWf(V{Qjiq1ZHQ>fu~{9<uzJ<qWXA=2SU9h5jER_7;bZQg
zypgM!Bk7Yv`GJ2Qk{K7WJ6wwqbO}CXcY7vNhtfvI3DXkg8kT!J7W8H)XSpvREuHi~
zB}T3xa8?$J#Y4{Ie~u3rlNn`PH78{#W%MX~EV23OHe<5FLZhx1v&A|XV;FT0D@;^i
zk9njpT}fR_+eu)r-;s@30!Q5@zUnwX$!R7>>jqioZ7~r^9VcQORr=nq5LD!0{4eRF
zc<JL)vBU!m4lz<Of*Ve`tq>^Ai*j2zp@T<q!EWy3Cjwt>Fmhx{lHyouA)K^xbsy7)
za_$um=Ik(*3YB165SF}f-NMBluNYJr#Z}Z^8qA0gQh4xyk)Ll)(uW<h8j^SxY+{j=
z=w9I1$-w%u?EOto#aDizorQmD7|f-_EtGa_b7SA2>3`EmGI*8iBtct_w@KG}Cj8qs
zZ!&kW=2aE#n+rCznXQ;*v2~hr(n=O-za1V2I3{iIW73|G*>WIBDT$+4Am)~Vu;j#s
zFDxC?4_q}~AaI0{L!afwM+4pm%sme`NFKazypi99gF%&*QCKM;)PR9o`NmnU^NInF
zl5VUx&}Kes2lI_biWV!*DP1?n7M^%{Vpyc$r%&tC4DXy;#P*ww^|yua&hF${Caa>3
z1ob4}cr5YaOXiCTzO|G72+ZYKYan@olaYDGUI&GwYX<5{id*Fj^!+3c1adA^d8xSe
z!!ys59GZt;{ZU)<MR{pWV0s|uWLDPcd1sV6J41pW>sh>1c$uWcw7H0}q3nc*5!dg|
z!!F-A%>P<dx-AT3;B-=OW{F`Gbos{N$<4@l=tGr4%u107KR7HCB991|OYhJ-!M*-;
zOr}lAMaHQtEK?70%l>D6`Emu1?22DJvXeFTN_%?z=TLRpDWtuglbLxcOYTngi=kIt
z^pxJe;AB7KkSQ5+GR*Rz#H1fQa~To?&Oc#fJ$bQ%!=Uiti3`RvPR~iY&Bpdvjft^z
zA@|S!L9Dlxet0A+2?<R6#^GGVr7`z{l1hx*GOv11>z^DaSv*yWcFysbsmj08b8VsP
zqyM)mCW+2wx0Kl0k{PO(q@wM@V^}18b`r;S@3(BZlYGkkkE?KKa%oR`&a2Jp&hc}J
zaWJdn7uM1sx0`H*SuFQ0J^tSIUw-E~>)kkk$&<wR`T0Wvm!w`7V+>)ts=L@_rKls{
zcJZj?7P|cWk@|+w#xW<YUCblw&oT?U9Z=yqtR%7Wc}3`=k5;vkyhm%=)N_w&b#yUR
zTDS1MKX)`j;=6$^YbXDvn^Nmq?0(hHN$P*(`gYcnha1{#qm|THCR?4mo6I28`Q1dx
ze$M<R`ztmRWzL_Fe3;ed;4Ra+VR}qat1wF}!>N<nwUS3qm~<HMxZjXq{2XH)!{<Gt
zktwO+WlVL<q?iC!l_M<di+2b+GAF5iv76W8<6=>BiB((r#xKv8t)Dp^Z+N}AtsvC#
zI_CA?3$vd($7EdWoD;Kv)j(uUqiMw?mIrxEF%v#ANHVtQb+S0d9QZn!>B9p-!3+~M
zOOGW>?3HiWFcf>l{`>M^6PM5w=GctZjQ5@TCq#eDc%=M`$yA9~X`S){0r!R3_b-Uc
zaNY?0$G*UkGwGsw1B>+m&zqdT4)Q5$vI?st|5yCa`pbR8(jE;yp_{!IqklVUNPUj+
z)RyUDVSW9n)`ipdL53BJB6oAr;iM)$3oYgt^`;u%ERAyq`WNo$Y*-Pq!@ameb=Dty
zrWaG@G#fOY@VNHl4BOhIq80aF_8eho)Nfv<rg2XFfzX4Z$1hS2^|&xbo?wudQ9p2;
z+5N{;i3^2&H~3$6yKip%VtMjid|TUfZ$T~LFtgV6mYo4h?W0?6^z^K1n84!Cs-Dtq
zb?wi!6st##|2|I;Jn6J=B5%@-mYN9yLY8d8oLw&krm{p%axz+W?siLi+Mlv5EQ##N
zI$hH~3H)u@@w1ox3)@~@?KJg+A~KV=F}AjwH+1?<Fyc1d*V1-s^T!U((3rN$wOe@R
zDDdR81-0#XC>0XFsco7n_k!mQlUf!UZwgoPE>Diw9Nn_WlPR5N#Yu+jmiB3^xp_C4
zuC`Trv0eRZ#AScwwQfQ8My}}R?Ju|Tdrz}TY^z**g>UPz8Q)r}uX*PzFUkGF!gG0B
zTlwS}(KncL+R7)T^cVc^Rq}1fwriPovZ4D@Xj|FzPt_9zxWy#>m@Yo~$@IBVdUB&-
z!OotU-!~NgU~H@kVW~80uktK<%3x~|=XTSsHa3f=e4@o83Bw}{**Puc>Wt0FJI)@O
zufo*7a<g)0N@e3(7E#+{(y<I~r@IeM4EM6zXTL|_QH+L<kkCyQ-9|qN#sgxOmPtF#
zbh2A6Xne||eL`{O`-vGRZN9Z}JfFL@h1>Su*%l@J+3l0~Y(F)etejMtvdFn{^5>Rp
zRf)@<I?p8Ts(N+`P5#Yg7{YsSQo~K>sEO%Qre%xy=Cn_^#WuBZdwQq-<b%9^PA&(1
z?}R(M{Z(|$uz5dYrdiiTPvyO0FBz79I&i(q(39&iV{gjORmKMbY$h}qF@D{6wa9bb
z5AUeZB`mz}ThlM|+)ZuN5)^#-{v*dx-nRJ8&*uar3SC&QXtLyXYXpAkVDn{f@Q7vX
zxX;w@wnvBOdCk?<Io_7#Gv`lYWY?H_V#Y*P)^N9=5FR1XOqQGHehO5!%*)y&DzI>-
zQ|CqAx2+4-_jEKcH%i3ZR5}?WAaHX>=?S6xtqaAUIr0`HGfGArE84;TIl^!D!jKm~
z7-M?)wGO|CVC-ORV~pwLU;i|6UZViVJ%!IAF-rf0F7qtPHk`Tg=dCKY3ziDvN;7xf
z-|#VsDZ=65j53vl?-_+B{bs*tJ2!sSrI>=6a|^tM7O^rMzvJ9+$w1RpN;E&|xuNvu
zm=`-HeLSzoxFa)#)pX9>*)LdZPkp?~5jpK}%(>8p&J*uAGE|cp)fYZzbhKliVRn=I
zbLTUKO);Sg@h4SNRa_gtIsf5K>xgA^G5q&YW@AU{%{~UDP=)*)nWUEg%}I(eg$oWb
z$|cQ-2})CCV7X`UF6MHc=Z=~MKQzBZ<;pI+;>DvUu#M$8_lMa3CLOU1*Hr#pe&AMo
zaLuJ3r*g&lcFbUmF_P9%=}?(;LrgelXPSy4OXBNER~Z6DHZW+{%#@$BWzFg(46lAL
zwl4nGdS3Uy7xtMyZDWpDGR(Y~-yxTD=!J>v$s88}4NZdyMGTT3!{+$Ec)}p~;9yeu
zkFbXBq<|#dUlEU&-gIKIFqs<@%*o*R(nTcc)Iu?nhsQej*~5!AyX;(dl*jvI_Vxw7
zY{~ykk0dix*v2%nuy2U{?_re8Q1X{u*CXkhfk!3xrk!#VQ#%-Uel>`hb7R>KkI5&d
zu4ZumCHE;%s$Z#)@x^~HnQd&1n||9&n3cgg*)QpbB-`1Kj0@*;GMT?fGGl65vys^)
zw&As>$h8VX5B?a&#k_y37bdtA&zFqUR$?&YV~AE_Zg~Gra@k2HH_qFguRRuMF^A<O
zcShJX7Ah9qO#Y{0H+!K*=Z=Y%H)cy5?{j~?AmjsMOqX=$Lxq?w>GK^T6V5ceWz;>v
z_~OvV2ZqiQLUNQAENfyo9GJwmU}9_1!j-<9cRwx2>saKdkmSkr@W^Tpr|%Q?9hUE~
zIrg;S*D<~c%}yEuKLccwF5ZorbgImXtL4u7lZ=;48WYkdKAy<Awlk++n<IA4P0fi7
zM;G$CNxif(Tjbh5v5Dh+O<!1l((2Bp^BpUmbu~@qF#Nkk`QDFT^Ls*mZhM^E6YQL{
zKj@1~xd3Y?Bd3$^x0tL~_l{g<kd>a2H{k|HJ;T%qNwNaZKdf2u?h@Pc=|A)gH}q^s
zX}svqop0B8=_fO1<K+}4;m+vEO!b0_9&aacvb;Czj5SMMxaM|m?D3cl2`4S2dZeD;
zV4kz?*}-EI1&(Uu$o%13b}VV8YPXzPr*@Ibt1As#4l$@QCCxOj*s)KMX+Beuh0uxE
z|DJ+97x*{?9`BD)f01a}G|@}1T|iRssm$ZvFDx--UM>@p|N900Sj6ObMEb=J-Imwt
zlKk^K8@(4PNX49cab$kS6Pq77eQR@@&wDW4wz8<0BpJzg{-nI|fhU_7?sf{FWaRD6
ze?GHEkWrfdr-w~{fu5{r@}0*W`rSFn&aEYnnY;ChJ3~J(CRH|ktz7X`g`@J~2|LCf
zF|+LEUmLk%Wx9+6nH)WmlCCfb3eUK6gQ-o_X70jmJ=xPfhDipWU}8v}Agt~o@`?FF
zOT+RLOq`8>)CFtzF}MAl?%~z)^N2?X*8=7r3pe!4FjuJdSmlwX;%daOx;r_Cu|qV6
zv874ywnrDEQj(BulIHqH3k1F^wMb5uVCq`ke>`BH!Izi`N{@mTwaq*<(epr5&X1g~
zk|V~EjJ>7l4L^h#cN*Rd;cNSOtGDdhQq@qi2@~wPj3&kKz5jWT>8Zlrq-xH$@)I?a
z;(LqA?ItL=@BGEc@%xFP*PNZ7U6j|J7Fg`}E6Jeq$-!My+a?QheLpn2ZHmAd70%a_
z)h#3Dy%I5uSk~9k@+42mYUZbny@jRfhLO!{S{P$`^WST!Uzq2>>Xx)_G3V(`9G$%d
zwM%&>&SFw1t?AAG+HJcrNun~vfPc*^70#8K;q&Ks$`!jXh#!_K{KWFSw;*<{Ok`A|
zQ5Em;`~CUbWux;>8mbCT?J4-SRVKD-W#R?7_*7%Z3IA0rC2n@#@5ztf)?@FXDcNen
zsKQ!u(2ntEgl$jZzoG}dDsjbUXULsg>(n}V=ALK0`MZS+i<36R2E6YtkWKPf6%#k(
zK;qkdefg(11awq}$FSy33-s?Pc(0hWGKNF)<U*66;vFgK4BUrbW-<P-Jju+y@W}K2
zeCw;MkG+{DU#U3Bw74%{o$+-i<JOGHoDU9f?mK?cH@K(pn@7?L#*a*IC;4_xn#;jl
z)1N<;_5Z7-9Mj)a*Y>=4#rHT`sPfbOw!Zwi+@DjTO?S+j!v9^6e?#)W-lDB4>|QJN
zau^#H=*VmhP2wo#=qva=RffI4VEq)i*Dqx@_ZGyS5@qix40|c_y60!I%<HPzeFdzK
zU!^M5_Y}NV5oVT<{*-Vbrnm5FrjF?09W{(QDwP`b=T9l`Sfk8xyY8n#ZBN0vDI(VW
zg=?pXS@##bpDY_{aq>izk!9aRHEI9*Jw@8Op3(h<%VkV!dJ5-Cr>1OJ+gr3+tnx+j
z?fxR&Cf(b8MJzm*mc5D5pJ=dEXoKcu#<P9J)0)!_Vh*18qT=qh`Kr*(8C&~u)iU=j
z>z+Aj^2djaD|`|qX58(W^rK@+>+c;W`g2))SUguT#<+5?j0{qVsb{lc);jr{%jaQ7
zVe$rF;R{g=a?3X!lGG@feP8J9hw25FVw5_WDn%~Vaxdr==U;K<&q+yv8$V~BtXO#~
zg0;&}=Jo#MdH;G#oRfC3w@vFU?Qr?{G^V?>)xd(sLg>~Z4#t=b4BeG6MJipB4xK-?
zQ2N2UPR4!yT`M~FF|3un_+YYyQ^hlZm{krM8OaMWPcjH!%y6u9u;?nCkhF<`^P&fb
z)QNMSV&p`3ak~jEP>NbI$)e#;;fHhu<|L83KcB?@U-7)5ELr(Lzara*xTdWfG8##{
zIh1TV*`CyKX*G5$zj~(C)XiZh9+TZMrI&BTYu@iMX2*9-N)nzF|L@QN!G{a(2^MU8
z!O+BL7IQh|NbLWEA8k7Nk25w|xhXJgQSoQk!FXm<EJt5&{oSM+>k1c4w^)7SyTt04
z*ae@?F&>z6aC#z7Oi$hJ;v17>;x2sRjqj<MIy>ja*50~pGv3|kuhaU;Hn*o%Pf;U>
zF^MDZc5mHUwscj=<sKJKx5nN)@qL47OmFR7iJ0X*YTFX}>VB;5Y2{!R$YhMU-BTC(
zNlc}uHr9*3Eph3#{<_O-sh^UbE?t!RZ);9}t>neO6BX2AB3cj3cVS}XX5!w~Q|Hh6
z`1&Rhzn;2G_UAWQ<oj#tel}j~+*h$>YP<>;zp?V9*OFaY1wD0Z{rL3v^{{x$&${_A
zCubV-+pf6FY^hpe!m}UGpS<LF!)|2(-o+2tMT9r^)Ya}{eLSt|a8I2t`_t1*vb{Cm
zBPZN@a`<DzwTWCm|CD}wEPj%qu(z)4CC}sksWLx%>VkQmpH`9XsR`>(THYNw(c^!o
zt)fhX{Ota^P^~8y-u2Z^UdVGmbfQDzt7|oVHOetFBNF}ls}KKs7W1R&XJ19i2d;*R
zDvqBj=Sd2*b)|JbzBA?4@wSeza|>0^E_~Eq8eOp|N4LN9zLU}kouq3M%$i-|`%3ro
zF-K{>t4Z!JDP3gKpna98w!if5D-rejo5GqsC9A~DwrF`C-eDnOx=rP@puN|bNhc-a
z|6J=RKL6sC((haHy+y3?Q`z?_<x4!7c-nb}y<o(w4QG<>?l`x><%Hb<g`~YVwlHue
zwI?%(HTGnQB~O@gzi;A6tIs`YmsM5T+$Wa$IC#r7@_yRm5ZrjdfidZHO-%%2Qded{
z%z~B<yUrO!$62@=(#<aA^iQ5|V8P-r(Jkp<B3GVI(no=2#w4qbKZiMzJ}_}GJp3=b
zd{eg0A*-jnK@CieOi9{PUbODrA@s1aLpHI!WA}-A;U!a7G{@}dINBH*v#H}mV^qwM
zj?;<GU9UFJ6swrS>+|pFyt#antP7{EeL79;!A<*q#yMWMZ?HUHI9r)t`OW+pca8qd
znZPuYgI_bI>A3|HYf^a9<c`H1GgueO7e8=qQQ%n0m^49VasX#{QTqf&&4QSMSr0yS
zurwX`VB5ugV*;bjjc?~xOuTfKjU(oq;)EZfNgWFFZ~I!L^lWsoc$c$cJ<q=tTCp~a
zLj8+3Su{=854|PN^up{QQ<CPKkVE??^joZ0;u6!zz+NlQZZ>040#j0O%$6NL6Cz@c
z?f7HUJN?O92K|O(8z0}T=$Sq(ieFZ`E9t{Z_o_>C*jHS=oxbtmo*N5)+BBQm-L&eS
z6Du!1A;!m{=He-T3Gtkmi7|5+Uj)st_E-ER_h9QM7Y6p3J2o(P&bV>ocFGLT9i|?o
z46-*bD>TP4w77KluL$ADyTRO%#IsTFr$kI((#EjaGbSAf{e0v5huB-|PVh9f{Qka4
zprdE@*`$>}e>DEg>1Zlq_;%9NY~mU-#*R6XAE$4eI{(omCjKKkPcfcyy7|ISX2+Gc
zHz)b5ID6oAEThbFixUi@J=dmlZ^@Z(GJ!K@Z_L7fHy9flIgT*=V2|m0c;Jw_BA-K&
zQ_Nwm61I&Fj4{d^I+We|A4E>%;E!eKWXR;u+QV_|$->z?OLDr5<!<X2F!CmG9xASg
z{r6=4k&qMS9Se><obmQ~r_f`Lm!6*|tTW_TwC>o#wO4o}Z@tV}`D|OCwF=YppR*6H
z<b4!l_P`~%zdSohhN=AIgEPu%OpRi<ZtS#U?Z~_FE9QSk!408~0t?17s%9(`J8hC|
zCfr@~r9nPHH)g|PL59to^E=fU$|E#(cuR0EIxV=c+<=iY#_o2<v)LUz0<&(gH(ZQ(
z{9sB==Ybx%7t0r(Y<!udGa>y6PyYn==46JqFIxQ>`rVdRhrGSnvwK_jOHOUy<bU0p
z>)URgooJfABdn%ZDCf_MJ;xUOzp=^rABQyG<pz!<rJo$xER6gg1r%Sui1rk`!X#}r
zLEr4|gl%^BJLbtx)Mu8SU?`K5^!LT;oHred?Is$xF|TO8F+u3;&eRFgLaehU3QjoB
z$;oh#T_Bdxj%`96{{!DgCt?|8d7l3e_K>hL-nWVIeBXwrXR2&|UfCo+qo?bng34sc
z^qzIQk0{(?nqa8TxWA9-5(nd^4QDT|ee~|q>0X`ZHyjg%ADl_#ny}_`r_0ZtwUcBd
zy9~~+-hHEY&90+Dos1_`fA(I=>0O)JaxQ17yII4vp9+6ECZ_PI_HOu{qW7q8y|ykd
zyMS+W-<st*%bqZ1_pR}sRU!8<$b>72@8^%38V`Ec`I#-+*IT-UZ>OPBPXC%olHZL?
zdKbK(EZ8f2xu(Z|tMtSrtop3~W8d7d68U_UHFXoy-M%%MV&1wenTzht6|rTxx^5ct
z$^JFI+}o3^-dRkSiB_5Xvwy*<2WpN|G0(XY%i5o;Y2SCFL2<G}(9D|i4Ntg}v|IK)
z>EYSe(ed%5aO9iadzwN$l{Rm(u<O_>$Y9CC9NFV3G>b`}RX2+@@+8xxnHL+*^)r8Y
z!sOI^(w%qnCnolm_lJ{yce=%}CQsFBxWc+um1~liW#{wxXQKosr7$0E4D_{`{wJyR
z{gjvTt~|ZfEl*xPVLIRP{`qSaSvM(<?gcjN{3#LzZ6BZBUuphqVb;dhkDrgck`#_@
z`{*t9;nYuc{=d1Wdh0K3jOnY7xZoeb`9mw=Uy|O14>r4OnmioklmCAxnUKsNaqUo;
zdtkO$S26d8G!FN#mtz<O#ddjndKdeuv$CtRXwTksdm;Pzuk4<`FMINC```W}sX<{f
zQ~1v({fq_^_cRFHZ20w%_3hOtjw>}xzb2{O`E`?F?!gT@Ke7^%)MEerbzal*>&A@B
zJ&$JeRxV$EzU`N{P~s)61>83?xH>#Gt~~dnLHF}YFN=@T{VRMbUhQf95#pI<VOZw(
zu&MIFylD(NJylPC{+jc!cVh15pL~&P>b6Z$y)h$uO^<}dtEt^9SM{FT)ADNx`}fqq
zUlXF9G+x>;Z6XtI0gug!>v1hVt>)U-SRArx`P*}5($DtIb5&O~Zu;DJ@5fAKn=?1Q
zG+b1aWYVv+Z0KT0Ou8^3(AQOmv7=nBwYAex<Fu~gBq<fETMVcBJ}%%Dp5a>fL0atK
z&LT#J_zz!x#4<Kn+Z^gF>s?p#ch|LvylZ+mN+!9NS^jZpna2HiEw|038F_yferybS
z**#5}>-Fr0NRD!y-mg)7uNlRIX5C{;-qck!K|yWSgp-~u2cI{Kc5O;>7Znfd<<pxg
zFUhcai=1S}t2rM}cf1Jp>#}**p{K(*Q9Z09Ud49<+uDP^+hYG4&%ALcx#3iw--IVE
zHmy$&eu<g+>iNgRHSHOZ9X~u67g+>q*)?Q2E|OqR`d1KhuTeK8z+r;r*@^0h87~B@
zFWR*r`QP&x7IDUB?7b$gjd60f(gin`GHz%Tx)J-|VIoh?k2zr-VHLa$H$FF5J#RVP
z%gFKiP4mo&3VeSTHmI;%mxw;yn~)>&<Lw;d9}_q3V`q$g!mv(RrbFoS1l<p&4Qta*
zCSBwa-ow(sxlv|={e}lOE(%VU=8nzoU>Ev*o;hVns;tt^lOEm@ChQ&pi()p)xNu~|
zGHi*nl5d)`ccVlqe<$zb6J;A0?0b+N)4_Z6h9MJU%#@Q1&sH8?#jPp&p=?u+fLu)E
zM~*(G2Qd@YNbN{$@u*iwsCf2B;zaC&R|nU|F1Y{r=Qf#{Y}cMQ?0+zM^Nr(-|Gi@w
zF57p6@%=cQ{J$=@lUpFBh~vhGn@kD^tr(uh{*Q=d_{e-RWx_qn6*ip;Qi|Jd?>N$L
zze2x)u_OHR&UqWpPMF8e8FQ^t$)fQtgG|h|*#A5~Vi^T@oV)RB@~aR3ZnwuI@0hF1
z-LP~14%W5)ms3Me3P<(%Ms0q$=`ZIl{n-CuI$eS_JNCJqnyPxDtb_B(ZvO||pF7ji
z`euFZ^kLIX`q{~ynqZrJqrsI)b3*dJdl&6C@aMdEK8aB-WAWthfPbfDcJ0yXVakvT
z5?kD|=_s3cOz*^^ilki)_Z025E97@%GKt09-POsoooRkY$H@qd&Y%>nc{_QMm-Oh|
zoED$H@?g$ESC!8!e@(fXx;Kg@|2OD1l{Mo#XxiVV)~7oEQ-iGm=cRK!Qa@gHh{$`&
z6)k+ITTtC+HIbpRMP})z9@R~aGDo|1-`p{+A^E>o5^LieSB}gRNjVet?`l?KI6I*y
z_J7!ixC4&;UOV2O-7)9cih_!ZeQGaLl?ob99PjK*y163dLClX?KNugn+cbR^d$CHp
zlXcSV0uIlMjc*#xImG^ZQL#3!=Y038__ns`JrDOEDCze-X?3(ivirZ~$Bu)~x>b%h
z%O>?1H6BQ+XqevK)u}9Mla$r<NaxCpMK=5^+sqEk7yIAzBZ4jIX1B5+6EDk8M%Ifm
zCzvPCZVU6f-Jv4rAi`;Qf|2QhjLSoV2rbYwKPv+R19-llfun)p{~!4$F#hv3OdXpy
zGA%f4Ik8{A@ughXZR@`HNgMXhId$-Oioa8r*3pi?N!JV~GpY7yF8_Q$=x498=Ikpj
zJ1QqL`hF10*}(L~)9Y|on)|}tLI-{`Nl%(T<J-<_%B4)@hbCI|{152l;Fr<vQxo7!
zx^d#QEPsbG<E<FK1HGzVk-bVu0v!cMU+{M*2;b`{l-2I`*dx+W6w#qR(K)5hV`{vp
z`13w>S7C9vj*RXDeoc2+_a%u{b;wzFr9~v=Ov*fQNus?|jgN`DOX{lph3`x^k{-qO
zIIVo!q23|HE_k4X_e+x9{R5>pw30**R8;WK5V<VM>bYo+X6v`f^GjqONB5|EN<DUK
zJQOG=*10fJU`o?0o`z#Fn+h~SJFT22a@^mO+vB|9LbpcJgv!QiF-9h?fBISGu(<2I
zeBJhP%H8&})8l!!y5AP~J+D`wZBJ57M^9^8=XLqAq+Z5POM(PhS=cXHHz(QM=&1WQ
zr|?g|V@+khz`ymAXC7HQZSJ3$#UCE`I0mIIi06G1quuX#G^U4d@`}uwy$j~`@Wri|
z#WQio7VnRTsu+9t_WXQsw1+SF6N6RH(T*J#r!BO%>EfHj%yEL_!CKc9cmA+8F-+)W
zitpi5@9yN9;bm*ae(AG+FCS~nfuucFNqr8LGyZSx=6+xp^Wjd)frt*Sj~#OA2m9?}
zUKLtTY~9z(d1C+J)jz(bZ7w~Sk+h;r>4Nwt#}(~e><42uC+(QooMb#>fqdD%2JM^|
zYM)pPds!{_R8++Nuj<fwtbSnD$1bLWF?(V<?6?}{zo<H5cXq-A?pOwfIZ0n)8TymN
z&L3D3A)oZ(!MhLdlP+9ne4ETL>sHwLoe^v+l1gfl-rvxvNc!LSEP3w^dkKXZ7Ig|8
z>NR~V|L@*jv@1fl=Zx~6f>Zyh8=gh9bv)bL!xnq|?#gwNKVp+y8tfNdnx3pM;o{ke
z{RUNYEE3vd85+92^)6p=<YSNDcgdBn(?1>S`?hv-e^hVGy#>CXds!#!Yf$K9ZSPnR
zUcB%_+{#&Vc546F`MJj@Z1>w`HvOz$->hsnxly(ue&hcMEXQ7S`O1e|G9H-mC%aQZ
zhozIntU=}6ml<t8=iThGKAhBXqw-Ow^!ZMf<W9NsJwdm86*~O(yzUOFZ0gzgl;>v4
zg=n6ocf}g7boVlM_}cT|yc%<^YlT)1v(fJK6-K?j>x*Ztnbv!1Tc_Q#m$NpnO1?4U
zP~!K_ZAbfkW^;yGBrUv9J=L(XpY_y2o{j%s)C#&x=vaDu(FB<dXXKAQWZ-3s>CE`p
z$r5qnsm`rJfmnuBOp1<=H!SM$Uz_tF>)NJEGM|tB>;AZ}FaCIs-@R@9jB9616Hu6R
z@ZUxz=?@csJ^FF!;k%9D?5~q`7-f6xPtW+%`aAsG!d)v~eYEX3@ndGmo<8574Zlwu
zop5Z0Y-CUE<`=e!N!$8--alOuwYd3Dx7kT~+dkjuZS(TxZqHt@!a`($=QgwU&-c5w
zOaGj`vRuMfr}L3n%jfzTIc2j?pWu`+Z2J6criA;7#wYhUPIlUzU%2?7ZOr2Xq8}Jn
ze685HI6`rU)8?j+Cs|h?EQsh7{@9~%AeQ09i-+n9=RH0pH1qP46(u|Q_v}+YDWKnW
zW7dnn^DPUucOJ2|-n@ES%X%S(*|tAd9BBD#^-&;~tB3I;XAg5_C+B1h`4y`=WCb=d
zt>BgK?zk$j`_I8ri&c4*8)Uj8Hg_J_@MA_z(&w{pdKSEG`Jg?&>3C;=X?|aTrcChd
zwlAqo_cr$gh8t}-a>QZVH^FbMoHyHk{@eGa*EdAUCpYOvTyl?J*VPqkO}|~}5UY5(
zqU&>?|D=Vl&697kytwz+;%tHb=6m;<RBkYys9#*fDmnM0>w;k0>fT_>i>b|*A95r$
zUbnx;+~G9m)q)$Aj2~jGlP1O3|6=Amt|5CQW=TZC%NI&%w;2`slYX(>JHe8q_F?Y1
z+Ws4HAAj=ve0lE3$Bi>rh|RiN#~E|UFX_$qfFH+hF8^>XeF0PPld85GwXa`nJA1p|
z;)91u?7sw4#wi~oA6TE5WT<v)9?zcx(R|MzZ0pc6yeIYVTpM4K#^V!O6L%;6-qnBh
zUb4gm@!3aSb7e;`uAA|BruPIE-mD8+8|S2@eAG3*eNpJg+rSpa<O7QiPdmEj+w7FI
znuV|L^;>N^5b$Vq($Pr@&rj6$$6V$x?LWz!@S^7O>U(EvjV??&_RzXppgpN=g1c@0
ziHb?ArWx0MCY=AY_1US=^JhJ0pX@Z(Wl`t6c{9Uf{hrO|Yr`jT-)Hqb`9!q-MENGx
z)t7{oGo~%R>C9UC=1h|(n|g_f+r!zx&;E7EuUYuQlQoiY`75?aSC)&GA}cExW6soQ
ztH1rvnmd1@&$+_w(^#jTE89Mm<@jThK=$MJ&XrFq*s^tk`BGj*_Q<D9(LK!SKbiP@
zEH*EEs@^zh_T(B)?JF#M_w%&dO!)GNxAR=hwNJ8^lQ;Z4TeI7!<LJFUBQNfG_xde*
zIyUs#?G2K%v0E@bvSISs8gI@eZ(`2m$DUN<x?LRE!mrc3=35W%jiUCMpXbbY`$29`
z+wPa_S5<{-<efIX;W=}zW}3Flo+-CJCilc#yCnRM*I%y5vU;ZZ8=>?0Q<rr3vK$SX
z;LY~;cH7m@Ew8uq7;V>*Wj*<`l1sbCVD}}F(6=*c&M$obY(>vxfy-?#)hih%_Zw9|
z|Dkarzt_Go=6Ij(>=|!OA3ROch!K6lkYaM;On&dv4Vz^DaWww>({cIa%=F_o`&J5_
zZ=Lz5{mjN5)o(9F)oof2-=6q-&d;A0OOGE^>uyOGpMObUUg7pXeYuf6Dz9U{PR;u-
z`BXN&PwDM6?%dWMSDy5;jkk0ffB);2ig?=4ufh?p^@`zp(vR4GpOP8t#7~Osxw7EK
znIpFwmMSac>Ng11ZPL$aR48khsG?BM%&One_if@t7Kfv;4Cgi~O#RXj_j9Ab=GN^=
z%?_?EA39g5vnzO}NX%@O5*Bb~>Tg@ON;;=O!AsRy>SWo39u<YJ!ma8rzA;Yr)L>oN
zI`e4US%ZeRF$%H&JB-D(J99R~GQ8|4e16v8c%$F*jk5f)jD4bS=G~Z)U|-$v^h7el
zVy+DVO^=h>o|;Z7HVhZI*Yae)&Wf{tzO_8cJy5~O8T;R2NixI6CoGR_S_)IR7R_q4
zoq1}5+6hgib1$cVkm%Hs{E@4<aUZKXe@li`^`vSS7AE&A3l}`n`1Wo`$N9#5<pA^Q
z3q{vjeKy4Y+fp^zKxR*$-Wk)TEr%FCu(X>dMXl&ruwr48{6@hij1BkF8e2BDAKBLY
zt#z_xB8$N}j${V8sST$Oy<+A|DqJwrF`1#CL1Itu$!(Uu4r{OKvuo&AS|Je67!j5f
z&*8v4{jNWQOUf~x&oA6Ip0ZYE<==FCmwiLYqmEm>)4CMfBOm1*-}qCR(eYcyjQ2Gg
zt^P1wcypt9eZ<NY!O3+CT+iPORc1^UYwXhRytsj}@YRI{vSlqR9{o^V^<9E#!RfjW
z2NvDvJFvKsIi_fXx_r}g7IEvC2aJjbVlF3DFS$9pW4f$#hbwa>=bZ)akC|7b_Q(C$
z^mylntE_D?Vs4#W9ulz(3c3Ou8xF;!UKCS~@sDolHEa2z-Sp{`g>m;30pW-pU+i}<
ze_OD*!n@^00mFq4^<ppf$;5p4&@7|f{QTO9GZGvt>Q4$jln_19#xI+FVCA_lZ7aKP
zoG&=pcGYb0O$X_-MH^f0)Yh$RS<{g4qwUU`)>jK+3UaU3cCeN0V5qqf^u$@1b*J*-
zn5G#!<i7ED1_W}lh%iiwWr&;I_-;Z>Ig8NEL&rNL`lj8Guf9=rBT1*D;D*AkzY`e_
zH~g26NM=~p{%PZhr^l}SXgJK-k>h5tW8s^37wkUrH1s{%`}b(uDzD!+{;XM`d$Y0P
z1Y^d!ou>|IH*HGWY5Vv?hu`srP5n36O>einfBxY0$5WdgwcOi$&USO#M|u0EmOV#S
zF1p$LW=->_2}vA`x8|Mi)H%l3`iVP<FY8xfGQ;eR7g|2ex2n8UzjK?-gpy5K>pvcw
za9`_TV}ht1V}sextYa;oZ#KPtw!!vj_nTQc0X931*Ce-mo!fcNJ)k}8Y{R*xXKAe;
zmu`rOzQ~{6G3#^dholCkjZNDR?oX<0*mAtH_5Hboq_UXF3tkFy>oOkS@Z!;dP(Hqo
zLA`8?`qP@vxHA5%YkAKSwDNT9|7+r%AD`%|^hrP8yIN%<Q-jJx&fEGoF4i=*KH@ZG
z>e$^c%H>_t!&xf!Vuk(bKa(VO+k`IoW-G|C+Ky}Iq4|%r+CIqYpE)tv(`yT-X3YsH
zCC>AeTo3st9%58UYUO+@l&IbE=|oI6=l`ym+~+?sNy{{SI>fo4X}0!<n%#walDm#o
z_H<74D%}&|E40>b=l0nXtC`tVm@_q}MluTj=*yYF9X7%CNJ2mJ>8E`s*2q>0rZ;^4
zc+yXqK{mhR;wPr+FRyQ$_AflRVv@id!Ff?-6Jp*ZT<q)B@BXRUT`OJ_<I_<aca8hg
z4hc0*Tl;S7HO?KIH!v;S_q>Oz`?yx+n}+KTV%i_Rn3!S6ty<H`yC=58_QynrKd0CJ
z=;Hfx(Y+%h)bLEu+|xb$-<GN+e{cP)q?)MT6=v7NJ^$pK0$!biTi>)@;{4IW{cF>t
z8z&Si&$pecJYe*u+o$7W|HO`);`(RXZuW5f`}QF?Vw1$=ikUq;^IuJp*?)f0d?gl#
zdoMlMc|P}Cu%0L-8h80X+?t;DJ7%4e!Z@$8R7G}p_qJ;OwEEe{^U}+1W7)Z*|NLkC
z|F-q!o8F2!CrggD-a55fHoE$!p>z+=&)bV8DLYR7!5E|2zdAFJ@jRp2&zp;zR5GkJ
z<W6QbX1Ja`dOq~zj?kZJO5BHMFTFWeUR=AEw_fZ+^38_KmWMY#T1TEanwh?+_2agW
zH&t)+@$THho|~+_r^WTihtn^+4`lDzpfNFqLo(L6=S8;X#Wj7rA*)y)r!qa=+2qUe
zbS{&w$+crf9}oK{ojV%jc_LAWd((-`9^Rs*Jdb~gpZOSBnSA)=k&lg%&(20YRlTBk
z-B|m~vHH3mo>%Ksx7>9y)INJ`zt_z*Cy#wFz421%#2oz#az*>jPF*&MHSq#V<4s%r
z1!{2*Bv=k?PWlzI#xnNg$pgs@3pcu{1*x7qJA2j39h2v8K7IaShdcWN-#^SBR6P&r
z1_ZKjvl_?#4?W0uB$;9L3eNegvN`)DZm#&=$deTDU}6Q+<;8*)v)MXXCN4b8d_qIM
zjO7w{3bW(Xoe{Gh{Fq!}-^0&7GbX1Z>6Pi4q*FW|0oNaU=CC|CS~pST&rTV^uXkqO
ztKN7;h?m(wnlUWt%!xf6yc^lNct4oVC{{Z7d;YKO7erOArSn<N?>4?*%bR47Xb>xu
z^r}kGApPgb1^&!0AD?hrdgbCLZqvpVCby*ZDluU!qRh(kucqx&IJ;DpFShCbfv>R)
z%U1l7Rbo7_`T30u`-|E_oT34SF`qVzD0bMWa}>^ha6`<nV$+L@hMmuH{5x98X6}8!
zm^AajW%m^#H<F@O997}kEEKCG&Sd`hRHoof?-xw`%m<m3WB=D|IKs>r<1O70|5R{=
z&P0I;d}c8(?)E?0+9_^dnV9+E(2X}IFE#&*{olDjT>6Dh>=A~U9&z~>L}xdgHh&Ux
z=wW;3lCz%*`gRD(Zi-oPX_bxAhA)KzkN!-V_9OQHO20&#9;vnazP0#H?vvW9^Fel#
zVn>hEwff5WQprg@Qg5GZDEaVuvc{7$H}~otwP}kyAb*JWMTZoB{f*{Ll@)h3i6zxv
zDh*q?_s#iRUl%#}#j>P6tzznleZTg1_RSvY(-TfO$60B7`k8iB#!cMH>e`uGR*{UF
zS7JAw+4Wt$W={3l=c<n`|6z<dfAj81FT1OAyU*U*YkimRu|Ci7>>lZRGuEA8oM3Ws
zdDpp{+q27JR+gMdmfp62ho7;&SE~H<0^ZXv+ZdC!`tCVj@U*2z)q+2Xud`2m{$eGo
z>N9rXDM|O(4}3b?(Aj_P+V<>74Ysr2tv4z@NIrk<`+Tiuf6o4zE26$CPFU0I_M7Yr
zw%5*I+qb4i`m4TjVWmUS*?-otV(!r=e-+Q(@h9d)>PeO24&7O2&zR<)*d<dv=R{jX
zEJNd!w2kM#h37VJJ=&o)zd`c;pH0puIh=a5qQAZ9*SW!UN_E+Xg|j%)*7RtXZn6nJ
zE%>NMbLZp${VQtnogANnjAPCmpL+6cL&Axl{Xv0iP97K7+fY!M7#<(fI%DIWv%e<n
zXz1xT*glED^1AANKjjB?ja!d+*n8g+Ql40$fAZJ8l{<N6-jl8WP^Dz4^PC}j0&7fo
zQs=c^?X4eTa&GVoOk$Kx+Ekz?w1GSARQJ(dt?1md73#G%8LLHp{O#7Ryr!MFe?h)P
z`Q%?cF&zs^&;AhIv}=M$ug<lXyi-*j{AS+RnC#8gqq99=|DPT%70;)$C-D8KI=``K
zR>uO-HpUI7?H|P3ch1TQc&#q6Va><?H+!|XlS-Kv=(Z#?$hACJqx@iPMoM+B=JOrT
zZ~SZUX=e~{c(d{EN0El-lKZRFSInEew34gk^oh-V+S3{y+MeJ{dMtRXqbR-UQ{l$Q
zM*@A)C;R*}?tN=`pd$2SriPhtXY$7oYsLrDB_FJaw6xyBctnyrwk1@nY;)?7<-CkH
zVvd=1EaEqvePhdF<r8a5tai>m!4mXn`D~4Z6VF+a4mL{rA7nm|{J;D{@T>`j{-p&?
zB^9q@c4<uP&}VbtIIvAre}i4vroJEVe}orq{@3=laMytg_MapRH_h0Uwo~EkbMNDf
zKbFtlE%I1x=Wd1A|8)uN)f=?0ZJ6G{!f>7a#Uf>AhWl-;yLK^OFpy0WU$KU9C$m7z
zcBX*5?2U)YHYBJNC<#iO(28kFII+T-F@`z$f2c|<!-D4=a%K?|OuAp#F|nT5AtiBx
zl`Z+dpGPdiMT77a8sAPmG>Bz5pfgE;Dd4`>PMMuM*6(kaQSf*NN8e2bWv<INK0fd(
z-kF<nIm4h^`Rt2hog7Uz{hr&SGHULfoxbUUMEVukv%CD~cXFG4`*P}1&aE4AuO>da
zCLpY^LrP`E-Hct;58d}X>`?!?vt=^l-+lW&_iAlbk^6K-dV9a!))b-UhwuKhJ-Ini
z<WG;?-?}5mr-)1x`0AlAE6d8R_GLwP=hkj_^CXRyC$}yv=)K?TVqX?><Z24D;{(CX
z9aB5Icz!*2cK*SezD38AS%0i@J!~A;vExX=4X#5sPYTLEY?PKsJ`vN%d;UR;+L7q|
zi%gF;^gKI}Ve#wDf#-)a&z?E|AtAZPEI+$9NtL0xy5D`Se6mVK&rSthnd3rJ_ct$y
z*gbQy#JB4V_SM%ERh3-zU-F;Z@u|UQ7B}k;rC#sd(-kI7mpXq{>DF|Ge`_X(o)A2K
zRq?)OfW6q!-0O;Oy#!Bw(l~TYIQ%5DWxvmSp3<`0s(vp$8RD;9RrWHln8atvt}mn?
zBJ=TDk6E3kBs;4v^Wtm$y<1q)#b(B_<!@)Zx+|6CX20{=$v62}+}B*m{n=CVxx*s4
zS7h&|K7si<2e+_las~bA<(<Z;*7Hem=C1P}8kVs{`Y}a^b-7GoX|>9Kvtrvx7WO{L
z>`B}l%*o9^?|)(6tIj2T&+@wYB$k7{PV+zAG*mL4F_Aa9UFmp-vwS2kYj@Viozc&J
zzJ7CJhbK=YPj?inCRg{p$N$z`2st63_tK`T<xT9HZk}bV`b!HQu|!Ve>1NfQ#(c6@
z@^9CN1AAp>H(%!Yyr)A<jrCxE!y<<CKMm}a53N4_Z1&{YF5(--s>yV4&x<~Z?M)}Y
zrday4{1lzPf?ptfO^2i!uj=kD`He9%D>@jzU+9$h|K}k4N#@Ln$NPQ;ZvJxjZJ)!^
z^Dnf!8mD}<=}kK+alAKe@{4Pxl2P$5o2++UDeIBg8JW}@>?O!%wjfc}?!16Z_QdE*
z_77Qk^S;Cvo$_ICWbXTzEW*zJrfH!&qelKG#;ZGSDJcqjvdTI-D=a?uA+ue%>#sq?
zzYf`>{d)JN^(MZ`THmm?a>eyEm*pdVt(_QUqS<BBv*2mlv5h^6TUJ{h?d8}0d(uf_
zO5${e|2928Qu~rKJvj^wTN;__R(^kC#nDh?8p+Jw^6Fcc(z71^x$nY6%F`PgHa?v6
zxa09euI1dtM`rSIpWvN0Q|wP?!pDaX`jb02K3`b$s9X8rg&S#;r@1w3{(C~j(d<L!
ztiy9`!@kdGtC^%w_o`i|cjvtxCcng5iI{I6w{myJv+y^rIdA>ox(;K*-WtXm^<@nb
zE*U1$8{U4KdAEXb)4n|qJbpBkPE5VhdGqg|#*&DR?Fz{ZH=R#PH>y0|-^X<4=7X8a
zpR?}X6KVSX@xvzdjMuCC86|#vy7sE^bA?yK|KktR`Ru<XxBb_AbY;gayAFk9>E?@@
zJJjYi{f&RZAlR@&_F#dddJ=Qf)Y*}|Ya0GOTDkp6)}bX`=X+X?U2gdlExlmrHO>v*
zC%zo0d2v=gc6GzQ6LV5-RwVZuwti^;S38aIHq-qI#`6zO_ojS0s<vaAv(bh#Zx$bT
z=O_@9kkN0$)SGy)CCO=n5|`PYq|>)cI=Q%_H!y7MuxU$*yRr7{%G3>v4S@%kV>(WK
z?q1!SXxxz7$e&dI;EU)aMV7#gEE~5xVTiq;%E}${qdDot3k}1wGd3_L72n`-ViPKF
zV)Th+Se#K^QP%KFA(ml1m&A#*q<K+pn^+Q(;x{$3GbT6u*NtV^5W_NIvuOQ+RZ0ve
zzh<@Ccj&}*l%+6Mb|#&l@v58ST8c#d7QLDqk2jsT+mPHG^PT6+n;xB%Hq*!p>~Vd9
z*Vy^%dWFw*<y&pa3|p3ZGL38J%UPKPYdVB(oW13J(tVQ9D}k;plVt5reqY0Q_P$5<
zrUU6=Jz}fV{ZEFR?KJMY`0)crOpj=M>?ys-9u=>7{SihRyZ-fv2v_VrQhoB9Tu;RN
z4v~`*#}-yeb#gb^XEooP`6#)A`KwN6=n)pJ+5h`Q^7gWXTL^7fV%@m>*p8hiIOhL2
zZhL&g8vYLP#|!3tel+dI+4#@9-lTW9s1!<8H{9IF)u(v!+X0T7`iwD^4~{P=oVat-
z#MA9|y~1CszA>Gewx(bByIhx(7+a^yo209qj1}E(EE+<hvH!c5d~Mrz^;Vy7_VlJ_
zTiniessI1euUgULXtlmaL{)1;{gES)ojn_p_PuzlUb&&W`Frz$<DDt}CymU1O0f1Y
zALLBx70sI4wZx{Idk_B$yNYhh8+SWJ{i}Zb5$<HE=rw=0c0q5&#lIWR6s6C~s#&pC
zuHU>ari10ri^~T%lO~<mIICCes?p~+-%hOiVbuQhE!UHzO}-KwFT)@88E*aC#}d(F
ze6B;N{*g?1YbPsfOkSnb`Ic{MwIglL^%%c;9k+0ESchE7rO?&S-`toL*(di?r^k5e
z4#x_a4eSw2eTKItP1(TMYq*C&UZ>AcmBH42xk_Ze;kE{ru7%O|hbK>D?r^P})@yjG
z<3yI*?*E5&KU$#rep0BdcaLFv%<dP{*L2E9_8Xo%;KBXs<B7e7GbSY+GF!7l{Kk&m
zlP9(I=s!LEV2NDBNyc!F@=iUcEgPP1+Tr`-TJjENS7z}fj)~KENU-`RebV1xyYcja
znK3^fX3g5gYIu-U>}9|tMn=O8u@58d!<aiFCo}PP&366JZxH*x_Qb)O&pXPVWM7>0
z@cZT&S$wh#vH$C1cw+yDcYiiH+1xHXq51z0?HzNPGh&`}nf$+TtwUu`r-ma_%%4|E
zIkEjpU+aH%TYv7;o84h!YL?Wa%-wK|@qWMZ-X2Ty_Y0CDdtBbnU0FTFhc}kt-_nL_
z58Pr7HeBcZmh`*FC3I8Qls@G@zZ+(KK6x#94#N+HZdYF>Ua_VX=VEU5^txr0|D2Mh
z^HZX(-*xqzcSq0OnYy`g<?|1h&c4Zt>|6ELwnyQ4m(|(6*!pi>F2<9uhMm~Efib4n
z<=)f<96$cfx_SJgd4Hev)|3euJ<2QJ_E`SxbAI0*^C`Adu~%Y(dF`Ga=jrpr=4^c5
z)Au!}Q{d5(UF<z_vy=K<z7{=~3!5-;<JnxZWF1C{o|xTFymI;^ucv%mc&SGsdw#=`
zhZ8<;aKG8srP_3hhhH_OrNiXvk(FZX9iq-I9E*8cUNk)aJ>lz(8>>5FBu{UDG@m&?
z_J0L`w{VQW+8B=Hng6%R#T?ygw`+q!_o0MMYW<8CY)$8Hi0t8SU;5zl@vgYXPu_HH
zSm;;2=f~LtVo8@D8qZtsq*w4q<@pU;`WEZ&=`cRT_~BGV?~2s@{edd{7d&GB-C^a~
z*m3Ug=>^JGj|2)NdxNG&@$WhJ<<(D@S$j=Sx691iG57KJRhJ{w8n4Uj>b|qPqcfuQ
zk=kcA>2q8=);=idpTTusr}LQGi_Z+7i&n1Q7_n*V#RjftJ5`QvXjfa&zkUJdhJT%}
zHn8??tXO1s{LkYzFBX692`xS)+SGO?sH`(0MQcfq(a$wKkuj$kD*N-h&Rv{4XTz-z
zzUDtUPW6W@^|CRk>};^<6DY5}ku;&-cloU*&c1%%(0eCp4g|!k`tkEHQ@?{(U4z)f
zpw3rw?bjq7Uy*b?WYS0LJ*RK5-<<R2NrL%IJL3=2%Q`&+W4b?Fi_z;+3Y3X$3|pIM
z8Q-gP+9Bgf_B@|&Dr~$CO{Z8`^82m5?pEKN^m%f_&EAlW6aS`n7#!`_(>ocdI;C4J
zNbZw06YF7*v>vPJ-{+ow$sXy-9^EO){)vIF*E;xHzm+FT^8UH+&-U)F4=NO7*}I*6
zzeh)IGs7jZiB0ntOZ8cWpPL|7wTAD4=FbzJA17+ho8x%4<8$@D)-6wp`7ZzQmG~al
z_Tuy$-)U=Nuj*y@nd@_O<sVJ_*Ya*M=bS#%t=ITBe&RTHu5=RX(m3{f@4^jiSFdhj
zy547e|D;HFzisqMPXDI8^ZU%Vda?Y?@8h=o-D7gqQ=&j-|0ap5-kA8hGpkPZamRh)
zIo)G@>tDa|?#T)^FGP8h|64Z*ertYl<Mg6mdp^AAV32$=q2T)m#+XkT>N6^<d(D1M
zWIX?&V*^K%XZpv!oZq+2R&=~>uYa=gs%Uke`BWLnEbB9~Q+qAfpI<cV=9K@s)kp9D
z?9pB8$J?#ra5FpZ6axbT()|Adl==VqCw$F6C66}RCv|Drzn>(zQTn8%y3YG+-&VFy
zUa+y@OFQEaZu$Bi*+18N)W4nX*60&=I_IHy>|@i6$=P+Yu7B*YPMa~u{21fn=2=Vf
zn>OxeO!~f}=<AzRp2w56^(N2iH?==^@qM55+n!%>GuPPO6X|GsGm|;3;U9nOe>sf>
z`{h_V?eaTp<Q9o^_u9;u^L5RFu%=|jA9kX3NlOzG&-dD%@3o%PFZee~f@jayUV&}g
zlNe(Eo5eC*o5&!0RpZ~pZu{9C)lKKF|9P>xqG_e#bxFDT4a-liG`}ak>hfg9eH*;p
zjqC39a_|1z_$Fgc^Pi;M3wS4lTHax0-n8QG35Jccr0e&@booS{ut+?z>fM@#N9wB#
zw43AOdifRyvpeQA-TINF-NoO3cGs=;$xUBGUr+2~V2qials}Qd@G<lC&X)R$m{U)g
zW9G-CR|Y+MDF1}v`Nq_v9i9CTCW*e@B6_n|S<|}9?p{~%#+3{DR&f2Fv(oy*JKNV2
zc_Jq1|7k3_G12hP0+aL^LH6?}8uyeR?^fw%vOmGb$l7$RtApkGoL^$zVQ<boFn!eh
zAgc4;`ToTFVVx)UobIjYPrSWza(YkV`b`~QBTj}KPBQ**ZdRwE?IY<O+;J1;oA!5j
z@aL`hA&{8l`{5G1MUvUchK5Z%j3=8iI(LS!JV<g~dHl&Fj>la|hm!<)lH8ct)b|)S
zYTuan>mlQV!sI6tcbn&TWWH&f@V}j*fl+%;NA{z}#my{9-3|I@CpJEB+|insRMqi8
za@tEq6`KtYZzPq?@Mce9@rY$uyGnkeb53esir_j{$z=PJCmS}zJWc+e*SPNfiJx2_
zXFRbk>xjx(t<fUr!6g<m@tygDl`-})8a-={_9cdWn|1p0HQw$0iTU#{Y!WEd>3Vf*
zkMGljq!kMGCm3V2g-@=~ud17{d!ocvq3Kgtb$io#B_<xJJ>s#2W5$`RO}pFsf+u(H
zK0n#{QGa^1{@IB1eyQy>ohjFPrFKWVJ-B)HwrA45vUBr}>`sh%p*_DMWY5KPK|2M*
z<&}~GA4*d+nGc@c+$R~Qq!7G1lcj%yaj)dnH>d9<?2kD(XY)_X4;_6H_YQG9o|JrQ
zZa0(Vo*s#Bs@hLicQM}VlJIR%IC3v*8B<ro^Oddk`#U9n_BGmBpPlc1N8@^m@*}5~
zANy;%ERXDEdS2NhUbk1#d(MGe$*(p$&VORq+aW$rF+RnwYn|*~@ys*K-5aJit`z;r
z%)K(qmzlG!M|}5_qAUIN+R`T!7`3}?uFZTknc@ELGbeU)<aWyE#q}h9>y?OZlDN;9
zG=IbXqrDRKlQ^Wfl)LO?<zh~@YM*&>Bc`>-{^o)I>I`T556xL&^!EwJ<uzsIaWNfj
z!V1QQ_EVh7`X#L^t2T6dZtQLUx>8i}ZLi~v^N&yVO692+Ecoa-<H~|9v)W%wx6=Rl
z{ZrGU50*;GvMNbu1x_o9uWtQ4clD&dYub*6Z|!pWyQViP=J_YFUY+YJ(@%2lUVP=n
zk*=4%Z`%H-NliC9H1YW-Pn*6z<EX!<r8+-+>f<=N<R_<m=VRus;^VD<^Z);zV6}NB
z^Geyyl!U9ZJU(xD!fzaEt6q08Y)@}*Opq3*jrj9E<E_7^t9<FOmyb*8Ic9e=YtF*#
zCkIs;f3{D!p>ckW?X~HFYxgWMe$?BvfAi^!KYM#C`!8~PZqHfp*>0=y|26%8kH$1`
zbH#X?{5QIJ_rkrt#gh|63qw!1aeQAhA*;Ny-}r5`=l8lBk#Ac6P49`CzWqnId)(~k
zvVMlR@?NE&aAT&_YpFdt*_DCiy~b~&CBHr@kdMA~=y;z_-Y@p2sZqvDE_gHXhxJ8$
zVR`$B(Rk^s`Lm90?x|2_^j_a<T-wbP9mDc>-&LN+`+1(fh-7&gEo^^nrS@bN&c3Lr
z!Mxv3bN^gBp-NvOT7C7h%JaLMFHYcQs$AcHf7KJAn?2XlXa8Kew*UV-73RMR@z%2(
zRALx@DrEofP&lbjxaM5l)|Ec?NhTAR)B3&~Uq3HPo#(23&-K@APoHoJuRXnah5h^o
zaq^Q)1A0GxSU2J7x<5TF+xv~L?~4yUzRB3TxAE?#CduBY%VvicrSA$`FzbA8r2pnc
z3yu1l694o@`fS^E{?mc88`zG0U(n9&ezPTI;blcGR%83V$Ukc)%vXP@V0rNJ&ZhhO
z%55e*Se4`bVrSTb@B>LxVv08~%<I-uWMi56^CWjq)XzQ5rs)q8-Mb{W^|hSpGSOSZ
z+8aG(=RVO-j4_VAQJ>5<#Bgdva>_Ht2rV;Nd-5Vz<b}x2D8+E2%%9Bi{gE?YaDLs>
z7b$S)LNEWGq~{Bc37VRyGFo><ret3{vB*cB{jdRJOzY|$+#8=xD3&)|KjFzo$p<$M
zG4_U^zqjCO%ZxKgk9RDWy?KLSfwC(juL^SlFXQq@tpb_e8|>{j=)_D+-k~sW@`Tbi
zo#sjxHl?53zC%R*+rI@CDHmsVhPi&MU1Q%NcAT**nD0vWhvJj3MR#`?NKRVvzsIbk
zbkmb(eZiZ1%<BJr{`*WZCR*UD9Pi1B-5b0rCjS52FR*F-w0OIV8vkNhQa^dE>k;@Q
z_BeKRkJytQfv0aaavfq^GtGK$0aFEIkHE*Z(@xK4e?HA;%XYi+PqW0jC*N;pTtBTg
zuEFBox^t5m&(Ep*-tn5_aH)~pn>Evd(`Wqbj}zkl(_{9@^DkdSUEKl0&wT~+`^;lk
zxkP-3<>Hk4J^6Qc#EqWdpCN27yAzUP64H7uep`9(ehAy+O-VgH=BMW~e@x-}dqraN
zVcV{RUu$~JKdGOdb0sO7<^SobjIQD?zVvBX%X<2!pFZ#@xnr97U7pAKGB<bknSVJQ
z;oR6{7xuFAPoG(GU-0t0_f1QFEZ+5TeWF)c+mT(7Ni$^pMP5A7+@ITWq@Annh`9dC
zr^gn`tevVAo5jcZQIaRQ-`s0@N21E6ogJCk%@zOFPk9+EqJ1}LLh+NYuSC@2gf+u@
zf>&*u;3&tK->_4~P$trJ*X|zkko78subwQ}-IE-oo<FzO==!G~_tTncRjd0wrc6rT
z*y|Id>LgsJv!=#)rLK~4*KQ7{-79K4gZNMNc*cq`3dU@juXCl>)8B|mdp6%1wys)^
zo3j{`-uHNZdD_4DY>%hiUa!hM>n@h<>&c0><oTrZpvR-j=V#6Y)lCBHIy|~(T=?@q
z{pZHFoBKS@Z4x-w@bUS^N4^$5Ys6N3Q+s$P{|`?`%)}cLSo_@L>wfPLZFrOX@7u!L
z^>cO~I*@w($&E*S?o;(1roT=8cV@f3Qu2i0T_1YZ_j<&9S}(LfXa1Tu_s{iuYU#4K
zXZN{GU2(E>15-@D%QcClod?*mdt8Jx53G<=eZ9ycvXlA7#|`O|XRkWCK>pwE9cmI`
z2jn{!E#XtWwdRY2>JI0y#siDiY^dve(Z_R8GkndCElNzh3Q3P+876L!)<1dX$M%>V
z_KD>^`41Tn+RA1(e2<%O{o}*510k^tJ%z@%l~?_{cx6-Zrie{lKW4mE=3o*}@)Lag
z@Igs4!;+XE66QBIR`paIf3f&5!^~aUM_3kEN!)n&Xw6mo`~@Q4b}>Df{kgaFX3xFt
zOTN^rRBcrW_V0_ky-ELQ1NW@zr)zdMRs8Jw{Nl2QLT#YarllV?Y&l`T@-u1HO|Ki`
zU6(%gDLg-*Du3<OLhV1jN+-81+G~Gf#fkHsiI0!^9g1a~c}|aqb&dVy*Xw#Jw|JlJ
zUY^x<K%BET`g&HR`2VH0!dmfd(vz}c*Z0O;O=9?O-5;|x&cb9`Z%a=H+nQrvKOI;w
zf9AXL2OLRXRxL2k?#Z7nV*Z57WJl`x-tS3$Iqy>hV*5k4TYVI``gX@^u79g{EdIIE
zy`6K{ThraWA*q`*_w@VR><d|+!g+M#JkgI19i06>YJ7qF`$GPz3Uzl+EaZH?X6=En
zOI(T~`L}yQ-df-M)pyd_o%McPe~7or@ozg+j|j1HboR^^;(xPZi<(@p`j?su|9-Fk
z@^jq*f4lm+wVS+U#ZNQ0Z}qd5_+PZEyuK%VE@ShPGu<Z|&rZ3$m@RXX!s6B3*H6SU
zrtVrD(YLnvOQB?Aq<xoSl=x@X+^vl9KazSvbXQ7vvR(D#xgOW=`+GvtbK~P&i4$Tv
zxK8zW-&Nt>#8tKWz|sk}9G(|<nXhkTx_M@8dk<I44eOQLj`s%CdrE671($Vl==_-Q
zi8;2%J$@4BG_EUWHivFukL200rY~@tP3fABM<<mw_xfe6XW9F<ODbZKW+2<%{X!Lc
zx*|0Aq<^}Y_xiq7vA)Zv@Fb>PDz3+O>13grKHs^joZI=k>kr1;{e8Xv^o}3>5j*{q
z#L7+_o6MWT#J;8{vL?4JaPFT?50ZK({Cpy5^x|XBtLC^RPc%9DB9}gqN}I9W_}lHU
zo+zVFb2j~SSQEMFjGp-WQ->{IPM;*jl^nU?)6>M5k58W{=eD|@?{&8R*%z_W>U`gv
z?{E7;^iM_xcucz{*Z1?$=04lFy&7+Idgkum86p0&@kry!H79R<5bd7(<Lm*|)n|5<
zM6tg&TKPw!FX-+m^UdAXS)V%fHVDZlJyK4X_2>M~xwTD>bu-sKmfjF{bY{lJYaLv(
z8V{L@{pgZky<um?3Q>Qfopu`-_n-TD<HR9l;e?c*9Nhh0y7nhe)y+Kpr%Ux@244?T
z|CVFr+g9iHTO{vki+cM|{`kzJ+)Br11WWarR&Qs_-qUosa-wz4jk`}?FmFBEd9?G?
z<Mq>JEg5${-0`|Mtn#AT$DMDwA0GPr)PJ(r#ID~NZ`SmL&+VxBb0RfjlcO+W{KJF1
z%CY}VPu$zU(qQ=L;IpHLCRX2Tocd<xmq!gVdSf^jZeUO944Ti_`=%r2Sn?{lEV;?1
za{oGGv-={|3#$HnkLlD^&-fe3kld%Y_GfG-$MHVBs<0VHQ)C%;o-^-BX80wzqVVg4
z7%va@g}*A;y0v*@|8FYEl<Uy$&a!*cbXA#A;3NyPq~xiM^0D9k?+m!X)gdxDE3Luc
z?20|wbtl$8jM?~SO~Z}mw3ss+LbX=!?CJDq+sTxW!YS8z<}u@pW3m-%V;N?&xOgn=
zt;qQ!-l^8^6MLdw{F77WrCv4pl8ssSJ37?nFZ|uHfyvp1p=Hg?2OAj=xbk!EUvTS#
zTyOOD6W7g|7amcM{r7&t{F98F=U3^c_Fd|Jyh5h7rt8wThL0(XHC<6>doE3%sX93z
zprc*%Niw5A$&By5p?s$mzxBxHB{A$?wc1<sWI&sw+fKf`BlZjzPha>Ov2)&^4k<MT
zUa_P7<@XpbEmaBF@^R6*-i6P)Bp#n#J^$Pa4ZDB664sSm&7CGWJJToaW@h4jp<w>c
z^yFc|hOf)tA29uKYg+M)=zk~cZF=fM->5E7xN*qSH=_5+iinAw^@{NvZ1&qOI|=pt
zuUv6{)8^ST_&QI`JXV;?5^-o(3~#64dJfCj4WCSnrgexOxL%PIaanOPhd-l-O2xTO
z-PH$7IXACgnf!*KZ-=q70<)al!{F}DnuDJ=HeBe~QO~?$4<k?V|E$$Va~~v?brkip
zN~~mIlul-tzx%{Q%bR%}g-L%T_QrN6#=3O$*^0z%U`+Dsbl_uf+b}DYqrh&0#U@sc
zH7gvY7$0$QG;u7b^%rd0q!HT@z^{2z=67G+t(%+X?P8uR^n`ED7B=3loA&+7&T%Wa
zBs_i2Y+Bavd*g}Q$}HU38?S!S;6D)9x9?L5Pe4!6`B&<FHy=*sluCU3XJN((#;twz
z(@)+1TQjkE6T`)xlIzvIJWo&DpK$5S`TV%mRiC>We)j7w|8pVf1ADJ-_k|SM_cItP
zcOGqLG8X@H)jDZS$Nul9uOAYg(ANGXsYf^5F4fJw*L(hxS(Ez9wAc6PY~fg*(@|z5
zv}y%Y-RWr+tQ|(5mp+nCDw}-b%%6p7$qRY}VkW(C_%GdY^3wk^`=0h`&-bffpJaLb
zqQOMQ{`(htMSm*ZU#Qs1FYw{di~J8sJ2R4d%h!JH)$%^e)LlHQS1aBxNcr3^^A&~J
zbqC@mFZgjF{QH}p!q97d+Amesgem>neR0mo2G#R#^CVZ?zTK1bv?nNk|LM0Ue{Jdx
zo+mkN&*~{|#~Zqz6fjj@+OZ*N!Uay}Tt@!5RaYOM@6(wZ#p1h>Z-P+zRsMF?YkczS
z&#d#5_}X;*lf>UC0_j)yo^S8<JomFlcj*u2)f4*n^y-%1>W)o6zixY7d{6BCuP0}!
zb-CI5b>5%l^yvY6Pk!0+p4ihp`B6XnqlIQ|XWW<68!fb>n(6(5vlVMzee8+e%3z-}
zdB&u3B|FylMz3GfSMb&Ciu8=<n|3}vINxGIZ5_*1jx6aN8Z5W_qpN%Jwd-fx65^2m
zSj-sR6S=h`&;0!(Er}g<9~fh{Bt0lkTHrPL;a*<rAFT(h8tjtvlje2g+Fpz4&#g#$
zx#nYMP-K_yn;W`mE2qiN?+jb1!p|5J*4E+wux4RQa`J~8z05BR#SdNxDT$dnOH%FR
z(i4|rt~_|ZaE-LW{tJ1Ae<yvK)*tZi_1f;r$}0}%8_yi8ULktCZDUzs<HhCMrWDxp
z1ja}A#rRHgp0i*9LubIzOU%{RW=!7LAD_?`+Y__@eQi&G;m!s3|AbD6PG2$Q<5i}p
z)00+Qxf3_NCt!Wjsugel^hHfy;qq|lug%AMqViA9u-kd!L+1gD{@$qTb+2c9zxm<e
z=S$4e$qN78#xhEG&$90ke||N8`>Ey$&kw4I?_PU)W6a4fNo!}z?!Lfyvp?$Wy3G;?
z+@t&a<Zh;|zRFbUw))EAE8BYg%vLB|`?2)Nf|B0o?UUIj_65A}`>-PSV~@XV%%^aM
zwY~nmO)+zxi?4okW@B^1Qa+aM4Kp_0y)Q9w2gioTF}$&_(&t?`Z+;+VdrU!0!;ByE
z7i1jWlzj8S#Pbadbu#QL7IWqI#814<z<-eG?2r8xo62^#tcmROJHnvp*Rnsk^T*Lc
z`9B`6?%80zv1en)$;U5PZm@2MvC!Wzx$gOmHIHR>WU~v$mi;?&bxo64@_};G^_Qkp
z^!V&r`(Ss1Ld@A?>GH29F?Romdl>h>Lz3sn+YK#eyHb;OT<&GLk??)b!vyt(@9j??
zdXwBc|ILQaYx;77pKoe>)7Lz=M><{olIF_!VG_SG*PQzn(e`l9RpH>c1L?_}2ad{q
z``%qMXTzlns<nGMR(@c*p~v-M^?{6!OfPcyS&qMWD7b;Ylk@HSon4~;uL@bbc)Pnd
z^~=*$*KK-D(l;d)UW`6>J@#5wp90sLo$7{+2i}D>1|~A@=;~MMwRpO1Q`L{2)Te8v
zD&~BCJ5h?aQ{?znK5KQ6&e!V}U$Ok~xVvqcg6NwyYbO2aR*kg0+-tdS*V^9P5WA)y
zb$5DVG9wN5U13>k++_S?TGE74oqn!kw^y9Hpzz;|fz5&|#{K5H><gv^)=Azsw*9;K
z>|;mxYzBphi68hk{+zpksXzSw&35OLAC$EAWVAbfh&l0R{)MxJpUba3JodRaCn)?x
z%&(y2-5quJR=xTBmH+mpK;Mq;pFP3n&)&$o*Xg+VlhEwWNk_YD(>pwlc1(TNEctmd
zQ&(^B^e1eFcP(4H*dxz1-H5mn^|1ZP<2_%5uBZ3Ln(yxmcza7Wr^Wl+E#;oz`m)}H
z&3%ElC$SvO)a)o1-+kjy<t&As-)H**?v{1m_;(`7@RQ!RrdpkuI&o({6#raxiP@Yz
z`8Dg_TNByTnfLGim=^I>BL5~|>&3OjUDoGb%sH{fYERP1YUi^mQgL5}PCxj3jDN)`
z`#IlVm^5yU-LvxIqmRrV6q3%q?s_}v`kRKoCz=oR=bbWZ^!~^dn0!<HQD1TTTb_**
zOgDdJJ3n`V@A=k}@^g=_p8IOADy*6PV*j-@wU^nh>T6BY?#o!plKHJmu&d9n)M}#0
zR!h0Yo9{)tXWsfE8h@%c<J5N6n|(hY{ruc1^SD!gb5DBEQt{AjeTG{tWn^C5VVV7M
z(<|4_XI`AL{9*aN%g^EiWB1CfjrOi9YyW)dNdD39_tbtRm#KW_n|}YI-;EDHFUUWB
zz9-fGTaW+BH;v~WwI}IYcTS1x@qc-QCI8%vxaYn8b0<k8o;V}Uy&;up_r*mOJxP(W
z7v28~f47@ioZo0}H>-5@>zkE5e#?(~Y-QOP@Tk{sI*ZW4x5@tmzQ!_&Xs7=B-{*Iu
z*XvKeUs9LH<D`DSxy+Wa4EsNBxXQC4SB~jVjOLB5q+3bGzn1NhX?Wy*L&0Z_{EfGd
z8XubGcODU&Iq9Zi*hc@JAIw`$YFw0W(LU37?P2$t(~UnK%@Up{v!}X4{n)~m1zVnN
zd};c>D=6Y*p`tpMl#-Lep2LBgwR_zTGA14DQqN187}NDr@%Srez9sfu5qtKW-IM$?
z_WWZ>WetYzhbljVH*U0>C%H!FQ&m_egTkE{HtWytzbgGaw@o$q$CIvvqZ_QV*NApZ
z{`mUGsfYi4x&xIjD5$OPw~dvX@wxkiW$TBlIy1h1Yc$xr@a!>x9qxHyJ8d@}>A87&
z{n3UdF2+4Ei+e1lDK4+sc=gTfZVPp0`)f%Pn<7MRJdW@@rJa~O;k5nk55|=z`da1B
zZz#=sf5SJj*Zl3I^x_>M=X=txf97b|_M^8rbM*wJAJ>`tlY6tu&rQ&N-YM9d)b;gj
z2gkD)`|N-2DDC1s+UcysIwewINl(g+q{%n;%;t>f&5StOQ<fa|;;OkB#{;`*jC~m=
zx|rpAGaenddRQ>2D@)(5LuGbH(}sJZ^Do}-l$M{}nef21oo7LsZU2j<4eyTkrA>Y%
zWO}+MZ4J{5X117^_1!;GPA&?)ak(>_|6Xzm!&bwJj>C_6yHXir8P+6~#dT*tj`@5`
zJS=(5^4r%G&tJQAWTIqxPs;Ld6VIQJoZOrGx$NY&Cz4yg{IUPN>g);0qn+u>j!FBk
z2Bmgoe(b1LinjPEaj|3Z*^5@yab3H0POhKVoB1gD<YRIF-puFc-!FYCDApUY^k+=J
zm%bcZr{S9YNgYN%7TllACH(qZ=!cjuJzlqew|v;x#rwF+)qefiLkE~*&ivTaznk^^
zRMFXI4%KUIbZF!3_lnoeyS9FP&eQ9LOW*W`M4a7mv$OQ^%X)W(<#9b_6O!7zj3$Ph
z@AIfvQYpRQ<x?lq@3A^n<@^P8R}IM)W{y(EB;oQm9Ukc&|2EF7I$_xURY0rsUaxy~
zrN_66d>gw0lTL1yf8X!EH7V{*YyXMJx~}HOJtfOOuj`Iix2k@d_U4+=@=v`1$zS}Y
zp7gBZ?3vo#Q!sr)Qfs&8e9P}PJ@xm0Uo4*JH1|7i{kfj{M=d9xuj$VF@s)RZo^?;|
z|L8{Rj~z#nZ_nA-HlZl4H}~E5p5*B8(@E-orRMQ|_p9g+>$Uci?Yz60xhMDYe3{O?
z`7;*w=1%{$=axXkjXf#_Qv-8PFeaU0;GE)ruI${am;T02H&wOvd)>eJQhj4@%Dua%
z1J3r>W<Q^me6(+Kooe+j&kor|Yr3@SzPjzSnwZcT)9jEix#w%+#$75C4^PzWa%+iW
zjQZX4Wn#i%n}t3p92r+PoRzPCbpI2p$I2%sXEJ|v)4qRFDfi=&XIrvO%VL^8tU3Ma
z@i(`*uT@033+DDOS@_sZzOrEL`BzIf^$8^P_b#o7`QX0h;GJiS1dsMLWtC2vYr$~;
z=X775=ifbzzF+@V*OUDF^CY(9p4{c@yrY^wIWazrN$$yg?&lCY>6Y@r8<%Bd`8Vzn
z>fTXuRd4!}gs)!~pZ$EpZc?Hsllejao}HIFt&a95SD$1z?Wt#uF;D6~7<u!<lN;-H
z#7=ho<8tqbhe$`g@Cjwc$O}FFDbFV}Etz<_cFsZNoe6i>G_pUws=s#AiPv0Avv<6_
zs=t5QW$w<3v%R_ZihXwJn{_q*OX^LQ<cZneoqU3`qPz21Px9Pp9Mk%8ZSNhK@NQ#g
z?lF^HkvA{ue{K-zp1iv}CF(>^^X-mgy>B=CiVwc7yXm;OKbbG?q(c~YZNvYpNzA4J
z9Xn&bzqxF1bK!x<%#)7EK57kE;N6{M{`<rFlRK4O9G=g)F(LNfn~&c1*Zy@TeV%YZ
zF8L25XHTNr$$(3CI}c0l2)gXH>Ho=TJqgi&RRxUelE3;$$E=+g@GH4+)(rdbj^hHs
z_C?G7eDS$H{a}y3!27zsoOP#8tktgT$vM}sX<kpxd~NvywT!!ab#yZh==ZO)UfHW;
zVlX{TL;KpQ4;p)J=&Bhkoqy4zCwu<6?%n+&)juM8sv@0~!uk?k3u!!$weIcOT9ESQ
z!q4=c&ZX0sj(+j|Dr68Cqs#h~Npbae-%#}ihTnZ@s$8<6e2<@Y&VABwuP@$Slj$Y@
z^PeoLUwzi!?@P$~dRoHli$->+x}eAI-h#c1vNkQ5l|2P(Q&j4{dv9Mo$sprf?tL#|
z&9I)_RohM+?3<Hx|I|gn>Q6$i&%ceE?)YX+!;kd--_N}_?4HCS?O^nif8+N_adWwv
z-}Dxg?NZM_9`ocOpPENN<fpYg(dpKmjVF>^gzP(_wf=P$PG;i%eDy}_^`PC`dy3-H
z`!ZUWF&+8SQ(aON6#08v*;V;pl6Mzud;dMxVDEyxVJB|#ta{LP^Tv_hg16e;{b7A6
z(^Dp1-uUipe_`I#K;9=If_;&quO}?K@%^^?Nmlhl<+Jaiy;+wF-kI0eaOy{yosR$G
z=5JkLj!E_G#ZJteNv|b5%6h|QzV8Yvt@!xt<)1zLKR<lo7mV2y%JH?=X>*sGsQiQ3
zYTXa6G_DWl=wq}^;@uOIdBY}oW3h4$Lq&c^dRSN2=g#_*>%}{r(%*iSy`dB{<>&0p
zd#We>keAQ?@$lOfr9C&y9(6uWe!R?7T)wxZ<99>iF~)|c`zlZTJi6iSG0Ow)6Rylz
zxllHK&sDjwj3hpu(B#8yPtHvKFzd+u`KcVbef3+jS8x7zQt|i)1N#ZFj0_B%JI^bM
zu+B+8VbI&KRfR!Qjp2OH=6hH2zkPC@cSYp>hZyOe`dg<3M9zd&eyTk?HST$j>coOW
z&9{%Qoc8hU$K$gFTyCsdpmBbJVL!v_Ifu?p=qsJG^5M^hzkhyA`LUq(=rz&oju<C~
z^M|U~{ye<f#29ljFq!kjD)B?LDqmt5ZX7tl@cD{p^;8bYH9ZB_r*|Gddj0ggca0nS
zQRn{;pv?aV&;D9__f1cJ*eA~C{gK;u?v{Ohh5zrpRUeaIt^8#Adr|hu-P2w~)r(D1
zjk|xHUwGq(q<eoZ^Kahu>hOmJ9aZ068a}?>aOmohooUhy(|-SGm>~N5g2~Uuj^hmz
zUsYV;%fBfwasA!%SNXElWpw_33yl2Xc0!n;c!h`lz0XrV@3`i~$;fQ>G4A&Qi$bOY
zQhS(I{C&Rimi;y1Ykbj?%ynHS3y*9U`?mk}y4$(mtG4cbGAXPt#=er{bpI){k1leP
zXV)KeJGXPg!*soG3$9HTD(^_MKPr~_<9k*1)zccWy}41U+{%$>{`6F@o^!&tbx-)7
z-u6(rNjdL7EPlzBE@pFzas7klh@KV3k86(o(w`-xY#3aB@JiByb&MC+$X2c@=vg7m
zApLw#jNt*M6E}bKO`0wB`Au)ww@s`?O>C77MaK_5sO-ww*pnLfNhqUnnml7!QdiEJ
zUW@p*t+V7mb<K(DNxGHWI#0g(RBK&dq_>1gTu*b&ftE?H)-vyHtvcSHSGSfWI-Yf}
zr_5@$*zV1rlX{b``>~$<-DhbkDf#p9+20p9PAIVTBxRRfl~wFklKlVk@r_>l{ZFO7
z^dxOv(_<HRl0C9}Vjp|tG^NrWyVA)#8JCsnp9sbMP@Bxa-nhRsg7HSs=3cw3xc;Qo
zb&tRN=uO(|olx*?<%y4tKl>X}WZl2CTz3o<`>|5LH|zSxn4TFm|DSM28TZck_(d=7
zmWyG7U3Z_M`1#%$cO&~_R4bqG_0OnV-5YyHRpV}T!|qKQkJnv^`&9Vx-22n|6L#(H
zF+0Z6`1jD6t`!MiVtUN(?(()soYptTi^23-C*z!h7iO+X<ZifNJagT#8BGnV___F3
zJpKRs^SYB<jeW6tszviBd`tfKCU{lrt=Nq{(@zxaooJf8(4?l(vaGBBh>-Nx6Sw;p
z%=vgx|JjVce;Usx{WLl{r`GCU!;+&Xv$Fb5N=t1>FrDAYl6YHZ^%=ff39<jQXRy{y
zE#2J9(cKXjzNf=r_T2k>`V4OG=jeDd<G#m=@)^fY#GGGI-<QmlyoRBB5zj<jj@3Up
zukShW|3;U-seZ%v2)pF|_@z%*rv6wfzrI_qT_~nU@9$)eFORp2_b+hXnA`unPw(sQ
zJzbNNPVRrx7guyiAW7z#SkLC&J$mK857=#Nc-PmFDEp7|M%cz)z54Gbt71<q*N*Ga
zd!Kc3_iF`?ZyDOvy$zY(Ju^jB9&ga^I(EDxpszzH{Nn?Wc?~>A|Jzq39jI&Rs1W_w
zJlT51-Tnzi-z$=Or*#~&F^}j7myct;&{=ap;7w9zWpZ~zFaLuocIKGQg8LJHCrwH$
z|I-n(VP(hF<gQBjNJiP-=_jg~v^sr%J>-e$6u!%I+qArULdFFTdyd$DFB#>M8O-MI
zYG}*<F!c|E@S2N`lD9n9zxYtOXYzp<|JZ*$Gd5jdt&NCjT4nxmM@!6tqsdon-+p?$
zQuD}n-E+-M-~Qh$i|Czp?}L7J*dndT3V%A(>NlUd%@^~&GxB85oxa-mNeZ7>lRFws
zvoCHuc5mC=y=Ri&*v4&)*=gK=?oIfop6J_KJNu9Kng9En-_^RYBWjjK+nR~lH~Y-D
z|J!qsud+AdE$^cbY}v1>)?Ds1pMSB-Hv7t>xPGbi_gm#tZoINz-T5Z+s;>8rkho6@
zpL@%ubeV-u_6WOJe&2yHsU_y5#<h#5-+UH$)+2R)-{eDjJ!Q{#?Vr2Sv#aF$oSDBj
zUEb4ESsOj0?+H`%LEVR3J8DGV|JeTX!jnJi4kWGUvgs?4Ts66lv2owgMM<+B7p%FW
zk-B2>nv080vY7Ui6rWqMrKjX_WMApivQ<xx9SmD|`Q)Og-cqgkjS7E1_m|A+DXBlV
z^Z%osqL-VxO1cjUAMGx&>d85@`o$5KV;$2^M0_wvn%h;}#VDTgF}$-_K9X}&^IiMO
z!%I4IpD+pUDEDPlf78~{+`+(~++8Ujx1!-mJO2*fuMzWlsuC|xNV@vqYs|C$^q;@Z
z^)_3bd&RzTYnI%@qSYNKNgtAQPRUuswCsEy*SP-7&PR8Dct`wSQNiyLb8gX(fWD5d
z-sJk9+UaXDdJ9wcZ+Ld&z+!%;q-)k4Z`+wBblgo2=}igGd%k+lrVg9=E~>1W@ja>K
zYgihp=5^KAPF!%dCpC9d*owm5)TtXxKX(>9?oLi-xg>eCKPfn8`_^seHc9p+8sF1?
zFsrA0OTwAd`aKscj~VqQB=^MLU2{VI=bJry7TG5C<{j@lIH~i9ZT5~tA?{a7GC%is
z_Gz;4$1&}Ay{A7jdb()CpAMI<j$+xLqJ0x;WmorQg~e_<kkTLdwtL6jJ=fIK4|ViU
z(ihm6^CCB{_3i1-)z4R@$;vle;;g^UxvlN!ny=~8JM_<9QLnE3bV8*!WNuUQ`HzKa
zjLiEloBr&2lp;~SkwY}PFG8Iu_<v7&dF-~{@O4$yz134EY;M2XU%k~YVB(MIF+B;k
zeJnruPK7ManPC5|QKf==My6=l{Tc4O)-m6_cZ4uACB2N<Q=Qb2Fux(>@yec(soyRL
z$uaK#@X_MttlzVx4<B#He0VXYt3=%W(HGMQrcDkJP0`0EbjH2^7_-o^Q1?>tpX8qm
z$qhB~@6UKw{1V*UQ**7fdf~F3zX`ovrqw-#-+BVqp6Z-eF=dZRV4c9_P?l)9S+l(V
z_{q(h_q#Xz+b-6<)7balVz9l-vVT(HI*I)~HGBDDK09~B@MXNa_Hx(9j+$pbB5n7-
z+!fLAXwAv>=?h+b?5zopY+CW>#)})XB;WMbmR{>?iDNh&_jcLG9y9MOwp3ld!yLTp
z`%6P6Rq`}2_2rlPapceIVc66;KdrC)?KPIK(Y<-k<3((z^%o1V-Te8JD|=<+*`D&K
z>FnR937i%PUDIFow}|EDtMs@oEBA({o2+b3PJi@MV$GqmCud}@6gkA$<+ID;r*iel
z_i`@_R&P{%z!B5oA9|!iMyfNyYLi6r%*8inNt;%3vVK%M`sUBnzJgdgQSsp4E0t#Q
zR=(-+KexB>+WA@M<}H<Oe<MEEi($6h3cJ;x@;tTdEARaI*%g#Nvss0ibEcnt<F0QH
znoM|SIy|4b^fI%5<CZ^<H~dj<+_U<i)=9zio&s&R#VVZbLbK;7eEeG9mG|$*#EnfS
zpPl$G&&fGex%c0-^}Rkpr#a64;z;gGQ#?0A;CxS7*oV1qTE+Vwo5*Hg2>-;mxbep0
zE}iQ~W;lGCuwd4SSWy*5UIFRGJNYLz)NMa@vO!awVf*jvaVl!&pZ;>$UKQ{=shxj%
zsa;%0qC4|^o!1*flLT7-uh?LJliR(k*p)*ztfS^*_rxDJ+>bZ7r#Ec=yCIqJ)3!$&
zSDn3<F?(iX`Ns`wpY=^R*<Wn0%k|{imn*_I&&<e<zTn*$%WzGI!{dsE5bqDWhnjYb
z8!|k(EQHh;l*=v{+T2?8i9x(GwyUpe>l7W4OM>R7d*lCggiq!SxRHNfWyMCxXJvA|
z4ogq^tSsp*vf3Qb=b*34;9tktkaWIxj@-6y$(@af*JtdF-P4)R9Lw-|eTR6`)>X~P
z|IV#H`u)>_xU`l3g{8PYRT}v<UHklF<~-%1Hyu%FpLA_ca$aPd+8xq8;o#Meodw-W
zQ4vyCnDc*5x;?{6Hj<hBL;CR_v+5?Xa*HHwo^gK4(rtaKkGJH^e&J!dey7ck9;><c
z9`!vw^Uw5um*=CEnx8wmk0te4{r~c`cl*X(Ypd_4^>}&?7H#Ib>UetNvi{t>J;}Xm
zOk=MxTv(70`#-a5ZLeuh>iLVx+GP`rId&+v6~*fOFZf~hsi5>?WO85Y=8lQ|7Dg&k
zZ4&$y)=8&(N>1#O*g2u0PeOSDQ_RU{D?gv@J@&k_SN_u!i;Ujmotic*KVDzWS@|c2
zsqe%ajTN^KvA(!CZ|2><4_SKGAMbR^ZeWS|7W+TVK<&>2?fDXxHXX+!V*kyQ{oL@a
zqWf4yZr{-erk<m3UKB-K5dXL^IJx0i=!4k*x)*lxGEDdrQ~l%O$MzZVQb}^18^1k?
zaWqNn>)-!vvXAz*o@4iBsVGU@vhQ8@e!`x~25&pEuBPz3=?MA$go%IUDf8QXC#Rm6
zcyvbFtID3x{hxYr<8F2x+|!$Tt+HGDW1myj-``!!KlWtL=h*sYqV0`7r~UulbT|L#
zT(oh<GrKq3>rQX)IlVT2eP?odchBZNwW_U*uh;ac-TE8Wn|rT+{^{*a@}j#NexJ;!
zywtCp(YU(v#Lu~T+ducUMO~G?^nZ6#p_0MI{}Vqbf1alQapJd$8N!b82Uj<7SM)iW
zeq>TVw9#SKBtH4bij2P4?fas8Qt$nE@kA=Pf5B3{je$#JntA43H3@xp$@HcEf_3^c
z#p3$fUTf)^{FiFgpPOCxvrxr~abf1f3H#g_n0wm3@A97EuqJlqf{6Lu`@i+HMQuIJ
zyJv-edY{|XPaC^tUoi>2)f@ZkukM05Q8B#H+>H17+J0Z-d%T_Jc}67L@4LLub(PZf
zd*)ByY<+fzVR}#O-F1_0g$qyI(_ayGO73l6TlUnRqklK`#pacJsV1{L4KEU6ZcT~n
zYqS1%NGNGWao61L+Sii;@;jc2Mh28N9;`XYyy@__-q^bMYkhMz_s8a?PVTm!C}kMM
zu=r|6?4Ehux2E^Smi~@^yZql3<DZ*4!+*?V7yaMJs{Ytdzi<BM#a;aBjhV0Sp6zHZ
z-F`4FMR4j><GHT4!njzC!}{k(lueo@yGelc*t{J5PsgrYG?t5L@)q#mOZs|a_MgtS
zq}>a|KfZj%)-$1tk^4_?TkuIOh9`IWS1FlW_b%9fvgFOxge@=oOn-7Z^tL@c=_eu4
zJ)3{|Nv$5n(<fgZci(Y^E8-@b^mXIh`8TY3+F~CuHh9=tcg~l)dHUnQMbln9b7YLk
z<xPp}ZR2c@iO^1ZI)Pu@O#g*{*_;nfIy-gEy3Si)N=!QWmifcujVmpWGw(drf64Mq
z*Ss4Gm-RC4_$+_#)r4otb0VB4e2Zo1mALjG<#=yf)r}aF26l7C3)2{8$9TmE?TbkJ
z82{scN9)ZAI-->kB@^Yu|3B_ei2c9P;QSQ>t^0Ldv5BEGlI?$Yyu3N#h4{B0H>M}@
zT-+e8z39}n1sSd%r0z}BJAbn1@Pm1M`@YomIVFmHkm?s-6)*mJUf<=Pe>WW8Aenc=
zG-*!XbnTCKo-xh|&ySni_uM<f{Y`9_jwefc^x1!BpI7hii!1K@(Vo;F_uW&{m?ydE
zBJ-1&;~5uv7ChBj-<KNMQ}K4w!R2a2TUPhD=dO5vbit!eMppKVg0*|*e(##M;QF6g
zU43ks)2woO+OF%Z?scEq)Arr&D%;af4m>lepZ67;PGx&667*+IUl;rHX)N;dAC;f%
zZOh&}p|<`3W777ytNx0#SiJt<v8b}Y?Y*Ce*(c9iJ>~JL9{+wfYC4$4q>J%ho7e6h
zAXU~gUvGCOkFu)E`x!QBmXVc<Pju(Y{=Q;R6UEjXFek2W@44QzS64m-dG#z<#Qyqe
zP{!Q8QoH}1ams>I)hEfFw@_Yrg_Bu%rUxhYJ)Svz%dfNk-OBTPU24RXnT^-?tmyKb
zrNi_1?n#-SeR2O|PA?EYU}JUdz=;oxNxf}x<(>tsUxIJ;w5{E>RIlKg^_re|zw5dk
z(Nq6??q4uH|8?Kqt$k<j|GKJD`1jL{iCqPDlV;5Pvbw+N`p(b&Gj3~(m{?!$Q1w#I
zpB9pSaOayfcfw8wP4Agry=lRr-I>Q37r1EOYv}4t>MOXr(%-ZptiP^%#{|bcJq7-%
znM)&AJ?NkEb6Zzja?AACH@#EltZ*oQ$apiyw36v-BWpY34(<8}sxi+xi^Eg6ID4nG
z@9B{L{v?y}V3&AUNXL~wz07fYlDcx$IV>yGlbU8MH`PC|Ytp7i6F+X8p&Yv5L)i8O
zw(b$}kGNvjzBneovGnt<nVel5#c$?3oW1t`{Tnea)gu_YO2zA97u2{ix^B1;Q*x=2
zYxD0H_dYz?AT7mrB<9|X%bF`Z_up7&dcG%OUFy7h|E;pG%yPZYn0#-}z25x`oPU%*
zINjg5z`LUM%Bj<R^Q))IPQBMxvMXllh1eff{l)X6FED>vS$!q&`?pKAYx;UV@4vBh
z%?+z(3ufQ{@o(a$8;q|-kM}L{+H^~Ma$$N;LHsWNNB(8~)7JF%6i+g|^`QE}ipiXn
zA2QDOO!M1xLT>h6t9v~KPk%k(aPDoLs(sU;t*1x5((g!bzv@k{qx~yYv%B*5#9q;O
z+&@h<eZu!?y9{r?`q$GP>9!}XKl6F?=GXVS3*W4oHtUD+pPsp?y*cN5(_V*c`c=|3
z_jzY>?VJ9br_0R#@9D|(-uv@W3iIBRyWKZh?PA~iROU&~OmS7V{Zi-G_hts24qe(i
zH~#DCGjr!me(#aYDsZ%{r}gLQPS=Q~#@qK?ODoxAbz(<}((FAy=N+14=g%%&H%q+d
zRW+-6Pe;q&x|=-<qRu4!X}Z_)FSonJv%4)cg{kuQ(~UiCeO>Dee|KD)tg)wcYGmJ{
z)%E^;PvWH?F15a%|F36}*7=?%^_ADO)FUGnOi?=3_oVn*&#YH2)Am}jamHP#IM>je
z-km9b?B}Ai-ls`DjdRy8iPAC6{o6I?q;YzO=DLlXAOCa*lvn)jX<XjB@aE6CS5pF}
zcRty8YIk$Y)sB6~dz!R7?YzB1;?}Il-@RtuwUgQN<~F_Vj9_Fr6>Zu-TlDYLt2HaH
z{rkGvo3C?P@5`-xou}W%uDN7)f;IirwppKwuexmXeICqJ*59;JIO)Wf=L;=w1)Bfv
zXga~ac1HgGh#PxsZmtY_vDf(Ft+<K1kDoki9oJF2=g#cM4e_5BaQ~RF+1H~vg)hUR
zw_pBEEMw2?O+5YI`XlU8f5x4^Sl87P5&!e4#QG}}j(&8^tNT(f9plw0r6_F3+S^=z
zqBvNgJA8NLiY`}XzDX>soVypiJskUgVduVxj*>N(_>xcF72n_69MO5VBE6@1`SgHk
zjk{VIXT1sk+|ztYDPVmc1M}~$<_+GRp>}(mI*ktJb>-+CDG_)#>w}c`k7u#{A@aNX
znr|$4D*x@y0-;2^1=qe-nDjR<efvT2(2o^)uOlb($(kikbKAXk`gCK9nrUJiA9Yl8
zccfoWVSLePCZB&{{h!w#qLVtdOq6Qqa4~;<K|!+b#Dv&?&yzV{ofLVqpt;NU+qa$;
z|3^|sR`v#lKjGNaeD?fDBfF-9hZvJitq|JS)7mffq2fsYsWls}-<%ZtMCsjxckUb?
z{`4$VVoLt6yME&Nh*j05`xk{RmUVmEW8we1v-Rl2r@L<U`Omjrz4CU%1FqJKoh!}P
z_xXR`-*^3Je_`FzN0%mYUZ2TX{-@8syVY^B#+SX3qPr((Bwg9Qbno@JlpCG$$s0`i
z0>ZUUDl;g!^<6INk74PX_ur=fQuU(t;tjLwIu}mXtYl)g>APHgan9otEw^lXt~|2R
ziF(0oF2?a%>ivR(n^$LUzNk6xfvstO=OwG4KMVD{CBq|(UX(w6vOzX<&jW|Z{!2>f
z8Zk|3T=Eq$A9^o6wP5U6kl%e;C!p_A^o$jv^_{0~oQmmLvi94Gd3j<JcX;Y_v3+CX
zkDgIy|9?f0TIq?KWfyvv^xj?2AZ~qqYTSqHjU5}iuTPn~X5y!LjNyA0O!DB1oALc#
z&#6^4y{DgF(>Hy;eqUwRvCX}$_aiU!Cnohq#P0iivE%VYUE3!y1s2ODN|={T{Lt|*
z_m1e%-iTK*>0Ns__cq<W*?;8on)bN6pM;*ysjT`G;nm7qx~*sL`hSx?&g?0QH=K0%
z*n|!15AO>7b|ox(cF*1`q5r!U_l6$5THAF?)~1pF3FED+S8G%wcR0RWl+4wew6S;h
z)E}99dJfI)-M#j2-@KyJOso2Lhuyrft(CcVUi_(^UC(+Bt<UM%5qqt{&iA8~S@-rh
znUzky2hD`I{~cP<&VJ#PUCf5{3vNyf7ZB+>Xuo^KXN#G8*Uz-u$hzWl9~1YQM#lLk
z&;Q^)pgn=1=Vj1|xF<hM8&YH$KF4s!{!d9}h<mqk=h*}4ZZ0Pn$~xyg+_2(w=$nob
z{^URZKEI4SE<eF#^+wJOuj|(Qn>+Q~{Qk}F*Vpau+c>3X>szh*p6g*B4<*O+w$;A-
zHaDcQf6J$y4gSy9*38-Ddp~x5(dtQd9}CuB(c8Ozx-f(0qtzQij-TCN|KpYT*%j4>
zYu|7ua3nKK-J&sPgXbby#pf&*j}LIZ5qQklvt{MC#$|G1*%$KfbuF66`kdkLjiw(j
zkG~Fyc<^CEQsatydO9Cg+$%fr=Ff)jQptxeux@YIwSU9U1Fs}sr=9QH9Kn>-zxf$c
z-=>31Nj;k`RcI%zd@8Ga=Ie^%yXUMb%kSMee{;{ql)fGFy*A|EyZYo-=P5n82^{|?
zd`&-*_NHM8|My77`|Iz@K0fth^%i;SEAf%jXY84?>fX<u&guIm?f7~6z3ltzvwmDo
z+PLnn-X_V(8wC3<fBHCUrhd{PUGWLF=O>mv?%AT=y~AtW-CE1l{mV=BPXyoYogew>
zkj+fTPmIhxCnt&A-PGG=7rKe_<o^@7lN^tBTG`k2EL(kU%lDtXOMbmy+q>YJ<fntz
zZuc+P&C}#C^;^<LtH}}bTULv2I+gCwRa@QmV<~6ng6lk=eto<b)3IPOli5w@*f(7@
z^L}hioX}Zwz30cy6Y)p;7iQ@)S^u8o@N?5<@%blB9;zSiOX^&hckV+*`=WdIdX827
zpE)P#M%M99b|+GLF1)Y1m9l^Cyk8Q(;x@6cN;Yssyt{L@fj8-9<I%1?${CX0J?s9R
z+VlBjZN$2=T<_kMTi4&Y|7X|hevaIppHm;cIlI|L`(D?a&AV>ped6qBmM-YHazn=I
z%AJ>WG5uBV=X~sG7C7J8lmD-;eEn0lv<9hm+nu-ne(tZTPVb#+ySuMud#2_S0o%UX
zuTOYaHXCi8c_{x{Pfh;K(z!<p^Iz}3wQc9w^3TmqKl{q}#|vDnJM*Qd%eb#9ellMI
z)6YG9<?;GVfswq^H|_VAu#f9oIGH_>b?>TF_SnApTc7fD_N_JF-Me9Hi|?nNW7lqW
zwwoXSbyVO%fYi6gAHpZsZ(J>(CpK~N{#9EmJH<tRa{S(O_2`wn!=f#z^E!FVe_sn%
zVP5^ef9l^&4R3qq+@8vJeHushm8jBBm)D=@i0_+|U%QFp^%dXZPmEvtW=CH)N?ZLa
zf0Mw&-qq131%J<*^nb6=@;yHtZvM8I%;?_~6t5z+k&W}G!s4z{(f<wiB09^?_8gkk
zTYCRxeRpqZ&z!q;|NBdWx><71X&C<LEj@p7g>Gl|>W}O%Y@c_n**N|7v&DL)DF$ad
z^*2uneAf5#W8aqYPm7lBJXjakSL)94^;_>8Z;hk(dP<*eh-KKVHLqd!(Z15%cZ4)n
zbqa9r@0=6a;B0%3v9-T7=|gU9ikH+*GyC@wB-A%(%-+el@v`|Q5siB<6qD92ug!TV
zcgU(P_Fu=`ydWz(lQ*9X8usk8ublL@o$<4M>5Z5Tb8Ra()cv0IV|VxF&6_In-!L{z
z>1%erzNTUMtPK+Nf|ApmP8yzI6#pD=cWzZ!&lb6u*Nq%X4EmLZuR3~rYYjiWX;R=?
zyuW|m+oomr_iT9k^IFH*-rRqsavdor8HzP-^yluYRkE12(qh*8y(g0zuWUTEGWkV7
zE8~ims~dZ^SFBw&b?>T;T#SEW7WK@XW|97cX>!k8^P61Nr#DRu<m=dA`}<;aMT_r`
zl@04muYK>Iw|crmOV8Qu>5Sj&=WbfJT<_agr-_OEy~mDTHnFSg$$I-schRT1i<^J!
z@9BDPzE51P^R_2fg;c7<^Gyn`W@wybke@i8Pl}aA{Y32lYf_5?&-V4yg-^PCEOr+=
z@0)FMVM-g>0*mC{FD$6&D|X4UTXI9}UdKt5TQV2#^xtslnI5iL-*x*$(xQ^2j_LbO
z7UsMbNSe_bzo+~5hgYitPHJ4fvhV)At9#b0;FM>2eL~KoI4_dRhPnSiMNHq0w#_>m
z(|d2}vLqcTxv(>)(!2LT+zZb=7f(Ad##Ae?-0Qg6kkk^((9&~1b^Q#ph?j@|bl$Ms
zAy~bl`iKaF)`VS?XKj=z-*ez|a?g$aH9OvwCl(&KYF@TuL*Vh<+OIbx_Z_}{bN018
z7fyQcHnXz7Z~lJtMegk0!*}n^Z2W(7V$J5>!?OjGSs3~GW4@iNKUICD_wl9oJ!!VT
zcV7AEbKvRxp5p7j|6JPn;rIu~LtlF4=WXq4y81-(Z*TF{U7LFL&0Bd*d}HRRw%+3U
z`sl7p8+(iMRRvC4U)nye=jp4xpDrc$d2K({`=n@l&-|}dyL)%s-5YiPPydYaO`ePU
zcf{X4owW9M)4bm6_x(Do%R0Q*9F*(&a8L9NXVTvP{`H>T=_?*w>nUFUsc%PI{c4%m
zZ7a=g_V#W4J?(^@$!n|SALgn4oLudkA*!+|YU14AKh8}wW);1+@$Z_Qas3Csy_xeT
zzWVo{qgR*d%`ZB==Ho)olDiA${dv0MN8hZaM>3XjJk98z!1DL8?gZxhYku^cC_cF2
z^t*_jW9C1r-u7PDd8((p{y5{m-B#y59`1b6%`d*DvF#)q?<%?Ez8%~3B6${HQA&S5
zaed|9|8uQx3S8~en<((`vO)NtMv2O`7pI=?Sy8utljxd1H!7~zK38SZ{d(f&TZ3!)
zuI#0!g`f9c@Q=R5bG&Ot^(Tq53%k`<=Kk-xaZ=)L-;TV}NxhN^zB^Ozzv-D4rLIs}
z|K^wym$fk?EBBAG)vG7o{n@i%`%^~QH4kd<o!F6A*7$nj_Gq5x>n1VXKECd3Z{Mz1
zb*`CVF_V=4^k4W=zR9Muap|*bTbEAqvfTOq`^T^6y-qtP&RCdL)}(d3XGdNBy_C<r
z&pUkjw<;y81f&G?|L))M_Fm!V-iyo@NyX|X>Wp4hD$TjNEwhZN>*Ah?1>KE@<@Nh6
z%<b&EJJF!&!Zz`rmn3GjZT@;8`u&QF;WiyR%C<^q*T39abh)hYQr%29#aA2|hYT39
zg_2HA?0jkQ^OSD9&aKUx0<J8)GN0>E3RC}vyXSlQcAa3A@9BHBXXC`H!Y6kwdy{l{
z!R<r456tIRS-r63dB>TfD|bu1c8NI_p_ltAchb-QA!aLr!#8(ae7xbq-8~1kJwB*&
zAm&8U#RFWZ^ZySR{{I%e2|NEkFU9JHNoUQ`o7*2>+||mo;g$LR?%qc$i`rk@2&!Ox
zsNi}tN+9-sMMQhV>lw})A2)c&Y3}aqNqX_v-2G6{D`wX<NheOXzld!}tbOn=#_XWt
z{WUYP4kvHe@PEUtzAKMbJ~`XJv#M_Am5n`@FI}x%xj=Md0aH@%<;}f2@2}d{ce&_q
z*~Eg4J(oYp{dl{-XXo9Mny-(@{I6JZdHd-J6Rpd7R_{*9>e&1L|EVU68+|+1d;j_I
z$*{WjVB9B$`8_A1rq`5}u)f~JB!8T_zI+w`^XM6K?oDRDEOK^!bJr7r%e_7SSH*WU
z#`RRM-{12yYVF$Eje9<3>1(8H{?>k!uiRU3TiUzDyN{}Gp27Zub0@d`#~J)@c7Moo
z+VF5?(x&5|W+?Z&e0n-nR<?4++NlBN_v}x|9X~F-KURIg#??n<$~G0h{}S8vVEggo
zOsi{u_w?+4y>!KAmBMx20-D!)=dFL;yT<YAroD#A3>(-sNzCaveQ)U%u|548zaLlZ
ze_3{|WBueA<%^#ESn(p4S2gB!*NYQe?7ipuI-BD^Ho7v4#{{qLxEMLN-+h*DSl_uD
zCto)lpRs4%ja`2nlfo3vtl8fAT8S(0-=UfDXK#7x)peXXvLfisf>P_S=71+G$qQ2C
zvk%JsDSWZyamN|=jM;m9d)CYC`n}-H#w|CNOW%K!^n6FqagomTX-BnuGY;PCS^W0h
zwUF&){l{0QHXS(0RIbypJnn#!Z{zuI`{T~;H2i&H<BRKCW*vAFzC^+-^!b|(rmn`_
zwHxP7pLJpRWT{mb749l9CLLl32xDJx_%YMPWiAXcPF1H;4l=Rs46fbNb7C4}RYdY_
z?W41fSAAmW>OFD0bQ9ylt$fziJ7eS{IU=UB|LHps{)t1rw`6kP!vCMvzs`Sp;H~Jy
zlL0T8*(b!Ae>}aD@#4~19F-Bb4>;TY?>(W)`ELCO&5yzpzSsX@{-KoC`0nfkc5Snr
zFE(m0UF$h{`|hV%B}?wlpP-h^(kh={nZ5Bui>!9ftgsHIqdhz83}e20i|*o?EOcJ@
z>6)v#(@yccIi?g>dP=mqcjo$7b+xzs6V@J%7Tw*u^`wG-r`hbO$<tLX9#c&JeKa$<
z=g!h;H$+x1j{no~@PyLhX`dFe<S$j&D>Jv~wc{_=)TwiX`VU{V`l%IsRB7wqo}OD<
zr2}+YekU0y*!1n)`l)aKESc6lT`zw2p3sdnOze9xJLb{Et2W)jU%FTlPiqDDUd%tu
z6x(|)Ka*u|cb6&4-n^S!p_eM%+4i4gI@o(SOP#YPm*wQu;_1_Q|1s;HXy-b5@bc@5
zYg*>3SAIP4Q@(f7`_#Uhwkx^R*<$}*t5)Lc)@ALE3XEgCBESA)jAw^t-4FhxzDaxc
zPEmY&LGf#fK-r1w_WwI4rT3n<|J22D_>z3qzo}|_rb_+npR|9^j%Tx@7yjv)6o0*X
zy67~|IV;YaKY!Eq#5KimXN$i(i{LF*-V-&mKZgA6`SY&l+4eO}*KSV9^<}c0Hbr)A
z-{g4`$Jcy(Z^+&tCDtV8{%89&!P-p>rqdKl{(pPCcF&4dHHqTWQxxOY9&Js&!WkMZ
zof|g!%M*#ktv@_nrdNJ>^#0QpmDAgu%44=n`&3}_<x$kRqsDW0{{C9o(>r(7B$vfD
zZhv;2?@v7WXa3RnO&-11iq5HfoLt?tzp|%Y;>=9>|Gm9M-^F^K{qOC)oO)xa=>Lt<
zAI?vh8qwD`H9D~&X_Do?{=U#x-)3yKy4H8qub^oUXJ7AWv92@K9hd87w6w}}UM}C$
zF(K_D!`(L%_#>tmp1ANiXUm-G(^^40ZJ#fcKYQ@&zKUIU%=h2i5pk7wt7Ou_%h$ei
z1ScQcINx;t!>tt;4jL}}vM0H7Z~6vJ+5Zo?5@PyxER=m@!;t*=$JgT%PS|}aFza}8
zVn)$L53aY`6WCJt7^hCr$gJ+0Uj2gq`0;lYllj-Y&V9a+&0PQLbLkV+^LGkQ`C0$z
zj>)zw)$ulMd*81)QvPj%(vKZo=ND$~`;fd@udiqS-F1^^z2Q{+Gdcgq1^crzPQJT&
zf?4)v#fnQ^M|)HD&+S<M_0Lt_Sru1K9O1BBar%G7G}$-(&9}?%&1ziJaWDNk@7;TE
zd(|X-XRmCX`=sL1hRNbxvMkak7~~y9WB;>wx!*g{aQ5=8x_fJnEPcWde3kdU-O1|v
zU2}TwSKBi_NeayBnZou`O;++w+3cJ7Hj`QJojB;rAwO>#>zfbt6P=3vrm&^1pRw%G
zr8`GEUnMWF4t>-4@gQST$czX3ZeBckwCA&QQm4S;A{&hzXXK|<O=U|hY~T69lzR$W
zOht3jlatBj^*x^|FD-9nIZ&)KmHmmK!s4B>=G6=D{_DIunSpyU`_Z0H^QW*b|8_~F
zDyTb}jrI48P2$x%K5t_AI`3@qx4-7!?sRwiGP>WpAlu6_VWqtP6vo;$F>B8G%gsnz
ze^B9hPtW%^n;e~vSDe{XaN(rWBnIZXzMi#O>o?g}^!4mtr_=NI|NrL;ul>0&?fK3v
zb9Qc9c<*D+hi|DHmmlr<o7A)X`mOnj5xu{ARw;BWzdQN$@m~-A^nFY{kYazj@3-{|
zNAI5B&-<2_)<y2P)N#v~%k12%mA$vJ<~MkRZtnlRr+0a{rgzUz`_ro%&P86hvbpca
zf#WfGv-VZ?d{0X1yk%bY`Pcn7Gn11$zJEL*)OSMu=!q!1V;3`L%-U@+<9hn6Q(enL
zbskE8yEOe{qhH>d_yr&2kL^A&t&<`C-7StE>^~lFT%maQ(*>thKW@azYyaSgh}pPd
zo&CKhUCY=n#q2*Ud82pP{3_>9wxJ)a|6M=z>Q?{z%b`<yx7Yu?x7wnzbLHo?_v|7&
z-?zK1_*omlG$YG&ch8PXlK<qQ`#$_#!*oC?;^uez`@QcsGj+ZH$kg{v^z!N(Khs{O
zi|e0sH|u{_BNWs9_Qu(mzPHbg^}X4hw7Nm4U-pD>{hn>yT^Htr|G5@_{^vHy)>Sip
zt?B#z&GL}j`fHuVc}Fk&|FHMRij}6_4Wj+;w!hi&_wlT^Uv(N}{&mdwGyexyO#URc
ze?5Da?yK#%B)4pufA5Rx{yp<sIilYr?MT1-@zNajWJc-#eV3-3)ZTn;nqFkz<8P<r
z`Yy!ZEj;8T*711v38f#~xaIQ?G|8RJs5{)CalS(`&#dEL_va5kBaYteT~#@WY0rmW
zhxoViyxuZ_=lryt+oo}CowIAv0VVtWEw^$n9{fLN$EDtFwYyUojxWBF`0~Yn)7>Y7
z3)K#OOJcZt_eMm&Bnx*0@8ty9<F~xt{}QmT>tr~8a&hv-kD|Y?u6=swoKF9<=>9kA
zm8Vwr%sID_^Y@L3zH7F~cWq&*-MCHGKdk@FOMmW<(|RAi&)?tw^h$N_ra5;%e$X#|
zF{!TSspX!efCDSi9(KyUUiWv?0<*J^1S5LZ?w!ma+4@%ecgNEXt2;OQ)_i~cb_#Py
zc-+@dD{~h<jGL^z=E{am3tRgcZ}i+f5_p2=b5!MZ*XgN|Jqtd5?0w~bnmuyDtMpI4
zInQ?A?tQxS?NZJ8J?sCke#*YTgYoPNk;hLa-`>RdJ|Ko=|McXDKc{zeC#URL@JyO<
z{guUgdY;DqV0vI{ZrPDCLGIh%mG3rB+7~&QdE@B?_V+$n)$~34xuj>?be5of{Wqqi
z6mTAXbG<mV_e=Fp?yJ)UHf~<5q;PP3|MIX;9M!$g=k~S8@9tY37FyP`eofzfWeMZm
z{mZ|rE6G-0`EC9?Qpx+`@yRpyytFg@IZ@&3zvDeJ^Vfg<|2wtv(jE2d-+Gr<UAOB!
z`g-NP9~&%AXeb;%nfK~P&pp+T3OA*D@AWZo@99~7dn!xyk8?Ag{^|Um+!#|h^Osm-
z?Cyn8KYtY+o%tqxuH?}f@1OTA|NHI<k96<y>s3Fy`gf%KxoFtcyF7k%`~=s36H3@)
zTqg$TJ+z#DVR^XDM%E`sgt|JG{r%JR;6}&0A4ykq?e#mtH!vpYT-VFGwo0sj&b9gB
zZzf0_h*=PG^Wm$=EqCASYC9y=QQp1gb?L-O{(q9)7nmO5HVWYEyrum~An#IkU;onD
z+YM^^VO_T-3a~X~{D0H8G)`aO%v&?9DYB>gUp(u%Isg79i64D8m-{)LsGH2c=Vo}s
zi6YUT5&|2Q`?ZCN*Q`{UJ(27Fn@NVxPh?)-vr##1rQYYgIzOgpSk+%mNx!b~|5MlD
z`JJnJ_cKkeD1Tt#>UHefs%!2$&Eu|Uyw$H%xHeTyb9ZNzy26*=J+r^1Pqla)(=+?(
zs?)nv)dd~j_s%Z6*}eHm!Ht;@&+au>Qk=byajM<hHv5VRMW*ksI+fS;yiN(o>Ywx1
z>SXm&3#~h%x6g7;btrqY^ngwOp)dV0Q<UeP{`uh1<df{N40dy-JG|eRZgDV8d*_`=
z%>IT`{}_H1i-<q@kjH0N%*u-6CoHVncBHUO5;OnQ7g0OGv~sG`ts5U-SM>hVeSUEE
z6vvqyQ<bOx*`PJs!L4SxeM<kq^&RgYb3Uw=*Pf!hr*DOq6zA@S@5i_rKEK{jFym{L
zjq~Dv*REZ)jGpEgnx$K~x_`;`?mvIuOq8$axx4o7WZ8=OSGLxz?pqS}`<B4x-k@9c
zVN+#ZZEp%o{_)1Yvodar#PKT;+S8}X%-x;pc)q`5>-U~-x2}Kk`r5K9?_>aL=ly**
zpJr|S+_x|9`_?rbZ(3f&Wy$wyuQ1z_e4%|_?}7XOj9+wbVmQ81_2zW{$W1(TQ-18a
zWcE~_`RV$r5#R3yHQhIT`TWA)V7b^*vx_gRr~2!kW2;{D{L`$tSB{;Ezt_8O?W?1k
zJfr)*<=;)(5fj^YV{83IrpGZXkGG$?vSzBxoX+i6-&{++_sRNZ-@d&6pQf63i1hcJ
z{r}th#GX~W&x7vm3!5h6$NODZGW}cf)rj|RR_>Trp}*!H`{u^#vsWTs$5!@i-y2`C
zJ0+HZd8=;ypOu^EJ#8!hJxR*9Grd;lt-al?jlUm+CN*CC)4MNfI_uM{r?PMRzU>u0
za5s5<&$qkZHyKqvG`=}S=I6HwswY=GoF?r*d69$uS`XR$wVG@b7cs_s+P3RS*R>yg
z-*W$7`mM%dYCm1N?!(+YHzxLdd}8{O>D04y*^`H-9h`IFnD(~~9PNA#i~e+cd-g%{
zc+bA~A)XHJJ}7MZR5Q&k^xvh3^Hc4<_plrY<#hUU_1LErUna$ei$3=5vwIlh(ecf8
zQaG#hrzyt*-8sYRX4)U^-?us;MsUTMUY)Le;U5n^2W?S)-B6}ow=v3>*Rrg4U+d?F
z;?l>br%1Xp`U`Q*acYr!-Ldbm>W-<qem*uo!nx(*H1YYp`$9cg%$gWvuEhL1!598{
z!(9Ea15JA->KvSzRP-Y5*N1&wd#&ZB+WkLR8`1N1`D>w$^GWs7#3~>2%O6Xgd168)
z*KtFE751~vU3=d7UN60CLU+g6o?WX>Xnnu3B<uZZ&#!;3c*Otf-}`);g4$%!>wkLp
zo}SP2e9h%0={*O-Q&QKT{Qm#MXI7romo~oM>ZGq!u>D!VZY7g~{TGcY_g@Hj-gz^n
z;QXBD;u{$bE`QRQ_kIHZ_dor6&rh!?eG>8d%C`Mc0<2{{Usq19cpiCiPv5mm>c2m2
z<h-5KbJS|O(A_;(jy{{FBL8~}^K=f*HBUS5r+0PSRY^F>)Slnezp3H<ffwCO{A+r@
zepY8H4ds8XI>C8$&(Y$OayR?;{=c$!fy<trHRo#oO&8m>w`b<Ot+reDT#vl<{n*@<
z57Kts-1f)xf6v~_<*QW+Ur)dAV9L|KCwtESx}&Zuv%2p?&I|7NAK_1z?wy`3b2<FY
zU&$i7PfEEgnp+e0>b>uHKOvC$*gcl*(<}s-RQBBD`N00bv}(`OnT)fT%=S!S{&_&X
zQ6MQe;k(MAzpM`{lA4oVWKCp>d${51L#7j@qK}d|Zf*bY&9sw2dzQ+)8&4CJrts^x
z9l!B5Kc#)mRQ`i~G1Z%l{vTWulefwE*T)qdm51d!R`dD({$T5F{Xp@?o21^i&u?9N
zp1&sMQghc2>-Zl`JN74Xb`)Q~!F2J=*{4nKEBY=^UG;rV?4}Ek{=T_dep~&Kq5j;&
z)T`zHqly-X^%m4jQ;zI^BU-=k$)*P3mM!xn{;zrQM42hq?9`;bjT@QNcP>BCV7_DG
z|B4O)@!bc)A73y3|AcA!B(>(4rq~1Aw&@@0pFF?6A(o*-+$yX?h~F{k@rC0TvcCQZ
zY)C)4(QkI+{@9)a)z>P%u1>8gnO%1N()Wn&=bs;b&HKb4*<AYk(B<=&WL9rv?0u%r
zWEy$U?SPdqgCpDexzUfiH2zA+?72R_S>~^6=&8%MtK+Uzte?idaL3Q`j|;`?${LSO
zd{{6=b-Ndr*SFqNZ&#)KshKKpw(r!xxm@RUejNS8b-ia-)%(6vcmMfGtpD~u<73aM
zDpdjP?CBDf69qqC`aSDH)%`aYf2a4Jy1#Ck=QD=idwLGXPi>udd>V6K-_P1f61n{c
z%A+~THf?Oavcs#c_vN3yub(H)eY<;C_Z|85y@$hdr?Afd!m>YoD!1(G%STqQ?0sq#
z+;{kEmGxAcu*s%>rb?I0=UV9TEnhp!^j_cL_4fKxWWEYnTLt|8U*wQ+`d3@n6xmIE
z(vOxf%-=gjHswV_{F{|8(i&ZI7922J6;;RBcX<8j>8y|L=)QlgJ1sQ)?e0`@?dfvU
zr-eS(d*Actin^C>`pT%D$5X%e{`RZg<ZykVq>?$i{Up&(hKw)V`#OHtrdYW4?EAlK
zvQPW0rilp}(?mk&{bthbS!g#g^612<q>T?ZPmPpV=w-dr_TH^IM;vt7dVlMEnke40
zudY0LlF*!o%m-e?K5=;1>2<d2m;NV*x1Fb}>w5OBjpIm3auPn@`)m5)SjLVmzkV#?
zIN6ypd(Ox3kKOz7>o)Ly?Acd+ymX;f;ijf5YZzDGUgopWg>#`dv-FSH{}-n4$8i1c
z+NZ|#IcYc3+c!JH@BZo7H(!u7A@+Z2&;F}<6NOB61POGWxVo`-e^s|oU{8SVny&rQ
zzCU6aF5dd@I>Y2m@{3M>6~O?v5|(xCCmE&$hHl~5_hZ2n`PW~k9lFx_Tkqe3g=epx
z)vkV+B>sjmre}ZL)Q;-om(JRi_3nQgAIMdA;q1rX-rX~=cJwmbROs3N_4hOm|08XC
zpK!!}@2xd@yeDjh_zlMShv#m)>Qi@`bL9`yynly2oISjBe`Uw1Jy*^p-O&x-ws{|S
z%(d<nZmr2Xa@vo6pBDR5x8dxugGswv9zQIqeY5FA^3K=h>wBg@nq+GGQ^2$1w(QLl
z_7k?AzqDpvq=U`mNU>d$|HS^g@cz+;E%MgW9rAlm%-?+BK>t<W(%aL*ll!-Y|JyXp
zH)74yqZ<;d-f+HR>+joE`||WIt%`}4_w;S^^1OYpLTL^2bekJH9)0}5Gn-X%&ky;&
zDYkQO{o~D>{&+=-M)-8gmzx&6mS^&xY7uwC?ai*g6;mv#{v>7GwNIJl`v1s-v#eeB
zcSlUKJw45Q@&Vq@v)$~UR6CryVb7lY|61C|$m3IOyz5@d--wxFbF$~&uQyYzpMO5F
z&wi5P?C(-6ocAU?=$lY-Rps?fcGmaHcpp93`E}2=KZgZ3IKQg6XR~|rRPl2+Zw73B
zR$*6p>DSS|{kuw@Pc>V)-tOnCtv?^h&hFa1^PT<sE8nhcG;d&7wQAKWro;dLa{gvu
zn2^uFz`zf>0ahR~F@}+uF-d?ai7AGWk&7WlCgxKNQ_?Sn7|YoI#WDYr1sE2^Ffzz8
zIwdhM#=K(FS7J2Z#wg6lC>_I|^gYIdImVsUm%*Nei`_K#f2bo<nnh9x1G~W27(rIG
zBq3Hd1D3X!h6yn|G2Tgh3^p8J8Cs^qa1_S~u;{WSv9QFj>NCfP3o`ItWOHX@WZ|3@
zV;f`W$RNrT^Pk~N!_1h9m^(>~4?Lt8dKh1@uru+p#JqP(VlYhNU|7WPHB4PVmMM9{
zzk5lxOlO#$wJ@qC-R9tH_>p86vxmW-fjP-4=}J<EX_5+){c<j@2IC~=7#0o<77mLf
zuB4_{99)J;pO~E;Vt&T>GD$iy6elg?6k+1BVNBwRQSeAw9}~_hy(LDNrI;&$;YAEn
z?EgT97{*wJWl4u(6wV~+#6)uRFnwW|_&UifNr;VUKeu)(OOg=7+|Nli4l!qwE+&O?
zw<$4YOE9Q6F>!UVB)w$d=3r%%PP)Mm^DJgpEJI%s(>o?U_T+!h8Dbcd89v0UO>!wp
z;@p3q!G=Ycfq7XBr$CHCj0l%RY|DQx4j!8%j_(3VCLDSSf*eNv9A>Ko8JJ@k^f_2!
zlsMejW5iivI5<q+#s2g7oW#gv!H~*g%i#Yq#*`sOF=kVYY0?>nScbVVtCQZwC=|wg
zO<K*Ns}#y$#Lb|j@Hgp45+}!3B?$&$c7`aI2Qi$T>;gS3Z#mi@#29iU|9i+G!tj?N
z_CHfBqj1t~fh7JUae)FxhM0HB|Gp$M@+vZ~`1gomngU~tb<AgmB8Bvr)iH4l#}s&D
z#A5gv=S*ahe#<bAQN@LM!CTIcN(`r7aJ^MB=K6ez<8dcLJHrEC?u`O5D|q&96=aYs
z<T=X5$Z&LZQfbT=hNQ1aoJqV%5{?`K97(bangZ-e?>Tn;6Zn+O@SbsLk^@K5`{aM0
znev+iiWy^UB%%~dW73)aJ4*U7#zZkKRS{=iDp20a@09eBBhQ7iDvcq2!m6Y=#%zv;
z4jzscF^-N7J`Qe1j(sc)<;nj(ak69z7sgn1vgR_(jAcm|W08O3$;HocmS>a78);^S
z<AR*qPIBzJ=qMKI9`l%q+ewi@H-;hCA?aW&!$OV=0xx6Im{b^9VwNj7CdHf(<X|&o
z7EDt5!qLVg;nS4N<i;FxoI{DjUa0m(QWK8=)1R2@93~zCG8M9SSfp9__au38a+)ZX
zC;t=p5X-QVBf*K0X#!u2a?B?|rde}2O5`VURJe0mF-0;wjQuZ~%)s<ZVisePP_o0n
zSFwx=NgO{EzQ!`V=2+k<a9>1EFh;;8=A)oalF(|7UEB&1qI?}6UJ88Y>3Av5+u_PE
z-I_JkN!TtXn(LDgOD1P+jIGFw_pTx{Qk8a0SeX>ZB&>8yAc>nvUd)k6l{ZP8Ntc5y
ziODqiU(`pD64s~nN+Jc8>@P1$@Wik_S7K3qEmAU3yzI4Z6-Ru>GoIv&Ld+@>QyADM
zO2+vJ3ri#^EKk}Y+a<{Kk(EjFwV*^$snNnOE=ixIrbx-G@!&`j@|T#S!pbSlsrn-J
z-v`+Rnjci{v?S$ba7wbs%t%@l$W<F7Gbcz<M0O4*$4cv%xh&~oyj|~9mI(>*CM}fU
zPl^@iUn0yd#($lq`lU+E6t>4)Q(X+NM6$fxD|B~-73=GzLcdj8l#?VD3JP5|;yIb(
z$-=HNiShd+k@s3|77Z%Q>=L{arPz5S`K1I^_`WJQuhr?`WA;~IUB&<0OW}1%G|%I1
znZFji&*N0&RbI*c7JM<$S^bslU&Z|sVxBr^O8=g~n8fv6;i`i~%&sJd6)qFSYL;7M
zy4+HDC&M5pB*CQ<wos6PJy~SIp@|H_?!s#fS`-*#PH4y|N@yjqTP#*u!XWO)qOK&T
zVKS3JeNrNexx*U|E)|bltyN01HG<?d!WcZ0|2>QO6U#V3G4|gh12=~74t|T*%ogue
z9KSgJa101f{`W{Ej`??tM2sv$j7bbf40jBdpjUvDLnj}n#aj=J*nd(FebwG4ad5|I
zdCZWFQHt?U)(tq^z?pO|#y;sxfFXlR($OS^m=22=PLB*mPi_~FE$=*-UHBB9aj=&<
zNo85bu$8MaDtg5Jd%$1i%gFN1;09NcR-?haw@I=|f_GY!&uJ$`c(X8;CY?=EvN!m1
zI^a2ziv`P(B!wg;3kx0&$B&*I!rDG;{hbDVHf+68NsM>-Sep!AB>#KB#1_EtmWR`u
z@ukCGD?V;TM&;OlfuB7UEQ2?>{TEWKvUtPklB8u7@`h7X$SO{=NJk~f&?+m*TaaU+
zy;a4jPEKj7ro)`FE$oUhY<!CUR3olO7+)1r=a$lBOxmR>q8%-)%W|K2Su(?$y(0QT
zhA%ATY+03`#s0hJ$;iQIko;nn%f2@}ydE5amO{)buZ69J_&p7G2-ynB3T2#TVm~dE
zB;d#-c(PO2nMwALu&W7+X3Q^EG0(V&xK}ELyIce`wajP7vpnf|$QiR&B}ve7>BQ8I
zB;6S6m3t)ac2rkfZFAh>(dg1Lp(Y^t-(40S4u)3=$HS8tHYLR*9Z7OcVzfMw%<wu%
z+_QA9a<Wh47jyL)i&W>_VX<&kUhvXC(Mho)=21tl%h`q(iarV~Ykf30k{KpV^<i)N
zYVncdxsS6#Qd6L}Z}R_g3m%Vp_7olN39plOT8eoUu`t@OC#ibGJWa~kI&mA5XHU%T
zBmtF}V1+-O-yG+DV2o*Uh++x%S#vV!p5j8eq$Gztr|kj$j7bdoO-#}Yl9Zfgu{e|@
z?Mm`EnUv3BsO8qg5Zo72?KMwfO-!Cu0mF(IjU@S`LrDt0NtctXJ+xlDNs{qd<R{7?
ze2-zjwx9^ZK8A;t6FaVTFbH#Kw)k#}*~!4K5E`?YL9`<(<|u=-GuKy-Sc6I3^^+#t
zHw>L{sKn=p{}ZDC6>pCNoYsPZ^B8A1**i{Hw<?BZ!Zv}2N&h|myl`9*z?c-yAgZ#I
zk&{7^Ni3l3OwyMmr3x0y&VVK*76#LTC^nYWF*6n=S;sIv-k^OgDI}?3Yf@y=@g%1O
zydCE^xC?IJWm(ab{O=D#GNVGwo1{lc48k!Y$)KaUl%#v=FELEL8?F!~+Y%tyk)$cp
za)6_{qkKVF7e}*4E#v=i10jJ{fzX(ytsJ5eF~>Qi6&zzYqHc6nBuboPob;)KW5zTN
z)|D<YtyZDuR5^u}I60L6MZ6H4+4WuW?Bj{j)$fz<GRV42%x8?)R234W^n|0=hwE==
z!Xg3l-5hf#2*hwK=GZN8DrUj|B!&Mm8Zj<Rib_j%%w^oMlu1F*HHIxF$CKThfp^F9
zNik1m8qTcsa9qK}xL9CgOvH@2=8g${95LS+KQ}y%Wq2PW$nsQWqB&o`=Yky@cWvC~
z!Jd?<!gzhs#xn`q8FD)`gaYq6EK=!+W~g=g%cA@~X_XBJbp9XQ3}oPBfbIVW^JCZ&
zPI1O6{Cl0uD4Q$hIbr%n{<Ig9pGeHtc4IjhZyR&OQNox#=8=RYi#p@gB&QgaqcI6N
z948sM7r&3;Puk`X6OnWx#!<(3!uuq_1>PAlD*S5&-uvcpaBR5fB6XmGhx7U+PW{{_
zCP~E@iKJ_e9G+ZBdK~^NFBNi`lB67xz9$JM{o|;1VcL<yEx|3cBTUMdO)z7Fhy?%Z
zP6kCrVYL&69rro-B~Ms3@F#tUky&A(@G*%+qEblkMEJpZ@0DUW1YZe>c5dNHoTtQb
zd;xomaEXeGq$T4nUl+zrPZ&9TCuMvqj^S}t(mPPZq$J3hBpLHvP~xGGLhc`xn12$l
z8CDCjuye8Sb53Mo=a9Ilu+rtkb6F;J7fB9lW(H1`myEm|k)8)lDwqnkIPEx_^kaqX
zB$oBYy$r@G(MpV4yVzK_3SY4HU@X$ooX^58^e6e>CC}R10t@4%RP+qX1vYm*=Slv<
zpX_p>nv;=Pso<Uh$HXS4iY-h@au+6gNGdpUW~;nZjOPgTypYJSl0)^9^!$=r9x-nj
z?kmSGVqDG2o9H3RGWP((B!=siRXpA<LWL3%(vvQ{zPN-#x#Qag_rFZ*Jp`CJcdYAR
ziD4A{R<X&0n~{@aw}(QE<*kFqge0^%BBcd6F1})Xn&ilw9sBRC)M6pwshqYfx;&qm
z`&IrkbLvW*&aCbeYkl>LM^QziSUX<m|ITuLMrKi#e3qaj*@+_FlYj6`WC&DAn8wK3
zsrXpX@u9@T4oQV#F`bht47ZgUJGJEnrI`dTwg@x-4-#fpQCQ45c@ks87LNZ48#P|Y
zBr5$-I4IP?<n>L4-H&q;hd<kKmf(ycww;{X3w>?>7kO$4^5wFd24xlS$1mbBEMm!w
zl1NpNWYw1zov2l7(e#Spw+j10uK$99o{S!xj4m<e67$b83s1W6LyB2Iv*Y=Lbsq&z
zJ4huxk2&3{5@WFGg8GCHF_tkeS?~CEbaAdu+F0meq4D<eCy$sOmS;@bN>c;6MO{6M
zVi-ydWLtQQdSZH*9;h(s%3cUGUfRJL>&bJCJ>HusS|VAADcMX)eg$I^H%sc0sn1yV
zXI*5C?quEDX(eG9;I@Rrn^)e2W#h96$5hVoOlCUjso{L%%ndW4slq(HA1B0ECh=Kc
z<cL?X$iK+FkfSq(m)B!LOgqm+R{d#Qou|*baP`Mr_&texBKK=n-DwtQSz?8F9AYkY
zy0x(CDlwmo5wI2HcyH#!slbr5KFKoaSd6Dj(uWsX|5-9Ge-aH<;{N{8!CsKzbdpGD
zm#0W5lQvIsC)ev2Gk$bjV92!K)KqEQ_ae_T>Lly(gs(~hdq4B*Pvn{#W0=VJo8tmQ
zgG7?kH{PBJF%rLoWZjHX#2OiFkHs>y%165}T%YXHuW%=sp)(+YGsTMQ$efsqiUsPd
zq5`r1vsM@!&xmE1#p0&Ov~F6Gf{aJ<e+Q1220sO28BVb)CbRta^6=z}ac4?eAe!_k
z#`;iFgmKKWhE~UyN!1?7G7}p*85J!qGbLHP_53l7y=^1k9L9!u8nq5FGm}Crbd4_8
zHkva<sxrp(C%I(AKJ4amFz#V%kXdm;;a&`jaG=s_3)M#v`Am8mf-*_7l9((a+%00x
z$25g-TzrzG(V!*Bsq%Xw$9<;BVJfZ@7?UplZi<{3z|6+$62Q-*oH>bW^ILz<{T`z1
z;vSw#jFwChe<v~h+WYgM^-hmii=7|VC`s_X42W(r4~SQcS<kUkfImstH_20?=ti1E
z=p^A7fjI)T9o9kZFE}baE^<B%oI1(FP>YfIMMs+h-zR}tDhk(G%moED+X!s`*eS4E
zATUO_@kEk>PQwyUi9*35CE<M?V*eN!k21!*jImHjIwrAJ(qHHtlXPMDV?!oYiH7|X
z7-N2EgtDx4Vf6pVSgyqG-^o%L^g+UurE-#{4U?u`j|$Ue=9`{3-)kIada9%yn8c>v
z$va6pr=2CXZX(NdOQAoU+8xgXcAjVARpBUSkbT_f-mK8Vl=~rxuT(|roW$48$eoh?
zyqvdHSaxtNT+5=(a$LnRp5?e(QiHPEwIBsOwrFqGNKW4<)<`Zv6)TpLuDqTK-JF^h
zS0|Yq;*4hB8^yO^Ns_`W)_xVPQsKl<*8QG5ts1OOyzNO(Ii4$VHlF34DHOwWp5wQ~
zk)+QItV|tF77hBH2Ks@D|03LbCd)*ct4Q`UvwKSXXHX0ho|Gxcpc_){l=PcHSU@RB
zMr(eo(0`{|2LJaiG297_uN1BcFvUn|?M}YPAZ^R0J4q^=hhO981g@BfPzg~BrKU#Z
ziKX85b6KpVOP4tKvShLZd=-xQp=jVN6k~mSQnJ@hmc<>+g$;AsCd4ydJ)^?1wJ=;$
z@*&S(MT2(Em`rC2#+Vlq_zq8Wk(|M2*>G&4!0Yb<?-dGNVq!U!3{^M{c4o|XNqX3j
zFKO~#B{_<hwN{C_!IqJ+wzJ}5a;iK>r%FIGkF{swVFh=Vi>^PFCaVZClqTwWGUO*2
zGT1JOVYOw=T*<<onUYvP(a44I^E<wBZ>hDJ-71WN{0zl{n%h}2n*UFVDPXmjua*C#
zBeJt|iIzAEw**VqR_59EoXP){yC*Z;=!j)F%_8|Fz+FKjMwD4yQFm|5{{UMBj-;na
zGC5l(eX!DQyr^B`@h+p8_vjT?*1K*qT+G<li%xYB(*DgCsatT-(^<5Tw@k2GG?<OG
zw@=XFbOv|*%1JQ_JA1nI^*i3M2yzG(yD&|DE?(-%u-f9N5JxMUHls??PovKt1tgg{
z7b<!RiocjC(JI8z=%~b~l*H9|{)3Cf(<F@<MMjK*J15jn;E&-|P~<9R;bQ-u^n)#S
z!f!@#&lgi9YA5(lGX7+FTh`fwy}?^@=A?p)!rYbjRXnyTsb(@<XDx0z=W+f^3~$3!
zl^JUpWEDT=y^ZP0_hiss@U=m<g~`({iS29EH8p1?uC;%emY(DccU-=x*&{&T@?%n^
zJ<|*)3DFtp4sT-=V*hKJbR{wUd^ux&$EOL|oK=}Dj*g5mZzPiceVcJaQF->i8x@b6
z7pzZa*ie}e`|t4r7Y5cOnIz#@mj9(1I*Kuc2a?{#$R*90A=Dro^DSoLK|#ei9PA5f
zxRN*>V*gvkGVJSc6X1!t=3%F)5_6Y>P4bqKv_^)^jD3@CF!4v^c-{99`L&<(!=#3k
z1$Ar8Cj7g|bVr9r?B({il0WJjuS{4Kqnor)qa(ycW8WUG12T*&gc((04s(>u%u{;c
zu}htEl1hvdgCK*b;)mJ)y|{lhU0^Ui7*oz5&eC|JE2frx=KDv<3>-^hJQ=k2#1sWH
z7&fsOF|p4~>}xdqy)a1Oehjnocg~ODnjW%AeI^SyxHH~$Fix;y&-f<OY$7a^B-#-4
zS|N!k`M)nil?=m$8M`C}lK5jjFtE#bMkH|jnouXf5wqY9Bj=aoe=lPhi)0yeTP=hX
zJ5>K^SxTrhC~+q6{5-4^Bf0X=gn6DqZw}1d(cttj_jJq~0U?c|&TY<)2{wz9lsFd7
zVOnJ;{7qqY(l-wNN#zL<6Zt%z2*ilYaPxF-IQEZ&@yIFxq3<g)1m!2ZdFm3QRj~Hq
zf<*<dV`NvjMa`KsnQaowf5{St?<ztST4$7J{E|p+;#A`Ln)Hxkp@sIs3_%S8#u;wX
z4;5LGKDL%jQesJXv&F2aF@CbZ9ESRim<%q)3H=i}zAuPV3H&DfL237@dv=VnE-{BD
zt+^L*$3-zQCW(`A0%Ou)hT9xJIT$sQlNmZLCheEJlf=oWvX&w1;Y72xEXK^H24TTw
zY0odwf?FlBERtk9I8|a97Zu6qteh#p%c;6z5!1|0<D|`O0!lFoj4@xB+C4v1Fex&<
z>_`$}k>+P?c8R_g)6u0=z$VGPQGM0K!WEpelsLCOk6pwl8Ou0V%jLu77%^MP1-BI~
zuV}|?m?l^d=FQQ%SCFZZUqaqaC1yq9ffag|lC2xot0buy6)|Z$3S5qkS;M5w!X$8&
zG4{WZr>4_Qu8RUO`;#g;EIU1|PHYHZ+RyMMsVru4@_zyUWQI#jiPC~A{^$yLGfg}c
zca=%lVxNkHpy3N9sf?5}vH!(9Vi~yvC3!-pN?NNZe3A$h;^g|v$o+*g>j9&vR+5q^
zmn+l4Kb8Uc6E#i>zV_JBvBk5tI@&->C0@OIs$#rKk}t!`({U3S*V-w%3ng~kDX>%K
zlov>HW;lJsQ!!G(!h5NZU?Nv|j8i4|#-ui;_mdo!1#leV5Qxc^$-OX<i&I8Hw9v_A
z;|fL#I~Q4<mWwt|f)o<v6P27dF&{GVWW3FBxIwFhS>qjJl19D?6Ss!Gpj8iJg*$)q
zinWYMnvE(uzHrq)-#K-H&7A2ntK(Ec)~FP=Pl#rWQOQ>k)DJk-D6luiF6M|-rpIle
zrNWv5&PfXu9x8cqadUGD$tx9nV=`z_suoagKjoz;vOOtB(p`yxn^BgN(Ua9gvzmq5
zGsCQdMa7U^)~;>kOD5U!xjP;)7`h0`vJ|XSxqm}QP*jP<BjJ<53C|5zIJ-Gp{ifb@
zZJXrclfA5ohb3a)qa@Ro7!}8~nB_0hR2BqvOcPpJ`9Xj=Xkt~3Fq3SH4U5x+B{5Sy
zS%hCUNz}M)+@!dTp)mG;RWd_=BZEwDEW=I-ZjMDY`&PuVFzhnu_+Jz|;eSCa1B0GK
ziU(6en4?M)Yh-JZBa3c_HHY9y7H$ir13QH{8jeI5B|VxV5gUBq;G7+*J0=Nhs<Q|s
z2`pq<x>F#gGKST{T&Yo_ktOzjy{4W(Of@4<48u3@Hi?)IEV2J81zD0AnC1r+<;MPd
z$&k$OE@oRyb<(5B3@<rn_#fbn{ja<8uH%}Rcmd&r9WenhyW18d|GO==QJM9i-&#I(
zescwm7*>YG>PK#{ycS}d$;IiLRCJp`c1ETW6Sp7(_a%OY9c<c@G{TwW6TDPdb2%2P
z^0;zr<UCNsE}i^O_kY5qJ5zNWl_D*)PPn_ze99=tc}OTQ#(BmoPAyrsWI>Df78>Fc
zCtmQ&6Mp$&2M50{bIj!@F~%HDOZ0kHbQN=bk$LIDDs)nSL-fd(A0Dg|oF<Ae{_OBA
zQM+lqjKNc*Q~j#6XqW0mR)*s$9aApvQkuZ=Hcx3MM=Zm6mb08OE3U>EtE`{Q@mGi6
zgP&oqr?Sdj&eIaMLBb5|>ht{#IZ`K0G*F$+*XzWTByhgv!i*-)82<iA9_w%Run6*B
ziZS+N<W-CJdAL%iK7?<or_ofGho**|TH(<b&TuYPaZ+@?+sU((N%62&u8Pnb#)qCO
z<O&ZNC#&>xuC>ez6skSPw_cZNy`|B{3wM=RUDn@`Zk(v_RfuCh$95H~vk5w$OzfEj
zlKn!F#uC$$r!PI)AUSbyLnoh#St3(vmbQH03g#qcxnRAO8kgik^<GwZ@J?27WHR{Q
zDXD5HdQmcZiQv_Gmn$lt)uq^k7!P#WY>n}3cQG=Q2v$lw9%H$FqQK@A1&$KwDNY9`
znY#!aKP{cDB$azG$=lOeGsBZfUFDEmzQ7kx!G6g?BS9yT?=gMSrHkas&&rj@u>>n8
z@oMUOGT$}-?@+2_{Qnb+q^HSShhC{_BSy!rpOZ2*56$2abGdB!n&G>Z$=yJvN=^CR
z$t(re%pR^%XJ$J2@<4^@WBcVVzRL9q1$48$G<t3N)cPg!W3%Vgs~F{?&%Y2_DHm(^
za@SL{w<<=a8WNp_{24zl7=KhTj1LQ}dX*=?vS?%M^U1}D28X9yeNwPiWrb}~tq{kI
z!^PIGk8eo*u)(L}Ys7NX|0-6DUrRjg7#WUcy1Td)9BwUAQ>kR&w3PQ`eArligN-Bh
zzsKaINlH-++t)CBZE~#o#uTH<aNOhR&g2EAI!w*tLIp<+r!u)D&Eb%hUzIwEQF4at
zgWG~EN0`K78&ny0=!L{GoQYAAdXU61A)9$AWAeY#&NHk(RJ1=yXZw6pA|>$sjZBv!
ze#Qj<N!98X#SGu6tn6ew=*cX4dIbx&l2zhyi@!x29HloDCNZ2Y?OM5(W%FgG7;eRl
zkx74UpHunw$U%3-WcN?MOgNs)r2VLjn^-BBwBqIiKSd5k$@H%`7WO@P@b)K90b|U?
zy?!@B56wH)A+o?*fU$sE{3L__L5)8fRT|qf8B*VfI2k+gCZ)1xpN_u!nahJc*U7r?
zVkYAaYvFW$Zb_%$k6Du#4>T~dPh#fzxa6}XqfED^@~lk^jW0hous-%;S~}}noDui&
zfTR?b*WJmdKQvnzuRJ(OjF&@yPwr%<-!GCUaxtfLt4u8A5?24QhKYR=&#6y>mMKeT
zB)tn?lDeGt`Ksw$)%S0CJQKJpVXYFg;J4I|lU$z_m>Rp>ym`LsbN^iQp8w}ZHFi}A
z!waISs;mO<CkkBo^1`WT@)53|91}D=B^X)MC+(OmlK(%xGbTp9<-}UX7}=L;5{;`S
zA4qSw5Gea{64PXfopLY5Bp>t5?l4>MeTjjj>`Sw5=P0?CY}sD&FL@Y?6f_^i83|o|
z`DW6ZXoans;wl2~6+9>LK761Mxaxg~>}$8q8LUskjhN>5s)}{+t0bLV;eSAKBFB3F
zgS`qh3KB6v8?qAul2*qgH*|GyE@0$XIAt=|#fo1?Gz6Ka^-N&la9{ZH$ipAZ6Yh3w
zy#Ko6k;A_aG0X>@g%VyIaem;uA@q~5wvtBhN{#SIu}X{&<U5x5o@q4e5bn&7;+V}g
zgV}J>J|ztvR>S=Ve|%txxzRQEnCgl02`oaQnQjaplK->BGA7CVFk;$qPJ(HNb${nF
zU3;^M^|M4R#YHW>m=-E&d|oBRKhf}FlmX+*V^0h<8=EeQ+fRO>bkj)lM2zL{4zcGS
zLV0E?`FP3&v(;<95o?`1(a8L>tiQm*A1gW{Qn>gJSa<O5u$KC$qQcleW38;YX;RWl
z2G1)OTooje{1009HyCvW^^39ml#6-QY4B2!TR}ong++gb{v<|8-4n4pg$xe{PTFvF
zf~7;KLClK-(JD-bmVek)ko@m)%xqS(PQ6ZUYnk(67x)e`#w@cqo4nA$L!!BcLy6H|
zmEEk-k}>IS(vKCFICis(g2uW(EoCvbm?<zPhVj1YM2p4CIZU1NRfO$-p79jucWOPE
zX&@ByV1cpR^q5Hth0aEba6VQ!%E$TMgE3~SWrKpeSZA33)YwT1#>yvI%x$K|^370A
zDn2P>pmuLc+^3GD2&H#l7o<#9{Uz{1#aBz<+36{<N0V+jSsa+x$;~C{#JFQ#^7|>V
zKPM*bI>azFcKJl+n1lN!#Jfwqa1xM8GVtJ-Ae8igbCZXV!p|5V21WPoO-s8L2?^d~
z><!|d5Fb{_Dm^8>>$!rs&6M~@J1TZhh;LMhF=6m-`LQDAH3O##le@+oAr|IPuFy^G
z9sBHJCMLNuCNdq=JCSrTMny8|V+QkYPmeuJGY#F84*IbO%=^gf$<iQwfHCIcfkMH@
z1_hex9gi54yBnBJY;TVJ_u>XGbIiXOGp1O9eT>PB+(%+=1;_kf@Z0mnCIPX1Q&N7-
zPHH^Wo;)o@f799olPM{&;W<fDQ&Jl^a;Bt&H+j5cjETLl!h(0fo&H%R1;1+M&$e8_
zu!&Jic)oYe%*>C|ljqJ{=BjadOAJf8mtM}47w=axd|*tP-FIW^i@3^NHjFbmH!-tM
zd9im=iZAQq>yMa@T76jYq%mdYeL-8nNL|*~OmFW`O-Y}?c_PkRZ=r^=W#R2<DQkJ2
z{}(UWWTDIRnn8H>?74G{{gdq;3Eg}(C1rJSN7=c~57Sc0<|<Y_3E*g!shOTqduV~U
zZc^K^pVN}}xoS%!{jK)mXO;X^lRQ18bVAI^Bo1+<qB$*1otOO=EXg@FE#>|y5%oMz
z6OONvBHF6Ly75y|u1+!lP43v+bO~+RaBq6b-<4{Gzo%cAvUJO3mHd;+lcy&L^KVO9
z9yrBEYI^MR^PA=*?O@QE8mm6>m*GOu6&KRxs2=!wLVn_;jH#}zyLMD6$Fp9X7$k9P
zw$hI98+SKsXkbj*p5($JSaE1dc+=jbY8Ler7SEMGC0ZAhN5nEpEvZS^krY04ef7@=
z)B3+@Nh<%_V0Vad#&OQ1ofCg_9NLg{W@qsUs}~cZj>Rnet-R95P4PtHi#bWNp60Ds
z*mJ;Z$;sAbN$m=5Ne7eqb9|B}%IGleC=y$6se8ee1-uE#|Al||JvklJ+`W0}+bIvf
z=JZHNuR3yb<(cNmI!n%bdamH?GJ2tYaKe*42c#xM9Zovk!NJayq@9xF^1^<>g^x4%
z6qu5JXc+!7Xj`GCQ@{8UN6d~Nw?bo*HvM=IwPUUX(+MB$g+F8EXRtBdobz|%l`H(Q
zoLv0cN%E6gW7@noSnuUh{J}p#T4&)uwu|PnN$oqoX~xW8IJ#o5LwM5C0|hLsD}ou5
z#AbK={qZYjf~){%%%l%>tW%N-eE&@R?<;7MW83wS?c@uuB%`YgpEne?o!EI!psqkP
z>Bh~5<o|-Pj0_xGdp5408ok!+K)qR4ZRLRtOW)^t25L#Ga5NuVHFH9fyI`nZ+l5Z1
z1smNZ_%?AYT<}riM7SH%#Xka(ZHJGxb1dzs<ypF`;Xq?>%odLA3K22KIQFtRUi|SW
zmVbpZQ{e?J=EtX!8eBPA*i!_nr|#DFRA}0Dqt4QXb?u~m(|w-GOU?)rmXeHd5=h`W
z6SL{3(ghK9fq2Oc9}lqF$xQG)c5G+=1omU4Cw4cqBr~+EdOw-t5rdq9W73fe&L@7x
z1ai*Vz$SU)LtoNKLxr8|`#<o_nsd?UhJ*2q|C3lxT(XtZ>Um|xyzc>fjNFcdj>l3R
z8eK<P8E^a%W|Wrh?>wncZXtK!iR8imo!`z0cZoc_XK_KPCi&lvG`YEK{Zn`))IwyV
zdSY%&x}9EpOUUoWQ-#Yehq;zLl<;s-Z|ZPT5O6tk>80GI_k1@Wa>o4VPO4qwrm{1N
zar>M-NjD!{5SV-;aMItSr?)pU@+NVzeB`*P5M>i1CN<%qokY{DV;nD|8(I%>TuMGE
zDD}ln;?>FL2bSK_(P<8v#B}<`>WO=gPm+Apaa(7~RK*yf8^^gD<G*xI5<XS_IOgI7
zj%ys@3Ng=596WUT2xIWWnE^@+x|XMWHav}A^U?3(F9yj^jAy5(M@*d>!7*uHi}Lg2
ze;?T<9;)CFo^#>1)Hel=B)y(p-4jw~iXERi<&xjYU5Z!SUd%ml?vsK0#MBRr6BeG}
zNPMI&`8oTM21ov#2ihI?Ux@z~V60%CF}*{EqxlcR!4q!;Dkpj<zo=vWkiwXBy;D+0
zW0&-UsLeBZ1Y!?7;pCop>d~B7#>=@ai;mBVOP=WWaoXG`cNls;6!D~<n=-ZdVbYt4
zQzl+8YW#5Y*If^eOrxKhI0_qX{@7lYBIG?yY@&wLly~Wy|GYDovY8`1>EZ>8>Z#M0
zdkZbL+i2}QZTd@*cAKeF=Zj?OOs}?Ko-$2;37_}$+AgugA5&&N4`+QmZ`zC!mfQ2D
zO})%}a+9!oL*kDUa!b@Id3L5S>Mni$u{p~_bRxTD6kq(EHDVJMpX8|gtv<c;a^<YQ
zI@9KV;^Li9^mD4}jh-nTW)p62l6cnh&y(dPtM+M6{T(t&g3IPl>X@$5-_0KB$9T?e
zs_Lc=W}XeVGuh%jnG!kvF|pfC>6qWJZOTqx_SF2Tc8j}M_iIH@4c#QfIjK{V$NS+I
zPsXIF9oma8tYkfzGa>ax4BylxIg@wWP3e%I8rtnCQplt!D%dtTq<!W|e}M^hhu-Z=
zn)+r5w>nF#pU_1cs{;?rItot;-er#Ne5GvJqI|0-LN$JB$Ni3&2@$uxbL*$Du}<rZ
z=J2bT(onqMUMxcoi{^>BR_mWIa&i{N{(qG1@ap)KR&S1Wg_*GoP3&>ZjkDZiF6qQr
zUp&}%;|=c$cUGnt@2NFK9J@a@Z*nxARHG1+&v7>WV{1c6OXLwodA|cXjrZqGEAvV^
z<F@0Ha7&R`*MzuJtbz|8N;WG;azALDpmvJgmi@wU-WZXmEWSS^Qy6$Vk|uiSH%xWa
z;uc#l>!!fe2GyR9oYt`3>xSVj4CXI2bdPl^PiwqfTRp)nMWVE;;^WGTCnOqgS{`HU
zY*Gr@Is2yKlt@=s{Y^5(Q=0zxa{o}VQJAvAZBM7d_IYy{QxuL)ZF*_DdY+EL)F!bt
z{<Amq{t?|g;k3nqt($}ux+fH$$f{jATPdfu(kJ((bWN|*9K8b?D^9p)?6&#7;>MGo
zR`OGuK2B^tp}D!?@bigHi7`{2X)a&zkv03%&9|M*`Tv^loSmbl#PBhND<;Et>LWo7
ze~JDL0*Tx<-S@;+SoN=%xKX54u-V{z=SL;2>F1b!q|Exx$;QqY<EwlAOjbS1mx6ZA
z80DUrzcUO@&YGxsvL)|G!SBZ#S|1+>vbho3BFw<a7&AeY^;NP9qr6D=1s?Z}tkdEn
zCLF8jaw;l4x^n8It|^`ex&+Q|RhWDz<1$a;<==dXo}85|;g+8!cdw}H*zD`ga&G74
zxzdlPw_RkLJIQcoCI5Cw_X0&BXK{gi%StxRsjYgFLaS$<l<$;f<e!o7O};~(@nco@
z!<jY@V*k&r-`wE$A+}*r<x0++PUj+q?hW24DwdWv>_2v7dpa&=@KyOLu+969|Fnil
z!h54mf4sGMc2|e>{x2J5x>}vITey7wjk7=JZl1*9DfrQH?<b4-4~#tntGH&XNKQ;(
z;`C(PS$n=@`-Iqk&zPm8B<6fuKEszamSNIONiIgG1v-b5ymnMOv(I=EArmtpmnCgY
zGQ+0%orQjdJqzavYBG2y|BsI0R!C-89J9|smyiF&9QmXJCb9oBVw^U(yWbP2JEG>`
z!a1XkjVb1~phSrXhZ|F6!?TWcM;x4@AF``4?Ar8^DXe>g#Lr_JIb9fUJYrm%%+MX9
z)bG%$E95Ev=#bZqScWST>scK3+9v-O%Wu5S|3a|qdB=r?&m(La)^%KFT5_f1rm`Kg
z#_<UPpI03{AQZFvK&JCM7SSiSnbcQt33fU>lZj<q$sv6t=A5+L{|(ORvu+xHF#a^*
z+QiHoI~!}J9<zDUvCa&2{$BxQ{(r)8Gp*SyVy_#{$WJ}`VfMyv6H?rz(<);Bb!Djp
zICxH(Kf7bf;^POZj<4?SPP)KibD(e1f=bCN9iiuMFbI5I@$#7R1tp0uk1QuNGd7%O
zj5(O(-WKyw*k(tqq9x}W{!8_-+zmJRA79{{@MLqF!U<WMFB7M1nrygJ@Yr7AiR{S@
zS88V4Z<^@UXC`sx#r#<pdrFr($J`e<QSm=%Qh-8?bIig@CHaHvy%kq;PY8%nIxVmw
zhjC{@OmFP}&<kB3cdR++6?3gIB56W`;>FFZH@Q~tzmu|<Val10?ZGLXixZhHEMXC}
z{gU)(W55iphYx<tI{e{dQs-I^C8>Z7Iv-|8$aSbh#`ksSEs?M?Tk>@0-l=^%b1(Ew
zV*bvtUGu?_1r4#QCMZel;Gfucp!>!A$Z0lRALSNomgAmaw<$}q{03J;GQ*{$iQTO%
z62~k!53qM|CEYx+LT<*@^9PjDj_`T&GUqm&m^j%_BKC;nFToAdDkpcOaP;4N>=N-x
zltEa9e+6G*s|RC}l;H0K2ESbl2^`Z}WA9IG3O3u}YA4avw&^ZI=p-wNBbSqJYEEdH
zsFZo*>Dehwo4Ec~OqtNc@iif=G)8Xnh1C!K`z146-cWah^Sn;4U31bkgC!NR6Khx`
zc8bRSpTtnD>uc1)yxfvy({BsTB%uxelfE1{%zPn9QA{BI5x;}Q``*u$nHqjJhfd0^
zPxv;g|MApv%kGH*)5@1C?u)6?Sj9haO1u1n*PKscayG}!5ZgG(sG_5$;d+c=(i58n
zSJ-ZfeU|R;3ZGHf$}%bc_y&Q;H+mHrcPyF2qS()IeM;_gZ;iuBg(|AMo+UZoDpqbX
z+c-7WQ}Xf6TAqRjxs#k9<mU?At+{xh=aa(1DQPau?A%HR(u~+8E7cB8%ZT!p(czr2
zK8JOKh|ax^zeltV%wSz`;P|Jh8Nt&l9eQ53PZ3X5YN-fwtXbwgE%RYa(1AHmER|=*
zZ``;*@JP+A9Xc^p6C6~YG(2MvJv}Wmr+MSWX;U+w8BWWH+*Kjg+0;=Gvq8gELu8`O
z)Xeg``WGWSH$2?UdHL?VshRg@)qI#UEpyKT)>fHmNn35Eq^HkWWHU8Aea1qYDd~G>
zZ2i0?_2j1w6Lk+WPE3+d@}HhA_Tg6bw4|w%(~mDWtIiZT|5C`*^yia)giQ=SIz2u3
z-jt+MQ&NM^_Dx82inw)e17pnV2Fb@O`yR41HysgTn?5mV_J#8PGZz%kH*od8RC4+8
zL;TW4u?Y#s8|E;5C~|(m%r7@F{#w$+<P&ZmPR!VLJZWMQf3gjuz=TQnCi+NRZ;*T-
zoWv9Jb+X=#e~b6n9C#i#CGl1Mx1`P~I#c38WAi5&ZvN?BF(s}q=Httw)8d6<?3z!`
zJU>0&{_f#J-*hGj@GnX}@Oxrh;)w(QCBAHMI>4FKk<8FA$FG0Eby@ZkTy8N385vl=
zC50tzK73&@(}dXLM<>i;=7>2f7xTk1On8Q2&z&0+rOz(h);}d~uhspjag`nSK2M1|
zx^KgL!^#htZ69y7Us(EaYFy#Y4XdwBFFAE#&yDHZtWGXm!O%G+?z+wD4{{Z<F;fb;
zW5VCWESp;R_Jn2vXHwUTu89jzOo`2}o@o1TN?}#^50%M<=5{gD)GBw|HWZZbHE-H@
ze@aa4&z-L$G-oQLaCA?M`8=U4ZE8$+2S;ws)EJrFJ1T?or<QHyTX|~d!{-fszFgfA
ze_|%c2{UoBCO^13<JHmg`z>#X*G~ymOj<2qXr?n$HGW#$y(z^x6XMn^n_AqPl=EjD
zYb=A<>ZbSEQwvi&e9!+#Vg8WJc=(~#wdqB(4h7mwk2;<-!Eb(plRbaut&iQmQ$KLF
za!v|iPiEXFGi6dL)BEY6mi;q&8ikK{IwegAop?a*!Y1}4b-_b-odo_K$)1pTty68z
z$;<|uqxU_2PA|%yDq}fWy;X39y?FnG`KJ%>nPQRq(Yo-)O&Q4>;@pQ{tbR3xEvoJ1
zLZ<0Odv$*uy*4r6$E&GDn_s?tkaTZ`j<O^Z=QqBI#X6lqau;qFu}GZ0Fh@w@;>o=C
z^;3#ZpRRbN5z%{f&(xBsoWW}*mYif_?<kzln_qVD@+S_<sZlkX7#2<`zpk#f(SM46
z<RsNK6U!`b&3t~vsX@e5<mbGpYjmdsPiG2#Go@teQ<KvZzbWf}-N-ndb!y4AQzDzE
z1_k{;nlvT%w7$igvb)oBt=NBTnI2PQKRM@HPsW-lxlcY!{xgATgZqLzA3jfr{OEmv
z?;ums%LX^;N%alRW)qF<R@}(fpPsWZ=0>CBgX-B0w-{pnY_1kO?lk4XpO}!Yq#rMp
zb8bA?#85Tk-Ot9WG7U2`1Q|MRF{&nQ(lPW{wc*FZD?Bexu%z0qno_WI_qMe;m0fct
z#B>%46^Q+rQcy8<#>W|tBPV8U+;~%&S^ZOY%(<r46GA&DUTI^DnbIli$)+#3f;sZu
zEaoKz1uT(XQk-Q|3%FvqXU}e8Nt_fsoq2ysX3E=Do2M+C%(j1@YGe_^>*%lQn<VZ}
zDJT}Z5xt3-yJbrLqnTUU|E;*&Dm2Ia{N()p-%rji67OU9P_t5Y4dX(Q6AXWPeuvpj
z;n$zl@QFiiTEXL<mzy6*RrYZ1nbBV{rEsThL+To-Y1wx^35Pa4`Z39HPg8&7)Xblg
zd0M9yzD;4fI5qh8lx(l5?C+;Zax5?s|Mb0R+Z6w~S~urRcs9xH?Cb;SKR4ApUQ)!)
zIlI_y_IBwRr;_9TcRtmbdCsou)27qRwvE%&jr_wNEy&GzyfsCLMRLyR&09(*Jmpqi
zak7`AE8zG<;n|EnZ`w6)eme1ICqv~DvyD?2-cFDG+*$K%%KFn2lxEF-e7-YNnUUFg
zo?(=VxdN}*v>8A7x~Im5@pYF?Dg2dME3$4u?(DY*L|6MU%>PtTG$sG@$-0^z?WF0>
z!90s6ia2CV{BnLlbJq%6SDx8&H#j^wW>+6^QvUO0c28vIZkre9ofsuz85u3@7WjTF
z`Eqs2v7_wMO1-4lTJ&#y%{KSMIgOBM+1qC3%2#(jliL|Gd&MEmTYnbx+*p`hGqLX5
z&X}54{uN1IXEX~ku1o&J=s0)jS|zuIv)}4AO_;Oz)<jv;Na3YZ=X=V{SNZEDEp0k~
z!j`UIf0lRMm@UP@$a*+NNPBK++=4C+1!I}m|6*!09A-~iIH5uO{<JxEKLqA4nzLb*
z_?~3OE$b?locA;?__tA0r(@o}X|r#HzIeW7QcJ}8V}GW!q)a~UEAXu6^MZG)Yd=nC
zP5SmsP(&#9!+(=lh6P*If*3YkX87{b?B)dNkDJb%V4Tsj`}x9${y{2cI~L5Ikn{3?
zJ0pYgnuTGJOWxSb?$!8rQ72Ek>(;)hS)DP0NsJ3p?Kd3>xY_x|Q7-Aw1lJnZoja5Q
zS~L}SZZb%Ax~-X9(Gf7?-~>1MFwPk*4+4^OyIdYG{ke%TNj_$-_0+Z>Ng^E&CUX8$
zWVsR3bU{#&Z<}=IVbh*uhLyGx*ktWDWk2~G@M7bIiHdqFBwc3|+pR9sp4zS2+4z&|
z-;7n0IXq{aab@JS>o_uh0tb_L&x*Q9TK)_waz8G8y1=Tx<6Gr~K0!8KN$V;7-E6@u
ztnwR@|4q1Xv-9~Ap+nnFeB6<v#<BNy&*k4c_HCTZqP)^kf5q|}j7dAz*BmG{yTa7H
zgSYX8_zmZnCDSH;objNMF_vNW#EGJjT>cxFD`F;0NKD$W>G*^V+^empOt@<{fqQoM
zwVf%gUp-`NCO%2g_E7!VG*QxJ<`H{4sYx5FXI|Z;HE;Sk)1<J~Q_k1yc)4k1aNmJP
z)B28fAK*?l`#<&ck)-`xTgy8Ra&<;>ZJg-1apg3DEFsp*H#sg(?6o<mQ#QTF>ZZZ9
zi5^d+4s=}pJjqI3K;K3y={MsW#+lxpO!bD>zRl))!t${}#C1dDkC(|)uhiAWH1oWf
z(xs5(y{Wr?mVnz%wSfLj8fvf3Ok_Opd8VxG?8#FPn9JKw?N&~TX0%L+S;aJeYLC07
z)h5fM6O}japCGQJm9SBYv3=Leh$)eR26knQQsNVn{|9!o$ZlkMEIp;Ki8D!}@JWRD
zl-|^P=T^Ac9JSjvPj&j`qX#ogBiX7agih2{nh+&>lgoQ@ZX(l^=bIO9yfI;-AV((C
z{0Av3Kf0=HwEO6BlSzNd3%j|Z>C^gU^{4a7ee|{8!CrImRLCF3i5nG?+L`8BPw9J@
zls&mXm}#qw_N2bJ$s0MYFdY|;`6=;v-rSsNYjP*3yJ{Ran^-q{VxFPi4dzMtDa@P`
z3nnvh3gq7SH0@cIc2jEDESU*OJ{*asqx=;DH*w@n7kxCPPhES`h9e6Eek)DdpvEy}
z{-^5`Hk_T@-!&mjUVpOqp0+9dIaA(zo*<#jb#(TWw-Y2~Co&#2bg`MXe*U+qZ%bFI
z#;!duZ-eUO*O60wCNe#pA$xp=%=4394{|2WQaYA2_0>1qsK~;DhaRaoE3>fX#QtBy
zuqI~8%GiHLs}*7ywnuEJpR#h=l-KIVn2PKee{`EMUGSg3`R=rp+jXYC>Wwj)`trHW
zk2CwGy!bcC(!Kx8^lwvE6zx}D`Xg!Dik1F6y<4ZQn7;0*>-4?5Qg2#p{Q3R|<D4TK
zr&%jooc#Gic)_L=r^1Oxk565B>gp<<!+WQ!s97r;e0xUnEYG)dTA~h!J>ZPVTlDbr
z9O0n(GND!aQ&+@CpUxDF<czUCz<REw`;n$HgYf(tlN(dbH%8q&xXAd?^p#7sugdhs
zO<A$;nryFbf<UNT?{TJS`QA6@w7!fsf4Y_Vd5PI`dq({!E8<_8zMRf{c8<vO^`$1S
zSMyERJ#t>|p<?BhQxjM=&iJJK_`3d-72(khDie3FI}k2<ve?6d`}B1=M)?<Qj3<r%
zA9QM%JJF4SdCJPUyLo=(#8sYK(7ICRWbmfS_JgZE7<bo9{>1QnX}VC%{1-0|$e!)m
z+C6Q>^m{!=z3Qf~;7VF`Ev8{o%^{0pjXzG@eLQu=(`PX&zn@?{z}|VOf7&+jNXLV{
zk2*h0_7VNaad~CuLuH4<l?UZd2&6c@zUbV`c;m4zGrQeEy*S3{E23B*f8FF&IaNDp
zZ&|O=Dhmb1q!h;2Kkt?uun1=RsKosF!{K84X)8Y_O<~w1{7^-R*W<MQ^c7Qip8t!A
zN)|hRX!gm*iRz;IQ&y-8KK6{9yd#wV^GWWnQ&;+}R$)KEE#y08h5vk+*Po`%PCDrS
z`SP7dvm}mAS=lA``ctRQ^XUoC|4v=8gpYmCl$9a(F666duqSMMEAw{RiseD9Pxoe}
zKaqVqZN>8z<)<-;Q&-N-%jHp@wsL8_@byPRH=}0d9i6_)OH<5Id-}@xCd)TXS$X+q
zNBZZ^*=pMM-`F;E-}rJOr>B$ez{EXMSKf)6w&Tr|l~>evt-iTy`APc?k7K5+EI!Jz
zVr&281IMSV@V|YrcH*uTH=0~o>OVay|9PWwrcUDb3v$WsedcRc)ka)CS$y!e-34t+
zE1{W}&XslBG%21idUE%ARJRrr--SNgH%u`%uXi4t!)FumW(Hg3+;f|6Z2WoW`fnAj
zkVi6=77JzyZl1dG^Xbz{Q(s?8Vw}!0b>&kF|0#XPR-8^fp~SpCCi3}|8TYT}etUKI
z`kraaH<<4{@OZ+@%{TV3#WHk6eyCiLGr8;e>FsZ(FaOANp=;yC8(TM6Z+w?L`R&IY
z$?A-{+ABBwVV%L+!Dz|CB>QLD(#iwBni-Q`GaAZV2)<H1b?HHYm{U(!9#2{N_SOuQ
zS+{PO>Q9h95~h$6yK{E`fdk_Ier$-c;OTmExRdX}of}bGS1egGRqn?98^7P2IQzgf
z>CScCN`|BNudhC$5fe8_rR&bM?cGTWq)eT!v_!pLVKyT~yTNnQ0-n1mK}jb2KHV);
zufFr-lNA4kJ?VF@zn5o>xtDnNx)_HVv;K^pO$CWJGz5=F$lGr$Dt~e3`t_}RJ%8?;
zJh{SodeUvXn+%^!R#Z<&QuEN(U`&eTY5SQt^WK!~>RZR7*iu&=zjx=FUR=_Qm2;ZD
zHQo6<OZGV9-Rt+(velQeJk=Em_-1+g`WE(=Eb?pS|5s5cSAQ^3<c83*4L3OxFa9)o
zedn5X{)8n-nX=pNTz{XHxnrt`VD^-ybJbYZO!GR)F?q_8+p2<sKM!!OSUvFo|L%#l
z)sH2wO<wZm?)9sl2P#<}Pgkk=bNBPqLkHj7yA~?s%`C+pS*gR*Wis)E;8*+2cdvh6
z&cl3v)`Q3=BC98P<?=j#H(BQAl%=M+;ujSqZ(iCsWy$L4icEoZ6Jl;C?3=oDYeLeZ
zq`TMG{z`d}bYqL}gN#p^c6Y9Y*M3U+b|YJjiQWFN{hBkq@e%j0?~W5uk3Y@1cEiK@
z(I#*1U#s;r-XY<y^=I2jK}W4e_pje7-=$jk>ZwZ3y(_8N)0UJ9CQaSXx+Y2W-qngJ
zOEym^c8>RcwaMqh^d;^=3g53yS#s}ULf68mHB;ACc3j`waqaok#jgCOCp|vinR|Nf
z3V-<s-58gb%%3MLK3si(U8?;@GDG8&eJ7`FzI`(3@RYgH)0_Nrrnm|jsBGN4r_w-Y
zV$kG|*AL#GT=;#`Jo}WG1yk6jY}0Q^%40T-VLw%<yxhpIddjxB5iTV>LFp61j!)P;
zpF3pD1M38)n43TP+ZSx?s5g9+Jny!6_LMDKTa4G-R*IZ@%K9c}`&)sZPpt~3Y(INu
z@!6yaC)6yKP2%^yb|~<q*XF4^r%m`~E7;h&c*1Gc=NBud?mRnTm0IK6^wY;X^H^D9
z@^AjJNM<;(dG;jpsXMpdYUG}`K}BBw!k!JWj6(AzU-GQ)zrVq^Lz8Fy{tb~8<)^q4
znWohoKRB^_>X!Zfa?)=<T{?d2;LWL((-@4WPn~;2UOeVZK7UfBg!qS>A5Y{n$DEFt
zJ=5-BjIz4Kk#meU$``zixcTezg>Pyb*S`54^Fi`wlkw&cA2+nLm^{Am(|v{O8s;1K
zB_29uPv5>VcIvC5TQV9`w%(7svFgo^YbO~#Y`iMRXn*3i4dWuUdsDXQ{9v5G81rY<
z_DN-?C#z1a<oa-J-i~=aPZr+zBc8uO`q-J~>3vCWX69Sp`SWe^)-^W{2^=Z-%g#Sz
zC)2f<Nn79C_%q=!SILA9b4dot2eWUg2%K2Ed8XeXhUPc3rfk{orL*_n&R=_`Zw>tv
z_I}FZ@=pg2OyB-Ja_XUJd~+v0KR$Ka?UNg7rk$8KOTS(6&cEr?PtTn2$vpkX`4f_7
zCoH@<;Y0H437>rh3m$RqWqh#c_yhB<8M5N_6E@wOy!p?G?H~VkFs4n|eExwg-$(5R
z^$(&+Hzsd--Vk#1V)2iYkvunkzdk-?9pg;Xe&hFVrftzQ3G$yKc~V4v()rmOub)Wk
zO!+*KFK~|d^G(yXZr?F2MS0tddFSWGCv}UQpIS0&>Xz--c|$h|wto2O{#~f|+sVEN
z#tUMfZ~t{-l-RL}iF1Xs60@NF-T(829`BjHEb=LXW#ufR>C3$NRO_BF?3*lcbNXXj
z{pSoXd4HbxA@Tpt|MSz;xYykOTyrAk`6IU(?tMSa<*n~MJgu;^Q{_g7?w_eO0zFD+
zto3i7Gg7=UdG@!P0V$SMtJ{v>{p5f9?yZkEywo*>b=f0DT&(Z>(BBf4J>lIaA?LfF
zK4-C~p5!{ll6?0~H_QHAu3E1wd1XIo{+K#tve4f*Q`b#7dC5MPr+ezU<?B=Iyqb6>
zTSr*Mt(mgE=Iy0uS4XdH>sJ1`_d0fyX2%LH$v5*W-NdGCusq48dggW?yVEIV`%O%)
zeVjQBFDJ6ecHWkMyrE{|xuYxW)vRl*JJ0%cgc-gtYfJ3z<x!sfX2#ANmnuF^+j9A;
z-Q<<^PdTT}<KDMzQ%mF{mK%&O=S`OQIBn~6ugHc^N|yKDhi^)HH>E9j-dyfJ?hR9H
z?We5w%zVPIao!x=Cjocvy?g(6%GxWQGmSDX_^ma~UQ;(^-==9RBj)mao3j4$a`x{}
zwYE=N_k42RKfQz7CYl~&d^%G;M(N&+B4zJ_H!;7aZ+z2tLAdt0r1g%+eT(%OcN`Me
zpT0TDY_m5{-Br&u6YoXL=UFpt>lD_lPqIEOu23;ro-Z(0ym+o)>RZ0~6K`z1xbV^A
zDF0%SH!PEkC;s3yoWShQyf<w6POYipM`u_aohzi&;4;5kXVNy&_l*XYO{V8QFOr#f
zlkef{HbzdH?%4lk#uJ1eUl4y__k?HX4f~^$TGJ+;I=Vt}HlyQ&7)8tUg;zx<GV$^%
zurfV-^E2zm-E>d>mDMwNRT@qR-mI+5n6g{2AnE&q7@^qz4hw$vTnN3eP+ou5#&eys
z?!S_MpFOGL+K1F9eCrQgaN*i)nR?2s^ZAolhE20)PHTP~<0Vr)XVDUdwKgxC;wJ6r
zC}uW_ov=gJk8$@0W@jNLPsaJ)+%uN{SD$fINMsL3?1Y^U7-LrC_;PT+c{Aaj#SD>%
z*#GHMcCGvwb5c`z7t;d!3C_nhy`Qv$Kh}kzn_+7o!_GhAjXliXu?+t%PdI#lG5LSq
zEoHfqi42!!al}mwWPci~@INA!VI$KM{pmY5aTHD9Kg@YRr|Y1d{Ws&=5B^Lz@niDN
zjT6%y7-Q5YRVU4Kk)F`i%D5o1UzIsnrzh2HZi)TWohNnY&9MvH^YDc6&v|xhCO907
zWq94SOj-ENH_1tFb6$1`WgZm``X~2nf|F-<`J45=6RNLFkIwwt!+2u)Q$h2ek}gvV
z6L;;nt7y-CE_=o1Jxr_eA85o(_%9fEE=lb0^w(yLGrvD&u{<5&FR+TG+mJ8$zut{l
zhBvKj=cgR@&OH&-%Xuou{F~H|J8K%))YJ?jI~PBldvi*X_p!&`54q>{-g|yCX3F7{
zH|Nh=^kmPZ!x4|PKTkQ_l$68pP-Rt+z_SfKvH!zvdbAx)nto*N`9(|TDX!Twqc!r@
z+|3WT(<Y@JKN#H=kx~&e)2(L4vTv(RQzVim{+Ot6=b)Z=8RLW-f4(>0IMng^nfA7+
z-<I#4cBo?dx26M06({P=<GLm`d`QZglyd0Glmq9k-Fo$dZR&xKw_c}jD19RN{E5ff
zr&<-S%UT(4yfUq0JWx63gWHL2b2;GyW;$Pz4lY@v)v)RL{abHUTKt4&eu}Z(HSOV~
zud*8-Gp0{_SE)bsVE&E8DjVM&^h@4(V)7wZp%3RC+@ILd-RY>3^u(h~dqU@%m<fkB
z#60X^V~m-|J@ZHa|HMq0x|oT7|4ckQfpfvaiHnZTbnjkQly5)vQ0mlYs$~K*_|{B*
z_Tk<PekG=l*(>HAVU~E$yf8}L<5%|*fhmulpPI>#H2KNVd-e0CJ!apR^sVZ|;S(J~
zvN!KaCu`4Ok()fL{;7hF;eoYp9!+^rQr7U&c*o{{cW>%NDmYGkpjj&O`d-7Q$pxDx
z&2yD{t+F7(#zU^V%S*dx&6J}bVm7iWIl5e%_`oqDx9Q;#%RaehQu0RrQ?8`Wc<L{A
z|Jt3Fbv++_PL#L%zVQ9?b64d3ZOXSbS|gcm&ks^*5fz;JV^31eiC@lbF%c8~B;DPv
zYMfo^IBk}YpzpNv`B!Z>Ic}I&@o38Z<yn)Wg4{F>51$icVU0aK^=kZG>qP~tTPI00
zRaL+EHf`$F=wCm>rry6b;p(F)_m=8Rzud{>%-E4rJLjPG^aY`lKAmmacD!?P#I(y^
zA0E|Bx!V`h5Hy)VddlUeYo^`*A`x?^Db(`TtwqZ=KAgm|L+a7Or<-R+|D3$%VDi7I
z3-r(L`_cD&%7VGuA2uaVUohWx+WGAh?}*DqPd}%S%+Qm>a>;Y!shLTW?;Pixa_3fE
zht@>S?JrX+m^zlNdBhzt@%H8oYaid3d^q;s3f_}HqCb3;d$1u%J?TY<Dfj#A9}mQC
zO4bQ?yk&kL`|peWhKB~p|NiYRGkYnsd&>Nh+Y<t9rkp7cP4C*iamv|>iEkdqOgMWm
zrtPEKp~flaibU^bH>Ta|2>bJZH6do<gs`L2-byF&Rh^m99;?I9&~@U^@(GcZQ{Mib
zb92KjaoPRzJpYtUoql`L>seFIo}KV&{-m>KCv1~XI#+-5_>{BLro7(kwaMrY<J1eG
zx3|p6nSS>C%4r|&nN2>Ed?BuZL$s1X`hk3M%%qLB>5t61OYG%3X2joQl%05H&BVQx
zGhVYNS$~U}zA?KemhpyJ!*bd6lQu?9NIE*9@)%?HzWTB|^TK$RZlCnlw327(gg2WT
zgOA5dP}ko#_0*cFZ)!gsa+y1EE2n<W&#7<zP2G5H(~j@=|4f>GcI}!eZ+}iY^JD3)
z#E%bT(xz@OujH86BJz3CT)Ei!GxQG5cr*3g)D!nj>td&@f8Bd0VA{#nq=_fZ>reLR
z{F`)P&xcd}AK7PAcTZn`bzO>#<vgFMf2X}pow6Z!|BSYX34e;eB&-oTkt_MA*#3cK
z+!Mx4(_|wqhJBp!HtbqMVF%}dtnZUvo35U=;jg;H$L{Io&jjZ0IkoZRMaM^}5?2!U
zPg;Lc?CG@K#V45}r>xIX*VfhVF?>ID-^%x&r#=aid^D~3LsrtQ=_~K*ZM12bvS3Dk
zlhpaXQ&Ojto5C5B_#6DZZd$MIczt}r%K9W0?hg}J+1|7{JkzoF<?C(ojt(39lYX)2
zKVh;sI(^mtNiM9nCd=;bdi-PIbXTUbgqYr>j0vmllN)FEUS3}FD6ww(YHORM-wUSR
zyO5>AZ5(Ai|Ls2KauqgSkK9u%EUGhH>p$_OPhWj6wK3dYdhX`7^)oa#e4e~;R^*AC
z**{d;Le6ux?4G)&HRemV+0loYe4qYLpMEmJzVoxTT%*wZkI@Sjg!lX?>~=Ul;i~qv
zj_IGLZJggZ&3qzbsFn5R>8tO@aqj(OeZM;-;$h3i32UTc_VF!IN=ayJ)ZMso(Ffis
zGw(&QsIy#*Tqyiz+I%(9-FMkzKe3$e(}-Mf?D)jf*~fl<?Y?MNck}Lv&wNSKSLXff
zu6}c?OM~_J?}=M~PFcNn8hhl#eP91fS+|`fvhF0;SKgT`w<>e~-#=}2Ca>m7&g*Qc
z(}QdoBXw5VpW<nsx;1Yt>;5R7*0L$jt@baN7};&o^X+5z+t!x*Z)~NzKE|$|vT{#H
z<k@M9?56WGJQ3J&=ZWt8hI`W%*6+G3=J!cr+0+gGk0iD+&S;a(pMGn;0pFho%!c+e
zxXpez?4Gjd%5?dh5V7oOQzoZq{aDDbXYzvNhc`D*U3mIu=gnlsTc3Gjp1z*4@Jraj
z(|_j7PQGh%^kL+z3El2O-2bMb&i^|w{Qo2W1a>Uc0?Ub@`&QmeT@deOvuBfq$*g<n
zQ&w+mJU4Gi$Ny;#HdCL53rQXSd(-H{)FayV6B=%C#!Ok5+C6o{)P<#!3|dx7+}#k%
z`1bzuX$$1-XT1~a+;Hvj^o1LzEZdMYec}0o7Rd}N9p_DbDEg0U;=+v!()tuP>oh#B
zdt{{IBx$knn$(2Uj}sEpCa{U0RJ^DW`-rvsg{=5bi?cg}-hA=-F_ZO)@{Ng$<e!>v
zf9`s`=YZD6n4SZt?#*~MnI~(>H~yqu`I8TcJ^KAo)A!&6!HUQKZFZT7O%R>r#A3)G
zIg!uypx2=!rr1e7)@2ix2D152=!mKHetgKOchQt(p{FLw%oCWSGo>u|iC8b=)TQCG
zyaVi17%KyK-%no}v&rW3fh#|z#kmJ9`Zn$DiCqCJXT7dIBp8=;@{@BPqvD$n-8Uvo
zKRRXWqYvNDPhVy~$>|lt+(~=vt3R&WBs62{@el12(kmt{cl8#$cB`jiMZ>f9@6(sZ
zznZERGv%oI`~!z3d_Ow1!TR}>Wz&xc%s%o^W&YGd$0zTXN-8QB*u2sCNe7qZl>NL(
zS^X0mCmb(bvoPVul>MtWPdgs5+wxBbuTnyE|HO5Yjwz8#Cr=cwpL(FO=}Ws*K=GO>
z2Peh^Rxe=gO>Q`KS7-W}{Fw_U2dtm6G<4UI#7m45MUtj2oqB4zwf>)^$ulB%R;-!5
zPC$xD`t*m`|KhPT!hcNN`&ws8)T2N{rUh-2xt(WL-F-7<X|boI;(_;@r0u6Zm@?%|
zxYh3|%TyA?*H1cA(R*d{<Qox__kBKLax(DluQlyStzxNvraqpS%_w;5hw17W8Jz|B
zGSipUXL&MuYnB#lPvK+Yp0HeYB2(cV7T(EskAHa3mvGW%!o9i`Ssy2QSnN7?lEGN^
z@017kpEt6epSD74>$Hch#|4x-zEn7J&e&6%v!HNNMm)Fr^yT%FETmR;*8iDl_>pk}
ze}9K<>=e6uPlC68oAR{grCRphsRwROJ^u7Wb%E`|PsiIH1<juFXsQ1E#cK-g|Cv6y
zNNme<{)rDQUQd~_WpTB8g{IlYw<lS23|yx@_-voFdg`IvX}8wCOI2Cfyi|1kw1Xj2
zjpn|YzO?w>w1Y3JKWaSIncm@7J@w$zcdD6dy}rMmzG#l+j5QNCB~Sf6U(9MhYyZZ)
z0>(en4i=ZLlIh($^<dHWpsYrd$Ep?4-7BBO`g(OVK4Gi!oO*EX`(Tsj=NYHmx)=Uw
zmg*A4@12=jm^O4ApYq-A{^`XtCv4Huiofhx+{Ad^^tC?6!}_VWVt-C>*xX~%#khUX
zwD6>PyL>zTUi&aPB4*01-={Wo*M)WFsx16HQ@VQEt@^O(Yi=$So;M|C`<h8-IwiiZ
zn&rBuaplRC&C_n(emZ5-{ArB&Qx2BLDdc|Zbk*7OY)#CBnv)9k6CA5QDYQ;$^3L6O
z{pi$O?cdYSKUE1fU8G;%@Z{f=?^T&hy8jL)8A(r0ns#Wa7xULiOwC7{ryUG`7s~&9
zyTR!<olPr6&Q8`z>@o4S;QTlB*10JU_4GI2&7N{A_Ua_#r4ii+z8;-&P`_U2k+0Hw
z*45JvO*z$fzDeQdQYYV=H{UR>_@Vx*_h*;x^n>Y@Y^GChKek*EU|+{PH(>IORj&V3
zy!fnoR_}<6eBi%%gZbkQjyKZ|K3=gwynb(N4)X=6JuH)+sCheeS{Yd!o3$_E`?I7b
z$D<Pu+S#3wRK74T;f(*3`!+Gt@25BHtYA4|{&7#lz35-rAFq7>$U5zymt<pDCx?wg
z#!{!WnzO0*CLf&0F1tVS`?eLc`fmCiZD{J=5qW>jggXcKBxyHj*w;<4d-B3;!?B|c
z2G##&hEL|6G2zri*Haxe@i(462ul94qR`%YBj}XblO17FlIuG<3|Kq8k{mvWPrBin
zu{k2?acA(^$NY~v_MdpcCO(5Vt8BudM%I|89OV%j8wwt>>@e|XczkWew~aT77#Uv0
z{EJoiFBZd+{9)g*JKaT74q26NoBrY5r)h%Er`=QEzedY}Ip%moPuY}vzqDUZX#9C`
z&zmXt=1e&lzmIp$+359CcI(X0T0QkpNN2%<*y#uBcRh71n6b<BA7@AG$32;+Qdf8!
zT^Q28aQo55&3R?hE@)QPxJ*5<RHbqnL#_7I`|}q_i>{w?@QbIDsne5dJ1z$EGSB6R
zkFcJ4$(`@{_tQM5rybIYVt?tp^OHdC)Pvsp56IhYO5wh+VGTQDlKW3)?!8e<^H0w3
zjV_()uK&Dc+R2`mekUa^eAc`<MSX2w(mo#Z|NEk9suzlGoO<b8x8k<s=?CNgO)k>C
z+gM@0zjpcUn<=wI)Za}P);!WEuRZ;K`LzX~_DnfgG*`#Cf^oyUv-3H%>Q7db^#0J4
z_bpW^y#6WKYTChb-^-@n`xWjJ`CabEuGMSy-I&4GA?Oo(cZPfT36~kac7CwGc3}0B
z1uO>znA>6*8m%YY%z1ynEh0Q=Z{5=r2fq1CYbSXZOuJN?_f%JI!Xo*b3-(WW_wq@K
z<<witPfg!x?Rs~^xw07?DPB$wU0e5VxVL8I<@7U8d1G3WPrRRcW$OBxdGr4rVEsJx
z?dPA<E~{1sq)pZgNeNmm^5fgoOI^ah3}W_QSgTSVaOdSQ?G=00#7w<(S#?Kp|Lo{(
ze)WH*UkrY_A<+KDzmKugUiXV!2okM7+x)Hf#LXu+XHUOapLKG|rIUA0sHIQ1B%8=A
z5X(5ZL3aP%(5+23eGg2CJHqZ6c)D)E<cSIgTQg6;i0@=dwrv)8GfU_=`}EIUjoVD!
zn;H)=vDQubyk^43v`JUwJ8ey4R>vM_c~Z$a^~(I$Dcu6oFGVx`wVVFu^|Y%~IMnw{
zz4c_`EZOP{PRD+Jx+&DRxAO0#TQd)yGFAFHA&G_K>F)_wIJjRYy{PZ*`!u1dbIR;X
zPJcH1S8q<dck6<3#QhEKiT|fwmEFi<{A=>}<OzS=FYfxmnACah>;_%EL!3L4u1@~Z
ze<8{I-n)mhf4rV@b-A6V$Zf{I9rl|lB`+F0>j};MDO5TA>Bncy;hU<$ryTP?$;CMB
zntkX=y$dt7xtRA)y|zWX@znHdcHxs8O_bcHpO4qO=~A<&@%)q<dSz3OM=GrQHs#r$
z4LcuC-6?-k>F~;H`(muhZf;JI)S2>8zq&L0+FqZJU0)+7Uim!rs$VCodN<3&Hw#Ud
zu|}(kPU`yfe%g5tMm@~{v&qucFQc#6u%vohU1ELdJ;{e<|J6@g4<~(mKK1-`KjvSX
zm`_fMdOks4{Po_VEqvimcslpy%}<>rcJodz<K*+<dwM!NSA=n^r?k&7KPhD&$`ZTD
zXzip6UA#O0?3$pY6nFB+?imexinNZ#>~_*KTj{)hNA`^!`L4WQ%O>AZ{Aj^cee;ss
zga}LC@4wE4#Y{Xjk!|u^W%K|03!ik}zR07x=JW0fB{8-)No~7!Jlb10KO$y<`eb3{
zY3IxTeV)gvYs9Ji>%F1kNoHoJ=DspdBTH7^-wk_gX8OqWc%3@MV%h1F+`%Nw=FR_;
ziSzcFj~8yKJKmMFcI`;7-|4uA=|qO|uJg^!i~d~|tbW2^JMESJ?Ri4w`P1&Io-}c}
zS+*}i>*R}#)9!gqRT0XW9_$@)?!+Ux4$<AKmi(M@^u1SBi`t(2n-@Ihis;?EP&HH5
zKQimv)MM7Or_7pkN51}n&YFh{E+kJmw*A-S8;7RcyY44iSvmEJ<?g9Rr%t`vf8m7l
z)i*cRpJ4EwxWRtSlSxZAvQIub?}1gs_ZgSYPk!A$PeA{sfb6t$o>_itzD+1dUcsyE
zP>?G>tNr}%lL0?>t`lZR<=6h$SN1@4&CL7inRWdORbJR1pAbJU^wyq*AvY(+eV%Yg
zI$4F`=A)ev2K%QSs=a-n?(75kSpxqmL{3z1exa%q<?zNdX6m7|1J_OKUQIk5;WgpV
z*#lZq_WP$FoI4>)zD#=R$!!yIA5AcF=h(MFJ&Aczeey(y%@YnvC0QQ`3ESx;$W@s9
z(ShA|*Y^2SPtMhu=dtI>)PrhL@%EFB#htjGC^PZc(Hpzx%{W`TXX?T2DW)~c&+MBc
z_j&4}d%xGP^?#nQ{O^xrGvy{L$Q@GTPyT<%)L|7<^2Ed0*OurvyT<gbI4r9_VR{n7
z!$&(lh<YY|nd9=Y_u9toiOf@vJ^i#oa8{<(4aRA|@0^?9wn>m7)~@H{?0Kv`AJ6~g
zxK;M__@?Q{qtX{J+FDQa`8Va*Yk!XPx;ctB=Wu`fJ>{tWM+1f<v-?j5{Fvz1H{s~_
zCmj1HX0&%sT6#AkYf5I~<>^Pa$Fqb#5fZq&Fy#D-m3A&M3j@U%_-ptlTnL+~ux8q_
z@RL=|dsFPwrykjRYwExBNl#4wP1%vMaq5wKH?J2=p20rhsJJB){{!#1m<dNVU(uSk
z?RV`gmPuve>!%*6y~Om=ZcW*=SDU7MDXp7!^v{&1+jk_FrwH&&`SN+f(Rp0qIg>w|
zO)j#ZsVVn^dG(G}8!nva?w-YT_s@f<$f?KH2C)S8@bCCE`HA?kyF0#5e!?wW$-ueg
z&r&ai+IxFeeq0eYYeD+`H#=57?mM`7>hXK0v%XC`o~16Zb^QhB8#5{*jP@HpKeggs
zm&C@JpThSR)K8Y!{UmVv69(RCPg;|tF8|rvAhhR6OpV6$iI3Y`A8h@6C*<1vX;0U$
zTmR%H#{xh5=etyXpV%|yQH}NP;Oa*%NhfB@On<nwX8Plkw`Na!3_1#s`&7aCT@62{
zJoaO_x^K$ka~JL<Pkpfe$c=Ruo2FT8EIRpX0R!*U$Kn4D2v25e*gaqL=8YHos@h|w
zKb-%3_k!KB?>pyKJP}-9JLU1u9mXFw{7AnMY~S{2$;+P`Y{PS=-+Ho?N2@7l<0GGA
zVVm?N7kvK7aCG{^S^X0p_C_%2*Gzs8w{y$qH8(wl`Iw}yO?>v_OM}tIod;%3dcd0$
z^83O$j~7-9iE%q54G*63Yn;cBzlr<N#8;IM7=2mA<sL-xv0NxINh)JGq+xuj{Mw10
zX4#l0Q=aX8cWcU>YhUB9P5!ZFU&*mOAI?myUh!i^xoP)=hK3^B`_u25-rc|9=g0pC
z4)MF(i<$DV`uXMUH~t;p`6g-N^z&0c)=xd7xp~^BTPGQnr`*hszc%G_@lBDq3mXqA
z#7z2}_lb#j(!KPV>iscOKVN^hX^vge#3ScVidRngy80&1^v}7^Z!Da8>*uy9pJoX>
zU9)p>dgG$=Q*R|V-u*oF<Gra8;T3-;e)N@yf5SHY<8|JcPqESyZ%gcck+e~;C8e^X
z{K>9!7p2AHr{B0a?PLD@#ySPv4X=})OEM=jO6{JSpmO^09>%1tCCN|r%-=lqvT0Iw
zqt_nBTda>Zwmd%A(EFIZqt*5v<J8Z>EZYzLpZNJC3wN8$Jm%SN-tDt^bn^Fey{(PQ
z&d;li`ZxWHH*@i}Y1`I*ynd2Fa_ZN*xjeRSTJL{+G5za&p71q0>K~t2E8BUhLSr(|
zLxZn-t}XcZt|R0aW5d(gzt@=FJl%9D?(<WF`M0b-Pk)^@_10s~UESjU1UDyc?q%s@
zxXi-BZS=77&%Yk+ij(t>cYRYb5G~`nQSzAaN88zFMpd<&`1+qD1l^nTW6egN%8fps
z_b>FjJ>}c`)3;vSoO0>k{yo#*hrjO$Q9NbY`p~BHzt@g`rg84m-%BS2yl?pTfptOK
zjcH$RzRsH0=(hP`1>f=A6F&T$^zLlKV=KG4Q-50UOnv8T)3xD7jNV3tpY!Tf^{0Hf
zyM4;*>yrYrI(UvweNp$eQ)u&I(+^!u{6F>t_%d;q?OeQh`n!Aoo|=B#^=uwnq+f8X
z+!CM4m|yRHeVg+2P|UP<b+1`czdy0_kDl`N<n&)vD_Qr8u|{{^RA=iv?5ZsCiQ(Ra
zBl1c5GgY6QRZ-jPCK@MkWB+5p&PA@OcK3F~e!jWLU5MrRn&c-^mrir<p7x~f|E4OL
zlgDp76;gja?exv5r?*Wz9Vgd)clD!-5l=OaK9|&9&i;Jvq<_yGr%o1J+hN+uvgXP0
ziSqsI+*4RY89a49XhtOeKXLc$)a+xYSAR^t*?s<kW}S-$L$(NuU<!ZIoEcuG{2ksL
zM`E5b@Je40tP}fa+1=^i{Q79ao5%N@ZSPMhj(cvqXR7^;y_LPf4EcK=%Oy|t65l`N
zp=!*7{_dww3#NX5psvIrIDfAC)JJ#M{rMPLd3VwJ2)&&%&vqpx&CmM$#A=d~?V6n*
ze@y$JeUn*vr(3JTv~B)8tv5T>T^S$$oAO|?05ezZ5f#gC5B;n*HH1vaN}G7voPSFB
z_5XX8KAraIZ3=_$r?j(^?^pesrFY^S$J?8ovnIYdzfk$-w0pi1_v<F4J`u27>2kDF
zm5DLw`|IZmBAynn+%xUV+(qwYKdxjDne=_*n~7WaVsrj$O#1t8meZOCGD%Z^pI&kN
z`GpMI*As4ca=3G_{Es;QROa~9U(=@F`WwY?YtPq)y-5?>&QB<=v)iCr|LCOML`?y+
zScYp1vR6GN-ZVZGI21OkYUAGYc@xiGe>3G{(hS9oUpgO6`JDe$=G2rMdr!UcVP;G^
zAgB=K%3#S@{c*LDMvNvScmIoJ6K-FvndtX#>is7Ic2jPeevA3gE-c`qy?U4S&q+6X
zV|HYJKcX>h_A^;MyA^yJHI~i)FuRNKY0b-<a<e?`-t9=Jp0ufMG1H%?b0eSoew_5-
z*`X-;>KXSpF--oruYX6EKf~NVuO|FI5y0HAX7)@5^T#WLJJf7v&){U_teK;B@3Gv+
zLvh?}uMfsYOj@&f!t{BQD*Ua}CUF`|znd@kcF**r$SK#~PiLGq^`rUjsn_pn&tw1p
zfG^_K|H6$OF84SpwVCD14xQLI>Bejp{T~vu!faMN`=rG4_eJEC*3I)J_I9qgy648(
z<okP5|36ds@zi|dY|+ZepEvK^r<l~KShxEDPsFF^2V=4h{Ysj0bN_FZP4n%W;^)Zy
zn|%3k%(NTkCpAJ&y8aNEWw0kjV)lwHvi}$xl6p2ioO<K0pM+$}YA)XCH_X>J{)o8w
zcjJT`^Q?_N#B@x%exHe9@}%Vd0rn3WKXE>ta{cyn>lHZ@Cx2r2J>mK+i!K!bcP4K6
zgRUY!jcgvt9i1sIpLBb!(Q;AC&r`0&Rn8UpJoQ?fzLiXMm(HK0Nv9)sPVm)ya_`Qu
zoi#rh%ocuHJ^gy9m5X2WJOjBC*>Q~XWF|XSTozojuu5Ix@cW52Ck140pU?}Ndc#{_
zq5xykd=D$7*Hdnsv^YCQSpK;5jOkxK#oRpK+Iy_^iGtqk*Xw*WR#(I_JgA%Kku>eb
zb-kNTcC&qI=9<@LiK^yL{q%3jkL>!Hd~s85X5XG~vVEr5^%Sq7FXB_5cr-m@m^<0<
z+N@&x|1*zNoNT=@VMgVNJfr<n%d`HTH0XL*(>;fK`>yYetlf`1L-#B=68XsE?3B-0
z*Y|2I-t(nlvU>h3)}F)r_ph1af35nd`_j6b)_=cVNIz*PY<YLu=d8QBnVvVL!W-_m
zGqB&gD66udAnJM8;VIXn8I=DVnP2#P;-|CITB<{<=W_3kx6E1-^NU?M%DQ?jf7rCo
zzxD5$J`_8U9%}aZ`CRU`*?-NRew(eaX6ol}cP}joE?u8Ghv$9%?&+WJ>Ml5Z(&Vqv
z|0$od;#D_oychGtedXU3*DD*oZk+x(PXEE5Z?RAPB6k{AB>#KjzI^YLh1)l*KEXXH
zeF9J4hV6Uia+m&F?dozwJCfz){it>PO#Jueale^zYT6v8uagd{{(bg;&!kgnbC}E{
z57|!ryw>~BOwbwFKjOYb^E}q)dMbbZ$+OB6)^oY7xAK1G{`%*s`=?JQ`X_|XGMT?-
za;}HKX94YNQ$D}`Jg+)!`sb~a)?J;WzyI&e&h)9D_j|MFpXO$M(xx3b>6brOY}r#&
zPr3K^p1SXx%yo6nvaO|Tk53)_*<kVN#)V6*rYqBHpD08=bDyrgddla}uaiQK9;|!d
z9dC0(c~bX*KLXF)<F#i06rJ$w_ydQmYa6qlykvalKK<{;Sf!th=_ixsa_3LlW|Yk6
z_{=@Ls3Ew9>zB<YCiD5+$BQR?|M+E(it^7Z`E$6_oAUqv*|7WsgUiIvRmU2cX6ruR
zx7JqrM!9(W9FzR+9Mk_N^CV71RL|qtyTMgFe=d(Zi$ajyOx5hUJo$~SM`!D~EqnAX
ziPMd7(r5eqKc-epeC5t@_+Cr?`n?~7(&usOelk_qH-{^I(mUDY#_nIO6GgZue~z#C
zvTI%cg@%sU8&(fuk6&n3Quxv)d1eCB3@%gq9ePPj`b;y}{23jeFutgp#d&_h(T^-0
z3DPp}7j!;+mDIrWea{M?M?XI@{)_!DWf9BpbW-oX*f|`#vi`5S{d4+fRs9V+=A<oJ
zJ%?le?>C)19TMF!laAT`pYl28-?WvJ64y-s9PfAHU))@o&+Ykop-o!sj4{!yPhUL^
zIWy(6_*RyeEQ)`oe=e?E@a1DmzFqlAt;a2q?_!QkI?<ZHK1Myl<9$ndk>nKl|5HBK
zbVi(r6+XFUZTIuG{L*#0{}!c0-mz+YEc$z%P>3h<SBBzi-SQ{6g|Z)~*oC#$FZJeq
zzE$S;pJ|^j>w8%IZ+QRX!!dToq}2@_Y9f5s7Ot>);&g2;$NTKchQOBm>93wBooTl!
z-}iUwtf`tA5y{WpmisMO{)X{J;RHjiS5rR6ukthc@~tKIsa>S7X3yl$(?6e|ZeXBt
zWWRw`<-fgCJ}-Usw{7>%9?v%|lfCZhdsNk`6skJ+u72irC$}S8zP?j=kLuLV#nb06
z-KxKOv4BT{m4D>@Z>^d7ujjDL@k`k>pT+-V&g(g9pVWN{USB-^qv5^i#I*mr88{#4
z)gK93a%#${>Nku#_bOX{coH)|MPM%L^d~_VW@|rWn`P9yJm}GyIc%4vFK-dwKZo_p
zCWi-8{#;iToE6Ct|7`I@j_jX_qWv?jag?6mx9pkJeBz+NJQnRvj;TvFoP0BnWiqq<
zgqVpQP4ihwwSK?Yknkq?*D>+`^O-}ubx(ymgf%>2WlH-0G_GXQuELWJt%t5_ukJEW
z``LH=gj)TyDeF^34$o7tJgM1}(0Add*1rc64PP^h%@x|T>p=81{pOgHbJ%Ld=B!-w
zks*<JF55YyIftJv{?QowBi5BEtZv^u=U4^_v$Bqr2OX2<uv^y_$*ftMF1E<6dg|Rb
zG2QQyX0pq#|Ixw0tQqsGl8JqWKyg#?x5-;3p5*D=7kY#<gSB&wR@g_5jdMBuwlX*W
z*|sV9Lh0EVd-@*K-G8FRFo$!lpVX!|QqNL8ovxZWmnZJij+prze&tCw%--IZD0}T+
zhu-mz*4E#iCwXq^x;FjW$EQi>PV$_6?rD9JFK`}*b^S>*ySA2fvFb-Ay?+)~(IHbI
z-0L&xHOtQzn;V{2wAbuU{=Or|+)<NPG3kdx$G3T0m9M9KKItj;jBS>~-ZyiEBIhu@
zUGr3^vZZ$Z?WYp69=nxpVUP5g^kyE{cJWV7lswvMOQTL-+QhuSxln5t+y3cH2j?(d
zox&^2a`?|&#<Ei^J9by<eVZ$^D^4hJ$+w$7SeW0;Vf;S5bII|$>+-Jsy0USub4}60
z;Ep1@#+N5m?5!p~i?mX=+WfmAHFCGp@viR2bA)xfyK*Ml%5Lsqo5Fu|^8fl{9U@&X
zX76&5=kJ;j)89Fvo8kOS7wvBoJvYu1zW#UGyny%LrhJX6HA*s`DPPuFtm{8FAo7Xt
zQvVaS|EBhRobvjF((mbCv!-?&*rLn&kpJ$U318A@v{XD;pxv%Kqa*RplrMXy6|B1P
z_y3v+S3ht(de3*QdO>{Ij)LTvhV1J%UNyLhp47VRoz_zRYVU*_*Vi=pcR%qB_tHAM
zsigX4(7Yx6TPIaV%n5$}?ODosxtWpOFNHdP+I|eoWSjbF-p+ZwFM?&>O#c+FKEtQ(
z>mj~1Q$DG4&;AxW;rO4K?@m+%OsKFwwjf~Qj@c7moNp}gXw2YT{D!@ANng{(a^VQh
z<n@n4Bj*TgYMq!}Htj?8&l#s@{r=dXVE1k62kY!lk3aEEd{C}_<NWGJWf33O9*$+`
znv(SKqHOkyMfVmS`}4qWBkP0w{)Xc4%@d!_KhW5|smV}dmD|GK6Mt4dOfX!qIqanO
z4Xz3AeVZc77D{e@<ij8H`lp1%f<tj>Q{KOJWwP1Hl(MO_bEetzsqbwKnWTCbeEuUo
z@jaVQECa`-7$-)(<p1|_XIXKSFj;rR%Kd+__Czeh2BsCye6%;qO@Dvy<GI<whyFkD
zwtGIWwfphJx8MIf@c8h5rE}VY+}76<-(Ek$ut0i3K0n9r4%Ww$HgBAva45Mk;s4LQ
zOWR{ylio~uGmYWvnn!|3Z!2uek|xINX)2mE<Ll?0EnFJ=ccdL)Ogefn&T13Kiw9at
zFM9er8)hEt?VV67o}iHYUnlmd&(wQACg<^R9{M5mdipEf<Tbln_{yX|FZ(_DwP%i?
zD$A2y9OpOK*i6(*@_Kdfk*@gvC%!2U?c`4evCMRQ<fnJ-)u%NVbvImm=-0n6r1Xi`
zho^^+A7RMzi0R^Z{-GuAiT}!PJ13rqsyoV;w6J*d0{N4(gdTWmh5g<$SzzW$2T{)e
zuM7sIV`V=@-ZYiZ-^lm5;i<J0i*xM1NzXKVxZUUbE&uc^pnsm9`tRxQr#^@;|G=K~
z)W7@S1$+A$1^0d!OsuwjW>9~t(eafalYpe~MgyrYQ{LaoZ{XcCr+m|jrQ*LQyszwP
zw|%{P>4%v4E>oWR)!*xM*&p##{Ns)*T^pWlZp^O>n{D=I>x{<@5q~Q<C%--05V&E|
zL;wE9^6#6U`1^mG_V)D=>BOXio{wi9m3`kZIeC^@yXb?`+BXmUA3xk>KP_pFRo(6>
zZ>B!oDE}sD-_$qPrp+<^api&E@eeoHk{-s!{hwo?^?ur$(oaPTmZ@%^XE0Z1j=}yX
z0j1v_*Y#b>{4@2fn$ZRBNxP3v<Y+IblG$-k*g$r|>WS}8GV(8)ap-)9)vq734G&(6
znewh`K8tS>-wDU#vkkfLyl+lZH>iK#;uZFM?iNe!7rFNqT>3om{g3%v`LCzGpS$Aw
z`Nxa<+EcH;{xna!<o@)F@7{Es?Os$m@l$qd=iT2=ZyC?!*!yjc_Ki7un*MV%J8#u8
zP7n<JI8*B8#8Z2wT=B2I8P&6kajxd_CpQkw)m-^zp8l7(EoK`RzUZ3Cete#0aOH$6
z^1mnCeg5N&`THqXKL6X`V%!m6!#(NGAH&nPl|HDwpLj)?Niw<N?>zOLe`o5V&i^N%
z%>P$(I_k_)`!?a^@wIJ{bJRY~;_RQPD}Li#(uD`dKh9RE_<Y`#v*OqUhEMTXYnXQI
zRcE(t;E35D<CgU4N7vUsjcfR1?!_#;yRl<Z-W!GwRnK-UUDLJcal<$J{ZGoT{C@FZ
z<NiJI*R2<cXg{0vd3DEPd%MN9$Bs{^dNS)==)5^fzy5!kC+T-`W5k-;X>(MbW?Rp3
z`1d&HaLj@ikvHc^t48ipcpTB#7RxY4I(*;eIm)SXq^F;|wDRYZ*~`z(Q4Xt|{&eoI
zC&EAGD4c#eL2Lf&hKUUBbJf0QpJRE!Fj4tk<XoxebDm6`qrCR^JZbyBC$|=VdcARu
zjNc{}@wrNsJ@b#xQ50Tr_!o=ghTVFP7<X@+_AIo{^3wXJSMq0V3VW8jW~StisjRJk
zb~A*_TzK_v{q4h+FJ|6joiDeu{82*P(@Qs>O|gsYwf@x9pVjx`i^P;?^)IU<C35HT
zotta!ZT&^z{CvUZvz(94k+VwgS-E!a9NEizUoGD}bK|2qLMzvQnrjp!*`@#Q&XoIa
zPd)0HH~oJ7>f<rYxANvM<~TaD`E|rx<CXR`F~25omo=O_K36WkZjSKg`BF|@5i$2}
zE_!qGZdzx^`N`kc-<*1HyYa7Aa?QQF=ZHL=%XD<wgIAUFI4-is-kite8S!e{n|Xhx
z-;aMgmt}hx%fWwh*-y`9&;K`1ESg8YZt5f5<cS{_Z{0jk;P$7OZF7x+Ym#2<Fk5_h
zFMBHM{&jojiane7_4}VmFJ?VhdVVfX_2bz84Rd89^Gv65?oSb5nq%_*&7=q257(TX
z6xf|ps55EhA)%&!F%vubc5Vx|_&g(F{>A*p;PVZUuOfCVKHj|l@zl%p+ooUFE<dT$
zJ4b8Yl+(2vr(f6pe^R35*}mwT6Y}58)2OwZux@|LBy}71{D;kTb9i>|nK-{<df>^%
zIqQGU;aQ)|I7|5KgqKd|6q5c;zqUM{we{Xy9&fE@58fvK`}&~KR{KeP-5j3H^JRZb
zzb4;3OL{)fbvet}H4OWwTt9X9lLyO8fi}jZDc3`HzS-URcn0sr&K*Byc5dw0bz`OX
z<~eG0kyEbE@AjD`aM$Jq`@Q)byIllaRtb1qn|A%VFc;(O*Hf++?{}(9ahHC2bnV}j
zy&oA5u>P3Ees-?erWrHueqK2#edhJE8}}SLIQ{zXoK1Is9GcB>cGdYCJ9At2JAPjC
zpht~4a^hT#HYU+|oPTX*2gLoF`{%;bXH9Ka-*iUt-{_t(?O^WLKhv(CSNF=VUc30-
zOu-b!ofGUQGSxmzvcJiA@<G;QCjQ0)e<w2X&$K?i^;_D^E`LtpvYYao=gzN~XZt95
zuI<Y;6HlrMq_3ZH<I+)<j(u8h7^d9tzx(sat<94j>s7>5F6KFrB%b@Ux_(Xe-o;8>
zKkH=XbFNlpNfwU%J>|xwsUN<d-?>!p&G83sE9Y>m|2#h|;&su{+2N1pxUStiH~dTF
z9PPE+<|;madi$-y-k9pFa_-r|t-oh;oy_c<6a0Da9PaORtGjA$%;mN|JwIssRI9sn
z*>mjbf6s9|KmB{&(<^*$=Ga}`KTq}QFYif<ug&MN{{3Xq-TbMI+waY>yLEq;O7`2i
zc31OftuT-N>ab~r=tTFdHH^<DmGA96W&5)rc0Tv}H*;0XzXw&lw>g?R*Y17Y&8m|>
zq@PsH{MIvBI=^!5o(_Te8&BPTvqGS=qS1Mek!!`lusQc9A6PfL%sz6@^t<0G`W6;U
zW)@x%XS*ksVd`hE_)Q(p=U3g^$TIDHeC@S4cK@!&`0LI{o_}CUr+oP51AF*5uO%Iu
zbn<}WqgAqJKg8PG81Ll#w?;;<jJ1RNO)tZJ^#z*#`&nO_J)Oq<c&=9Y&ge})%I3R1
zT{AKL(M%Ozo|EfK*Z+GvNA=tOO*gNUtbfTn{cUG~hmo;{)Th<!pG>-SKWv`r>ZnUz
z*QQ-g-#_!D`o^9A7ne<R`<!9DSm%(35VQ2g?Z<B}n)`gx`cKne=ta*}U7vcwwnO{q
ze7m@{?AfWamrng0AI1EA(}}H}f|c`B-+HmW-1>;?&pw}=`KnvJ#Q8Tdw0@1MIN9XO
z`S#oMNvpr`Jm1SvxTbUQ3!cYzH@Tk9vD<w`<mskZ?>TnYLUoM_fBw$hcW3#eU!8LU
zmY-yN;60P;`8<{F+h(57xBGgudahmMqE373ndV_L`QJ?W>|Z@UILZ7$wqjAnwcRJH
zPCC775WX>2b?s5cvM&di<~1e99%<Ol_Tc>dIT}~r%(46Xt#R4;2G<`eIb9i7m|cpm
ze|lr@3Y+pjtK7Ti1}|ST({0{N-J|nV^WM(beLtmZ-IJT^pR!1I9=zMrIFp_E&5W&b
zOpg~?%~jd|ktu18otk6Y{zttYoHZeGb#qlVZ)oe|jNS9_>d)CK{r2-z?%T|&DEcIE
z@xvRY865Li1ozB%E_ZSL!+AGnNSS8OwvU_nOz}OVpnjv;w>c`&9y)6TpG>(FdwT%`
zJ7di6w&mhUC)UQK6xPl7?R323*}OTi|4vW){OgNu*OdI(MfaxNaV=PM?a7p#dsbY&
zF+<ef!tmN;!Oe4-%OdAYIz3mVE`HObs@ZGQ*q&Ba#mrZE>!;AWCw9vB^P3u^<}z8A
z3R%BZ_#LUslG_v$%J*Eg_}}Ue1rc+c{THQq@xNwKjhmzLy8dUtzo}>5+q9?rn-Ot*
z`qtMf6K=kq5+AcMX}-$ssas+<Pupj$%E_63YsH`Bb@u1>PW$|IW&O_ry}2fD=j`@*
zGNp9Y_c<!J?gmxlspMa^Y})jD9&6AmmBL?7RZAz%7T51Ov&mxH3j4Zi&!((Az2d~X
z4;)V?pT1hRQvc5!TmMk&S!#EEB2ycRj!(R|J7SHo`THqvw#^JNU){d&Sklj)tg?ys
z_RMBD+&b5+I`m}RuFZ_I=a~J~yZK?wT$#7G=a^P)+EF@>#s05kXGN;dpH83eduB>V
z+1fwac;w-MbgzlucSlYM5l=d&u}$<rs`=|3VIKwO%l6G@xqh;VGh*$;t@_rFPsc4>
z>Myk>tT*J(jl{I&ZAa&t=eIrie5ir5JaPXGu{|3WCpSgynQLL#c0-}Ab!Ypo2}~R3
zu<Dm@Vw$^WqcVr@?$)enD_-tt+3B78Qrh*RY}|a-r_(vL7PQ>mIL~r+gVcB7i)Ejz
zJEiYU+OTF)V;4ujn|Xnc=ds4FiWhix?fJxy^H{CaCAPetBRAEfaL<zspME|%*Z%6^
z`Pem^UaH*+TD?PN1LK01{0je{Y{;2$=IaK=r1`A+rK(FB>gPM|VV=u+%6iF!`uR>z
zCkdW?enacek4X=X3r%{M_k@dy_1A<q4Q8{~N5*;!yuUU_e)9~&yfwnJy-phR-F#fN
zhpTgO#0+Km_iK35J0_Q(ur*jQPsje;WYbw*(lN(6XZg?9wR%5KOY|pu+3cW=^BAjj
znWA6M(Y^DFCDD{+f5%<c{inHP=jvMRXFa`b%HO=Oy@x-pFxUFzVAde;bJcUv-D^DE
zx_R3FP5YA@X(cyDSN&VkYQwWnj#&Mh^8bA)OY9|{Zua<3jt|!QSDlZUuWNPnEMxD=
z^trmzy?^AEedIpD&R{p8w9kjd<GLbi!=k#mIzLa%Eek5I-Y9W?PMJ{B?l}RQ)_R3*
zUhh`*Z=R4)^5Xx=6F)vxled4g<lkJIeW^Aroj*3Ob<3U~u{}jGX6E)k)4qCHUihOw
z!(<P`EZfLAs>^pjwRyDK;`sCL-ez6p=jT*<W>^NM)-ZN-mL0T<;BM$NwLWNH_mXwb
zl&_~gnL5l<_2zU+`Ih|V-<!qLZY+H!+drqoVfvb9z0+cpE55EcVOm*{K6AP+L(3-4
z=QGs$oPPFnxov0&-#kODpOOF1jLN=QYT+r4XI}E!Rx+?JvSE$=H|aT}Uht)bA8mI(
z4Er(pi~LC@w~8avzWo2wT`=L(&etCo<wmZok*}X;wI=yN@A3W_$rE%7Hg9-+v|;A|
zpOe0be%$fdZps(CsRb`0q~=+j?&QtCw`0OYhM);wu78|4^U;H)@|BG{rhb`vewJa<
z46C#A)b(^LGU}(?dw!9tJ!!(}&^I%5Zf;<+UHzf)=FH6>r+?A<Xt7~Q|I{zxKcfYf
zgw0Xfd9J5Fa(#o&oXWdlQ@^T4N(IiS+|#zc|DpHwHIu&fB_*%<8yGS9srb39?whZa
zxi0RW@-=8JYw`_&7Y8=aE&j2>+rD~U*c*`-ha+dHxii<sHS9XRPfqnl-0XvEw6xY-
z*rhJDOnJi(WrzJQPDZ>6IR0dN*5uV$_H)#0UsbTo%6T(i{nN46%~QXwzmq@5P4xY=
zub-#Rj%bZ}u<_%JquL)hlO|37s&_imcGh$G%RVtie>`I+X)YA}zIemcHS5#vf177&
zGdKA83{~06Cg0h?H(E9*uW88Wwwz?s)D*Wy{=M}~rtN?H&&^a&mRx+gU22o`^tD#i
zrP2q!_b={v@?z?Gn|Z;dcb|3|?V089s8{jN!IDQ$Hg300Zcu4BV{XUv;PdYJ4sT`}
zZ@#N|<H_@hm-eoREuYNXzu?8k10~!^`&%|o>geA1!Xskm9mALM-!3vGhITpF%n!8e
zn7@DT&n@!;)vM<P?VTNXe5Ql_xvneo0)rJ}b~EgpJ#*IHU!k{Va%^C_P;~Rd-lG>f
zr9ORR{tz?Mp?kjn^X;=8`sex`pJAna?!~b=zLRGM+3w%4<?z`VLG$L=mrwg~^VOR<
z7bR!fm)p$r-ozw4)4p$o@b`)rGlD*MuAaZ4y|&`Thr9!fJV_5%*6jbWYpUt~xdNBp
z&JSL@TW5~v^}EmK28#aN^m*sU{yhtn+N*al?wM_MxaH;HpK}7AXLoP0I2ro)15?u6
zK)<Jtvj5CcJa_%1pzOL6OtB0rb}u}e^yKvXb8`Z;pFT@mGe0Z%(WyEAI{%s`-+J`f
z;?K_X{!_+19|Y!@higU8caNMCe6;03_e}S*vx4<Lu`IkBx1oK)ydQIwrdofLs{TD&
z$vR1@on?N=^gR8I^?PD=@Jqe_KSN1bQSkMKJI4fjSe{LmJUZXCIBs6Z%@0pDyqy)&
zy+ifv9OYGiwhA=s%(su8@2{Tz$n8?w#yg>#y0-uSc)7b`uB(;yjm*0FN>5*<sJx$V
zyYkiF`O;C_d+zt2QsjA}EHF>{x|fGw&B_~X|MqWFwTZd3!}N&_lhYjOyGJ~%FV2;I
zebU3dp)2p&!PT4Rddy?US<_f5r`zaQ{3w}`_r`Yf^=r3HTETn$AWPD|?eFFsV~jQH
ztU1;#Iz_s#*C93~(4`@5BC~YI^y7=lW>1lq-@Si((a)!cmS)?}i>+5o;tSj+-TbpF
zGGhD8@{Mz(tN+^Velx#Y;80}gk_1VX*i(yUq|fo#AI-Y|d)&OvEqu}q*Z=O>v(B%2
z#{u)~nG4G%ZBi6c5R3aUYw4dElCu`c)vsyT(fy10=$w#yWm9$a-_P@|wRyK9HsvP=
z*Nmj|E4-X}7?_W6Gq7{5IP!nv{S`;6bflN8{kCD{@i`LtH|N{GJ-13Q{gv?H<o`1|
z_T4;Ct~1Bv-<*&)Gg)SH+`932rl5Tx$0OlcZ~slY>B^|}ZNA{y1FeUpHmq15d2{9F
zl>!wLew*La?m2wVeEsyjDJ#?OzM1E6{iHzJlnQgbjRIvaSIo%z!?fb+yp`$yKhLv|
zpI`mdW`exg#6PpUpRe#Ro!$5R4VQSNK;?Xg^_O^Nm+f48^o2*#<5TXL!k>62K1_Hv
z)6ahYJOOoy4o|L5OCBc2HD7nF>Z*|4GwJZn2Wx!UHf>1$cWsX2ZGFiH`@63_n&aGg
zj^q2AhWC98fjYBY>JDx>KH;l%*{ZPfpZfb|9<V)WVLx->D|4+!0_xvhnTemYFlA`}
z>8Vw=?!)oTTYsOl$bBYt-$jq<_zRxrKj%BGe`2xmXr!ZX67&2$uUGu*v+&*!`+vuW
z*+NhEJa}<qma{*jw%pwO^%Jy}Eb`ZP%(*d(-@cN=@xZi=4KwpLbss*+Id8}P&^I&q
zXEDX4F#7D-Q2y;BbH!(-H}m+T4msF;i8*-ZcjQN|HFNmqI|SLh`ta?A(3=@9>P+{(
zU76ARapH=KSuVazAL1tc-u!Y;heN|PhMp9jhy%Rh|L42ZmaVA!ap;BbW7mbzwm&&y
z=J4&U@OifT{2adbSDQGLIFn-M@_lEH*_Ql&k6G*tK53VOUJ|hkpD)a@tDF9*|J?qH
zzaLlpvZ~>p^>+{F1h#oAUc^7~NLn>z&(2fx6udfwRmxt@a=G5Z@s~OF!IA$@nACSL
z)$ZA`v3pgh{Q8yt$3Cyh{JlZw#or0<n1wGKx!=O%pZssetm89Wvpxx0z0tWI_UQi&
zuATSKKUv&8kN5lPKL=bM&*%NSs%Qu6y?LpB<~m;ApDeuRjryNWN?G%q=gsZeKQU&P
zV#f?4)7?|b)D#r{EuD3A%{;#NlZsuFr>y_E!Sc@mky-uI?dv;uzU|m}{Nbv6A*Fk3
zx3&GA&$mtKU&VwO7x<GIHl1f`oWoaNAH~w>WpQlBr@1ccEcVQNKZ{R|>HkTO0EVk`
z+_E;=JUbB5ZS%qAuh|;LL)Ei(G3(6Pv~_Xj(Sws$|2VOK&CETI_O`~qnd2U&!!mo-
zwON^e=JVaZUw6c4^Q_G0^Z4$++dil5**w1QUKVS<b*))5BQ<T#mX%(TA2&H|X?Ql@
zy=Oww8HW>7I`^NN^FDcpTkI28^%V<4ZRT#e8aX9q?Y2!V-E;l-ZeBb+V*bWoZ>MdE
z&YhpI|DTZMyx0_m9W&nSx$^zbe9e2`byJ+z&*D?JI5_`ts?BM=)id)er+wQ0d+l8J
z@2_l+-=CLI7phyux%!0UqjlB#>*po>o!-IxW=g34q)g@&QFCWrJ$Ir?@88eOZ<dOF
zpWncAbZ%2z?XL3mduO|<O*(GfefdxS><>Q|%{jloC;#5Q_sgf3{@o!ZF2s7dV?sCU
z>Ye+PFXU<eVXplXvs`Ct;rcgon(n@zuX+Df+y>oACVwZH&Plkw&+9|Sp4hpO+n+qU
zes4}f-BqEDAGdss{68<@?`qbUQHMJ3txLZ-C!y}-!Ikq3_vs$ozkXgq?Q6d0(E{ON
z^CG>Ozh0YLzdKy??AH5&o8~9PujKvwl==VjXIrx?uN2NMIy+DEf9OfI=sj1~g@n!V
zi~ex;-vQ<n2G)I7O11Jge+rx9>&x;ueA1!0vM=W){5`kmfn3t-y;m;lYr9V5P>y1n
z9AN+F(#FS43ZlRFTnXCGF#pXGkKBD%YWMxwP?0{9Gd$;Uz#g^(XXek-y#Lx?sQ=i3
zZ+ou%s=3*@P;~XvXL~0UUfsO2^VzP>vvZqn&DlPy<wfFGn>ia#3oPyo{rS>t&z^#x
zZ?!kh+*HxH^X$!<=W}Ci*LQABo5Z2ws>Z<n`@u8C7;lDGoA?;s&1`x;Tl4>%i8F4B
zZJgJ9?PJV?@RJT-u4-6co7Y^`J-h9w-rZTO7gfqX&(+L7?l-f1Hpjj<D+*@s-X#Bj
zmZp0Iv*^D$ja@J2H*UXSHoK8IX;mzPTjxRpR_0B77PGao?j6)^WQsYnL+)y#WXEsu
zn~Lg-(`9F0oHXO^**CiJkC+8Hc3l4YgKc)h!5GCEQSW|E5Nv&YGv;f;zZjE-g`)qG
z59~TVxBmRzi5E=&B!w<GS8?F*$2pqy^}i=9w0%EM{iH<K75V+4Ck4JvK0p7e{P*9J
zqz_0W|A?A&e)AROytc!vF<0d4f0xZLe3P{I@~v+Rmfc{Q)9~~5hhx<#aa=NU5~iNM
za;0yDwQcqx&3hMSeSD$M&-i>t;LHTsf9yLCpTDB>OUGj8|10v#*wRzAF3*wtf3KN+
z)(oYq!VJo(H;Z21IC%UD|GKG`=|31R7|Xxkcj)@RIl6D3IQY+(O?TetYr-%!Y?k5q
zBOdeS_||@#m*;m<Bw$X0UKIOFk%Bj`_idi__eSlRH-A;0aR@Tjub!tBmc*dA{eo@i
zi9*q9vqE=&VBGLVdiA%Y2{ZjYRR4UrE+4m-VdtJXR=57m(_HTtApC<d>E-6LXXmW{
zIoI*2Kg-koja(ZW;_|}gXub6pP`tN5W-`;ysb8+InP4`LiGR(KlD-R0<)07E>2@^O
zb4C9CbpFS$p31bp{9qc(^ITo#=IVJ`Wx4@|pKb~;ZBXf+n-IGzPNVJL9L@EwQ<Tb<
zMQ)m-l@+_);a13>9c6P8R#ug&<nMQS;WKT`E49MxNbfcC5}w!njI4OD^6Z=hz5B~m
zUcQ@N@yjQ2*S7gZ=YKExczUk&x~tPG@BUe`Eq9Lf{k+Jg;;VU6?IWA2TOMs!Vd8J?
zemz0u#Dv2$iuFG2c{Q`G??Yznn;R9+CmM?W(TwSg-N4%Ts9uT5b<u%etLNKHXYqH7
z>1W8jHs5Cb<gWG=`J($L-1^bseV9>jeu@6oxHp}j=1%y0l4<|i=qSraM^?`%nX<t3
z&FrAG&i|snuT4`9O8Gr8>c`9_$0t@+l}(KQe2}T?4dYy!xcE+ieOCKleqa4`%AdE|
z?<@aZG!(as=`>h<@>|m0S6{8_JJ)_YU*|88@o#p{*_nY_-=6-njC`<qzRg~}k1tf;
z%*yXmv|Q8d+C9hm|8K3zWor&LADx$PtsZ%DBWG63oFuRGhKTYX$~%%|?+NexaejsL
zt{V-P-<&uu^|vl&#g{)j79JB_A+~$Njfws9J7?O5B~5PL(~^@sTO-zmAzgrh*{<_`
z-S<b+_T2oQe9<Fx&tV2R&fga#oj6}CTJwM9{=O>>|3B_%vaY*md;HM!eG)HQ;&|tG
zp1SV*KVnYS(x-<!XK%58KgT3Ezjb%)n@1Owm}2`oteH~x%rWuXd)ZH?TdwTk#GXce
z_WgO0E_QQjT-gqu<Wih#vir&;%L?ZCbKCa65`W_Ib$X9PXYbKD>Du)tJ)72Ed!6#m
zZs}ynMKc6S|8zBZD9@JKQa3+cQ&Q^2trPlFwnWb9Jl*sE+2pOq*H*5V?7U}v<K?D?
z(~my&Pu?c_dqtEwXLj;T`zcz<F_X16PcFYX(Rj_oo3rM1Z+-WD?uEO1XKBSxv<RDX
z!QcMtB-Py(MQ3A5PdC&?9C3KNZT`jFnU^-tznCZ*bNH+6`>Se~<L32m+H)=2n_;Tt
z(Ym$Mb#H3q&exiIuHjXNTH~=Zvyx}YrOjac`hhcP!j)MJsS&^DXicBKB6!B`zfTxi
zXXb96e0amvxe4bt=_Srrs=R6{K70N}wy)o2L|e&CJh^(7+M{jPisxJaZKIk!d+qtX
z9%(bDexAEz(uA^)tLJ5Jn|t-`Ot(inuZX@r$Y0U<SEuu}*vqNEXC-uK9c5)I-ZNjR
z&-vJ%`I>&yJ9a+&a;WX>%p$9}S(>iA>%&%5A6=BSyRs{K&&7N$#{H+vpD#StGqG~B
z>VHKgj_JE+Bz73h<=gOg&rI3b6IAX#elfRl`rqfT$~SS`oug^hx7e3)USj;M`I@^_
zic<S01^wvw`{seb#9LmccI;_=JX14tqQ|b;0moOC{FtjTJ<j9nAI|w2ex^xfvtHyd
zJd6Dw5zFvtW8kX~Ive><s8qMDZ2GYxqmeVl?nX}SW0U!b^S52tR=wxPhSJExY2w|>
zjpu9Bo}93&@-&CeQszC6W+o{Ieyod~k@T_QOx3+vNfRR(moOdus5Wnk<>nbXjwLtl
ze_y$C^5+v-U5l&SU3tP*?rPP#tWrDCljU)f?feNF7G@lse4%^d4f#j*Owy+o%sD?N
zS^p6e|B+QK_t!l5ncT4F{}VR-#!LT?M0EDdPSN|LyRbZM?~S`!$1gZ?UUJ?jHBVjp
z`ngxda}w>(&B$LgUu%=X8IaJ=IfvfNS3h+!(|5-9s<JuphFe<e8fx4#Q}4a$jMFmu
zHb;HAU(T~hfoBB6=dGRld8KOBr;ML-cZb%^OU!({<^O^wPo#Jk+}(4(wDQ8M#}`*$
zomh~$bWeH3#K&*@V`gZS3Nme-@PB5~hQOPeY4bH|?K-(wS=0GvYupuNntE4#hwuvX
zLMCqE9g_cMB~Pr*o4;}X{l&a}b2hGBGbc6p56kSeANI}Du#2yLEyEvkJd)${!BwW6
zj!K-LbLVQ5|GYJ4(ers4rCtKQcC!}M->JR&d)}gb>rSsqs+{dCJG)0^j`Q`O+a7jV
z|KUEt+WmBL{Ovgl!Vmr8W{jD$p#0N^m2(#S-NbOY<$3k<xeKb_&#SxhiD}dP1)+6|
ze2&kXA09Sm)v0-NzyF?D+a5J{&iR?nzb&Smd_Ku#qT`-dB9B%uhwhnQ`)r5R^`4F5
zvuB)NaVxv#)tr@kIA$&X=zgMK@7{**8&5o%^^^JNoaOg;WDg{K{r^_@&ds?qU#+`8
zH)Q{v{hg&p=gyimCp2_t%AtlivtG_yF(<S<le=?mOxfaR>(VRF?Av?(NBg|cxzVTE
z-n=?2)HOx?c<Yn(H%^?ffBYbM&7Sgif9Hq#|Nl9w>&@KWvQD9g;wLti8vg8k!#UxU
z<j;xMUK|&Ex_55q`TE;8=YF2<ylqxX`No5uU9Io$T0Z{ZGUxv3PeC`HuAOct`S{(3
z<Jt39#I0Mqw&%;c`Q2+@eSRzFuj(TZc6+|xdtrsSQ&-RQd)Aow@nNF1*o^G|^ICNc
zH~gO={NuqQ`;SbVA7}gKZ&J86b6WMEmot6mb!>S!VYdDEJxv>C&-eXqU8x~-Dem|q
z`*#&nPBg~!CC&D|{&~uY;BRw$*G|{yYJPEj&BNgIsaI`ZuW){}_~^tCr@Io@YdV*v
zu&_!_5ZN<lLrm_Rj`a6k-0B?luXnC{{!r8Y{p0P&k{&L6^>c=w?d$nX8$0jl{(RrK
z=lSBO$`?CL=l6&%UUq!G@9V8=C0$u`^CwBYd0h5m@t2PmqwW7NZd}>5c;C?{%U)N`
z4cIzaFmc&};}=%{zBw<%R<3*D`Nxwyue{s-rXj}ZJd^mnIlj94=evCKW@u(Ucx`UG
zH|zK6c`f-T6Rj9_&uh;Po7<KBlyC0De`h;Op3U+7dx>@bR@Ue>4|mL;+w$Fy{nYKb
z>sG&+-KfqU{plCSg%|R(E}Go^d~sdYx7WKO{y&^`w0CpToGGv0bc)QM@$B;~q3(tw
z^&7hm{a6)TbK!E5NBqx*`QZuwVt&6+2(4MvyvZy1rOl%rP0{^N+@F1jcK7K1!?se;
zQ!q^+>)xJsleuoqaw*?DQBp~ZanqUN!b@NJXS(tJO{$ZgW%hwJ=1xw;(<AcrbC%wF
zIBm^?rmopb?SFUh9R4vQt^V7yX;1A=$}32$n;Y?Z>x^yo|7V?vn7DtU;G>1Dv57ys
zc8ktm_?VefXCklN%sH<QtUD<YcPa3<<(%8Q=eb@(o&RrO`2U*?zW-mHEq~KFg{rx(
z)#?J~?`PT^Vt<f5e^z&I(wsS4pD1ivDt~(3jr6&$fAwy%b<T0!dTzz6*C(`iXZEDc
zbG@$jct!uhiT5M8XS<qLN=SW>{c|a>Z$V4#5i3E?8ynt#VBGP(`VZr*9VaBV9BTdb
z^MS#hdCi_2r=%}^dpOONsXuJq-fjEdbj@@rH(qgK&&tQs`m0#>bg%ok`^vYjOWUqG
z#vGDZ6!)-pP2)K!^@&wlPE`gB;(Jc={5TMNwBh;d8}nUEPcrH<%0GCQb!~>r*~Qzt
zFZh>kd{9~)w?R!gSmIr!V%9=46-H)(iq-R6uHT+HwRi<z*nF3_lNnCl+<SFn>!GSm
znm-@Se$>EhTE^IL==_|i&wkmQpLzEAJm>327|a(vnf^<K!!3Wt@_%#cCkwGYUM#Qu
z;mWn0pU=+pS#@(ta>q)A3E$^A|6P4+_L8=w{fuuXB$W%eFI@h8GQ)dCvnMRPFYJ%c
zs;!DU7=3h(^S{vPv$vh^nEviV<&5+Eov+{Wo=ti(ZPlD5jpDy2W_1cSUgpZ*GjqnX
zZs+?PQY`YPVmJJkh-K`bXLW7Q=e5Nf)}P=^V)X8sY`-SyW$g(@&L`9M)qJx0@YLOY
z@5>{yH=SAD#_d_TwQv4j+uyU7-h48By33Y~LyFmx6kR0SX6`&c-{sdyt<t%>-CDTh
z|NTs>d9ituR{so_>Q9WquO>X&kYKwpz*J_wOa5M_(44u~zjehOpR;e;OAXQAH|=lC
z-T#C;c8<&4Crq>F?|A!p%B1-#{n~v#%<0|BaB<H<<)r5`L;F}}A38s4#_V}6^}lcR
zu)V)IquyWRuG!4P`{%lRpFijD*|*Hqs*z6hpQ^7t^?t6u{<WN^RIGLLzuw!gK213t
z|Guj@e9fFqchl$1+P?a!*7E89woZ<GVld&&+*#NEo=n&|Rdx5zdGT9UO^)H7<9c=V
zo_VvbUtc8?`gd;p?ON}S#WqLhzP0@RZu^Cgv+o?N*SuM9@?(?#&ZxS@(>Bka6<@we
zrt>Dt+*xnmrI<YYukm!xt<4p4H?2OujH7=0?DMAopMEdiYx1-za?$FyY;!lQ@A$U1
zbp8F=&u32-{By+j*446^SN%<1Zs(hMtLcoop|PZf%<4<O=gx}Vdcpn8jurD#nBN=|
zyFGt?>ep>^XGMD_E=^f^Z%)M9$v2c+_RTilm3Sj{PR@GWn>KY%4m?-omcNl(c{_H+
z9N!z2VehO~hp@gpedG3=^jEc;c3qyksr=fU>T3R*<sTk*_dY*RK8gAL5zTMU4p@Ev
z#PfJgMBe-t>>>P*r=Hrm=Z$6g&3Uude>-AU^>pH;;~UrS`zW*6Ebq}JYmNyo&d&AH
z4x7>ID?Rzsh3V6yrawP${pM1()gO~yd(WD`asNLr?S+0F_6KX?-dOIQ{xg0euknmE
z$7Zbm$ypuu&T{s`J-=<AuR8fcTK;5XGBbAv^RJUEGbhyD{L8c5^@k-li}~-ln>N2#
zaPtF)?d+H8`zOi&pBsP8>}A~VIkQ4fa+%GTRkw+W{qp5wEEhcM<!<cRf2r)}ocR5>
zCOY4kyD>X?;+n><=Kt8{#jpMQqUr>v+0E2<7LA^do3ajx-IWMyaNNYgxNy#_`#)b;
z@&?C9zffED{^5b-hOi&nv*S&7e-t|$b1<UIGp=X04QGQ2*9?v$`m;BueC9ZJGQ*cM
zo99GB$%XYB9zJ?!WxM*pH8ocAe~)Ly`*L0>`zh1@V$NQ9>z9kyus%E3x5M}U=LW`S
zFRbk2l6F)ceQ@vHfmnvuj}N}u@Z{-1t-Emx_&@*Q)jQX4asD>H*y_V9vT-r<wr!g;
z`*wZUydJX!Y<2Tzo|~ZmV?o97x!cawR{v0tUHvea%`~YnyLDCB+--m6#NXd<VYFv%
z`g9S|a|f4J%slgP#+^rVBCgjxUST#-%=Z6Fo3sv9)7MXrY?`I@ab8W_^v`#bW9Ba2
zdxhm`{;d8t6Xln)J~x^*|KI%h_ul-Eub$?4K6i1|OP=RZQ#}67jbFd(X%Nrz1M&YR
zaWK!Zx%=8aGU>~l!u|gyW!BA^efL*fmDRO5MQiu}X$g${ac0f@N9FtD3f4TmbN=S@
z=SP>ZR^5MXHv4JnjCt|@cSZR|-rYZQ&EF>p{4Xc&d3JvO>@`=PB&hz(to<`%wK}tW
zS<-=q<i<yv*UTxrUw+DO!iI)dCllVyDSTIZ?AFdXldD31&nvW#^s<{dxqolRj*mOo
zy1e{y<{Y+&Y5C#xdTvqJ)zcAA4-3AF_%TU#_4C`or!SqmxqAMFY4w|D6=iL@aA?ir
zynpiw{Tud|z3r&!`2C21_sxWZ*Vp_sKF+wXzv|wL-A89mUmv-&!E}Cu#G<x6Gc(y4
zlMa1M=w+W<nAM#y|De>jH}ebQC&f*@xap(n=DCH{<(2c-Ph)!j`i1=Og9Q<D3->3P
zH!y^ThK92G|NkrTfq`M(as~zl0R|9=cT8f8VN81G$iU2%q{qO@@G?m$hAD|rAW1Uj
zJA<T<BLnB3n12kM0!&FljO<CA4AKnR97zg4V;Eyt7-Tz`lH`~iUox{#h`Glk%oxm}
z!6be=i9sReeiC!i{}?AZM%E-5CQ*jpNy0I2l9*Xy<QU2slimx&Fvo~8ab9Cd5@b+i
zjFD!Dc^AVO`|owE03&w{CnM)0hFC_~Fm(Z0CXU#DmlzyvVi<nMNW>_`$iy_T#R$i6
z$8a&IHYmhM#RxMgHzfaimt@N@pW%HHcZ^;V$EzeIhOJUb3g452lm0NYH!_?|;!gU)
z@RWm-kvWM|AW0$V8pCIHL5A;g48J8A8PwmzFvSQq#V~GVis9g3=3r#p8pF!T#>wzA
z`Cro`rkt4fF&vI6jLZx-7g?}PXJwtvA<8oSZIX~Jvon+bQ$Y@HbB5rvjx6CENqQ`a
z4$or@V?Hu8H!+q=#C+$JR$=I8Y*qLc!xHnCiGPv+Gbe{UvtY+JhPT{|(kvYO3Nd#b
zIWGQ4;^a<j;_w%adBq^8AeQu+sgou4Kj(3qm=6LmmPvdZ#lkL0zZmp|7>r%G7|c02
zA1X1h%QJ9$NX9TS?`AL*;t1wg&FGS3!SIonVYi9^!+rrpL5BHE9QTDfIgB|2zc95c
za3+0YsAW=Q5SLE+pX4OYT>9@VLu>$Jj5SNS$`6KomU2$Mqs+R=|2nyJ8T#J|d8%+|
zGL#GPaAz|4$4u(va9}yYBrqYVhx0qjVlig*?uk6}te7j<v{g8B8Qv?sWnnjC=Jyov
z=XlSY_@#>_(VOE8%MCA<cn)7L_Pw0Ui<o0OSYqQv5<)Cl4k}5dKjWA>>70l=({YB|
z9TE(gpV)I<m`{J?{G`OZu$xPF(g%iqu8Zu`Jvw=ov1)fqoqsQN)rF@!Nm%+z4144(
zo~`XNIv!R6j|A$3*t)rUW0al?DVs5|GAJaiPqIup7SrIF^dW{n=|9h8wofsZF;09e
z4N09`-&H<xL^7OIN#f<%Et12@q{&y3)X^!~%+dUU!?5E5!&wVXO&6*D&#dQ_gu_2G
zCbqKdNNN*|oe=YqL7VYE#{~vCi5R7Cti}@<oIE8~Fy3ZkW)O?9i8&U_(ACN!GDX4P
z0eroikl<XU6IzVyg0cUzV=NZL9FJv~#iHr}y55aNi8(aJJ?4_2gx4pDvX?ALyb>u)
zF=~MlO_3~-Ec{HVOfml*C1%Zx$!FkY;b359k70C({r4!DQC{^>OOl3O(j$#?9C{jB
zGD!-vk}Q&r3;1!Ij|t%rdy+IkBk5C;#4?3jEm~|zTEb~ADq9#0^d=b^Fi5isx^Va~
zvnpq@@;Wfaa3=qE(3s4^$jBVaaEg)LKjupeZ%mg6OFTalH;Y1C5>w2iw+3QNsSL+G
zSQ(FNTvPeMxkGA|N9@0AlD-m`TwY2fm@_a7D8{VkILPnG_*Yb&<6uY9XMsRI>7Opr
zkK07ll{BBc;HVI~$aRB}_lu|SY=Kw~rb(Kb8ZViRRit(@R11A%s26aEQ4}sp>Jo?+
z`otKoV;a*b;mH(hCCJ3Wu=-_;g-X&fg)&J8rE^Te!3+nT9y7^G#8`w(((GW?X0GOF
z7g+l-sZ-z;^XhJf_Z>D2pFc2{t8jeh5!}n7%W_lcJ=0S~PI1O04Hr-5n~dw(V)a>0
zT$D^qVrdr=PUWbz6lCLkCa~qaCzCisszA1j1jF;stoBNrk{{TQPwHVguIMM(;K9qP
zt}uB^5{o*|Y$3-bjFVzm4hk!?#x9Y(%oZER^?MTUT!VQ++$st+jO?BcYP=t~ZvJA~
ze~l+vh)deyu`o-_4}nWUG29D<ulx_<*O-_jAn?G#SRm$y$IAaoF_w*75^REu%p4MY
z@)IS5Bn6UOipAJte|bt6FvNNodvL~h8amJPi2cX(OJvC;LtdxL46Yte8L~Ogxt!(x
z5W^*<#859G;qDn|DX~ByY?6VqumHnM4;jfx0;d>QV*bVaPGa$7oG+EjFnf~p8Hp2&
zu__L!48|&wF&d>z@<MDpmpo73b7b^Q{vWQc;^d_qbDJsELnX#xEknMFG?O7yqbH*V
zLpZZIi@bu)ml$D12G(ye9NL{6fdSqRg+Fq<*ZBK8Nl8NK1#3X73S*MvM@D8r4XGrT
zk1<Mu3SmqPiH@B9j4|v?7bh{y_y4R=rXtbikm<plq~{SCVXPET``zQS(r>4Sf(&yx
z8NvgmGsbjk7BPg!czCLK9`?N285qp4pFJp=#e*^V-%DTixooU+)ip%~B@QJqZe+ix
z^5>F_hL9j{z)wbxn3pjDzd2J?k^-GQ(mgeg2$?c06w<Kre96ekp=%%%!|jpuEryYu
zley(#WMJ%njyEp+jTa&cJs4vQgFKrBp2Vmy9sJ12nW)k7Jz42ra8#1#)``4{?5u|q
zgH^cPg;)hSc7IFoXY@2=)4UkaGa;7Ye8gm>SuG6d{7DYmT@qM1V`_yYe{sx@Rr&YA
zc2S@(Cubu^XflJ)O#z;$MX>_^9w&JT6tlC$uqH?g$Nm>8Vw7ZLPM*N9DP|2T4+}dB
z=U4CK{|wPF+~C{v7zG0PW8TEbHzYx>(~F4fxR;Qi#vrD^8S^6Gj?!(8dm<c40!%SN
zF+vNHCPXKlh*5Yb(Wf91bAiE*F=$SVY0TPW0fz3Feu<^Ji~`b3vH!hdSs1P){IyU1
z&y>$;mQ)hc)tPWjGRcM`pyM*rv?~mP9d;Q)(hPwd%nV&ZF$%k5d}H1*2y#Z8Vwk0#
z<iyPIFP2eq27`3Wxfs<LPC>3;$p+tTnUXjQWRpyiCUGciPT0f{%fZBuC>q1@CFw~-
zn?fwhe=P+;=2!-$*9`feGR!<VA|hHO#1vk}GRliMc`_a}{A#+|DS8cOjKfSOi_|wU
zvPlXtNvmUY83L7jGfeg-n044Eosn4hK%tMrSYgtaBqe8q+uRCs7&%rY|2Iow?nq|j
zWY9dQu#UkkrZ0vwNy&yI>9N5XCPv|1F^tLo93I3l#WK9*V0Gc+5ae)}9wobs;qs)c
zhO9P)Zz>717}Py2XA7*d_$|cD%2>?UDLBu8S6Yh0g;|zOQbZ+|Avx~6&>IJR*&SMp
z1u3#IF)^zh9h`Myo;Y~A_%Jl@<<MwSG_i|0!njl*=Duc15|biFBx8(ojL=dJH;sg3
zhW|cEOvwxvW0X!anC39<WQYOX$(PLV-XZ2_l2^<%4@VYG2HT6t|0jSh_bs@m$fm+k
z%(F4*l1dB9UBM*A%}jzE@giJ-j}#eLwUQ!EObqzPXwkrUS4HX@gY1$INu2wAj;mCd
zIBpfPX8bB($>>=j#_>%fPl#hDgYPO&?%fRGjE)_9k`$Tj1io|}=IXuAkl(`eG|8Ev
zQsGDvqrf&njXEZVr%4_MVk$UfC3mrO3dD29WHBXi?0l5WaM>YAI*C7tg~O7C(<7BD
z>E=X+V7Y>I9LHZg=083miM7C>uuX+AywFDIi@+iQz8FP@%}HVm(n9<i#~hv~P2{?m
z#Pyy_Rz=7(Z(-J3o{No~-($36o-na{cynH56l6(K++!EBLQvwS1lR4m6D35Hxo$FO
zx-e<SD61$*=!kJL3VAC3<7BoF6!<));L=w|2G7!%$pX7M{`+w<%EV0MT&$DO-6f^c
zpwg|RbVcEptkJX%#+XwIQKA*aF2c88^Zj*YX(&<R{42!3-ti^r0RyiV2R8>Z$6w|o
zq4%9OjBAq|4JIW2d#J}XN%@+<e*bQdH;lpp7gabU_j0icy_VXqrJ6Kph0IZAUaJZh
z4*6Xidj%Mi?5uhiRbmcGEpBx4<WiKl&8(|(h)bNM$WcgkUrZHCu8_cPuJqQ4LaI5;
zq8%C?$r^WLIcixX9w%Lo@i0t!BExQ~l_kSIiCLrGvWc<Og*BW{V{*$E=Io?94BRSN
z#tiG7gc!K_V+@TZMe<r^IL`856i9SE*22Q8oczxzT1Al0%JNIhYgIwP+e(6}6IBEk
z3OP&YdU#55C<?nA=Wr|#&~=$4V3^DFm*wIN8U7ePPJ#CmQ?A5JGE(?5#m-LeiVC+2
zlaMc`#|H=2q%TSL7<eaWC5af^vXJ{C${oaTUX4-TBSt8R>8d)Tr>BHj#wo%76ZXW^
z2>J`icC-fB<S8+`SZ<T&zNrv%HO83dy4FRphn@_Vop=;|RroEINq!Z&%fK%ARalYn
zcFZS%#!|V@N<z=IW>~3kCS^wJ9OM)@&yXGCtdew8_Tq%!iZT~tCK^m-%HvoZ%Wxry
zlj(SX6=O^j8x!lL7-L3u7qiK4*skhp=*04_43e5|m)k9Pbfw1D4nu*(jFMknIQq_T
zOcIh<EO7pir<JRPx5P#lXC}qNOb;hX{bGKcG10|dyWb*FB~Ou?>HVa0%<mb5dADnI
zDtT&m@^S7~VdR(S6k>H&-k`+V=@c*7DkZaAMOC<RqoRUi@;`$NPewQCTHAhqLkE2=
zzN0Uj5_pfYNN2`ej@e}_pQ&WXq1$P>I>Rc-K3#=pJ%^{KRkCDam)#_Z<Ob;kJr|CX
z6F67Cl8KLJn7KkbhJX5!DO_^#lMLep&#M@{)LcKYFzdWzHmjtIT;WTZ!vB-(w3`=~
zK2vy<WSVrLfiY%zjA>Gl6*I@mh+f7dr6{JU!p8QB?5wf>ZE_l7IFcEr#;o2M$6_?I
zF!sMkRWd`wp8&xQhMf`yi<mz&B&~>L*fpb);eS!=|AJU0hKWm9JmM!Y2gy|BO2nv4
zlrlV|WbILTlEqv}$>11=M~a}}$0-a+L7pBgnT?KTR2V&K1l$CzHW?YnJ>fhd%pe^5
zU#Z^deznAU4wjg21x+7fS^m9e<Vj|j&uFwgH}>DlWEX~a7TuFA-ZMom<&FKX>nWjg
zsz6bbp&({Ml5~tlpw7kH`WLhL<aOEkJqjnWo^|38iaf5e;vJW{&}~Czmy?2?M(iu1
z*wrU-O|<&0(5zzfkSl)@Bj-}qT!wHJCu3hhkK})M*gJgnFReU~!n|7i_5`+M1JwZb
zE#{`G`McCv8IJ!|$=2n*{Ut_IJ->v7cWRK;QM=Qjmlz+~oxC2xEEg`$w6NhL!v&eh
z{iQZXU-M3NExF3d!me`Bdbh#tm8qA@9$rnm?5V<AdVgz#v5RABQt90#rvrAE-d2sX
zWKvfxz0UaCQ?fAVeaTg&i3ejM>^mcwlMK(sIac+u1Sj!EyShY%C)qJhvXHfAT*<P;
zk+0-p-c<AZ4*el=o#M<dXU>)Bv|pL!Te4nwM(c%_3xmtnXTM~8T(-V?FYnRP^}nWb
zuQl*?>5QIGy1xE3?@U$0*F|ePGuI@pXFT!J!<bccnsmsk(v|uatGg^xZa*zp>T!{U
zf6Yy%g5uXnx-mi@PRy?HPMWlQvSE?P3TBm@Y_tEJ8P%EYZUt*QT6eKl7Hn6slr8Ra
zV%iuR``_X(%hH11Oi615Ggdn?y=OWSqk2H#>5Rt$j2F0>P8NU6sBJvz$doiE<Fbc*
zOvAYaPg!2An9UgbPw<Oq(us$Q*c*R_#4<>niIJKS+`*9~x<Z<xB)a4D4*m}n^&aUt
ze=C}m92Up2@p?|Otcbp`ZW5F5LPN&AF103%v(_rH@y4vE_k5ASaJ({Cg}Gen;@^pk
z#!MgnJp5<zr$<`ok%!=mGcnE+b4?0nI;177PKsNxTYxu7^1xkj$pe}bsw9&CeQKWR
z`O$%Ct%rNdD)yL|NRMzANp2pGc)y046>n9<Ju-K!EJ%76`)`_#UvuJ``9iaNZ(1^L
zNlIleo|(M>beVdo%dEzg-13tc<7Z90S**YqBhJJv#LL2d>37nkw+z*cO0#p9oY7``
z8OLz8B!=a2H`7$BE`ATj3-uf;l^AS|nE9_HrLeqS#QgRH`&$>L`zx5=PhxuQ+bhKD
z!Z2BkB~^uo@jn-@;*!jyH%z}h+5g`8&G&peL-vbkmjBZjrt?2uHA$%Yda=hdhOZL#
zlYVhZ_Hw;fnISB}`z6Vn=d%*`*Z2HiqduyzE1aAXr^YJqvPIzIm!y?{CeGR2utAZT
z`!}bQ|GAe*uRVGGi~m3K+DSu~F-Gd;q#4^8R!V&Ch?aUUA^YBoX=;;#<f@oB8Ac(=
z`+Qk3@=qtuEMwfzKJyw!>c{M)SY6g^Ze~@P=S;bZNrEe582)Dpcz=27Dezt(R_5u}
znSztqo+?~_5ySF0TK1{n%N6D)C0Dq1xJ+EZ?*Foy;jn^4Oc2B8M*+W*lNy3LI2SD8
z(2#anUCwOeEy0|$WzETto`$g-JOmgY{A3Z7aAm$RpQS0;z>_(aQR~0UlKuxToK-#v
zYcr@Stu)h)(h4^GpdHg0G--i8gR_dFO93YfbEXnUG%HKG1xw70MSYW08D$@F3+`n2
zko=z|mhqU(#+)4n7CS869xhbUbr$|8{5C1jTvuGw){|*DL%Yi=hVED$N6!z5CaR(@
z1kF`rEPtDHN(Kta`j{<OVXG80bu~5qEbD)Xp<LJX2=9YHsfm6bj1yPn2N~O%nJOl|
zWbkgf!tqoh$=^=#g_w+?V9YBOZiR^!{{F&W8~#r=SZopR!QtTg^Q;TIljoZU#Rg0<
zFAm82E%?A-%GcqO{BO?Vm^EKFY*FF9ZDM^wEXkT7a_vgp3tv<iS{d?P{~vGQf85dU
z;UZvC!gF^;qMdTbN;c6+$vd{X$q1PW%!y&Vt2&Wk=W-5HHVqXAL4jUFj#8cj=I2&y
z+cA~FGWLIQjKTk8hK0K?PGVGKc)w$VpjbPjO43%7w<iP+PpJ9c!8p-fc&WtA3~m;4
z^$uyFeM%M*pNbd*JUMz;mVP}T#A?CNGGRgtPf`R^*@1+~s^0{bc(AaUYVxSC2x&>D
zaQ>RYuy0n3lI;o~Mh0u<&FmFPcN95IVx*WJbUumu5%Ruili@~@BVYIYxpzFulSTUn
zli!S0oc>Hd7cnJSB`I7<GEGup@>g2U<ZrxV8mC9K)H$A{L;=1e!wt$Tr{DdI@#$2!
zCwY&tYsEwZ9p+|+n4qNfF<}$gq=gx0vM`tJ<Q0hhAMA0Rfo<6Y4aOu7?U)D+jRP7U
zF|RomD85lTY0ETa!9?c&({I$Qa8^w6C~@vOazZ0Y<pPJZqKc>R1QuhCM=H!5k%B#)
zOv?k_Fm?QHyb<$^<Bx^wi7t+hN$e-h82O$bT(>}<K{n<|^1pwU%3?da=h!42+$ga?
z=0qi<N|HZAdVs>Cq`(s#GY(5|%#igHpY<n1Kyu?=PJt*5n;1owm`xf^XJQ$c<_6Ti
zjVX-j_?onuVO8h?F+szxe|eIA99Y346l3WtY5U+<%t_S-b-@_Vs}JVH7<O<xcvHae
zx5L;WmQgtAw&7{ESv%h)8~po{%(%O2(uXsO)&~wg{5&I=Bb_lZCf4zTIB$&Di=C4g
z<}t~Jni|b|p~Si91?N4NBx5GlOC0ZnEUt5S`8IOzN?MV$gF%?1kcF2m>Csz**QGP;
zJ-!;h<tP#0NRnlE#m;<EG4|gl^$An0a~CANPyY9r$3>>$vFWTBmYL$rGnYst@>@(u
z`p6K@S-_FT;j${p(o%O~-}4!VCnz{DCh;XHUKL_0jNKp8sT9cIZN-|dBAmd{GAW9S
zoh4T}Un3^WStaR>G#AgNzLPV)UR=e?BIx5D^SG0VTajItF<l|)V61|Y!s?`#F=?xf
zgpwqrIo>8siV<kOQKcxw!Kl%;Hl`_s$%Q%QxBxeYeOAtkq{ak~q(3p&1!O$}WSDa~
z_*PdlCVA{h@(et~+2CZM6w6@A#^S`}$rq!n+_0E2zG$vM?20Up7<W#)tYZuhWB-dL
zGyG!AT$Ch~{O?sqETclA+ShD3l?N}RzN&BF;3#mjIdGAqN6AAiPDn*dg0D;cq%u!e
zQ<C8;#-td|FDe{AIQv!_#_{&KaNMwFNnbD4Q*_!{Nw_CfXp*M`i$|2;ib)wInkhmn
zj##EJ9_a7zT*K(VH0M-&ZrG9}F80S>Qd}z0g0}?jO=W*7@wBI*{(wi~#}xt@>3o5m
zjIx$jV#2p5CGGU-G`*$JnZ%jwaZyN6qRU94W&xi^k`EKV;(H~{+U>F(olNt+V_r-4
zu}H1@lJvPVs6qET$BajUj58T6KiHpm=gD}vVwdbZ{|}7&g({RZV`Bn^L?y*UJwKK*
zZj6#&z_>k2Owf}hT|-uR!6cScE>)EU_bzYI6cJt$v$EoZm|&|gCvTFNU=-WqMMf8+
zS)W!(a=pLlsjK>q?fFX~e$@sQjcHt4U6PD+8d8|qJz`cceioW}Z0?%_e4eu0LK{_h
z7=H`xc4J+j#pD{KU~h8V@5Xti$w5qi`5%Wa;<1e6eV#W_=C=iJxcFr;x&N;`%eF*5
z?*21rVT=-^P_M_+j11}jDvaE}6<Q@EVs=dsZ(*3I&K7f9VNTD1qZ1-sRBaY^R2DIF
zFL<buWFWw#>)yEXgu;oUgqbThcEl_Z@bhDF?~oHPn<)_Ik;uX?=%K=%V9p$9G+Q9#
zq#1)}^1o*>e`4n_D$ba)!(g&NU#IWH$mosIPd3W03h#M4U7$<C=G9~g*%*@peUIPY
zTR36P4(}O>#p`mDeB|E0cydCEfqTO>Pvr`aALp8{?sPcF72`7F?L>te>o@L%&i_N^
zJ~$UJ{D++X59SL;{C(KG;vB=fiqjT+3l6w(9KR(MaK)Ofx`<J6kGS=sbC!ld7j5To
zC9N=*D3jT=ET&*pl5Wzp4;)GM4AG~PI=GTt7?O{C(3lu`CerCifWd~z8SmM<@A9)g
zVmPhS(mREpS@O@E9c!4_E-<`huU;h5XT`@U&Z!$aeeN~)zbc9~9KLfnlQvxC2rYP%
zbdXocP+%peD5p}5b<3F~C3_~`Q=HNhnZyrs$}(}bFe%2cJ#<~nz^ka`sUvnbUPSY*
zs<3v`ePicjhBqR*aZ;97EXDPWgbh#i7D;C9FDp`QJg`qmh?zsse4-HVYhg>ljVcB^
zBmyl>&g}IRIxT3=q<OMa*pbQfkg&6f$&;8@tfKDX3g+5dWwRBsR{T@Re>Gib;@rq!
zmBR0xDmnj7?iX~KlYE8Ym1^Z89~*P^T5<2R*zhGkH!axRcCSMz`_YEA9cMGt6czJb
z6pGifu)D2%#?0k*L3p;k%5xT}rd!P$PW>-e*z8fCy@8!oDP!3yHj8r{2Nv(R>B$tV
zbh3#d_H;}<i?LhMEtW!olSx4i)3>`Mxv(@IsxzCjtKo<Dw-grV9;by;sxMbXMR>3B
zi9Gk<_C#NX?v3l_JjjS{_lVhWBcR<WraNYj#BG&o7Ucu#9I*_IAw@fqB*K$6HOvt>
zlH{6{sFs|=@Vb9hP=rhRGWEy>N0Oebxb5?zMqn>Poxr4+h!q<w8#lA+#QboHSu`QC
zLve%Vi3!pDUv4a91l<b%grOmL>xoG$!TVwvmhewVcGHPDI3bk*d^dcId?5p;)rC|U
zmj?-F6=Il@{|kF;Rh+%)Kl3!VP`}lloRu-jLOyE?S_CWo*H3El&{n?AQS0QfIc6Wj
zl_`~M#wT}j)F(5?>~v(14vpE&pePgdVlkuo153_nn_>f|PFAd#s;e`x{#Ci{oDYc;
z_sP8ANm76DT|l~nKgNE>B$f>eZ#?V>^3b}_|IytbJZ9MsHL;1ilEijgIy0g7Va10e
zk1hu052+kU1wOxH8T?lL<T{YR@|a`xwWN>@Nn4X5I|2%wUKqNZKM){z;<wX@qU3)S
z$_6}1kA8Gc5Q*Vflk!4$Nygfe$=b3q{0{^<iZx4T$0!{rkS{M#PM>(EbC+^0Pi@m~
zj+wzRTMKLzB4UmgILkQ3d^{d|jN!-DS3I2?*KvF-oy@wsApJ`1Nu{5yoL;;-e`6Y#
zDXpyfE}5eKo%_Hy)!OT_28s*La3)C@u*3*dgr13c*#2-^j0VGAi)D|b<zyPxHXSW^
z$d;5-b5|jjVKtMakmG@ajO80YUJyCa!TFJ^A@0NXhIocgvHw2Cx-d%Y@Dk)HophX8
z#Pid;$*WjC7%R(hJQjM)xSOH$e&mT$6E;kUkvow2K~k9M;v1bL&IaoyMy_N=>Anl+
zCvtl-JD%*H!}#EDjr6Gx9-WG785^Tq8N6L~bA>bBm?6Yi-8q$=FJ^*UlKRa|&jy7f
zjG~7dUMfG7vScydnC_Wa%yH=^o6Oq}JlkqwA{rErII0|aKjE`852xfL(L{#Ld`q8k
zH2qT){GmL*k@2xw24nr}!V5Dbf22;E7Z~|(!f%~9fqoAsFUgv~og~7<S^d4@<&0>V
z7x$8s)Q<ePo3SxT@(c^_gknXRH<oShFXS+G*t#=ni_Mr4DJVR%&f?YN@W&S;XL4_x
zTfKq3;fBX_eaUdShU*`FN*U**?^*Cb<%T+k|HSTtdK{7ScPu|5kk2(|k25C=dkV*m
z3p2I}K9G?;$l=SBBss$;d4k2~Nlg=&I2u0&f9{HpX#S#dB7|lCN4{#M`Kxre7@z-q
z`s?`-CH~|Y+mq(6d+EXy{PMw(CxRR^Cr)@`Dc&WwBlf@elghO_3R8Ha=dby>?_<)0
z3H>{JCeCSanzuTA<0{9=osNu2cas@9)!&CON!ZO<bYDuHRW$bhxvUej=d9bjy6r&B
z^q8W~-)SHDc|HinsxX`iUbV>R$bYXL_uW@;9{$|mUFiMd01ML(qw1tdPm%(5q+T?t
znY;BQ!_*FcbtXIMS=*BAj?Xx#6B0AAdBW)!Gpp?nKFM_KWD<y(5R>85d^0CTZ`PhE
zGPY~y?O8k7z<v#*`<8eiHeLov7SF1W&a8izD1DqD$}TS7RmeE;$CCdV3(v_vahbl#
zY$8YLybWvDe@x<=`|ao4M>Y$O>|4Sh(X%Uk_9AX!B^PJz4y*jUEq~-^J&JMT+5Cac
z^cPF4D{Fg~q*sx^^KIL2&)H}*XHrNKTcW3=4f9^R1%@jnJX!YI%wO{{dB&O#wlhDR
z;MADAD!3?7@}#ufoK+Kr|Ni$3+<SO3mp@aq&fGOQTn+2RW~`aW<&(^y&61oVb$^D7
zpu}{+k5QX{cC?-hT)0tBS+d$wB0E^p>HVY-RVHq!<0{Oe46ca`{mK6y3b?2(IwSqC
zZ$ctt#CDsF#zs=dCtMesnb64?E_FC&#Ygre>HZm&j2CMbq?%39dOm@p)v$PXgAvct
z8K0ACmnO}<ZYe*5L2!QeW@c@f1vc-yXG~w9G@0T3uRk9fK1nVti1-%6Ju5dQDR73$
zj~@*`=1knQu&!{yi?$iMqBBg|g+sG%&Eh?-)G;Z2{_KtG{y&*@Xye92k3i24p4+Bg
zo^wQf{=ws2zQ-l!%)V5_l|J#T&d*u<H*v@=*nLuvc_L5x!~mNK#zM@>E0Y{LwG50j
z&hyk4t$e~GIAi*I_ZgwQoaHJpT;(GF<-RTSlgxO1;I|*+inYR;7XPlFIaA5_bjIQ*
zLdvHKpK$#=cwU9WT6=z^0QZcUDu&B`8cj4>c20o#hoVK&Mz!<}-zQC&+>tsXFPU-4
zoRo*pJHIkBCVh{6JaO4Xi^Xi;i=SlPpSk8C*W9Ou3ruvRHk9cIZ_E)AI4r!INqyG!
zLT*n<S;py^;!2T+MH;I67-!fioXmWzG3oIWfrgIijSZ6`=ANFGVSFO=a5CeDD#auY
z9%iNU9L!P$rl;qgk_p|gTV`6)oRbHW6k`f!++mPQniG>IzrdeSAm(e#Ymo+yn*w!9
zX4EWT6^LcHCQ)(Kli>*OK7)5TF$?RIB(GhURPy9l#-YKnKy2aF&XhLk7ylaKl4F+o
zZ=RKSVn*|vX_FRt_A+iL>OI2oDn(FZC#UcXhL}mN9KVFr8d%#IXSljD$cuIEG4q~r
zjQPWE#-xKbN#zq_1iE7aB(CUiPl{Xm_>#xWdV@JJE2K9$9h?z%AZ9_#MY9u(w-<@B
zy^Uq8<dEx=ty$V3Hz7r^L4sT83a6#SwVBJ+Pdrg5a$o5qq9ifr)rCBV{Shn^1dcSe
zBppz^v0-~or=!@MPcBJ96WHE0Ens0|R80Q&;zTT?EHh_IXT@4spEEO(6s~d3xp#B^
zN~KOYv6xvaC%%w6<6+WVq9P!{u)58;;l5JCpQ8(8jt4GaX<&|Jn7yF1myt`H#ZXNo
zM&Vde!}SKw39CD07VPVn=h)iulp&L^BZEVO<E?Cx*Tq(cqDgW~#pQQ7F?mWIXn4Sp
z>UQz3B;TagIg)c`dayDkNq7F#R{SWCBr37tr{=7UGZPqNBxjvATzK1v#dybtTWVo*
zUIrao5wbuoX@Sec2~rb#B4VbNciiJBRh<3&=){Voxz8Mv_G`UUDW9><XxIE5N*}%p
zDp+L+PI_~hVWzZm%c&d8^Er}aJ5I$iPU2ylFJm_E<#N>t4~(k1XJ>Oa>&(pA#LPJ}
z*Mmve^WCJMVoC`c3|x&fHc38Pv6FLx+sows?TPc=Kevq8sH(#lGiNJDlDG1jm_0w4
zVmq2_CQ0Zl?{c0rVTFduP8JUpF3An8hZyRak`{zmF0gK%$j+1$Flj^V|H<+aFZN8h
z7_&cS#SE=kH#%0i%-O-NwMpSiOqoT}2hef39bY<_vZW_1lF&U6BgZ+TNO__z$LE6~
z=cHoJt(cPD@i2tPzEjwgY1R%E2QDX#=R198G8Z-|9J08^6f=|M@j}PKosla15qg#}
zr<XD>7qwKrBe8yxvbZG&@2dWZoV*L`j&N+OoKfJ^&J{1WU@j-e!7h)aY)Pfa2ZuK@
z2+GXddSddm1@kx+<r$MAS6!O_UuT-}ym^1cCjVoO<Zz!^-6H7AoHVn>m6=m)W^E@E
zXM)YAC5%gNFA#pn7_(^aM1?@%{Vw~DF>>|KYxVZL?Uf}ID7K6_X&%Q<rFpUwB|^At
zIgTgX`Vup#+G2&I_}v?cEh`UC_E^W|8WFRceM2n6#1j)3UxdtNP`oNs{>ji}*Stwv
zmUBG!5)IY8P}RMJZSL%LmYHW1FCIwyR4wSc@cf3PC9Eatis6o2g<4658luzY==d%8
zJxP%_@k`{fhzT>N*{oujw}koE6UndhwJN)3XfI`2YNx&-RbsvRiA9N5l;^DDSbtJt
zafHA!rsC;5N3%M2v`Z&ioRIFHxU4w+^z>)jZMS}riH@FiAw({Au7^kn-^`+v!#6KY
zGW^b@lW|LD8Por6%Zk{AY-e|<KU>E1QtPUT<+LSCzg4ZzdO7~mv^lT4gr$D9&Drfs
znXat2JAHpCQ}K40(5%@F%yZ<Q+g-IgE;f7O%bJg|%64Lmi%h-e%6k_Im;UVgVQE~=
zT~hM-<jq@K&wTDIlC|8xawL+S@xW_!X7<y2c6k;*jx;tZ+C3-OCTW_J(gv@CkM4DE
zyIAx%<50;(Pwu0P`5Y%7m7ea~d}(6g%88~G3`?1I1)1E>ozQeyrnCH4>3Z8a+V!hV
z9v){(nmgfh(x#<sd)BRtFJHfPDbw@3uO?4}9!xZQUcQO>{FW&-Yh_~N3Y)Uz;!i$Q
zc~CR+r1Gx!r<X9@&xz?s@`%wf{<~`TvEG=aOqZw5VOVZ+(bVtMnV&N}lH8m*8CfqK
ze9XdoY*W${>7)fF6IrERny@^+$`r#r`_<K@Oixu#>bhng-tdz1!Oh3ZSv2P*&E>G|
z^q4oF!+fRhyg3}x*s`6|ZRT)9E>dW5tW8=uhhw?T<f3Uynf$JZ=fpI%?P7U6si^bG
z^+`oDXSKPW@V7~s<E+W@x{~?r5~h0>^d8S@nHUkegz2dl_w!FD9?ouj!Sndvl(@;u
zn4VtY{rpMz@lvKO3%Djmzkhf*CHiOgVP$TqpWaJY<}dqqd^uAv+X5fPnA3BLr!8al
zV$ar=f6l**DMq?;J7f2a)gLo^Cg>J~sxbU4h(6r-neoNhNAvS7yO-OtK7RF7h`BXE
zXBo5ljKq1#OPF?_oA}5vnW6R5@^j56mN5lO&SbTTnX{DXDAR|NhuCJ_de-Q?K`7?I
z`pPBDN{d`tHwJnzUSsJ9*4}2dR%bbLC&Ox{#_f}>PBWR?EMXQdb~^V(d2$DnQvX~A
zZ-x|4wgoH)W4sq`J*m;MfQ30}N{n3b%ao5yU2Hc_M9$&O*^rwfe&dYU*8ctphkW=e
zW-VhadpOTX<HCfFB2JIl9`ly6$|dcJWZ1rpZ5GRoRgc*gJu3b<v&Dd8gHY1VKw-`;
zKXqb!FIX#SK3c|qf_o8LxFoaqOds{x*I8L3VqU~9Wv#fpu)<~mYmUkaWxEUfk0)fT
zh}(R@-=o4(P^^jN^QNSyi&<S6e%oz4T5%ypa)E))1wX#UOOvFSJ2IG(9?Qfi&bY+X
zJ>dbP?URS;3qlJQyyJPit3^Y8#R69DMP@Ahi&!^K@BP=Yg_kMz$G<1Z4Pg&&t;w3R
zLu^Lb#wBc5;tyr4Vv1SDI(Y+EKhqMnr}-yu=y<R_5IxTO;{GxI70Qn{zOq@q-SWnF
zImTIQZ!gcBw}kcF+}V?sD7Xg|?OU>PdvnO+C2S|>G!~n!yzjk)Z8_`X>_}sSrK~E9
z&y|*T&z{5f@(GLT_RXi4vVFd}?1e}R-|LACrKLt+mar}LEAWt8+;w^h>(eD&njpPw
z>7io$Va*$CmUrbZX4$xeEo2qj<EKtjmUP`*W*@vs==Kt}4EE=hLO++X+I_6BU|e|I
z!%OBYM_B_~ikHrYMU%Zumashy;(7d?JIIDH$tY4`?#v}(EA8g;J(u~fvxF^+=XIru
z;u6+Zx;w5!FvfJuo3r!EGPb4p{5!7cde1!)J?-VLqbg^#moJIzR?%6;_BV@<RWVaM
z`Cml({09DItk2^XEcIYa+SF;WZQ61+{i`DCx<yN;uh}W0xof(x_7c|RS)N8ajvV#)
zl4QE;^m4YJVXFDx^=FAsU&5tQ_)E;&Ci(m_)>xy+h6nFcRNwY@elmPzJ#7iw|0{lx
z*9)J#4DEYyS#aiG-lV(z4>ERX9-U!!sQQIxV|y|~W3hJ5ykB-3<4!MAk!*GOIYD>c
z{!^{t4`TmqOWd96Q}9yC=Fn6xpOPKdbCPDHJ}P;7a#7^ump$`-^2W@IWRYHI)^VJL
zJC8*;XDO%I<}Bt;KgJ|C<qx+Q9sl?w&9s`pxTCcr%|0%v<8MpC;{U?U=gywG_ITU8
z?BoU4_w{INMt$Jz<B7TZB0^hwPw!s&j>O9BhQ&PXFOuq|w-?8-?Rb$D8pF2fMQ&6~
z+mROq&a=Y(cRc-(#MH97=kcvQlh=EfS^evrpum{q-QuXU=h7MeLbv2uD?V=fA=}}^
z%$TGZ)4gKZfj8~p5h5K+7Pzx^xZ2h*CN0oRNjiA(!TLR2(*-Bq;9<>)DVX)+*awA%
z+p~VI`8ab%<c75gNnS}0xAk0@^CM94oI%eY(WDNyo88kU-*92P&ma@?Ci&mD8?lVS
z%XOaIh}-{ubqG%{&;1Ybnua{R`#-22`|)UowG@*|Qf;PE`3C6))0sXb1;=dZID0T6
z=2*x1XG_(U-!d2<6Z}xq{5b8a{hArAC)UL7-5GAzr9OXF+*DTGn~yCTxApue+^OlG
zP-3@ldu7Rq#a~<gbtUayaolFXcc)o1PsLn1nY7}j`huT=jLM5YT0CRE!FNz0=~$=I
zvl*4`rLzvR{65wc%kZgX=A|RD4`LZ^WEOsSB;c5IWP{AlCozGXiw>H7Y&g5&!b_8g
z&QlY)=S=W6Rb_d@Al$ty`BRqgYesglq=SXhSH36BZt|b-OLX=|vlq`NGq^LzrZ}`V
z=09efQ0mKg>51IJgp;h38Q34Lf739(gF&6G%kXHE_p=$BdS(7kn7rZ8l0x;3-1m|u
zur$T&?c{TJcqm|-bc7+DT_@(@1REB0r;koChda3#m@;D;bA1(E&OOSU@T_a$;`Z1H
zOtKR><MwcT*qC^BQsKNtzO&uuxfu8GCQX)ii2Zky$#akOg#3*U=Nvl5G0|^cLsCD-
zq-xVh&XkE!pMM5abmr<bd=i_qrG9dnS+n^>#Y68HzRr7Kx>2A-YJtH6;iN@YA1Bx*
z-}HUV@!>=PcTB^sd(%=nu5qL*H0(G#EonAK{0HHf#_1=yZq2!~nQyMnLMFMThW(2Z
zm7m^7o_Fnb)8uD!KD^z$V8w^mGhV6*R(<@K%(!4(#e$eMbKdLD`26TEhvb_V?>8>o
zyn(ra;p2_Ph7ld>bzWwQE!;Z$#hC|@?VK~;+pc*OJO7=haR^uDwfT3}%zZyM=<1PQ
z^)d7BL{&~WkiwZhb3(7uA_3d%2~)1kxOn2v&%^~0hq*7b9%Frw(8QYb@|4T(i|hio
zWjH&^IX80Lz0pu!Iq|75<J<f76Uyv5SUz(6{xIR(^F?hF70#SAXl3kJethQPhm0|e
z?~;XPmhr~0A4vZ9B$iQrF6*W%&1Yvkn)e{poiW#}F|>Q(az^eyGv5jR6F4r=KT*6R
zQ#60VZ6SuKg8ctJ3J5DKkURck#@+c7O<JWpULAcQbtq}hzqn5vmKPmw-u!Wbm*M*S
zx3{BL>{1cA&eVI7v0TD=?%TND<bR8JZBH_|9{x9L+pHTR{elam&9f(*yEk!tilqF!
zclxuh{^em#k&K?Se)F6)N^D2?CvWNbd1c?MS0CqZOqywNym|kR3G6P1^37Zr<7P`u
zi0P5y<x5XtPMneM&ZE}NBof1rc!a^hdLiq4w)jhIHzu*@OQo~zO<~_Z!^M@S<ur@#
z(zl)-K|JY`n7dgHGVNY7_w|&C>1U@JaCJpW-JSdTrQJj;oo}kmEbMRQysptzh<qA4
zX<^;jd9VHFOBFn^SUz=Q=PBLEPZ|oRZr=Fhx~`>U_YALv9OC_xw{hjBI4qbUUN|vQ
zZsN9+(#DFuGvjA1)p-8z+Z?Ntj-fO7CNSEZwUK{faAo0w^UF0i%{+B<{;S#6&1+`-
zj(nl?r0~g7P0ODaDia-KX8vcI7_|43^!luIa~5*_*zFp)^+)pm1#XiUm@H7<*m2fV
z`m%=jreFIeY(81((b)fZp+vjXe3`Q|3f%-WY~>cPeXP`Yuynmgz(=$Hb|2)@j}<Rb
zUAcW`P2^J5dlNPJ-}W!)o56Q}mPq=-^prNau1S-vJLY`dZo6D#5f5)t?Dg+!T+~*?
z|9d~<)|y#gZcf_uaf#X-hedy8be-S~TNw0s#iB;Wq_6WInsO$&YWT<gcQbvN$6f1p
z;Xa4H+=nyVFU~UZ?+9d+K2)W=k;9pNN0&zeKZDx8*}py{GtOS!ocwR*!=v*a-RI<J
z{2ntwDE7YtPZ!ryn+5jgHoSY-a{SYrZTyV=FQ(1jE;@fkjpst0WzxqM8twE}7BrN8
za6R@9!`&GV6=S?Sn!0ytuZ%t{6EowHT$x8K!zRyZR^cftZ2Z@1qzGiLP=CV2`?856
z++#mi>?DSQLqhU}FW$_0vN7i8FAk2avH!27&V8!$(WWEjnt&VUoTuBL$26+@a=v)7
zLn!Ouv5hY~Hn1)bdAvHUqgANMbmsS?8>Jpkh+vIn_%w%k#fsKPt1E0~#zwHlEPR+K
zz967kF2;l5$Pd;9GLDQ%6GESSo)NlG==j$wY>crC4a;KxN5?X(6S|||6mv)D$-gTT
z9{fm}@i)=CMfB{%YPpyEvvvOeV`<-UBq4))<qP$Ml-o-a|2&>yb&{iM=Bs%#ZXBKQ
zYSt3b>3ddcatP}Dd*R9PW0J3}*=fPPMK;YbF#?`zW^J-uQ9gV3#+jQ;rEi>05;|Q_
zC$Ti?o5l>W2aHKaXKvtUiOrtzaubv4qK=Kd6MnKOB>%V5Igpk;_xV<a?SE!|EaY90
zUn6_OZ<5Uw$v+V*d-mR6u)sbtV*65sNnGuXefHV2p32SSIVoUQz3E`Dx<W$s%=S+T
zF0+K5&3TsFJ(F7@=81q)$I|l)?D_=ydwy!pdTu-aK!K)&&17T030?{<4&AZ;!;>ri
z{hRY*RnG6oM^aZ97y2Gw!982k=Ltvj;;@Y~R;x*^I$4m$DBiJVcC%E92G?S3!J1>w
zPZnrNW~{ZFzJzn@rbf#S1rFbnHAfGgITkZFVAc#d+3ckp_hts{S<2p+bRelhz&oOA
z7HdV;tf>)KUOZki|J6t470e%R9=LaN=BvldKVB#^GdA8_T=!_%6Ggu{ZR^+0c-`4>
zh||EUf@#LYoP!rmXeCMN&$#$#f$YYYtd%qG?3sT;H7o08;g8i?Yi1PPT+05r)xM(9
zYO)67lDQEv%j73jNG+B-e(~F*+1ECnJaB@u_(N0jwM}bgzdk8ow_$a}6sto?lUE$;
zTF~Dbv%t+cX41<43I53pml|r%&Urn*;!VYknOAidNKRmk5tUwF^yCUJ>$e#%Z5G{?
zTPPmcC@`}(`Th>WjWc_XiS95y#J|8h>7&iZB_dxY-<1$vDzaR0Us9EVxmd@n2DzL?
z0&<sT@9CV7qbbVD%)TM%@;|l>50<c9i=1)r2-j=D1HK==EV%oD<w4j-(U}jQuPF27
zeW@<<{*$HcmzKaQ*A}MOEa9?^v2S4hw_>8stV423xi%h>RBj1-vyjX1(Z!Skmfkxr
zHYD|LV0X%8UMROH>eIOehhDWcCS8j$;`wf~j5T-G8DF;GkG!*|sug<uOPZ>)u0)kF
z<+g_I61K{YJxMhN{%s2)HgG1*y*O=--tw%-s;cE|um0U{y7%K`f#KYlVmGy`m#~!2
zVQQ#d&b(iD35)uxU>(Mor7Wr+wx3?Yl0T`=W;xTb$rG2c1pQmaQq{ef<-~^KgPmfp
zPH+4X!!n~kZN-Dlt2`bpXL+CdVC5mE8&*k6SmLV}Fz=Z$cj7CKIZIgf+Ae1_?K}7*
zPdg^^l=ROHRexqAR?hs8voKz4iQm1X<xDvb<^L^Xta*`N#H@MILWcRo!I#Pn=NSe6
za3n2e__<;BpA!iO*^~dBxWb?OhT+2ohYjopUZ?Mzb$*9S-=>L$YX#@c{qt}C_Qe9v
z=gdgn({b=|^^2Q7+8Px%&-)j0_U?q`$Nq2l;^uNPpzX%i`CSF({}eXN;(2LNb(2|i
zwFS$L&em9lx`qyggjj~<6Si(#BKiI11iqR%GryO;49=PRw`Si2Rhh~Ui#l#HteW$8
z+Y*5=%Uhk%^Zwee;=eFQmV1W(|NIr__q<prxG`zQpPx4-Y@Ye%7|YDx?J;LMTxCC1
z9QZJE)5p#UM{dk~zj@}LpEDnQzRBBe9kX0$e_Jx6!jBD`7YRR`(Ayl#D1GF_oA<dl
z)_r|lGw0XSna4iQ{q=K!z~;`&k6yB$o&Rh4PtDCs1+QB^`Ch&DmM*6-cVp#0{jPvd
zCpOJ|ns#T3e&wZGYj0&o&-wd&iOBgmf1WQa>t&qz=lsHm`8!V7+IPg7O*k}r_MZpq
zjxJo2_QP3c!MeB&-;P|I=D0-s-tUI|8?iS|eO@NETt--T&d;qY<XROr?v~$pgYWMW
zrVZ~Wh$J^ACbMnOKL2tJU-BMC**QvYU(B|d`#E)y!}&=@{Sx<nurC*3PI8Jlx+g~A
zRPfKmuAe6qw<T>`CbajujC18Oq0`I0ZfjELmH5Dz%(#K`{G1>2Kg``c=T*uC-QzEI
zcP$axt2^Uy4(ExJPrfq=zfkT?T3~5!{o=L`Q-`<ChXV)SFg>`nfn|oqM!^||&wrLB
zzF)$hU#V6<=gqgr%aWGypZ#F;v)MtXLtl%>RsYrbY4bj9oAL9;65iLvA1ls%shaz;
z=-<=>=NetrU*Gee^Je;mbLOA81s1+|opeB_m-E3@*=w8&uItQx@#tmL>ltk4C$5rv
zoWG;*-$CP=1&22MTJpqn`=P7m{j=+|if!gU4xPJ{ZT_jwp5~-G%h{*;X?@->EvaZ@
z^UXO<-KW%FKS=)X)$sKP*N)qpJ0lN#im~44*BrBKg+K4hr0fX+5lIt2MSuR8Q~CC>
zXTU<U1>YZcZhLu{J!WP~QV0Y0;l#6@Ta#v4vBva&NXm%)x8w11)cOAdDD(dh!`j(S
z&6Br2(7oYq4C|~zl@IDFY~MY5Ik&@i3CG-jpI%g_Z@#YhmpjY;%@w(sfj5(*7XS8W
zPgD|6ikq=+PZNLTn-3dbo=AT2?D+hzT{E7D&R!*G_@HKGV%(b(Z|1)DVAB`eV7FRO
z`;$WugE32_s!dUUf6$u;^B=_=j_yx-cYFF%mi==kZT>O+q*dG7Ti&OwSeA2mmCc;I
zM0f&w?Dw}%AAb}%{`Sr3PgV(2>Q$@?s$cE>lyQ24%}bWVX?#wf*hKZ;-co0Zo6B-)
zDcjal%NS;#&@jIzIOFk~x3@QNO+2m`BPF#|`-0>x{*)Pf5zE<jrxZN+5OMx+sT5;(
z=Z6c@rW;GXeA!_8KqF?>lZgs(ORlxX>{2`bV(u}S#VqqDB%WPVKW`qhc=pEvC4SvM
z0_)_2Hq44-IXUD1=Q#(SPhKv}eDh!RisO^KKMUMB!7g6O%Dt4U`bpAfhMx<WKF-Kj
z4%1DNVK9I9>Ut~F4r_Zkrj6@AGMs$#g74XG_0Jy;D>FoPW>_=CvrN9^&%*lTlhCgX
zk3LR3|8wT0$37E{CNkJ8Qa}E%T<)g*feB&@?P|Uyy=0f$d@}o$@F%8=Ck2!XH<vwm
zeKIAY`$gLMPR;&5wz6T#Gmfr#J^SYT@79rw3)kAX@bsUP_+;~C#<?dFI<FQ=ZJb@8
zl>9e;=D#;g3m-g4Vw?ZfS9(I+51Aj_?|yau723FG?w6@Ee%gj{{)o8oWybT3FV?zg
za(4&`q;Rpn%zm>@a>-Rjg`U^R|GvlOFbd6{`{Un@*`GJhe)Az|-lz7mq&Xk=*DPrL
z^KHiF%Gn3^%=j3&V-+K3?0@TyScbh7pLk~6Yh}9eRJ?n_f~d4TGgCgw{LoLIIlEsz
z!YP7r_WjKZgC9?-+PE;ia>x7>5sz7s{V^($M;PXC&CH+mvQ2*eui0}}x(dW4H74x&
zvRKhpAaC}LLLq@SFLrE<*-_Rh(BQ-@`lmDf=Zg;;XFhs9;}dU8`9T)zu9(^d;{6}a
z%j$24`uAX-%?$o;b3dgp_RqA~xVUHI?~VLP6JAZ${&Vo1Z2y!WbDp#_Haz*kzTo-B
ziC;c2{wO^rx<HxxwRMN`iT{2Kg%d76Zb&<SVG$P-{|vs*)horW&HnIb!P^rI#vgQN
z?Jzyxq0#@h+<gAp&2yir&i?iN%^}&F9BUR%Roo*XlD)CQhVel7y**3!tT?oRamH_V
zXO44w7XMiG@!JXgw*P?&(G@In-aU@lqSKl*<AUkOf)0fr63<>QYhN|z{oXw53me5|
zR(@bi`kHjGc!Tzg%8C^)1ts=<s9&HbcEBl8E@+A35|h<B&z~^tp8wOc;oY|xZ)<jZ
zuv@9qKl|BcQBnIF%Rh0Pe9iZG-n;X&zc(ixo?&lazrw<Xan`qcv)`+9++lZ|)Gp~D
zFp<+xfiWqI!&rL*-@gR{DT38=KCV4C_xB`)Cn=1HbN+mseeyWtoWDu4Po16pPpR}<
z_hOq5%gnQ9J=he;xP;-!imy6L7^XL`Fb|7)d;Z*`seQ9g9H0L=`bJFj%kvX8s^)&S
zt-tW*UWeeC8J`uCDkV<Zb*@s(pZBRDsVru4^8cA9T)D!(&Hc1yLC22+ylZByxVfcl
z<H4gpRx~xloQeG}c2Pq%f9~hGGnTb;GP&PiJfN&IbGq5iLt;#`W>hk9u82%zS|;-H
zZ^IK$p=67$NlKwiYu~GgSI_(0k>qT#=19*+`{a#BUveMa_)?m&eyONOQkz&Luk4@f
zFTxWpFiFn(Vq3XFY=v5j!42V<W|qGx<}q*9KYhyha^ruS#m~$RGhJiu;?tS=bCah3
z>uE~N>iRFvf3h%nH!bL-g7sJbb2m@ym?&vK>zyh83Z{kK3%F*#wLNK-CMf-*grCWN
zh48f*r$5BB&v|W@)V)wbyY|nVSDuVU8m(eWB=avaD=BO>WERa{A~JWG<nmKrgwE&h
zusOO^Tqx<<p($o!T#|dA#8k)3Tq>H_@+tiIQn8}xOV1x&aA@A)`=Vlu>%>nQG#fO&
zyUov1JumO+{Dp6$rM})<#<T0w#h=LwGW_``rk<3KVEo4S(t4wzdd$&1i)AA>H#RKe
zJsvI_{r%ojo>kXmVs#Jg*8a+OcfRA?6s7X_cb@<G$``Xgs<*`cY}hxxUr{zEx6j;V
zuKgx!z3uURdzbM1U2AhRo_F&4^1XkS@O(}E_3G%Ux~>4vnll^aUL|UO=ZimCQ+w8>
zyKMcbmz{lb-K(B4&-(29s55S7bi{-cnH70!9=(n$S-+om^1WY=`Pj7oJqf-2`o_ep
z->)AX|NF$N_E*XJP`T*sD<7F#f8qPTI>f$w=GH8^_{tY`7f+sGS@rDVnL`);KJLC{
zn{r$9E8o(6D%ri0*!4TMe=^xU_3fjZE}L)aop_kPl=uGJdBvvr%Xyy8@7Im|@Z>As
z&gruhA6D9D@2QnLvAXi4;%m2!OL?!YWPKbr>tKqNOL4D^eEl+>DE@F}&4}cGU->d`
zvUonIp1er<JKuDxpC7{Cv_|qg4pm*XeEO6vKPuOJ;k#40glF~cvL>rzm!+5R+}=J>
z^!g9>S3f^5;kl-=&~P?u?eht?FME%7Zsug_j@f$Bc;~O17m9y;=PO+N*oZ~;(D$&f
z{C~P;J=?hPiQ$*89PSKyMy~&6TYh3>{mie##D93gPNr|`W-sH}f3D$A)+feoANZB6
za`GD%ezGW8Dq8Yy0neP1s-1otmx$Rg*Dv7t!@iV9)~AzE^2V>F(FG|nWuFAxnI*3+
z;pKWBd+z@Zkrz{+HypKnwEKaX_JY_OCKu)=GtN5OVJsrT+`LAR%b&5jgX7*3-Wy3j
zm?k?!Fm66^;z7|uK52XPg)1C#s!wwJO_*C1!^1QqiYfa;lHbXbjGhJ@F&7^$J$K{L
z!o;78`96OzdDJP#`y%!a!<&ZK1hd$MeCIDtkP?^^b81cXi7V#yOZdL-pXDT4m;6=m
z*S5Kz%5oPx+w(=RVkzJ1D(eq%_m=Sa{kU^)3E#RYB7$E9r&}Lhr4{qxej9JhyD#!T
z8HB$qtv<X_m+{L|`P7e0mMgytUhXcK63bFOjiGmW?%v*$1s^ZSYJb(6TVwa<o7|Kd
zfj>+6YU@t$y!o;0B&T^B^W$ZFZ!hsY{(rjV$~S?2$L}sKUn4~?dpqwGcw6^H@Ynqr
zvXO5;TI#=VE<G)E@9mOvCl!A!=ZoLFBf!@B)v0qc-hUT7KVL+BRh*D!*jsi#<({&s
zkCONso}a(XZE-r${#W_AMc2Ozo{xQ{mcK96Xm)Rle3<=&?2mt0C;Kz~S;lww?FWhT
zUj@&{?(&aZzqf<4qBZ$w??3rn*)!~p*zf5&xlnD^7xuY-FFikbI(G_-eA1eh*zcBi
ze%{QUc(QDPNN>!<hObXfHZ76UUEi_jW`pscWt=y6OMYG=drk6^Tl^C7=|8WSOLAsi
zC`kVK^LxtKMGCXNF`2gQonTtYqWq(6hX0?hhBucetbWp<{O9M|Q(p}4|7^VEf8gW2
z4{vQ|p8B^$_CQQ{($a==6-#AL-|*z-WQm#7u$DEc;Av^jL}^z+y*;+CUPUgEd%b7w
ztDGL@HGiL$dUDRJvijHiV$Y{^$s2BUr*8b(z?AgCOpQtQ-r*PL|140dYz%zDaCb3V
zZ~Kg$XTO@s?|M8#WU12n$qcSv&Gv7aI8}*h;z!vO)}?HFXZG(|@vGwI>4!cu8SIlg
zW_~t1yG(xm2S3xBH=~!zb0?MZH!N-Wk<8F?YT0CtM@wb*es2t~Y{=k^W!%KTu;F21
z(nIs!&lBWj?H@<Q&3JHGR_jgD!&4ovC$i6YdR>7t=`m-_pBRk=wI>)w7s*P+oRE(B
zJL%g8t1hl8o`pKXvu_zLh?mV?pjFrK_~U`YkCq<zxQuywn?mTPkCw_DKJ%N}7t5OO
zZrUkum?MF`ez|N%j1xz}A*R)9mdM>~IC6k9raP(Oa9ZPT@kxv~k1%duBD>v-Yu62_
zm}RoR=S;|6Sblu&$Gqz0GOJTK8=oFZSD!d%S%&sE^XTPr-tqO{mb2dK`q{UX^X{aV
zUK5s=p7<xgy70o|kIfc8C)~E4*m8p@X`#3BD@NAUGh}XbwHy)9)|l{W<DD7#vzK!G
zFPiY>&7TLaKfGDUF`J?H#)l4`KM((GxV~7qJw|#t$MthFzRa5N+rED0tCT+i{WHRB
zvnL%BTPZ!e(QIbO{Xd<*A9wameEDOdzNqI<2h*=Fmd#swf9?ElYC?Cbmk3;~n^ikm
z;>)ZT_7_=J|6*Ou{mCKue;HHb|H%@GhmZYP#9rCK-p}}9ll(QtS?!O%Z)DiKAo=-B
zH&OeEKHnB5znS|#Oh~DKU;RfUd-a_E>r)wum+&6#c>lO5M`y~@V{=Z;`IEPOuHgSa
zuS#yt`>dbKnmq5Pt4;MMrd6}$e!MIyZd~WZ$I3jhiak<&u`=`iNga$lOo?;<+^x%H
zJ-vYU_;SVm#p<HF=luDYH0Qlsw<pU<CQZhxpP0|iJTt3Pbo1^d%3PPjl3q_}QRrig
zX}nPWX3p1XtdUVp3+rb6-n=k%5zC_wN$qVP`{)0;yYBWR70aC=)*q}U>7H?jpLp-=
z561ayf;Dp-%NB8Mp7UqEgp^I=GqDM&`xmluN<E7GH|e_LYy*+63R~ZAi1{@|xnha_
zDm(pO&YL32zQ`r5Vb0f{VYEr3=)j+5;h4EUzxzdQ_!O~aNvEpDrIQjt8aHMydHhvQ
z)idIrQ>sVw9;Od$5wE6Aj`&n%p2EPsK=k8M4tGZB9sd@!Pc~RN+i4<mxM$#>m!UUb
zUP@%zQ2cQrZ^R0j%`2kRjXmvu&YiYgXYEJU8w**_ZaYzQtvh03n6fADoW=3W*uHxi
z9GbRVPdLE)?d}<;J}@p;{xM^otHa|@j8{8Kx@Iqz?O$Ne@U%j7#`1{@aW7wrPV#1K
zVd|Lms{Q=SkK&Snp-u1V7c1BOs7_%L?3`>{$2ntN&Wy@W9Ga{9EEnz4Ucp$w6th5J
z&x>7=Yb!T@<C|<zH|L~6;NqH<(<brGPFlGl$X5GP=%&Q(CEK3O`d}KV<8{bHkm0)6
z??qcT8gyvSdtqQ+sg>67!ku|f62sS5Ggin}uqFRr@bU=r`Q(mz`*VvvbaZD=s&rs+
zolz{G{o;ZWM=hI9&i{t;pRYb_p7mwkLN0ga3)g-eyzzJgb0W(E-#2r<xE1oM|J$Ja
zX6~18)|jZ6rjsc~&M|AbChvLq<wT~?hjgY`hUVXkLn>x|Jv!r>vVfh^1c5cWOzOX9
z{@f_H$o$8QQ~YYlH4JTwCjI$0<8h~8nDwg*@}CTu<Y%VHG1{M~dc)Z<`T4@dZ(d&f
z@O8mbPe%338W!dR4W^je8)AHtZp`?6f>m^ZTk?WZbFudy_J2Ab)Y9>=YYEHNZOa-q
zK3Nd^W7fB`3mB6YFrQuFA}v!r<Le%dIp3~Nikgu5ZQk1LduE@wx$?!w2F-bmf)ZzL
zuvE{yW@9|#XV{Eyn`gSRCI7d&mpt?9=M~SH+++Ik7cjcm6m(fKW<Dwjc-Jw<ynlmk
zlg!m8!6&{*soKB$@%uneinPMVP3I?i*Z*7K{PCORqYcg**W8>m#pP<wq7(m?NZ9mb
z{+s)G_nr@CH)ihtJm+(Ky;4?*m0)wFhS6eHon;<-H!eQ@Nw{md$I%4}+C~vwKZ@5}
zocUEP>t6LHhdoKN4k^ZDMJ~^tHE++Qr3|U_zV7#9iTx<J!0pDz8On?jy!tO*eM;p0
zV3gF%ZO^~RGO7B+-cL-TbG;&_g!umGn8eMIUB5*5yI<1zxH;ce8*eBro73!^G)2Po
z+5*NKNprrv*J;kUk#ubl<6)+l85`;yVnk#AGYCHooA)&P{k*TSS+dK2W`r&(f1K3P
zZvVey#{|KQ%;knhmod&>V)*pUjL&KUi61Rjw9o!Ddvmnx8itE+AO85D{c-N6C{-43
z`;Ee7pEZ84d{IwiS!iz>X(6>lecuxe&Bh+l-E%%neRDPO;>_RvEBZmVm@HVDKKH}^
zo<n|(R{vSLw_S=cy2&i~MRn(zg{nWFy6ybDR51SOykF;+%FJJ)w0zHu&uY?c?<crO
z9=<ZES@ZA##Y49@ZnTMf>hbc{a;3}R;m0<<*>KeU+}hpU@|8>Y-`_i6CCB@F3IEh7
zvnDfFewCFy-et+SRN?cv9nNzCPqJ=YrciurNAwbf&ymXn-kQxao3~uy)H2nqYfA;J
z*RPoUk@pkJ<E8xjZI;W)_C4P5VgbJ?|1w#V<QW1BxeRBe9&0jP!e4c4$BUJZ?C&j;
z{<9z}X@!@qcFPhTmI>T15?L%ck~Z#nvzYJs!b!;=JI&88kdaFkWBj<`+k+F{55!}x
zuMoH){6qT9V#Q}mWY)gkv{d3s>HMWiU!NR2*m3;xLeANpdt^6Nv+yrf{m|U8pl^xN
z|9zXkh^1XrdCb&dAYOOyMcbPtO4lu4W&K_zGWAl_o;{bRE&Fi&-V%xOe~WbAEMrew
zpx2wURO0-^?OjVH&M%d(s*Rl4tFvcw*vj&cOSG@wp78Yea{0Q`w{(|@eal~DaCV_(
zp4o)f*$c%MDcpZ@Yv*Ud{*E8jo0lrynmX%l>z{>+UtO3uSuY&9w;+7uGR52b52}T}
zS($g3aYeCx+=TQ!OBAPl`aW4ka;eDjzY94cXDmN^@U7mOk1KYj&8$j%yGYSo`$NYY
z#<|P3-Ap^)A@q0!zrFV|{>q*=9~W}XTEKsFMoL!M5{1(ZipP)4SerDn&Gh+Cu@7!%
z+bf<g*I8fNU=X&<>h^N^>Yq0S-z*BW{I{ULqiL!9U#s~u#Aohmf3;lxYt9UrHTM?F
zKbs-<m~XM1{YQ<=4^4MxH7K8N2ur^?{qs`Y>Ae!?8)Bb-=Q;XSwQrf;{wIR2j~^fH
zSo?V11<(Jy^OlR;`k>#xz}U3Ev#vp@{~r_k4UUBhXS<q{W|o=mUZildOHQYAvRr3p
z|H2g=RjvZYazE?Kek@S<Ji#q_UW4uIi_Aw}eSh<bA!0fAtvTgYpE&34{Woj%qj;TV
z9RKGo7ry&ScT%y~oSV-Z-#2|+b115bJ7$US-%~RuXg#vJxctG!!%Rub<h{yHFn@l{
zeWPn<O-I|mjSh;(nZn*&h*>1k9&?&0CFh1<*vkoPW~_X^K*UrmX@yb#OWhMOx0o7E
zy6M-bESM@bTU5GpB8UAC!MKM1$zM)J%YJ+ILj1<R4{I8e8CAMc*^~dh-gxikH-(oq
z3)aOgSN(Ty^AhDiv+UQIn*!%AWr`N-{`Y^mu&xxN{+wB#q<Bv;&sr|_e-eA-rycS?
z9cq^tK8#u7>CO_V%j6$DrSlV0{1Vm2%f<Gq6bCWyU4P0olO?%(*1seFOP?h#6W$-s
z`Z0H@cqi8k?z2lp>S`w`&+$0;h41`~M7=ftH_T3267Xl%!<)-QeN|5AJlgQ$*zA~3
zle!oVuUWYysiV=hlEdtT_t_Pd(i~+mAB0krzAaGLIOBf5LS{;U@`QDh8HG1YD!uXG
zh0A2t{H3c!ul-!*_h;4hV~j`7*55n0>+^Ee``?!6X6>GRp<+Sg=3mF2&+I<Bp|UyV
z&lZQHO_lSPD4W{1Du4UEyJyY`9{z1JzA3Z(_{6AvVdm1vl|TGBnU(mtMS0n|7wIt0
zo)FEg9HF_;e?!uX2lG3*ji2(_9${>K|2<zVsCM>@hDk@+WB;w5asC9~>?N*o=}R=W
zZd&Nl!T9~>j923I3nRrAJ7zC(E}Qvm<Bw^N4oZBUrJMZ4bn}lrN&=I=U6^(B<5Jrv
zUGr_b7db4qJ^Fd_vzc+OQU-P(f*u;rPP)80KW9Rx+`>Y++l!r6FZk)n&1ihO<uj{!
z^8bZW<xiNTmOD1yj#1m-A8WJN<=>e#8yniBZRKa$#!h2cX18aVe&iC{q@<;S`&;-t
zKE+IS`Mc(%+;QPka@Ass1GvAOi!JzX9Lun9mJ(y{Wrj1^GXn1&y0dX+keigqzcoAy
z#L~ZCYJ3`_$RhsZ+KIEhOb2e4+kC7^5#!)^xwDn?$3px1x!b>;xiDEFdYQQC?qwo-
z8yNm}9O78ydeF&TLF13+iU$Hm6b)kY7pZ+>;9MdsofHv}q&sWjkEIJmg)P3#t^W1F
zZs9~7k))%$!d`!?h~D7#arR>OZIUlOm?kr<e80iDC(iwx!uPOE2a}UF9JJrPGc{rZ
z-^Yp3avW=7-fwu7e74eb_neEW6*<};_3kaQPT}~q#c<D#TxCIx)kh*a7=i^~{*bri
zvRx5(EqUe}VeSdBEesb~O=Cot)Tw@Z)fIX~P*8Hg0xqWai)>D?MlY^Cq`sg=nYX-B
z^32DZPuOaAWQ&&lJ1O*WSxomvwc}rMW*`3+`{^7@-x8_2b=O#zNv)o&VIbMyBDwD?
z$8@m+SDwUZbIji<DEoeqWT!@e)S^|bADK1REaZB&thQ4Bt8Dsm$*nevtHPE_?v8e{
znzp><(-h0u%Ot-)aST4ZOa6b?iw}$^*}J|Z%YR$8%;DAvS1l#QovS3Lr*Am3RLV9|
zV%fspH4CNGoldN8cHYpw)X6M{sf<Z_V)FmM-7`#1GC3}h%8nOeuVy;WSSgXac&g4q
zt4WN!6CW(tXn&Jw@oK~4%cmE=6iwc<L^@n<Nq_Ys=W7eoCM30Uxo+GiUcba?PttPf
zX_IShQ<APQX)bU+d*r9xe8XKe3s#4HIJjnU@6TmYYbOaPFL}51)5`nZlTyF``LgB&
zhxh4shZq-2W<65)et4C`(WMgkog9S=c0BpKRASbSS06j}9lyBPSvuzCflG^Q``ea^
z|KB!MSdHoT{xgyh2mZKQPN^|mbm`i%lzXQn?Ki1}E}0v%QZ;n#ioK7&sjFxA9Ew{e
zs(pUR%==-R*(NJ!f6x(CKKW3>eE!vK6%BjNSxh=<-pjaj=F`8&ye@4ujI4-R8uQyr
zdjFrKWih2oc_!Xk8Wa8flkLW3CinlI_K2RLTlL8yd8OO)9qk(zt)Fi#tfHgrP=D>n
z$_pnv9XgiA#9w_S6RW%H%eV8UFTE3zuQl)rXTDDQeb#i#)~ecsScdHfOFo}7^$uSx
za(~+r(_7bHsTO*&X4d{$6SiW-LC%;t4RP_WRC4z&HMzdED1XfabM^Ytb4eeoX1t#_
zL3PjOP|rBqg4xF!Hmu^$-c+z`q4TV>n@ZP(@f?18z|?mC5|i4cwnuL-iMf6Ml}zZ~
zO^ZKXIQqxy^hDDoCe`t$t=c!Nm_7UG)snKczn7V;|992&e)Xx$J!ecm&oy~iwZAF-
zg>3hc1MzH2V&eY3Dqs6|Y0TZVCQs)vE?Q?^y~gtT>#3aImd1o_HF=r0m2djWnJGqZ
zm&UBO%btBW$%av5iRs?`;u~Jau0G^Zxy)p}*QuLZVpww-h2I>#G-;{H{Z%2Xk98SO
zr^QUOdcVX(Re0{r2hK~q^dp5gFOALazp!hm$^Tbhcpm@V#PxJZOnK$*CSjq+QMzJ}
zdB5*JZyIx2jP;zUcIYXYm*;Hkf+YXSzPxwVbY&3h<E=u>Ph?*%HQBp)Mu<~@C}UE<
z`uL@$d-Gl|Y0KT=Ec=bI+hpT`$6Y6LKQ3VDnz7^TshRUNvVX9iTzItMhdj&g^QM39
zoe4U5-c&nv+4Q}CI#iR-o6f&?xMKE(%&#e$?&oa9pNe~*F`X-->&m-+gLLIN)2G3#
z)iq)>?|y5T{O8<q;h5|Xs{gvml@&gie)C@`t@EnD>|?$i$BfUvC#F`MF<l<_amv3%
zCYu+}IKj<$*3^3Yr<;G4nq1$*vovPzNtL^6IvEP==Wa{tl09^z$Nm`ej>@U$ZZ6cE
zDA>Bd<m;Q0d=o>NbZ#HN-;v2;!6dXPMR?WnC|#DM76w_K81LqJFPUN%8vpN^#lN65
zmS?sw+wTrv%eDGt%m?25Ib(XMvb*j4>o7HjL+>Zlbuye_`I<KU)*_R<P0XEV&Ac}A
zEj5WtP70jl_@}e#IO9oEy<<~!&YNmQ&UkY6gRi^)3Cpk(rj3`A&UZTey^*vq>hp<&
z*`4uU6Ji-UmUKMknz7d6-IUCO+;WUD8<&~b+1R{H<VaHC%u1U7zvI%z@Dq=^7evTE
zZ^$~B-^;!rQv3&d%*`8(%}hzk3+&4yc7MuQvpDj@>jRBlotBR;FNkP2nR)+nM{d^w
zlTw}o%F@=$BH!;&l&y5!dDir;Sb)HrJu!tF)*f2D<4XRT)eGh%uT**b_pIsOteXmM
zr-hH6H_e_OaAeJ~zsX%f5pQSyKEL5*-Mw?ByPvSlJfmluJR^L$^xl&nBdq3}n17&5
z{u|>-pO2@`KihD@^mpfE$sMQPpEsS$mOgEX!x_`BtByuoe{t3{uWq5SpvuDd_2*1o
zSF<SZVLoRXwm;$GniHvNd|j)Tn3Q}H>sn^)?V6<IGRcD1@@@C7a0~85`IVOGXHD07
zvpn7}5_H4zjMw|8de_cBn7e1G@#Whmqt7pT`ElzR)2Qg>CQqaJbR|DzY?YnEa5%#H
zG>5<1oVsNZT60AO8{F+9Id(2JS?b5~cz=xbn?;cs>`#9($u2WqD&%yOQGMlkA@=I2
z0b$EbUS4MTzaD%O&i7@;Q|o5$)d}c3aZQ1%^GnsY1-qVzh~2Sdk2y4vLDii_^Q`IL
zU!NK*o-Hw+zbZxI*_3ymmKb}No;Ip#D|oWQUVLL^-Kle?_qU6v|B83iC|eS->Uq-4
z6xo@bOZFH{60~=^bmQcC)Aey``Pa8Px2{<d@u#09>B6B>6)%s4JHIhrXffQJ74g6P
ztm)c4FE=_eCh7ZB3n`uTXxFly@T9JD)}LE7Y7G3^y|<5TV3_b(zwE5p=@&^^LNhoQ
zH7%b%A%fBL2k(wQ=R03LyZv1>?*5M7j~O4>+3(+RFd=FCj9s?ZPA~o>obhJ<%?W2t
ztX{JtI_Zjz{F;~vue>*^ANbR^qBefb%-)ksr+Q!U@BFx6h5wGa?t{Otc9b!(FQ2fp
z*REr;Y{mT~zZX_#C-OY+VD_K%XbtC$Qh^s1J2{-+M4Vdighk`Pidcp}uOHn0V05v6
z{-T?ECLeZxT~KxH{lmQd=P%WIPIC0WdEfNO|K<L3&Rh=PwA|nSWYfhZtAf>4+WznS
zFwv(V>v5!g`inKIrOd5AZQJzl@(J(m3#A<kF70HT@H_m5Lf1^ehi~&XGMmO+mhHcA
z?s^IX{}P|M`;IJJp1e*j?@i1SpUWrb7_Vb4{xmcEs=|5QC7S=VPls*1;n2O^dCiBK
z4i0br;$wSuy+~hPtG@q4W#`Al#Sa+&Ec2bTs{7Au^`w^!3=FLBvyV9+pv?bomtVcy
zFXY-G@6R`!x?b$5{qtbsjq{Q2HbtSQWSJIMamB2^>{YR#a^t7@hdOV>r#)D7c2O~N
zGQ+#o%lxiX$x8oU>bphYpw05dC*{Q_%<q3JXZmT+i}FWv(`PVmTvnyTuzw9>%*CWf
z%Y5diaOj@A$J-p6!_cyDvfTph`77K!RwgjVI4<#-U-whG>O}GRM(Z1kEGK$hU*Z$`
z<b&D^HD88~u!X)GUp75jVE=?++JPw1>>Y2y4u%>2SRD3AHBshI-kQTt(!b7Kzh`k}
z#f*ghBMi50urIIN_+jqz2lreVQ#VN&9Z2s|5qR_9_6e`wliOx3@vgP{_^sGtxwp4|
zwCv9X)0dbn{oE1!LHU3im++IMzYK<*%!#jF1l!-^SYY^&<-k(=GR6fK4_Qz6*}lHm
zc=KgL`<DeX#O+vS6xrQfA;12B`Hz`>M`!ffCoyt9JP~NR@#E#!2kN<h*)Le`@;`9%
z|KRoiR$kxyNpki=5nKCZt~tLadw-j3pVC-)$XIm$N2L$)6RsbBIK^q+!mZ+QObbju
zerQ!=5G?z@pji1YgXI2`<r|rP$R5A3xTyQ`>Chug&aXPtl_L}yg}4}F8QCX1wB7$=
z-j8M8M<?Z}X>3`)O#A1Odsf;@N+)qBDyOXQldXR5GD%D4q}$9g`Tj=lB~G=!o^<su
z$yNWq#A?DXgOa6P^Cu-Ke6fiS;w=nYQj+(3)+rBz8@$Ssf3TM>cZw}*oc@WUeu;e-
zGq26+{%ebTo=l3kaZFs0iQSBQcGCXk_Bn5Vn%lp46va{}>{_?Lb>fjzJn1&8mxsOl
zHd&E@S8WoDAwyY;So!MBfvl0IS@tg~n7=Gy@7|YB(qC<R!ojfAe){L7h2^!Av{juJ
z%-$}q-rd2-`g)1OA64D^>zDs{dhw3@|78v_Cr#z&#Dsra>gd^%8aL-?&x}XEEQGC+
z@7-izo%3YPBB#d_dOpsLzPaFqf77Z@TniVJrA<&h@sDT4{P^P+X8h2z+vwB55%W!X
z<3EPLH?z+FSXT2oJ9^2z@aQF#H$QmKvZ;TPxNBa^M5Wbhq|Ps?@}DRfTz|&rYTiu?
zucdx_7C0*Vz5YG(^hw9+B~{%ISZkWvH!)QI;cia;|1q@o&5F}Mz8rOBu`IhZLq+DL
z<L)(#F%5F+4E#4GFD|;h=7gHM^!GTe3tGuvXI%Ms>+|fe)d`Pd)aTy(c!g=c#D@)x
z@(W%be4iw+w7L7n@2_uGc%F1%Sr?SHO5yX@S!p}Xk`)$g_`Kx3SKPt{HA}API(WR^
z=#|OxvwO}8wvS!sS?}*T<?QCh`gY<KhR(u_H#2UY<YfI2%k^mg0=o}PpSP;>#olCm
zdXw|~28Mp8mp_<0&arYQtzMv3dFgF`(r+Pi`=v9UFL<|k`P8QuGi*II)pfL^e<ulO
zO5B(yYc!RWcj4hZn>Ji@UXdd8WIco0!E+wo48oPHN`}8SGF!cGv=!XP;Oe)2#?M5S
zm=9X^`xlvST)LsT@XXrih?vMv{hv>CsWIf%f0&d~!%*^2P<$h!tI`6u!`nLVW_^fd
zXjriE@biyb=D!O0`{u*0pRYpB-_Wj0coxfWtKs_RmCuwID>kIW{x81qb@sxUrZ*Ye
z&!)BBnc?5-vtVtn+J{$nJ??YIaJ(^Fyq9NH%*sOxcQ3HoV6<T0@tLaxv-f;i5E}8b
zF=wW!5JRsFkJ#@e=6gin*{tWjw?Svm=em!KE5zn~{C%B8Xie;Y?HkDqua_^GowQ&U
z@8{%yjEiqQV2ru?Kt?={dr@nI;ER3jEHZ2<B3u^^`b&#%h-H*~AkY2sUd*y3+rG3+
zljZxfAte2@ruj+EnTcFmg>P)Q`8Z~4OvlNDTbc?Y9orNnG%ni4KH<8%=<ngAgDpyG
zf~l_;*mdxHf4agce$UARCzwLll^p)K!mj=Z6T?iV4UHcseY9tfQApPLuYWi<>}JyD
zr2_uZA1#jWOqnQkBIStY)~AiEOE+fkW1O?#*1~073l3l1vn0ktLZeag+KIUve{4FO
z)DV`o#7;C)gYTn_aG_4miP-;Pjn9|ZT9<y(k$5??>*E3Y`VaH}ol-L17;|l<M2dpi
z#(iskt9eKqX%v!Mv0(Lwl$#$aC$}s~Hhc4AV$zaX>GzjzyZQ6X=d*6nc1yOcUv4wy
zRafZ;wxlICeXqJhZ?L@VK7KLW>IpOV!JAJ-xAGqRU=;qOLT;(ee1D@3y*uKO8B>?U
zE>AI9ch1%PX4b|fvF?T^wk=urcbV<wPnj>zvdpSj@#mv}vf`2Ti{oyr)Ia~}_bh{o
z8<Q4SJI0h+sjZo~V)~;~uBN}2+ufODRU(jVaO;M^hku_J&pmwa!~fZfm-LJLV6gwk
zztC=?<(y@6=igszQ~u;GL-;wDy){b~e_LvADZgaiRp}YKnARjcS~8==Zb3|?5c^WQ
z<;9EGH#II>66<|ZKydlY@I5h0Z6-^&r|cA6Y9s%Xq2~d2^2NnA;vZdBOk8N)y=12B
zy$dVaKQFaDrOx91{)=MF&9$q}Ei3Q-q8MNHDSFSv2cqdqE$4q)I(^fT{IBb#NmaDJ
zd_S4%<}NOGj+_7P&cD&O!gt~cW=YqY4IObOqBp-S@cX;&PxLegp|9NGe$wl&eOG<C
zefiX@;qx00er)Nel3eI^_@tcbq;84Hm4_$3y8A6!YyO2vw)bRC7VY^GHR+@0*PJDj
zExRh^7&~6CzH#-&(#dlga<`^zJP|o-`Q*2A7H*mJWFpJPuCmQbCWl^I7O}H;mekkY
zqZ60?csS|o*C=b#{f|3pmxRyX{9yL{W#Q$Umi1r#k+f(@-<ywfB42EHkj&8AIAzWx
zZr&?5rkx2t`qJc>LC2AU^OK4jmfd`?>-dgk`DHr~B&s*XeEs2hBBpTWs}0v<^mnX~
zW;zzLQu{{V!zA?|wuuTmR@(2Lu<XFwScaRd$Auesncv6$o4=r|W9#2H-y*Jmd*JeM
zN!Qo^qAb?UE6;tXTH1AN$Fk1wJu4pcA7;5BryX`6{o{^>@+{pG@*XZPm596{Bfqfy
zO!q;jkMj@5%zv?YBlq(5jY&IJOC|NGzc})0d7Ey{u9(HGe>Ob$a=3I!+cEBjKkGM0
z&-f{SPkvFGoc@dUZx_@zH`L2<J$?|;9DMk6%#xOGwNIB7ZvV8THTLM;nR<^eXxp#;
z(7GY!$N#=XEoVPf=UF6GeNg{Dllx<PL*UOffA%ch5NbDRb@j3hsSAzI&i#MSu5sb-
z7lIq!eaM>MDf&HG_hZ1(2ldi>>le6}{g}Py(UFKHd2drrwk>Hrxg;-d?k$xyU+0HL
zE@`!kTe&<x`jX$9B?}AG7^Gi+sf;{ea(?04M~j*dCUq>!ub=z#Lg|`@KhHi_+Wuel
zJMu;1(eASJp2_u}{$<5KKji&+X;aS9g1y4xzheF`%Mbl@BkM2QwXlVapO@v|mi*CO
zy?jdK^43#dD(mDHwb(74@vV+=N#5<xk1D2hB*uQ2WSZS!7!l*xz-+sJVdue(F%dsL
zSiLcy@azLe$29$<8y6lg$=mKNlXq{y;+`K*K2*xzOIp~o=B4A|f1m2OKJ4z&JjT8}
zH~YKLXHgDDi~s+Eo-WVb|GDSI&jY@^F&8R191re0-@D-bl#biyPXuf4TT=A5;kVrD
z-9G|vo)l{T)2R4;<APJiL~eN6|Iuu)l>d2?Vd6pt<tqVe*PrnEv8d?J#NrJfPIS&&
zP;gb}!KXb;2dqB`zntBFqQ3Uci_E51$*(eFRTx-<UhbaJ{o_yK`Q|f^9?t(b@8-{C
z<=+}iBYGEz|72kA&^^1PIBikAvLu779sh#HfA1FDt>9g-s`uNAwXK{}m3;;OhW$~L
zW|X+S<ds~*^Zi|ml_F+Ze_6YA$#V0Xe-|#i^SL3zlXn)&@l8q(mlf5<hAmmH^;4?v
zLz=s~z>TDvU(?lZO0EB%uHN5S@+H02X2lG%88(}y%YN;uzOls6g+aLAVCn|uq@vmO
zofg^uKe2(%<~hZ#-#<}rc2FzF!qlrrShPMYOwChbF<YYWK<wv=i}@2?$;}FU)1S0J
zUAajhy)&|JP3a^HwncvKObOSz*BqajE8YJ32U7=gs^*b~qbnyq?qI2Xv$8N^iT|va
zh5oE_V#IP*9J|K5;Pl2BPiD7t#-3b0sW>9(cUO>n|FYuFALmy*PMV~Ad~TDn7T4-o
zejaRsth}EYJJ;&{v7i0zB!|(Q36nqWl|B7tn%t~O{fj#rSV|Rj9k}A&o!G9-$DZjD
z!{QTlGm>EwgXhx5lruW^>zBkw{uKMzu~zFu44?L<S9hxa#LQOP_+rAn;}<U&=-WNC
z{>S)H@Z;*~&sXLre(GNw-qFRJ95b``c<<tMPkPqHrm#kS3z`15XRVj2s7L+kWo19*
z-}J5Wt6mbGzq@zUCJxp$vmGm!Wa|HKw13vSEPl^VvlVQS-zOzZVB}DqbYL<^ZqM4Q
zmXVyNm!_{z<+1(N@5?&*TF=_&+n1)ty=IA=p3)Kd<ju)f>dfqu%$RS_XpppC(|u+B
zvh=TU>;=_J)7IB4sd&3IZSOS4^aV!emo<Lh#C_&WRM*V6^8XmSR~+wrx%-5O%d(2}
zuiKWMG?T6Ry0!asd~D6~TpPg&Sz$M3e4cYId4{RsjGlW9@xG!<A{*EB+kQDWHD`Is
z%~Q+Q%;@uwSrD22ZOPkB3u0!^o*<vUWd2EsLyIz=eaJM|UcenTtM><2$6wk19sOyG
z*4eIpaj-+-kHi9&c2VZ}f0iuy__p(#-iG9Ve?N$KdG)MW;1{_#fIpexrh@29MV*zC
z{yhFPA>wnBaK_{0->1AMuJ%64#`<REyAR$A{n?|E89uF32>e+l=XX*evcPVkTha#~
zTRGuxpFTw@Y;Q==i#zH2dFI3ZrOxdSH@=Pk^Sk`fhN+($QuP0QoO7e~Q|ClU%MGFO
zH(838*{<1OwBgu++k8yv>*QYicMteg*I3p2{Dbx18#6x?9ZZX9UiLfkWW@P(**|8N
zOg7}#aQxG`Yu<;5<7YhVZZO6?Dm*_!<Cw(ZZ+3RGlKL;`F0{D*M0#;Un&_hxosGK|
zl=vGvKbdV1yU?oNmErS^O$~jb9e<N@S(JGeZevgC7fb%|aiVcvODw|!@A3|rh?PC8
z{5=bf7|ZQha#Be{Z~g_f4(HfJrU?SI5nVItp0FHPz|-2#SGQ+jvzl^5*^Q1Vy#M{)
z#4_~Q&0ElLbRlzhWAoQU!3$pE_6ut5mgZE-EMHQ0^83Vlo7fhw<9NQ*VdJtjk9RKL
zymV>OvK6T}8LxMK^5-o2#Ok=juO;^18cy-oEAk^RSRLKDR4Iiw(pcmB?B9DkCd}?z
z@csAsh2@X?7u?<V{nL)L7tdv@zfH~WI&r+~Bv;bCt0%oTcb#%REwSO+hiNG$o0rvP
z{_b1w?WDrL<#j=2OX^<QEHnM~<%XK4<bvOe>wa|2H;vTDS{zr=);r&P_vNaJjxN*n
zee=sZ*#h|&%sIZe=<wYI^B*nkKK^OetmQ>ZxBnLlpSHyILyU9N-r1+UukUG;y7{r7
z{kTS7L_x#5#7W;~=XTHTT+k&NX4E@>ZT9ce6&sWK=4ZuzWSq!!=;GA1r@q$BZ~1Av
zEUz|t&XVQNSvHD_Pv!l*JpbwKW%=5FPiIY89&D9<VxQ?$zNz-IZzh<{dU3eC=3w8o
z=Ek#4#p^1!I^XI`S{1t~L1?@4ltu5uJq)ADF8jvaRR1%lBP4Et&l5w@EtZ`zowGtW
z-|UW9_@6bFVRz5&>*1YVDU3bS|F2HXy0xTv>A$yqyW_vB&M*kIIa}7Zd;RZeQL4)W
zO3$sF(!I1Z^xhGfPut7a*I6%Xs(oFue%sQp)2mC@=f7VZa^ghEN5;bw4t73Tl0S9d
z*OK+s%kr1Me|qD_)Nk^y*G`zzm>et@eK%}lM2Kwc)@P9$G-B3^obr6wBbTcC<c%0h
z--NIWOAB7s|NLPv;nc*I(z*Aq>)icq_NdqM-FL2iEWEcb-8nm_zwcXFc$NOl8D&eF
zvVQ-Om=I%<*|+=dZhxb-|853-{&}^c|Ik+Bo(bv8nqB#$Pjf$gVDo5l%%8@kv%a*3
zrZAQF?7qLssNw!9{>NTiPnSgbnDRXJV$z>u+4it^_ut*;I#M>oE}ELWylMIR>57{c
zG|8TH<@Q{;Jpa?}hP$@P+icxZuW{YTOg6YN+tkkZqwMak-N#v$q~6@qB&cP}xVzzX
z`YMJLh47ch6B%dy>~LqB&wWF&cvkJTFJ}{y8CFl6C$2uH+4Z^dobwE#|GRcQ{_xZy
zwc$zs%SkJ(9<qH%DV*#2Z$Z7zhm5k$gT}L8-a9?_0b|Upt{8LX%^zpRRB(R~V0_;3
zW9F_uUAy`fzW(VDl~~~QkEQeaM2+v=8_ORj|2X)$N2TuMqsj+&9(C?2U-O|vonh|o
z51BtZcZtqlc&uyJ_k&3$H@d6r{@p&j<sMhVm0us38WgnSy8ku)it%Q-`)6U2+tSPK
zk75Fu7Buy*>)ahW@lq_K{F<KK=Q<f>V>g~Dk4WC}yZ76Ur&ld@UATUe>HUJ+4;eeu
zzRy{##%CIlw4lr(>1<Lk&-_1J9UAi)6@NV5^8aj8W7jHX(Mm@1o@Uv{J4+YT9Nod5
z|Lwsh##lz#*wqhaMl3Gf(=jJ~!t=X5djuOg#CI>xdAp=|<*&7ijZcV_FRS+sn{e~v
z0sFKa45CWM1>V{hdEa}{yX$H7%>ezS^*ilfuT|tQNH<OTRH*Xsf!b`P*L!+*c~5Qm
z-4V6InRk*$ZH4%$@{LR4;-~NEE__%X*SmRX&yHC=_v5SeyLH39l$`G0wf@f{gT@%v
z$5qe&L@&v)y=d?<mL>g@HGl8Dy7zs%YG27tG>lml&H6u_|MAyPJjeTYS$#KaXclz5
zl;rZ`&`%GBrS&^cci#Kwsdi`Wp}P5>PA|`?FFoBcqi5ItFY_nt+0(PayIyjo%KIfb
zU-vq{*>m7*QqR5kU0Sg!`CF51)_f`XHhsrAS?%SGE{YyT{>~MDl9x8f?5bo?Skkbu
z>!IG0_cN|6t55Y`UJ};3`{;(|*^N)_%XlA(ta-5i5X+&4dby>=b*GorR;-?}wx7Fi
z_x+7aihs<J7q8wKbME!lrA5t4ViwoP-rIP=|Hq?=tL43y6dXJiqq(H2ucNVa;|Ymb
zGmCY)=Y=h=(mUz9T0(GXZ{2dAmG84xFUg(LDPH?n_uJXlJqAHK4Hefu6(qKP`SCpe
zXR>+&yM6t{dbydGzPa_DUp}ql-LlO3e`{wt{pdO;`_p6U!p!!47Kct$Ccb|XC*2k~
zq2=qJou`*1K3b^r@%!(fldCe8t-OEoCd1ct=QkDVFVV@q_As;J?L;f_n>SWWa2A~_
z^6}J^@<0EyD|*gNe>G{D*qYGeUw)j@4Q~1<aQ0QtIsK;MS;>r&XKHJw1+U;-{^rx^
z8xt4XcQ43d(%Lh{tWV&3!s{5_DSzsx9dKBk>HgY5hj9nrr0;njUDjR`mD|<nD=1-Z
z`+8r@{NB=q+M$ox4;ZmB#)NHtng6FNT#m7R+KD5-7&f!b%-_w)WI8A2lNW>S%G-yV
zJ~(tYW%)ArmVMYO$Q0bNCMJ5qKR&kI3%V;mPCxr%vt8MYBHq4Omj8*&1+fg1AH;CS
z{*Rj>!_V+hzGM58JuyWSmj9ga;Bd@>3EIq@F>)2j|BX98KM;=*n{2)DL)xqvHuAr3
zBqm;q>1@AmvoKZVABQ93`j5XSv431XkK1F-+d6?HrDsX|7dWVMdDq=p&~f4iM`(x9
zt4^-y-wNgttP4cMBY$mb&=uF8A)ch*DLq5!{F~fazc@teSZDa&VC_slI@5^nz4i<>
zoBxv^e0$;fgyZ0n2=*A>NQLI5rl$H!`sEtZPcL1*S)w8T#>eLKU2BskY(2l|NYczx
zwJH2xKg<&E?(Uxa>g=M1?hnHD-piK!{^`B3BQalWiskDsl~WI;*}gyY@q~u)%oeRZ
zj3*{P{@7}Mjj_S*_=mQ<PY3pVZM*kbsv|1jZbok;cYk-6==vpg;hqeWnftGGcDeH!
zK3!J3{khZ!C)57L&zCU9Pc~{@R9w5~m-K`m5}r#YT;H>#{efi6($5>0cvNkY$X?bm
zZ9?$*Nk7!HRaP(7QD+M3o*mIKGxRd+>POi=-J3Kg^K`LkK9OAfs+XTJY5kJ!%8kY@
z%!XYIYyK^22}t5&kMx%8Vz~8hY1Qvk>BDo+UOmylRQR<?>;1PD(fONVHoE`+)3LCh
z`Lxc=D6^S%)-zXXv7BDXt;!Plc}sC7tM*6fxiL$utIjjdP?F={J@t+nx9RQ$R_eT_
zzZbXvJlOJaVvCwYt|!lSmcy)?-I~Tp%dNvtpVHK_o1Lc=!>#;T(W{dC=z=bP4NkKK
zuJ>XVE>FHNW23S}<zYeo<9!@6zn|pJU}Ifwy;_%J-@nN(<Zs+tI;m>^QtRoAd)9Ow
z<BApgzue&_d-=?}y+Jb)ZQJxZ_AKvcU`<J?o78*s=!a;&h)Q;i7kiJ--0<tiqQ*TP
zN4dTB#B_AD$mt$rkbjqSX2vUiK30=hmYA7;lAJnzc28@q-}tls@%gsx+m}>@o@RVA
zGfg^qBEuUN#z{-7bzgT)c|7yP*(KU}o3x~t)ErHkxlzkSM=0wp$K5}CGnDz0cXv)v
zR$jRNgkHoV=8qlc?1dzzbDUHRi&<cMd|6FcY2EorpZky5<@X*f{nK%{OG9SQn;9p$
zK7V<0uDa*g+<3v7O=@m?7Mw5=?%wsPL!-+~(*KCYB<@9CeGb-Z7Q9IN{8V21%k!-Z
zw2m**NM2ZBZ@;Acq{gGaTz++nLjRm?dAxDb$E6iNdJa$ZH!!JNQYNIp{OP8%Ycsd2
zL|9xC)5aY&3IEL+1#NdXrbRAoFz?^+Up{ot$>v8VUiwRhmQ6l+exXIh&a5{RWcfdJ
zZ+IaSe&T{o$->qXOtK4BN-XT&#KUxQdH$u747*Q+)rl=ETmQA-=R!GIK^4jKT2kWK
z3+&n!nh8q%Rbg7r_<Z#uk>nHprn)T4xiep1uxMaRX1Kv4G-tt*FIj)TEh&HcAxrf4
zVxHwXPi0Pedhh-aTiICIx^|In`Nm}l&zDr)Tof-Yz9;tolDM~vDmN~QQ&XIFk703A
z@xsT`mR6jb!MFaS(ejFfq-`GrZSD46;O>x2Qgez+K2>vn&Y|TiR#XUfFO?OD2}oKe
zz>>9k;_u^0KjO3gv^@|$Hf_PO?uk}^6BQPui>^OWGtrZqx3TU2pNob{T>jk){Xbvy
z<xKyi<GjZ(b;;!2YZpZ&FX+9m!muhq|9!`=Ln&RGRvq+@nZF~rZ-w#SJtr#C7Mzy7
zkrWy;!Cn4OQqJNG#hB>~6UypWcri1^WXC*elPZmy^I!H}<pTj_7K`@o3mOZGzJ6?+
zWcTkwxgf*E`-Txuc@};7Aeh8@vP_Dh`h<JkiQf|$v@eL+o@8K+uwOWdJ(gkpPXY5)
zl^-`GdqkdO(7o|F_Dhxf0im)V+C3}RFPQiD^AuG+QT;!Kb_X~7US_T&H2D*g)cGG(
zeGcM1E03)Y6z^Zw-#P8>=a2DyOo!iGV0!j3UOdvtY~ib;7n$?IPH=9Xk+*@dF{0bm
z&xK+BRp+RC6ZgBaiv}6)WKmx8w&Ucij@@^QuTE}C*p|%jVP*RFJ<H3JCK<-+tO)$K
z%)5$v;pC+J<=&|$`fR_kFZKR&qAz{J((@m)v{RUZ?Uw~k{kF`j{3OTjui>{&Dz*1-
zeKmEN$Np&?#jDEwC3vo#xU#W(Yu2|#oqt;M4^Lj|K3~A`&9|gWQx{D>{w>K$RiK-H
z@x&|dX9}uEFh@L${lCR_&9e5b%iOm5*_EG`Sp9v0_113*@j?=z^D7lzeNQ;PXwu`a
z38Ik-;)^H$n6S`ea^&k@fwtGqFZ0Z*6zX2QEP`)_yJ+>|rZ;zHxc7a&Vt-F)hWpV)
zhPyj7Dx@SIrf4+mxo7`=wsrc?L={E7hMve`izO45zFF#hRfl=8>Y6>@;xBDo+;{wv
zdH%CMfA#8@*lqo?Y2(tKJ5P6|pKXa;HMK%>&z$S0o-f*Qepzkw-IHBzElulGInPFF
zSbvSR?mm#eNYT|J@|SGqxesNtmipAMJl`bq+C!>x!qj=odv=BB_*b8q^tQTw^^{GE
zmbGN$dur;+#pixM`F7Qw&N}y<KUymO7xkRkzuT|j^D5i(H&{D%*#3<TfB*Hw`s|ex
zCfl9f{PAh}((dqeVKyh<pP97v_upx%QEzAX%ZJK^r#JMZFdRQ>u`{k@{ndNlPP~dQ
zUB7?M*5~<Gb>zhkTKLb~bb9UfBNjn>%hzZ1FaFW=?&GQ76-O;zg_%4(&-mrU?ebGs
zrhe$3*U_-~;^%oA49oYl`+r|0mwazo&!z3XXW~~^ef(h%^X<fS-5+yq#j<A4bguk*
z;`cPk?+x4^dyeGQADeaY+sUYvEN@ep-!JhEz4!G*^!AxwH^1<I)_42wKOvr{QMDqc
zPcw(V`M<5;;hY_j@1*lrPspigye#^@Bm3tP7uokckEb)w-jMunsjro;(#iT46N^}~
zn|J;@xI5=VN?n8Q@j33w2PdcRh@Bg<apv{MvxN^bCN1&Z6(Y9I;Qw-;;Av~xH@yrx
z#<xH}ukPl)j~Ako7G%WlS)=J)RPnw!+3s`f{?pbMQrUAi3IAN;tEIc^fBwsj_l`v8
zyl6Q$@xTJ-)h8OfdtU#)8g_B2%t58JtE`VF3F=E7e6jg#S6$^wz7n>Vr)1xMI~i}e
zV(lmYfUmu0@@ubsKe_Mx##<GOx?Dwf%N=BYz1+8`dQtD1rhktn-h09jxvXdAqNX3$
zCrVCS=xT1MQn*8Yf5$1yol5#ArpYbLf4wq4X<5(53s;=DSWWAebT%e4tT}Mv^T+a&
z%#KTXi^4k3uqREFi&@Ycmeg}bb@vLRLoDC)A1(0t`{qP-<<dT_)w7wC7I51v?Guj?
z+x;=;{F1)Pg)J!wuNIlwEbE=@W7NK|w{;np>=VV49|}J%>X{JECf%~J{PySh=?hXr
zkDN3P{CMX0@)NNiITx(6__6-^j>{*F`c)TaPgLG)^ipSWcioCj?kn=;mUQ(mJiX)f
z=EYqGEDKx0R@fXrb*Jx#;n9UT>nv83eS5gD;Q@b=c!%-vCH2t}F<+PYZ2i8x>(ADX
z{WlmF97|3+^6l)wvyrQFb(VEie>w8|{6gz}opSDxvo;@J;<GMRZnanbsRiFo{QvV%
zG5uTf`#+0Z=5d<uS(4D*f4X+%q!X<Z#Zl(}xfoF9|G#c-{9pBp<?-Z@rC*MkZ)JHN
za{SNtqp{b12)*e!eJ=KsR`d!tJ--W!COUr-6#jNJ{ON_8doJc2V_cK=Y63Us<0anz
zH}{^lk3DxoXi4(^wQP?kMS1p}u6^mv6(17K^Z5EEnd|+hwZF$rXz%%$v#fi$R{ocx
zSFO*^Sp0%>kJz4`(|&jJKgj;+xpS`eljf!`$HKOM*!Z#Mv{tRERZRAWSBrd{&Z_3`
zi%#a6wzPZQO@#~ZmHn;1oL<_!dFO>MNlUsvcJOjD+RxZFZ%Oy27c$*nkL<tMeojAP
z*$mCk3+s>nx)IC&tuCoU@L<yR88d!u__%PBb`qE2s*Y(Jmv?>Gb>m#pg%6XRI=^19
zkK46^slWWwLDOp+W~}pP<y@mOW24-|-y0N@W~}@BX2q66j~2Abz2fiq%(%K?(Z{nZ
zbhFoREx0QeyM|Hp&4oKRzwi4!*?WG&o1;CCci%~$x$f*Fm1iBoSJ!k{aq->!;J0+)
z{*)4#ugXikY?F-`*w4sZ`~Pd-^rB6^bJyk7FSV^+wCv9Um5oO~sJZXym{n7KLitZy
zr_zMR=r3vwfBNsAKiP48X_41lrJjx_3X^;$S-L1s*sZYWTi1P8g;je_Elr)jFz&D5
ziPH=8KUmhCT=#Lwf@LhGKiV3uuUWFNHg`#m{`;@{{!R_8Ui0$wiAU)VzxRLJ|JCZ}
zyc<hiRQGqvec%7rE1Lb@qNdGDN=mLx%3{??Iw_;aY`e_dd6%rop-Hn(#w=JO9rMV8
zA#vLR8$}l%ez~7J6uFmK#{T(VyD6ewCTWA=|IJ;$9WNyveWAOD?d2u)SEjR<<}X}X
zo2<Tq_v@dT9}dZ`a9A(+ilKx#CH5bS+=5k~H>T^?%~<ky`J}$q*cqK)6BJ?;XB<C!
zWpe)QnZY(Q_r<MXKHHI(_eSvGv80Zs!vQff)aJj~`L%=pleti5)_+08c`dIyA1L`A
zPKo&yxNyd!`ZX_aB`@qVk7Q(@5x;qsb=us|fA=ibk(Ye^X;)?E!p+^s^`|Xswdr)f
zcXsE-MO);hq`QvZWJ%Khpz<#1b42ogh6N7~GKwtNUL7_^W7398vwocaIwxx0lG6Jp
z1hnfOynnM`&PjzcGdj9Ig#Fp#IeS*v(S@?fU%OPh7a1;{b@kSglFfZ5!#x?6-urg2
z>hzMLZ?k%zXq0^2nBU#;Ze!*3kLQ<qZ(``39sXl+zxhogrJiHr@6XJN5nEoI{psK*
zk&EBAPhMWO^iS`_bIW-y)-JWW{cU&kNzQ}J`ltJ|>%LvnX@1$$(`+iBzvs*Dle{k$
z1*x&zdEa*~XwzfH=087{=I-K4>f66OxM-8qk_C-w4wd`vF3<IIJohK&%i`nqbEBM2
z2`heLWc{>w_5|*$pF1lZbd$UpB;r0UR!(vF`1{-9pGynAt$guw&Ys7D3)X!6s4cH^
z)5u|g^?@Y5<bN;D&R*I4H*v;-^YK4~8WLQEmzN!U`EglES^9)$*Pj?(T~@MwG6(Zj
z(f@yz7S}#MQDVM-M*N9@-QSi}-Cm~u#2{#4i|GEZi?<3|*DO<-WK`$4a!JYFKi?Nm
zXNj!4$sT>?VQTuC&J)q*CoE#l3(lMQQB7d->kl~*UzWVx{&n8H(`<*Aa7^29=l`1}
z=|8_Oe*2Vd%lEl^r%CPGr+;%v-lMKv^?yEEK3=FHyL#)fPv5?-{<9=6_7l&kFTKw{
zZV|0a*mAn(=t5olf6H>Me#*I=op}7y+^V_>yoDD;<rb%AFVFkLd46F4ckG&fUl%C#
zNqv2@qNe{tl=$njlT0LkFYtS|@ugwIA-OqUcW<1Z_T|L-6wU?THrlRU(tc9Fc1hm7
zZiX)`(m##}+DR<UyZwl3>YK%R{r?u+y8A?F_L4mPPq&zkESUQ7@u~Xmh1%yQO#hI)
zVQ1Z@$b}0u=Lt=mGkL+Rn5&aD^#jxs{zoRyd^cI(QiJl>nnl8E7&p!CTe$l1*9D=m
z9lXruNjo)U|936ov^)^|->6C>r^EgeQ^?YMb<11-J3l|)dF*q?@%al2%<r`<Dfl&2
zL}k-XVF9I8?<Z(Y^krcG?D6$P0E6I(cKZ`aSqzekZy#$s*_u_>DA>%HG<9iq`X{ed
zpH}#$EzF*{V*7^`=JFeqlJEUn{pjcf%l|(XuKBz&PP^=Lrp`{KPn9u^mFkVmH#Z!c
zpmzL(Nmkqns|d!`8_eHtjD0jC?YoPDRE>h%zr_>&tX!V9FxA$+vB7SQ&bg$OE;}pS
z1Y;Gvx#u!ietWe|g>!YnjsF|(x|tYUO}W6cdr433rqztUFFOBR*t}-J4gLV><;_Vq
z0%Ml0C@{bAKPmUiDrJ@ol`^3P+fKByrgl8Ak6ZauX3wLT?hOwGWnD77DkA=TTiDmE
zk@P6yL_#v7;t#e)wj{-0F0D@wa~w|kwy=$zQ6T2iG#29vL4uDtdj6TkE^eq~`1`YU
zVxjn|z4sksL`7EZTW(aeh3QkF|MI$x{4+j1Uf6nk#-GRyvqaY~FjD8?+$4NJ?WeMo
z;rt6N3)jS}D66rapTDGTp-Jdu7X8AdDw(z-|2J|he7oUz<pMv`oaFyY>o@+{;IUEe
z#hi+t6Won$CuJ2Eq<lHP|LuYsCpjK3@qE6aH<@#S=lz<Ud#?S|Ot#y)HMDAeL+7k{
z;=9ik*W@qiJ9@6zD*orf&W)Wr^uKkmZah<b>SVyo#r4N8pDfak`}yY0>a70xOQ&jw
zJ^6k}d(&-=_)R<Y;+D3bI#p=*N#W(^ZO2+p%-zU&vaoMS=HEASmer^#zsz4@^XYa%
z--Y0N9~bX=*BiEVaf|5fC6(99-W>b=m3MO3w6*`ffBLHCB!AN(@!PJ|rzY>pUK2Av
zT!uw|SwQO4wafFjF)pdxUn0}_duipaZOfaBwk8`sQBeP$xqtK5jq#<qKlYw~@^xd<
zwmaJQRd@ZiULKhKZR6f|aZ<r?-z%(FFKMoQ`!{*(y(N|3_J7}acVB!(<*JhPf95{k
zv$T2cujw7!y|(6a=bqjeDY}<;@_rk6?X8jZzZY|>80oK?uDvz#tM-}Y^}W-z*3I0<
zxTJc0iRo)^=F{uuUR}*MU3KOBPm4FPuyHPJE(+ZgWW6CTd()AxbJLQSG(Xq+x#9A+
zN$<U*!tEL(@6W9-`?m477f13WA#RTB?;F3q61wv?>SUI)wQxsv{U?V1U(UycKgzmq
z+59nuW9F7S;s0t@t=_hza#xl9g63l@=geWN{I+rJq)DrnH2vSSsM0fH9aCu8L*+Hg
zo6j&Vsr+8Hk<Fda^xTBKOPbG}W-~vx!cHQoWC!EPgdaLyOPk|Q&hT4Q^?0ZB)t*_^
z%UZT_$6QTbr1V05A|v~%g7S}_cBRdlwq{AoRk2l<s+YE;E)-1RGMf=!Yw_|&XPDt0
zfzJz@(-+FGnaKToN%PyMEV5tLZ#SE{u_E^W(yFA0nY-64SpRrg)B4_xN0v5i-?q_e
z7DxJ;2ALBKoF`6OemHV2<^s!%%KZnD8AVsldj0Y1m%T|>_>Z62F)``HvqetVH_zb7
zuA3#?yXxoF&oer#)+lxCKg_<=W!=^pq4y<BFLdqRep_jU<o{(2fA%k}N=?6Y{@dEU
zH)1YxJWg8Fl*qj0cI~@w%PQ||UvS=h{gS9Mvl;I1zpjl{H)^e8d{D7@=4`Eh-`4&<
zcj3#2m?cfuw%@Qzj9t2DZJdYIy=D7Scpfk9*N=Q8w`~9GAl9e*%l^Gt*5nyv#Q*%H
z*TxxlvcwKBpJX;-{P$Yp#+KVttNfQ${@r91u{5!)?)%!+_fLO4DWSG>@h#58xyk?h
zrweWUu;Bc+byZ(gHT<%dE>^cr@?KK)wQR=r&s%P5UH3HTQz;Hrx0ts6+oh@V^_EAg
z<$u>#E!KadeS6F8`TzDTZ91y7ywUpn?xl@(pOlU+sR)VNcDr@Qf_C#h-WSy@o$=QX
zCvD%nbydfL*zI3-PPbW7`u@|7cE)YD{~Nye`R~Go&r5l97A*b0U+vOLmEXHkS8e}x
zdwY<|-|O!`Y8~C9_W#RPzuaH*W4>-V{h_&U)9X^fjxDF`KfkbBoU*#Zr%fSd!Swc|
z7n4mRJ1)$&>2Eo6x_(uCWohHGxILYJ`j^k$>NThA=kmqtTV_|p{+n`RYRR0kO%}hG
zW`|Y2S3aCHf5)ADKW;C1vmi5ab)GB3+ds2ADy5|NA6%yxv&A8F_ou5JT+EX;D*sPe
z^lMr2!I(KaR;~Wo$^Yi{*NvAp{<Pi``$Byq%L?i2L(CC(Vorwr{&DcC=^NIVjTMJk
z54PDg#QslDVu_vk_3r1B5q1f&|1**|6xCPsb{_i>lH6r7fz{#MNBQy_iU(etKXl&y
z+n(*`mn2WVbhu`MYu%0$$2Wi9Khgif=Fay1?1k>UF^%1eIy>cA*^<P*buGOYvt!@!
z53F*@dl(jV{O@aIE{$N@bx?G6S7(E3{KgM!k{85Z{lJm5`&ae110{dXdCcl)?oVnG
zsQ);_%+1#$z3ik<#GV-<jf^pCJxV*WJSzDf@}EB?^jolzQ$F?yLyJe>Lifl27Wr<T
z@mSqs-aqzZEgP3M=6=5^e^08T<>=DpQzscBI^)+*c;xls!_M$EpMIYF$vHcQ|3>5o
z=9%yMKh5Nn_lW)X=OZ_VrC3kXx5ppbCNj+0J<0z4_myv!*DnA3)8N>r*%P{FzW%>v
ziR;ZJEq42tm85QLF~1pbW_fF!_L<e2A|%d)<=HJt{`8Au!IH+f+e=&jyuMc1c_e~y
z-|WpxD!Ud~etol`=R`!t`M6hmme$T+5;2QM`0euADFO~}mb5<KJjMR~(Wj3(I=()M
zc)Yyq`QD{vo0c}tpDwt9d&`?mJNgB;?^zr-dr8goC+m(ajr)3P#>>@_vz_<Miu?Ix
zgRAn^P0A}4C*@sx`FZn%$ciu9p69F;{kF7g<3fR1OUmTef7v#LZOfW7VO{Kz)0mEb
z*;YP<H9Aw_&(g9xs!W%EFOU1aseoxod6BBZ2|1S8ZktY>{rXb(qt^kE`WyFSmXv#H
zalBc1a&!8!y3Wf5lisW}{_%N-;_-q7VV=t?)=bcmWBh+2`WWAW2}~R@GgtqTk9cAC
z=jZ&&lP2wqr(3Q+IVj)ruvDuq>C4ttDX&kS=8)B1Sb6N%#buRVpQ@5>J^Hcaov)Qu
z-G<+V6Q$%P9QnQBPsQeX+1DH9DRXTKd$XkK&yOn>9cQ{H9GbK4?9Ip4VKe9a`MM+K
z`LfQR=QcFdZQ@;ay0&UlN7%B+dEV7;&TkI)>|AWEUUp{f>hDX7gFd}kzjX4{A2G*!
z?!V8yXly=v!&0VcZ@z6i$<4MTa#h6asx@05Mt_=+v!ry=#Hv3(SuL8{{x2z2_28O)
z=+(k_`I|ESOUfoP8Ge3uf6p)Zilt=}CmngZ<LE-w$ObWguYfoIcDT&{aG?CpvLbcQ
z=+|e@K3!h+d^*$71<S)fE>wQJu*g=FW$*dpE#JkKm-n-5+_vL`{U65F^F3a^VB2_F
z>&Ph`t$!y?&MwHS|MXUQrrO8HD=#R|IMlyTbAi3TPQlFulRehx*K2jgT|Bd9VO2+P
z)|%y2bF<o4io_Ux-)3(X^ONI~_k!kw*%epMOw_m_9QNbi$Gzv5)p))ArFA%#VQIDh
zpP0oam8Z(if83#8cRc6(trN2smx=c;VCPu<!~cYd?dy->A9EgA@HgCCp|q0mN6pD8
z#}}k?uc;J1x~NoiedqJ^l_!rcTwA%IbI*d_6U?b=Rh}<e`guil_Pqs@T||EGG5r21
zv3c?0%8g!sPUdnYr~I!^{J+xC-tPY{pNM3J1)Zzp-(RZzx%jB4O6)(Ot1?SZFPWdZ
zJ52WfDwmrL{u`Grj9B7W;kSz62FC#ndF_?=*F^4ErJO0wztBB;{SG-dKY^`l3>LaQ
z?$}<cqHssx@TOW0&L8Q?&Cgf_E<a3Ct4RL${3mw><D$7WtGqUG=<d{&>wTDbA*t*{
z=-&=@4H=1ri&z=f3ksdi7wCBr7qepS#sw4jVqYI<RcFjE^IOFC@x&6XPuxFtG3VWT
zJL%Sk(s@f3JiWnpp;Bk*f;D#+dnR>RM+hxgx^c;Z6C5X(%@6+kuF-V%via(9e^=yH
z$St3Lt22o&Y4xgIkspuwGJL<bH1zy}(|tRvLf_ovyV<u%zJJm5rNL7_e~ER!Dq-`6
zX{k@q_C?+Dm7eE+KK3_cUo&y3&*$x*F5B8K^-2E{_Uqfp&D}=6Z#H$QH56#iT{)NW
z^`2?#@8mC;u=D;>5Bu#)COogVpYm|)6GL<DrLEsjdTrhGBzw}V@}Rpj9-TNn<Jq#-
zbMYqwIL{VZO<meLb-np!(@hbZ7x*blRogFXEng}df3GWO*0PUtU!~mg{&ITlzuFzo
zn+kej8Fp+06+3sXzEaJ9TCwn)#r#!!7H0ftm0F+LG4qi9$2lv4woiF|rEGoW($=4K
zcWsYeJGXpg)k}`8<?A;sYYqPYO186-dDg2pYZL$Ep9<V~cDYyhr?QQw^7?16TrA!A
zbm^p>x7Q^3pJ!Y$;nUQ$v+G_mpOsCnuY9PoE;H)OM;n%9lcp`1uz%0P^PcvMt2Xzr
zes-I1<ZB}1Z6?`H2L6ZfZV&cHv|fr+tbB0t<jRYTPbV&Wbz(y1`MiI3qqo1Cp1+a9
zF!tPXul=7A-z+OjS-E)6($<>QtWTp3>F4$B`Q4RuLZEfS+y5QEd}Ed^p4z)n>g(z4
z+s-Zb`g*Rp>_&6{xozc}Zmy5m`geZyRo<uFm&7f%Eq-$@@7B+=h3eKzTc@h9c5!z}
zZ9bEiUp`4gR=EFM-re6`N4?|rh#Wstx;xhMk-Y5tu;s0%n)LGaB%RHR+h4K%&9c=M
zCsU8E&fS0W?DFTgmu>7ipI2)=@s)Q>Lulvp%?md?o+1DB&AGh$ThIP3-*j%d_uo&P
zVT&63Px2H`x;Aae#JuDUO`Y$~K9JJh@FvFK%W1L2>vyb_yew_MwB`4~6#s~4af^cY
zENfBW_`QjX)pU9PhOz^a_4h7r%lYK`NkDGvDNh!DiEVX@a{L7>68MrP#C$w+d|~Un
z*&5#sPrtpltktT2@x;YB|0hp)@*v{KgjXzI&YsGhA#>MeVbi_Ejf$!ai?zR>{db~l
z&#B7DZ)axu3jEsh@w5lCCgaYq&!^v9h`o79N4$D@%k3R;$Cvd^-WYs-<L$Z)(~=n`
zM9yEebuypYmy`CJLK%)NX}Pp1g7Lx1c{^0U=Pc>|V32fvdE@D+v);Cbo|s@>X?W($
zlBd?Y4~qS4u>N8CIYLljADjG-sMW6<x(|I>Fg@$r$~AjtKKaNogSBsEs`>i~9+78q
zr$<RGk((W~|ICt@Yl}C%+4S@ILjJQS=IpoF5hTCy68r0k`y$SsmjC@QufwG0)9K*9
z!V<Qz{j+k;<c9v-wzU84huZVo->g$@5PUtm^5>HNf1fUJbH(W|ZQi=^_WjAlz6Pgl
zem*~U^Td*t^UK+CQ?2;tOsr17+;l!Se%}*|^=C>#_0qSbo?SZK{-%TDncTUbPu`t<
z$nNv@H(9Zh9Bz76it_GRmOjNG<Ik6qC&jAIE!CQC%(&*I-U*NJ?%tnETYg?<d9JV0
zwfoG{Kc0e<S$>usdNY|}V&9AU-COwT9hlzyW1Bn6_I?QeQ&o=Q-;KF9mmPc=%=`H5
zvBVPI=bu;<dtT&yjr%$MNq}&t&e^j|?0+t8S(#rcz;uRv`RgTizs^0_b7tw~($gAI
zdsL^KSsMPTy1(i7v^giwy|J#<jh>eM^;GO+g@TJ`UWR{M)^ceAW0HaK|C66$Rn$*1
z*!(}UBq3?jf!Ct<#LwoY{)uUwv8Q{I1aH#Gj}2>?Uiiz)zMin(;agGygX5p=8z#vI
z8rlTUf3W!9+2_5xb}at-<LmiF>UA?_9B!{X#a#Mh-2w^GGT%ANDzq2KwjMmWsQyEe
z%>hwqvHde{Z_qrzBX#yo^8fAyH_kk@f4yA!%e`|8UfRv9VLB)9#izGC{p{iSZ<a19
z`v1xI?b4#jOFFK<?CFzTmLL0Vai-S4O}@u_%(W|L@N8bvSbBPn>zQL!C%1Uboibt9
zCy!Idu0LVAe>1*5LUuv%#)&_UZRx0azN9PmNigq>b@H2-KJs1AsQ&h4(wc=O5icbY
zSq?n1)&97utkd-IrG?#JAH*_rHu!!2bHP%H%k;$U7)^%sC2c~n*ZzH&oRG{gXYoqW
z$PX$DTW|DzP-<5=@bdrRZ^<_c_Z`?0qj=-y?}<_z;u~MxkZR!deX(^Dllhe6)9y+A
zfAh+-V#6<Un}C?kDw~`|tzsRGCt?}e86Gr0tj~$<NRL|}HId=N9JZ-z4{S>AS+{Y*
z3#EWpzZbGq%wXEMurXrMyz?^)rG0)zFfB}eGt=V7jfUerv)r;HB<fyQ{%BY-KP~n}
zy8ElTJ)drN%5!$NO!;6LuhTu>Y{~rjGiAEwADz^ZKI?+{)1!LJ``;e@VeoHBU$lN<
znEeTfxQjnG&%6C|QRwC+{ohYAIerl9ThMPF$>`HLOSXII`J0o<KYkEPzWFkK&+`7i
zpALCmSdtxfXw~P*4^Hq;onhX$y!Zd9W&LrRwro5%V?M{voaM9jFY8(VXNBMMk9UtQ
zpL^}z5^G<6!^$tGcCJ0MvaI^+sgJj|+J6#>>3CW{iCdIAruTXH=5C(8-sj=nJre4F
z&MhjhT$VIRu<k<dcLj@>b1JU=i*6Kjq;Rf#@&D{>Pq%KS{J5SszJl!2mQH=OeQ8&C
zC)esb-w$s0d%U_LX6nM0^9!7lJ}f=DG+f=9tx~XlL5clGr6~bFoccN^Jl46aZ(cuR
zRRmMg0vUgfe|sh@Y*rIsOFxmntmpkxCif3k=0BCKF2tJGFYFOpmRSGd%e4#ZC+a-7
zxZ?ZAGfVwc83JD~lv8UyIeW9u!M~>JzhbP}e+pfEcRa;LdeOwm?DC5nukLAV>e>){
zbXjB7^a-7t?;gv#x3qWN-tV(3mumf4(vbdjpZNZJZ<Ri`M@nz_pyI2wu5EdT#r?PS
zl{ZzTmRGM;<-DrCX!VlDt8q(8ZZB)gUdwXmPsb)H+xK_gUY@_S<?EY?BHc?1L#<D0
zYBMk|S^aEj`qn*5+P<DNR)0R#DstJ>$x<xKJGOhv$=zGhp_RNq;DWHY{6_~y{RL^K
zWG*f3h*mXBp2};!ynXw&9qNzPbbelV{?W2_-AE4ZrPc2vVwY9FuZraO_a(~LYs<f@
z&T|%&-?(s9C2%hzCx3V0?)?iZPBN%2*!FyJ*!MLno;<pJ!Cb6iM|P!<d*_>8#syCI
z*L;|E<AvOwFZ+Hhn6c*Pv!rDm`IWA5iyO@I7j%4LYS?%?|KFmHCro|a#>ZDA)%}Ti
zV6DuM_;1yv(9bt^SAAm0JyLHyA@+YAL)FUA;~yT(<~ndhbH%@hve{t^rvG^u`fPdE
z`za!RS3~T7Mr~i%s+`35mV4o17R4P!LP-oS?{zF#5b?i5`}4(h`85ldbw=(A7yZBC
za@vwb{!EAC7N0x2@Rm~Idi#aP1sG%e7>bs^Kan=!A4AoW+Vh(}uK#(tV&mtFO^h)N
zH}>4&n!h76JdlS!u2J_P<ImK|43l;n|9QrCu6oJhpOy12?Gu&bIB@@3&_;(1NqILG
zIQcWA+AUi2Bl&;bkJFPS%LA*Q1lKRfDZMfO<fFrF$qN@JeR%83;XR3SOXlm1kMBNY
z{Uywr<Y&KNhuwnJuW!70zTwl?4KW{1e64O`G+B~Vv1nfZ(hHg2l@$(f&0oJ|%h%A;
ztIE>9JV;%C@1(krqW<y)Pk1@}moE5x?_^!u26w@RBlRDbEKuTj5x;DK@7sbsHB8GE
zM1Fa&a?Kp0XLnzJy|pyFK7HZh&r4H2*DVd-eedIK{-po+PF<V2bmH|5F%u6g`*H8o
z-q(8;&VPRI)b)MamZp6Ex48H7-BWd6f1g@;yoGc9&kcNQ7M!{9_5AUBwePQfvf$at
zS$XH_cKu3=q&e5u7X300Th_aK{}b=+cMgBeitNyQ!nAdr{_KvqZ|stjo-tirXIB{!
zxg>o3rls?)zF)_=|Mt>3<=dBp{H?NII;~uPQ*~*{`mm+l&(}UN5@2Ovzxe+d<Mr>S
zOExZ#I~BQN-`QnxUO$&jUzt_8fOYu=%k-Hm)-f;5`@OXHsxHU$(lvAUtz+J{Z1&Hk
zb6<VFmCI%LcunVyTi<(D_br{XKHGYU*z<FZ<?H@@^SH6RZti5A!%OP!+s#zaZ1Mi~
zoVCibQRx1XIlsS#X=*QxDnHj0Imdh3Nhxvbuf@-s3Tl?lUCtk!YR$f+ckBA6HY=CZ
zZTIJYdeyos#m};NCd0?Dr%Z44o_Cn8cKEz}&e!W@i+U$(l+JurxFNl4N$>7Yv->_W
zEuVXI;f6)|$F}V3{k1B$<C*F64J&4Tdh_MVmJ=^blP?9X{9Lni-Uo}M;tk9D{^Xh`
zFW_G?&$=vWL21pBx&J=y6=ZzD_I_FKoli`27S&oGo3UlF>y0II?CL)pu6xA$d1>!y
z%iYdlOiQMp`gn2v$1A3jmU6BLo%i5rctT*(x<$S6$vfN@+}!;A%JvsU*FWF*QT^us
zw<|X<d@-+NXq^~&{-ETl0>&SkQ>@s0m%jh};Y#|2GqnkvNf#eAyL0UOwzSvs22;|_
z1AD~7Tpzsqc=6ez2aI3$+%Vdhe4@cBLMW!;*~2GiR@tteA)36jcYbyLBKK*_7riQf
zlKm%XY0c@l4yT-z(^HaGIW3v_)b8}MMR!kEYOQZo*NS}pdhQd(ulxL++^#L1^U`S7
zzkg>Mjy9Qftv-5o+1=zre1Fb1oKIg;Tf6Ql)8EZ3lUb$~oLRGM&87KVk0YX&Zd*CM
zPGD(o{i|CiW<@T^Ub_FyOw}99XZ(y#4%sAm=f(Q@OEPPJ`Drp-`*Lm7_C*ZJht@v7
z(X%yh^Z7=t`mo2I?MW)dyY!wvc)4`%(>2RC`QQD-!TijuO7&^)|E0Yp@0TyK6x+3Q
zk)`_47qQ;U7XEy~xHaPa2hsBfzvZWd3D_>1d31xszKSLDK0WoX|F-##>xIw_GhzxC
z%}zKQvvBUi<j2<6br#NElk_6b@`Yu8v)#|#o1ggR#n1Q3-LquP<@jCxxv$?Y+<n61
z=gSNFb<2CsFI{7OKXS5sMe+vUqnl1XpTXG6aP#{4rE9I`_Dub}Y|@{~75~nkxSI9v
z$hDI*v$X40==w5UE?d&&6f@hy{oBI4hf<5Wjvu_Px98UjYdIJ9In%$r*lPDs%6?f_
z<<GyLJ2)ddPRs6o`S97&-sI*-@4rR_zT*A7q-*m6W&4B}=Ggy93%gtU7FPcKk+z_J
z%}S%k9UuPgdHA8fBOi7CUxDHOZ#H$<c>rHO&b%o7t$M=VKZ+lYZMZpM+HtFf=;L=c
zOx|_iS&ZBV7QMI|g&P+vh?u<T&zF5FB~xclGJVM}`v0+ddyL-&{__jd?DqVh@bBl$
zUF~5@`pjo9-uFV~&n$)sF{_WxU=aU(=Guq9OE;fns9U({xI}})`wwqcWZKodnXMeQ
zu*g5*#<V4K{(Rti+|fT-?9+=p)8vDnW*oOQW4zs{|0=;IdBGOD8}nb^SoZkA&HpX|
zn>YiuU3-(TZRwVZIe)jk%zgY)>uhI_vk$}ExCho}JB}S%`{}q|*^(7;yO#WToBYzo
zmcKJ|;ZKH3OIEy0aay}YaO<WSW$6#yK7M?x{&VlO-JN%QBl5)-zTT9zYf5M0M#htq
z^pzr78+I<Mi#<L6>_Xn^M-emrez@eyEc#?-#Ij|pmmi5ZVzcAVzMIRYzfIvt>^^h!
z0(1Q*j@*UU4l(Xh*mvUh=GO0d*KSPPeDhYPz=MxV*G`?rv3gmBXe2}B%b6P&&YQJ-
z>Qz1KSzVJ-vSi*ZnKl3Im-koVzFj@lv!gC<$+}lhxN;ZuonIyLX!*X(^{1Rx@EY4J
z*}r}1X7&F|mi}D6Ml<qa;ew>|opC>w_4!}q+{B%<xM?=i5u4d_?k$~C9jQ~jtbgsc
zWj(XGjNY8<@ITEy^Fw?;N5Y!r{oj7EM6X?X%tO;bvOR9m@;yuErTt+z{~{^vOY_}R
ztL*1J+<W)q;v@ZE+R8UC=$XH`z4Y_aKb0ryTov~JYs@&lDqC6j$m$z!)R~UoWYS&B
z{$^?S?B)G`i#oK07-lhBcH9(7SknLAe*V0T%a+gozO4V&+ZV@@*_ZY2y?65H?#dsk
z?OJ<xJaKOLp(Y-^bhEmYz5a*7xP?pKEPDQEX6nLIEWv9&sy^!oGXMQGO^r!Xf01q6
z>2;5jUd}zcyua@2z9rlKPvf^=^}<!-ec93_QNmF|IzftuV^;U9Q)A+O->_KOKzsG#
z@|(*#*IWIRV_!1o<A?P>7oITvy&&i$2givw`NvLVFR9P^`O!!A{fDz@izGfT?Yw2g
zrMd6f?>~$iCm7FKxLyAJ_k$&NAFj^+Flq5z(a7M)g<ZaEd+Qd=$@y?^kNEOACm58M
zbXDy)T=m2<X2~pf2VS|Kg0B~Oc{*-SyuW7C@~-Q1H*g+ZHpA~<quS?9@;}dH*r&>h
zP6;(n{`7QlhpGPZne%^UAKzj<eb4Ih7Ku44lXjl)xBT+$vsX$?)6Sh6S1?*7Ir8xS
zJm4SQB)1^PdV}>Lu6u7|7S8VGeEB2!gM|Dw?g>ki6&~c5{#iELBal%=)NauM?dF8I
zWz*k$-Tu+<(>C{i0s3{zrtX>LDc_zLd_Spf;coY&%PA|BtQUXSxO`1cvxNBS#Y;Xg
zF4+?Fh`~0dqv-3O*M)q^3jb?k8M+vLf6SdZZ|U6|n_BkVRrjx3sG=ggnL|7KAM;Y4
z)f~SVcCSj>zhqJ5FXPV(Hyvhb@aJ0gCaGZYqUWFInOpx9ySMP7N4=&UbIK+u%cUEi
zFD(3?^Le`5qJ4XkPEFXIv~cg^<c7n4PgHRzEZxh#CaGZcqKaeZHg9SAFZ*uS_v-8=
z>z+4Wip^QNyX;)NKS#?Nfrj9u<-1=;zFDw(^RnHcb{%E^pRj%^`?h4w_l`%4)}CLM
zWOeuFlG*9^=RCNY#5p(Sw(G}d_Q7F`W^KH4+3NX{mFw#(3pUMLv-I=Qt#{Y*9Gu1c
z&`z{|X<6#>t-rS&(VgAHe}9t0v6V^Xf0o7-g`M90x$kTE*0oaab9*@JZ_in?{MKr9
z^*Ic?zg-KN>#JaMp*XDX-_rdhW>0@Fe)ncw+g<$yUw-f9DB5NEGK%^9w`rGGeg9dW
zbX9Zv^o4l|%TpXyJ#(u#|8>Ux_qj{9PF<*Q|IHJL^M6%u9Qr@SYH57*x1}q~|L?Lp
z`F82b+Wm+ATq#{&xoqX?EJ@k)hRoM{a!)j*&pbM7Oa0#S%T~ty?cSdqvox_>YhyrC
z@|UgI_gAl(I(-)V(p7tZeJR`c`|GEj(Q9VzU9xiT`>&?2Z!bO^T(<FR*iWY`Wg9o$
z2w%GO>hq@(p{t@BmS0=a`O|p8=Cqz=lUt;hXU2Y8n)B^_)32J@U*ev~KRq=`GUBW7
zp2jQl7W#i)vT|C-{~NJOR<6F)CiEd{+1B&-gJu-+KAp<-JZH()qvj0^t5&UA#U=Ou
zKZD8t|NqZ0Ffa%*FfcGg8pSZiFvdVg#u$kt#w72U)k%y=u}Pdsyh)Nt{7K?T95L^~
zsu+_PlmERp|NmDqlYyZ)fq{WR2xJCBn7V*0Q_}k+2HT{MNv1LBF-(k%j7f|!%Uxm^
z8CYZ3_+40;IJuc*9lj*V#IUhRI&j1=$B473v#_voCcTbT`S+Psj49P6<~2j04MQl)
z2L@)7Bu0j$w4~KZaY>vENxVrCAa^i;90GEJ*C)2g42CYN7?@)j*j+dnxOo`(Tf`Zd
z`C=GZJJ=cA-!WtgBr!54zY}EIZq3B{UXY2^n2|dvDwRE(Q8^&_-$#B%C5HHw%sT{`
z>?4_2**%%K8U8cWx`;7}^D=&&#L&&b$#B}^Ez?`p)+KKl7PGz75@c$(;@S98AjUN5
zB*)=Pj$9@FF;BQoI!MF>C9P&y&*IO(nw<1oAc-@El_Q<ck!LA$5;KSI1m+}Gj$V%U
z6OvdM*ck*TBndK!GVro++<u+J!jSy$Lkx2)BNwNjw2(paKcxo(hM$BDJ2~|kTw{6F
z1!8yvoWFQ-nQ~|{vU?=4F+?&YD=~DkG8Qv3B(lWZi1|MuhABpsQC3KrMX>rq@_z@G
zSVozc?+lU+Y%xr+{~j``xCkwc;SF8#kzuo_{Yr6BdljaI3=fr7G4;kUyq+lPD8}$z
z+;QFurlT!FjxiS*u6CF(+>~It=;0%_o2@#C@uR4}%4gX?j!=&kF>#!>9Jvf^F}z7%
zlB8H-IJgu!Vpwg(-IJ7Fa%5iNxGx})<R8O%meD0CM#@}_<$Hh3D{e)B7zK{={)&>R
zF`OLF86`}UIFgu>1O-pCbNzh7kffT#6!RiUj+22^a5HC&14r_|$1(r8^CwBz3#&8l
zbVw3QvKG)?a4eRgl|@XIflttulSNr#|Kk`TRdzEjox2W6KVs}+cC-6TNM@KS5Xt#o
zg^8IV)MZW#<9$_zc!%XN<}u6+OIer%7@1<Y7-HVU7{q*uF=mMUUmWv4nPHJ2Lpqc2
z+8Ci%taBNrGOEOEV`OEJWMWd9eJ@5P#>t&+FH@4>?j{!V*#DtS5(*YcB@A0UzQzc$
zxhX#pVw=WrX@a7_8Sf+sJ%*$SF{v?3NmC}o6vqg#=nGs?;n>5htEj=0#3H1`$;_`K
z7c<KxCXYjcN$7>Z%$N#Ivm~XfQc_YZ>l~FMSYqBYNxS@$iMuBeH%X&GW}1YAK+<gv
zz8^|S+^)<L7aXjTt|XZzab~hC=i)O-VxGtm#KdXA@P^|hhl62K(`PP?Uok!mJ6#x!
zmvQwfY2ILD5L5_ES{D<}EU6MB%$mto$n-*@=6_%eBSSL7@|bTi(_<8hl6J*JGUzsa
z;YfR(WX02@!u*$UzS1;?*`Ec{I4&lI2n2B@3CVIU;p$=(O48ut*u=ufaph*r^B6Zl
zhSql+4>%ZN|Gi0O<c<+yNjfihEcV}9mskeQOTrA+4)0^WCjaB+X)+Xvu}R{1!;vIW
zm!u%baM)iUXH`>@WB^BulEOI;b_plR8(bzFN$*sWYy~E1hzP_e#%$7%I>QmmFjtH7
zO$<k2%vT9v#w3N%7>*=vf$bcBlYVH3^TY^A2!wO?J#dM!W#VK~DpYt7W0<Va%D@!M
z@Hh59Q>*}^aMEqYbuo+vl`-#<|9#;|X5^L9i~Yy+Na~_>%;y+EOV8C3A2}Ujcw@w3
z_!;K~F-e=MPT~+_NM|_L@j_^)vCw{xOB`1i+Laid_;NXPFvhH4=-$pC>QTtdui?$`
zHfD8FY0MXuq_5W290D91NwO04>`9Cg8)N@{N@iesug#zIKKY-}XPMIyvNkF)pBQH{
z{#KM&8xzAgcak{sTnTG_8>gg?99c@7MQIGWoLqSvS&T`78h4W{8TpiwEWRc&6?@ul
z7C0ivHGwh4iZzoVJtLMSy;IUkV)+{@T@_6O>sp~V!tZ!CoD^hOdQpLqQ7A@2M&jt>
zP9|>2x4Mjp4M_)M85VM#Qg{)Q#-zsSuukDvQjF3GhHHk*f-Xs4G&Go`R<a~9c`?Tv
z7i8eIXADbXd6DGclJqA=={kdafXGil+27JE{CiZAJRSQuOB4<M#WFYwF*z}L^2I2}
zD1Bn$oXZfeB+roS&S}TU6!|drzi2WC!><?*wj`m3<bSVX85NQ^zBqi1Wq8fd?I9fF
zyj0+o5?9|xK@CB{DKXqC5~6%PALjD*aJGf;_Bd$>S;w%X3dug?5RUZZ`o)qfHbd2;
zuaiMmct)yLipNTi4U%u01phE5acdR1Sb8yf98vO|!YDA$lgZRKLVE8c)@F`=)|b0f
z7$Oy~PqJix%aASnNQLb=i*jrDjHK7Pxh@>s&v?>RE^_HhOzBkMvi#(u_EBMZ(hk|a
zPNO1ko7Wa;9i>u>zG#%I1YXg2sAx2aBS|QDBExUihzzaT1+o7=$Szs_LDg=Bq?oXZ
z#R4tii4qHvB9$D(R1|p_4th#1aa<uLzLq6DSZ!J0JC$WhLcB>4YD!6w(-;-lJOxFC
z`Gwh4cq1+dY6`J2el~FX=NX+L!q4`2K3C!b#-u2g=W$GDS6IcczFfleTD5Dk@m2xe
z4kMQ06qag_6#|M3UzMEl*jR)oaj{4TggLc&@G$;$nlOp)bA*de*b=QSo~QqWBwC|A
zB|0Nj#80bAUcJb5(t`K7H=}ZZoXRVcGG@Ms**{+SXDZC~c<P`j{nLXniR*hnh=4@Q
zu7YU{LKC$e6y%l*Djd5NkSpWqpsFFp{fi@JVdVd$q=_u5ha4OY#8jAgGq_b^PB7>T
zng|+RT;dSxC&;2M$KXD5A_I3K3%{Vp1okA46rq5Q*$k=j44Dj`$^V|k{PBooRCGu&
z@KR#1=GXA*3}ACO$jHRZqA?-)-y>d;gx@g|0x_~NCJE`>j1jJ!F$ytK6CFDFEWTzi
zt7L?itQPdqc<;ff<;cJ-8x!LYE1(-=m|%ELAjUrFOn{GzOVUw~G7AR5NeP=hxdTKx
z-g#`|bEsEI&}S`O$kk#U!&WYosTiyB??I*pcg>`?fzLDwDz_?dC246?O?vC`r=e8k
zoPu_ep+=u$rsmlsdyN?mr<1snTr?Iq97$40vS60<h++4r(Dw0S>*rW_#fGi-MG_+)
z>om{xl}s!aoXHGtxAJfnaxd{<<>MAE)Q{cr@4iTnAG=DV5ySls&LpiM={KCBDomW3
zMIJgyhD@T?Edpnf?3s8^b#h8Gi67>aRbk?^TJ|M|jZaZu^Fz?XL>n>nsWP4m7?U(a
zba%6IPEG!I-_ck(nc<Cyp}vrDmZjLnPQ~rQruSI6mM9-_InepWq>Dq)+C_-}wXp3Z
zCT_z$LiQ?5lBWBFP769SX`k#Ac4aa@B<ya&q8amxRm?k1A^4R_@h%sGS}m3Q@7yX`
z|L$@+r%4`4X2^P_TJCAwG^5;ny{dZsq&v#>%ofVc884@75@e8g)KPL)FhfI;rD<&=
z3p+<L!&DZbh7~a^NzYig6%>*Jy@fiG|Cdi-sAo@LVJUr`wA0h@yO+7jQBM!|sFo%V
z|I;z?ET(QrO%94K;ViW$JEb|2k{pb;Cka-11+z>&B$VWs>zKGpCCP$iC5yj6OkYg3
zSN<B7m^`oY3rX^foQ^T~lC)!9yh)Pr&EGE;QLXvcC%4w|NsMoYwZPsa!A{W_r#l_{
zbz{0EUG`)sR(FKEv`tiN?75-zg5w?=7YoDd4aVU~n;KtiJCfv@)M?U@%pffODqZEm
z57##r89Xe?8M=#^?y{RKDQ1~=GKFDE<0g*_Q!+~Um>5>Qj?s;o^nsyshkn*4mWk(d
zlAfIG@Z0vdjYD9U$3p?mVi|@fCu4-}zL>I;bCW=fPLj)%Lth0yII(arv9cs7F$>22
zuM}iZQ#|3oDER8>r4uul5|X~eGITc>^mH&4El{`_qs?eMk-?w!)4GBPi}wvC3=Fek
z|EDH1O1Z>zF-WpM$Y=PL{69RIVG~P?{DbQMF;a%yhW{I*U2N7}7v!F05EBs7DZ-L>
zvmo|=onps*hE9%Ij*mrS8Rl^;OW|Z-Ze&!M8FJ&Da&sDkujd2pAcZUMl=k@YbIB`t
zPtHi<eAdC3<QMRH)rtEG|C0Vc*g5g!^RNjWyV3<>8a^;Ha-R6j@Ftl-sDm-!hGg;+
zhJ`b{JG(jlUO2B1WAP~ITf?CyMFu;GB(V>76@-)Cuw3c9u#RDoHOsZGH4M8tavr%i
zFkba&Z_;||b0L;tKKsp<q^sG<|K_EraJ@Znv2%vS{`~?o+*CL&&hX(pefh`IB!5mu
z&71Z~|6P)@nM`?ipDl>}U*90kbTWf6hAnBrw^)X@TN7q@IDAZISiHbU>1H9@E5A;z
z#1G-`I@AoiH3c|g-gEE$7xb8+lV`6)l5dB%!rr8Sm~{g799c>Hw{2sZ96JS)8CniI
zaK!w5ksZr0ait*pg7b{c9qbD(Oa9pRKs1J<$BX0At1psCLf06q7RvLocccg>{hP?(
zA;k3O(yj?HattdNn;IBnG?MNwxXH3oTs#T1#~|U{yQI$?$^RK9>|+%1Na9Fh+mQT%
zX~xA5F_tk(d>kGE96o}K!M}y32?+|U;ru1TEx00{iQ_p_C)ZQqEfNB!Is^sI2{R-s
z2*=1UUYiv2KxD-e=^ZK`R`qP|{H4M0&5VV=gPUppAIAMpICwhtyKrbQzG{8+pe(7w
zMdjxXo)GoM`<x-34D&fHK9m}9E^o+F;`sJWXagH#Lo7?oq<<S28CV(SD{#kfGw>>L
zZ;-slw<$p?Ni^o=lw}&pG7=a0eSfj`ddtpXs-GlyoS~aXyQt&kC4sLz+Cm(<a=cO#
z1#%>$Cx~rQG8fvR#KXn?gS}R9%T>u3W>fVBd4UI>e2juN+;MX{8(FgcsW2U35>bii
z(YBQknq+xFj%hiwZbtVL?ui>x&gq=znxvv|pR>g26I)D77gMpr#~8~bJ~sPF0^19d
zIE++&{ClbEKF7C%M?8vES8A%z>rAE>F`gf0GkLK@Pn}z(+$lC+mn~ZIvwFi$$xTdV
zOmzxL>ytbzla6W5xiQm%KdI;IMCRk41n)9?yD*<^=dyNdWZ|)%*u`?3Nmu2l8%OFy
zrT7;d#s<YFVk&)_QY0GMI}I|KFLo$2dDt)r@aYP1%@!<(5#)SwfuT;qB<UMVL!U~_
z5AKz0tO;T<HZjL0SgEj!DO_ioEG2R`nW0mBy0+3qi9&T&QHI$6Suqwd$1`FXX0f<9
za%oLVD%!9+<^PVLS-}pBu?(l!GX#?Vdoh#-wj|wWVAV}B5EqD9Ch;#tk%MnylB5`W
zM?s86Oi=`T$Ru69?tg+Y9UV!*47NH_uR7j-Q08kmcQBc8=0t`WG4V-4LNN#WPJ1Oe
zH?}|OkY><JQc99ZnkCpU<G4WQd6$?FhDA>{Jd^n}VL78q%x?x>rqxe`tjoDxGpRQf
zSl#yA!eP<EDnE%yP_vgszA1(?ndzMeBijdd-L?sPc&GB7Uch6~uz>G>!y>^Lj@wDP
z42|z%`aVzWkI`;Yi2Zky^N}D!<x2q-b4LLU#hCRHGD_B-f2EZ?B_=TZQ&>JpSVUkC
z!%3dSH(yNTn0`@^RdDs3hKJ454<~g@XrJ&&VB3Tv6IqOzQzyiH<X{%al!)m*sFKvh
zs?Pk&lR4f(SLkQk@+lSq{{<M66vNJON?A<ciFxeE#3>Q;ljEVvFM}u2;uDzyKQdNI
zF5ALdy)rqFrFxR01(RmWr-=>+Ej7-pac$z{XN=*|{<?%spJlbGlmf%$P?l&VnNOC|
z5`rA;&p52ldrGM>qzf!BlC16i*OJ7T{UM33@I()fZR7jSiC=hGnv{|_=W;S>3+xe&
zY5KtsAr!;S<*5++KS;^RlKIl=EtX7|CUJT?dI%hmSg*pQ`IKS8#2+FtjLbd-eM#)e
z9lw~9V=S!|6k;S<vOT>XF<fI=;wj+gJ*jV{KO@H~6~p76ZI;2O7QA&7dchPUEHFhV
z_}<*pT%Ll@v=2M>DoI>F%Uxa+^J~+}ib<Sbgl|l|VceK0#G)9mDR#oYZ?TNrtS=Z;
z1bW%`PWr>G$hw!ITE+ADgUy!A$7gc6iq^f=Sv^Ukk5PQ4gK`qD^`t+}z8q&hvb!)I
z|H^U0Z)GIIevjDy%tkExl{jBXC?r^j#@wD|pgwV`QO=y0*DK~NIQ;nkiW@3Yj2|Ql
zCG4eUK6SaR=@#qJ;NlgqYQ1Lgq{wJyvu#xqb+R1uR1_a4s5>P8k4R=%XOc20P%)BK
z@a~D_0dE&>75K_g#49P%xnYJS(~X8kPvdEc`~u&UbPhiJ(C)rKtHF5FiKI8iyE-~J
zneCDpIGSTrm_1|ubb7vE3YBFM?6~vc*fEYQ38rQ5o-e$&czwh37_FF@AMRzOg{Di2
zIPJ0!<mgz%@yfT8f&F91o`7Nn#-z0jqD5H@lHF4|8j}OAFeV9poH#Y$H3#DleTAeO
zNt_n3|Gj3)Hcxya7!yz^GsETk#D`D+cpu)m;PHnQmrkThbnFcC43y8%nDlFQqD5p-
z$Lb*M9EnwPHrYh_bTCRO)JW`|)3I*GUBSf7t2HG~%NPk36fkCRGinMf3Z0NC@lmG3
zd4I8Bq<>3Ngn!Z+hW8dZ3)VKVNQem~H6%=)Gh_aSoy9vhrF=}7KH&ie=MPWGq)Eae
z>Vch1M^l`%I^TORhPVrG7%3#J4s%LWVvG@BQc@^c78WTf?9zD4h|%HOOfLb(m{t*^
zx|2cz=^Gjrbh7!%IZbO}(oYhVF<ugMnrFw{1=rcHb*$nzmbX%pG5LR8vJ6Al5vk<D
z3^wit4-bVTWyhesMGC14js|JS@J<$99<{%qQ^SsDa<z1gLM%grg12L_RWid0mhgwM
z|Bn=^Fm3mIqCBHR=!4Kxfw%&P7^Mp$Ym9_1x*y2%Vc9PfVO_8&#gl7}0((ND0B_P#
zfhh$C4+JwN8En|V$e8@UCb_UegK_avCK;87NovU!|DGqWNKo?Ac)=;5$-H4>5^Idm
z$seB?Bws2oFqW{D_z?SV!OfIp#$z*Q<#haJsFz(aw{S;|kl=X(4MxKxSEdbdlQP$F
zZ{JcYsA13Zctcia1kcRRw;yjPXgKA<bY7rAWRk;=6U_%DCu=t@U=@^n6{EZ%lSz4!
z7Go^)Uxsv*1a}_JiHcfpC0=DH8zlcfq}(Jdk<9QRvsWT!1FL$E5~H#DfjJTD`nWsT
z-*qGucep<ian<Val3?7SHt9$fYX&#Nc?IXBqZO|k{(B~|CNo_0&{5!wnV9jAFR!CL
zmO=5<<`_N+hsFk<n9DK72Q?@Aq;=W_^T|rC5M|=jn8}*-C5i9efmvEfY$6-J^CwOE
z<S~h<d}h@|L!OwsGM$NxLNPYdng?SXIeFj4$X-f(uqsA3sV~)q!`O}^xaBbW;Us4U
zVUHtBM;T;PSQw5+I=FYenV`_fk|(+GQ7nVd`HPJVXE_Bq3XNqpUtH-S&35!j!Td=A
zM=HWtH%K}%Cf(({ti<tLnDH$0iWJ5q=|YBn#zS0g3+^*=`*q|p3Qh91lj-K2C??>l
zW6IylRFcHZ+drw%wZXAbPvKIGabvLOjYMtFz(2cqrcP$O+i`TV@_fnC6O0^z6HN;l
z59kVU%#{*cd6IL!lyuLkP7Yx^p|cr>c$Q9-vOUbRoWo3o@nOIwNlUKXPbT$quI2O>
zs$Fr8Z~Zi;^@q3(57-ECZ04GKr&GF9V!jafb``G87MCrV*e@NEl-PYJ!Bg|D#0o}*
zqG*N=j<y{O80CV+7*1=O$_FxsBr(YaPtOovBG4-rx@sjO-(;R$-i*&Ld^}O=qG5Vb
zGFnJ*vs~=!y=uCupX)qzW~aCLT=2V)Z)B+?Vfb2;SuuNJ(hBKxPbv4P*#gT{P7AD(
z$i66*dr&r4iAnvCT)wBkWx;;QLL<R@XY`LS{#eO*YD-7ps(%{lE6&Q5UuOySNaD3+
zw-6SxV`tzN?9?_7*(YiAU1g`2y<?|~yl3h?R=Fp|T~8XenBQeOyFydG*OTR7g4x5X
zo*B(@y``QK8Lp+v&7SUB%KX^u`TM1cR$;cUdLQ+hy?zzUH{E;bs|5K;4l4{3jhsEs
z8-HEQToe{Q)BjgU_$1vsGv-Xr;!Iv%ko4%qwMWf|mcO{kQN+}~GD(TqV?q?uV&xmm
z#$t-Btg-*+9Bqr4)wlX>4s%jr?0<o(WQKkQ-QJE^hMgW47coC!NLmrguq%dvG4_8^
z?EivT6^54{uQybCG9)piuto_jdTcnu+Wki5NfvV!r2-R<Bo@I{uck1x1kFiedr?sJ
zM1{#Yp~;ZT%;<;kgeNgdjKYn}WOf{gF{)<ddBJ*uBc>qzqD^PQ()k4k85m=7WB<Ku
zNM?8!W0*AALfeA#p_fGLf87uFgcxt~MhX_V#B4}N)kxWR<#zhUC|+3>Ufmnaeyl%I
zW<3_-5t`WdT+=46WP4$U9qWSwD&N>uxt-KMXcq7Fv^mIVY4LIvj{{@UQWc(?oyOaj
zR~_2*rEI+-TXMntOBNNqrR(DtRg_;STffS+qWnUO{ra-?yOuIOv^)NOX~jg|!$DJ-
zzXx^M%7vC*dJv)dT=LW6+OqZPg8i{&>vfAZR%w)PTsgsBuHS0qEKa$8t4mB1?aiLY
zUt)e-w(<Tdx#;M^jX{d)Va``CWfrP_mhL|1nXoi--A@M<#s|6w{->6$UimbnbhYY=
z#MGzjCrnkoSkfuP@xvzh<?FPhZTy`VcIFr}ZsVD_ZZpHql!v+<Uk}E#F7#21smy5Z
zWRzum$b6xeXN7ib(|?btOOv8H;#=1+yo_+{O3az~?*miP(@6^pWmjm4b^P!=8E|3l
zhj$!Fb2tR$W0X8I&NEf;O1>y;6S$GY6g#1jX99HoA2R8}<pA6N59UXOB*ZeDiIM8~
z(9Dq}`qM?YB=)rW1kqoeA4|9yXKwOb75`!Oq(b=(=~Js*pLY5E+|GFLQr_#_pB*vB
zH_Ybf=sP|kTZ!ScWbK}f2K}9-Kj%qsPyFhZ)Xa9_)Ta%nXVy!0Zn!;nSB_-&vu*Qt
z-D#1PYrHaluI9{zALncCeO#S0Z<jY)_C>C3b9brm$nPk${QdZ5qZwo8?TI^@xw*7|
z@2{D&V=L?9eJ)%(t$4Qg_0Bb7<}XM}Y2eO@o8;)l@|yW=&xc9>7Mb~q@fICOd?53Q
z#k)hmgDLuswOhC8fo8ioYi@RkEizRR)s<N2-^bPWfRXWLxJ}oNUp!CkJGq`;cYVgu
z`Gh05ZRxj3FD`oXd_67vbo0#{OSZgvuaL~p>fLW?SUq!U^pY)eJJ^nFn9F=1>gLid
z-zM;$WDsAn<-5vOqrX8xx0i3VTcl^{slBS?MC||2Lm?XtCl)htE?wa7v1O9alP#wh
z+G-YTos+aHc=6WrD;1QjlH!+adE_xee94yGy)zjC4)yf7yLd#f%y`Yy;gfWK`Iegt
zrl~N_JgJalm9%{8XQma*5<C5pxOU_)&9Ki|x>d!SLHuOMq@@;HxR@B(YnE(%62>gO
zWUIE>^A(%!FWdSgf<bpe%*G8G6RbjC1lt_psGGKIt9$T?Lv~BH)+9Y%z;JBlgvHyQ
zt-QE#!8XMtSEpr0Zv!sP$f$UeJb}$Z?uKB(x1{f}{}wPz4t%hTK{tBI*4pz)Go=nC
zFWWk8L)c^mw<TNN|9X_Pbc=TXjHV@9-ghPKP-2aVZC;Vi8?$8V+oBiG%~t$$p2f+d
z(cSi-B5C=Sw>B%keX__{z1@Q)z3ShzHTSnK-+DA=jm7nSOSbs4KEA$*>FAQpk(&%$
zCObs&1fH(ZSi1FF<p#+Uag$p%NGLId_s^Kj%wDr}%XFTn|KeNT*e%)I$^DW+IGXRd
z7>oL==p|d{&QR^R-SAgtL1)hozL5Vl%eH*&RIEzc8?kx$)~}Tf>M=){a*~#9iGQRm
zk+k(^&GK(2BkgwlImyJfY-^dmMv?k~zQ}#uTZEQxS?@2RepNS@Ehy>jLlNDR!um_L
zhHc$(!e7XKQXzxczU5oq`>Pe--5;@R&fSkH`F^iebCQ>Cjh$Gi?Xh&r`_5N7nJXHC
zk8UVcW73mfGC%45vdwloWE`2~PbLK=T+rD*HK8VH*@m;6J9*j|r5IQ@Br}|3{ki4D
zyDNU7;intAu6};$6TIqlr=i=u+z&R%3sxQBUy;JOXl2sm0K*gCgdPPf%(FPZZ29&H
z_nLKMo^+VFzhr%MvuOScUSVeLT{ba-OP56?&0b(rA-!md#fFZDV!F$gTlB@`c0TBy
zaEaOe#+)TfH!UbR5X;bWq3!3=B@!%M-?|%*c}%lu@MLI?{hyP>63fFdV*)qRkJ$ey
z3zmLJ>gqUjFqUD}4Lc@<m`B_XBE&x=NyNOGqLXu3da{?)1efDC?y++w?LGLS&_8Bn
z*Y`vDH=CJb|JO<{<%yMJm^p#FS8Cq*CH%MNWY#=5W;bus{F{d^)bhuyn#jT8yW#%D
ziyyl-b9VSJCVk4=@bOaJNrudos>eEa+9xkq{4jP&S9bT*m|dziOXh!?qBAKvA;x=w
zkK*3ScibJdtGl~umh9t?3FE%Cy-|Mo-q<M&9eZ;+QqL~gf9+uMf2Old>W$&^l9sG|
z!eP-_H<|PIX=DD~N!>ScKOCv)C^?ao!=$@xxpN)k8=LlPbHr|TnK3S?mTo!_GyCMF
z8`t~=H0Rw-)V;J=i)Vw<TCNS*ERSX{e!gh$`AHmyV{ZIUda)xhd7&H675*Q}7oIYu
z$Ug{v$3J0;=;IsxizjS+aCIfm&d8V>KW!#+Jc(hvwBy9Vn5-F&8~7F;xVb`Hfib4>
z;7*HJhL#!L2M@0J7yIu5+v6E)i)RFHTYB(V?7vqH&t|0Du$mARacxHY4ZbA@XC-ys
zJ%93=+as?0BN``^?wz=r)-YwV#NW=xyf<R(^j|)n-(fxB3~TJajz7ta4Ci84&K0eW
z6nk9$^F+duH4!m4TXQDbZ(DkN9`mCU?ShL>__DmU`^MCLXX1i;{tUA}2qp>Nc(;LZ
z=9!2GyKXQpJ#uc9ozjUPj~|9ENh+N2&hCP5B?Ie&KPe2{%Z|S8UB$rQS+i)n5=;ND
z3klsbKCtxPTJ3dn;UyKJ>zDJmmsQ35<kehqEH8S=P9>J=AMDl9%Z_OAFy6e$G5e^^
zqMl8+#1|c?Sh~KV=gy&TOZM*(5Y$<+<w!TfC7TE0&sWH7ygK>jj0aC{<Sg0$FlqKv
z$C&P0^=1#1x>u>+?6sNo%x>1#O3lkl_CHFReSBdIYqZy`yDC=9?d;LB7fRk%nDc^*
zVTH}o{o&OI7TC-#QTb}!z{Yxd$-&z<m@4iqKVa29foFQm2hGU`W^#n+%sDk}$pM`i
zjtwzEG52Hi41{tfoJ)vFNt(1FR`6ll3jPf}Oy0}(AGypm!)Ahc#Ow@?<6J8|Vk$af
zf;TK^V2pVebB1fz4b=%IH4`+%X5=e5ah+1(x{y3kcE-l$N3o0#ULW1^_T&8pjgiZa
z?Mm6l*rU05;Yo{MYi6%tVU~W<wR)$_6UV7DUmH(MnxycPO?Js?)%h=aUWepNxy&SC
z@jB^qj2+(_M~h@ek=YjVi|zgiU6YJph<_<5aBJcV?`0Qby)`~@otmWM#=X*Lq0TDi
za|f80o;6FJ^FQd2$BI4v3M;lPIT>%BzKJn!ws_<kffT0XC1<ne&)u`)y1&M(IgAHP
zBMozwpH{W-Pi|IOAzQepdeYK*k;`WT6O#X%osRzy%dqI2r!=d?-6z6QoU7U%#;j_a
zDE9XG!Q(T}s52an{Jd#F^@do+jT<6&@Z~&U-Zxir3ZLil>$>SjJ}uU|%p1II*?AYX
z>z@pkna$j9%X<F&M7GnS9MKI~z7Zjle(-WRvmMsCpgl=pGppuDR#*1Jr~gbk5`Oce
zhe9mF4a517j9v{n5B@!l*(hMxe8+6@>)8t;vtwBp<QO)^%wLeh%9p~#KJ#?5ckKUD
zv72{paa<j<Pa~kkFXB5(%(8pB^FKWQFpE9*zfp}wVaN1MOm2&AM=mg)5c6IkD{#At
zMhufg{L*Xrt6d}vE(n>;Tzo?%W}8(h!<m~7%qv+c7+2({NQ$49NzpoV=7hwxvnP@d
zT-)_ZID<bx{)Zf+O-$CuV--nFyC!Z(nzmz_A;$zhvn98Wd0yoC=zCajQ^_&G36t61
z$1p9-&iMCGrgYA!^%FuA89lvKl6)eX5?0CoIJxFzW?q7PgZHc*&yFs-#gtSNBmMD6
z>=TAH4m*x8b|wY#+jRQM2wq5d|0#(%b#3L(Mg2A}t_v~jVm3`O+7r2EQre@$TE2$M
zij0wKJd*#{C;S!g6nGc&KWce$4#R?FHxy(4NgwxK^6q0yqe<G5+s;Yej7JX%nfb+B
zIN22Q&m%*n&_|)7CMo*kH;<Th&LaX_Yw8wu+BGhd`}k?aO^*q)`f?V8ZsO}%ay9ZW
zlgzn4Nt0GGd@Zy%^V>OTxAjT02`|JLf3CIkxOL{A^nxX^vlrY{_+s;M)3=5ym%@O#
ztT#7tPduD*B7pB&#Y&!u9V@sd7BKEt5m*vneNZ7GnW5oI>%nA(l}m0;D|(f)^t~2O
zZSun2WpA64|5sO@$XSsqB=Ds0-5gJrsVCH1cHUgH+C{48X@JqTrLQJ&wo7fOh~D_+
zh(LJqhi%CVc^`9p*zr(o`OD9rY$`e&kFdrkEq(F4aZWF%!{<E>3OAO&cr{Ie`)$wJ
zrO(Qzp5i_F;D~yH+w51f68v(OKEJ<`r}x{^XQjFw|9^TGd|-M~khel}G81c}WzDkZ
z@hfElw>7E!T(kS#CE4J&zpnqdI7MJzm`vz-#--1`Pj$$w*;;IO<J<Gg4bzuB(G<PX
zRkQrX-<3SS)mV0>U6t!iUGn^QyiD)jrO(!9Up0TaiTQc2b?jP`=W!kR+qNwH{l)a9
zH}hGu*P*NVrrTas{Iufl@@J*`)^6Mn<+n#Kdr`jH#P-{gXLr}D7T#X+{M&Y$(^c_H
zpZ#4`zP@(p^YYat>%W5}ZI9kxT&n(qA$#JfBNH}k&pVTGeQ%6n)%~T<t_zi}|D>x?
z-RvyH!2M=@(xuZgkC$xBUH0;IbdLPi2dmF+nO{CXHZ<?{lIQ<-m29kC#`m^i$qlwm
zi)ya;TwPhdaXRDkvW@$`t=W5bec8q+?zO*6-^Q<+zi;in$tJolKDwKj=Ug~CY17YL
zcY1#=dA4iQvTczafyI+OH(7Ac{i$yD|0aXvk{ACrb?mBW_?hx@<pPbGWzXu*HOfx7
z(LeE<Z1nQy2a{ICG@N`iDfj#gkFJ@m_VaH%>SQ~<!s2++=7$!Oxu*VqI_JB2{F3LX
z6W$!}ba=G<+3yh6$NFBC_m@8N{O$QGe@)b^sXv#z&{J=45aNBjLT|~l-IsWt#&<_0
zTDC3uyzJRr?yoKiz0v%ixg%F5|4ZJjxcpqcs0u6hPbJ|cXI}@)uwGk!&P(oPW#|7r
z%Uk!JJle|mLgMTKeubn|(Z9#L%p+%ioi+QDd3xWCuAnVE>>7uEtX$Yq-1o?c;s1t>
z_h!DWd4J{Hs_wocCzbQxo__w5w{TkfC6&u8FQ>}B|FryUINy}?#H3@t4|FX(7dKT$
z^4leYh-K$iPiDTlWW9Ozvi)`!+49$jRW3OfEaqG`qdCMxcjAkpvzv-~V>UiJ|55n)
z#J!D-Nj@TbC$UvZ2fkS&k-FrZ{>hi;1#Bls&u0q$!M6O|Yu*|6;%;gkU2<-<w~KC(
zWcCiBZ%fX-`oZ#H)1PH$eV0h>Ki6r$OI@9{G$m$-NB)wtZ=Wzt|6noEyMM{kT)DC<
zbF62Ui+%Q!bbU3)=O=^JqO-zGPJC;U`WK$t7_;!48l${*gZw6~h9xgj8)A<yeNk5V
zGcHAlXR%Puk{9wZW_y1rL@#;1ne~S7&1KKh{BBuqTsU2c@#ZzA3DpVfVj^!kd|dKa
z^wqZ5Wsf7HKg2{Z{@7Ua!*N0~Ltp51<JuIC-sMjc!+!Wblx^~S;;g>-3_Dwr{=zdU
z9vb0G9yZ44C#|kye3zu2^zFq8r62EitbDVuK4Q_?!V4=bVi^w@>n}N5|H&w4(OD&f
zvPWSCe6dT<F1>&I+RYi;H!j?DSggynYvwZXHH<OK&VBi}Ec%GRAGQVGXD>fH-;d#E
zPTVZLvL$ErvX?|YU2^vMCAQ2>Qe7V^E0&&J*~S=?xb#`-^9GNd(tDPi{iDjKWWD6<
zbPsj~#-vyc=IWUr&h5}#^l#p>uIb+von6G1`c$cCNqnXC@-vnjyg5DXH47P&iXt8U
z&ixU$^sHVi>&w$35lhZ?v%j4tQnCE()YA)|KDww=v2DrOolzVYZ;6O5d3Ncf+`MH^
zgFFRA1zfMK{INxpf9cuj+J9Ldf3gZ%a^|yo-^JOlco}-<+;Lg<?CCYW`g0#OcTGQ;
z+}=<>kKv=v!*AajB5owD;tJ$>T6<dN`m(cL`yX>T#@r}inYQ#<?aRiprDs?9OT19q
z^Z)#^r`sE*{8{>T4##(%7mW`pnb?*+d6%kFuy5C=#@ihlVyBj$o%{N$i27Dx%{NQV
zgyetiUt;0#XWFJEXM?}~67jy(Ijdi#cvn@V^ZaE`)~O{;*;_wh^}MA||6Oql3|qJP
zSE=g5tG6fC1p0(tH}VL+b8e}B@auasx%!tpd0KaJ<Fv-<6K41C(Q(aq-ZsZ7W=p5&
z;TdkT7Cbp5v*^j8d&`c_nt9E%f;Fk@px49cbHZzuJpSyt^pQ<$+`mPKEqX7mkSkp9
zaDGSB41Mtj|C3@FR&@4!yfFFZV)u{B9g+?k9AAETmdE)IF$Ih7{hXn@apA@Ej?aRN
z{>6$he4H)D)h+)iiP?S5xixF}_RgF$!KdK*P9a&PJq7pucf8{7HqF0T@OH_aikhX3
zpJxa^T5|XEqJtGPEH*E?mA0_+^D3su1<f0me5;(rHF3t%g`%!(J8v()b-Hu$iF-E>
zM)fb>q2B-b&@x%o?4|opPK@lB^k}A@qBj$_{p5KryP3HCCYB#Nkvw;G|DrqQKbcgQ
z95_9(<QU7sdGi+Cm93n-a;DeECBI8-Vi)`t`{19w<j2#_XB(H?-OSJ>GkZ<!?2gMz
zKfMp--Eey{+lg%64GX^YFZrh5Jz06dEmQjg8EY0VIC^8^m5obog?XO&beyGbR%k`c
zjDpWgZ<R4!Q2m$u?@RTPTT{2NeGpHY(Rz}rZRz3rPyPuAEV;d2n8p1~^`bjX%pu8)
zLLC*hvloAzdB{GJd&;7cnu)=76HRBY_%rL5Y4y@Od+#&9IpHWV@lX2XvIWuZ3~TE;
z+t)1Wy0K`N@*f7l=%wd>F1dYsrrgGuMR$KL<bEQsX!)n#wX?lHNwO??dNgVAS*c%p
zS*K31$<JSU{1cz<(mU5Y87}j3-(LFp-ny24i8*hUTs!$VeYSGNlHEt7_CH&CE&lh?
zqnnpr580%^wd7rmXwtvFCD-f{rSBx&UVi<(kc#q@e@T;h|I9eC(SGjh$fY-;Sv21G
zuZZ{fm1412$beDn<C02^b4Ozi_a`kV>KF2T)3CB~*{$k{EP+}^rW2=rT(Ipy65oQ`
zzCYRJmTj95Gc76JFucV?-haub_N3o0p7}C_Pud!2G`(TB?MZfiriDxHM5Rx0IlJ`z
z<OvMo^-FHg)oD1vCjDdCow&_0OYdx7_*gblYW@n_o|#IE51QvMy`vcOMgBnbf;)d=
zR(n*gwx4LxzT}Q+{ejnRO`Xzj>Q)%lY)HMf?1hR)pq7k%tID32EA%HG<4|6DXX|9n
z+nRn)l&u!NKD*%hEY?aDB^d|C>LnM#W+?7i^5N%-Z+kAdbh4Fp>r7B#ysmknj&Wi_
z3Pb6R-X)Iq?9tIC4l0&{E?U3pm)uT0Ip^#dExDxnW!KahCe*hUhAq1H#K3#W)%X59
zd^eZ8o@C(bnet{c=Z)o$PA$E5|FqbiCD)==B}%nVtUEU&`^4l~d>ucM5AY}2E_tV)
zzx>*AKEqGTZa!V`_UzItx62xK<*YeXw^H!UvMZ_rQW0-szAs#!{CCB-%`MxGKU(qi
z`J&7HH@RF?0;W24%X#gX+W*;_LEz7VD<=g)Uru=TlWQ_7^TI8H0sE5`{zoM<tP?1e
z-f=7Gk)J^A$|aZ9Ka~(Xp?H2~CwKKtg_%oFd|IIBA))WU@@>I}BZ>1`mt2V3A13)k
zkZs0^6p`!gGu)-FZ`zQ*aE=l)_u<wryLRqUlJu5-c`j*FmWk%N&=VOfI~J*#*xMhq
zvzff~;_U?&HZQ)oL3GiD6I>N5#AY4cFRp!XLtNWa6^X5xs@99H8?h`sdf?iD2Ocqr
zuN4p59X5QF!*ZPGcb_n4Xzfo1hZQ{&Vrp0(E&V-LccuQ5l?;ko^3~@ryu1ANTvrBV
z8wT}nEzh?t-=DtZ*7LP99{oIdFLB}0TTfjXDppA?m=tW1`1#`<#XU^IoBSB2t(1JW
z<R)iKbR@&7%Pop+JR9d95Igf}VqqyK=bsM76ATx2UOL2hxuSdd4bi7M(ruqpwU^yg
zWn5@|;nJr9-KE!`to^$2(ibHTCr?f{o~0ZClbBmGcNX-fa3rj})c?QW^%Kt3OFn#@
z!J*7iIe*3Hl})}Jho>$16tR5C-AxN_D|0N^%UiSaoqYeI_lvHGi}fsfw`s2Qu`N~=
z7vv{$Jmbh!;mYT9*YA;>>!_2$wQ$L+=g;F-z4PU~K5fa%)kY`lY+~LXC|mYom6uX)
z*zyhMmc8)4?`?2SKJfXHmp^aaD316LmtrBlX5UMlB`^O=-?WPKiNAYOXRFHdDSk)S
z1_XsoG<9L{WMyH0b8#`(jhzL1*0g_kJ@3Y+PYmX3+lxF|wx_=jTk`Uqzf7+w%lRcQ
z!(Ykv>Vgb<!x(Dv_)~%2vKN=iUzt3AS|GFJ<xYRom)n_7?^zO6qi^!ketS}S&*}5)
zLi{~f$6Hv|Eq$@d`l`+OvZXK9#m}-`WpjGZvKL>r+8nPszvSiLUA9Mcm%Oa^E?vKA
zX58|XekVh!cxV4D+nB%X<?{NkB^&FO)x6ra<mI3Br5kT8t@sqT?&zy;OJ982TCy?k
zs$6vL(ih9CzLsvxl1u#g`Rk4L;wF<%1v{6#`ja}t^86&3zCUYqmc9&Ej9LC;Pk%>K
zOZ?^~FFsHG^?c8l+MJiKjxK$1dM>x*lYiZ_-)?;EbaUy8mqndQ=bF+x*pt?%yqw!q
zx8&tiZA<3QO)JkYc{%k($NAYalh<)?oOeBCo$Ar1XDj)iYCZ0l*}Bk5xntvXXZs~D
z>ZdJvxl7xA!HaWCN@rT!Y_R;e<i+Ku3mxa2JoQuN?6Q~V;&#4CVanb6;`pqej*LlL
zHAK%X+J7Uwe_{90pMGxc*3OR@6uB=Rb3M&0w)D+E%L@l?FmDnxUS<C6#M(1!tdFg9
zT>OHMxqr#azYk2cm%R{AI&_tBL6X#xXFs}SJ}-G*6Sm;Rnhm-q8D1@Uap@D6)@Hxw
zKV*AfPEcF(-+6+!*O{Ns&Y!$6o6F(}m&W2Z8*j{3;;_5PDEs?|3X43`;_O{-!!9+g
z`R(E`Bc_m{wQM!ln~Px^-&|Ox&fSr`?)s04$96m3@p2~ZxsdeeePjpg=0)!-m%sF6
zOy6)j=J|`iZOlolvKf=OV*lNH{o_zX(uq6FpJEwh>tZf$J0KkUpJBnfXQ%3V?)+Zz
za=umk^0(h5-^D~Pd-tuu_DO-u4Y&T|61+X9XZ>-R`Yvbbrt3ukIv3yl`!n_1Kd0cE
z3)7!`bz1)B_r2!ex|*aVZ_-yBSo6mv=I4^8%AXN`jx2nXw7lf>3+=RJua-_SP+j_M
z{u8T^HO1A>A206xu510L?dj5&%O@H2Fa0_F3d>`CCmHQ!ucFwWI@y#hfBCMb?ab0Y
z)35L_>P!8vpC(@t!}oOhluc`vy;{NZ^68XoOJ6RPPg-~_=~st~z=cB`*HYZMZ{56e
z{;#w5^-rp07d{^{e6XVbzsp?h?hkQWVoxu7d3t|B<eIfyb0S^#TzGMUiEa7IbALZ2
zU0TaqyltLRUag3_zOZKWlo?m!8qy{nSy*8_=c&Vz7t>dz=%~M3v}dj091-tT_Z|xN
zZ|7QnYlh#fHRbbvm8cYd{q(qX+1prM^`nsr=1X6`^!g%R_Ecui`p-+$9=^N%@N=I}
z=&$$_vT<t#{rrOO?tj@Re}Bo_zhMhsu4y$<T5)00{Fjo`CN6u+A9M2lk~fimtRKfL
zeZ6vH%>RY2ZnU0EwO+FJP;h-r^sUzPJqO=^o>7#v^lonZ43DIO7Z2AgxqI_P`No&&
z$qU3cF1qVBx4?4S(ywcO<(^DXTyit5>+0tZV#!OstPk7zX+tu@+!>*dzWiDKXUP}c
zq|T{(_!y5qNm{z}X6o<GxEl=}6F;n9c2nH`#i4r(EcYzA=`ugz?zKM=w@zG|#~``p
zkJit}Of`$YU0eEHFLGP>jPTD(zI{9WBk4--v^7FgH@&!ZC}{$B@{H$4J08{5-8}i{
z#s3ZM>x7&ZGL)WTyRziVt)Mm2_bk2lQT5Lnts`rfeTnWANq_TUt?5Z-F6J9czI?y8
z>}%1IEBCs(iyM^6`V>x2NbR0E(>A?Hv!~De24l>wnHhym7T;d}c=LhFvdQiq&*Gmd
zn-duRB{zC5_~p)WqwnvhKMxkUvMBvq<@;yZ?<ribH%P@S`yKp=W%tyHk(UJiG+a!c
zHQ{j5orQn;S?;cx|K-EAg@2Wq8~SH(F8w!m63?qeFXex7Y+LeYr7+Kof7++NiG6mL
zi&^xuQovy8-<K&;p-VoUd?-CjAjW1r=YIM4H4C*n7$^VY;9Rr(-yB}uQ%nE)sR|0r
zo^^A{7hCK938{bf`itz`wwBQ;>637p+$!F%n4eGHEc)@|Z@6~$Umvjzxi=-2uVPyB
zCsviKP=Cqyn~yv{&*11}j_y#J^5$>yUY6)ji-c}0`Vq()`)Q@@zwm2&VtQV=bunfB
z`w{qr)pmi_M1j?vYeL_wvH!79>j?AI_9eQDS#?u|tpA7W&tE9cqn`HpM@TDQuW`7|
z0^d(BBtFU{{R=<;Ah~~%kudZBzDS0U88Ir{M_5?3mwvx*H~(JrlD~CJzMcB<R(h57
z@^8sWmpgvhTc7BPTUodv>G*=s<Yiyklg@a=sK+q;l1wf;F|lE0l2ek}b{5%<A2X7c
ze=gd#<a1V}P+^DT(ZwJ8cm3PA?9(KUdwUkfM{IDJ^E-=yb<NU`Djfed=?F3XC`e!Q
zp*8tufvxit<r{(?n;6WOybs#8^xOJvA7URbdAZb|`)1g(ub)=zI2a=o(<q=F)>*Xi
zxBug1FP<7TOse_R61Zt;`NHKVg;p<o-X*eHXVC{I!J{#vLi0Zf&0hLUSz*@d?%k0e
zx4c>O??>k!c1HP)B6BaSiAi10l&bwdkW;BO`JeTYr*j)VZan$m*`g=z8Va-6ww`C&
zSRuYb`s0$vN~|mOKUCQ5xY#K<HKI6~edQAw_Xn?8lNnA*hB#F%dC<k6`(^`|#rs<A
zW%u7NyvMpWMt}Le(1fI|F-FVp9qoAe(dUO26K|5_kt8*qh#SjppL)7*@eQVBw@<#l
zcarD%m-5*iEul|><L}F<&!6>agO0fUlDk*G{qoLP{X=YR=lUrNIt1pgSn$F<qxh4R
z(HbVn1)o0!I6HRUmyemhj&bn=`Gd~U$^UB?JnUmp{qbheLqQe^hO&9fABD-xjB5#3
zv0CJ{-FoT6y-kLq+Dji!m1z9)qp@;p!R95uAN`1HkIC$ub4h~f<PnFsq~_oJ$(`)`
zr6>R2WHC8lePndb(qAii7Uvx93S08P%W;z3qQ7M;mpq7b+~hW6Q&ORlL^eAUf7tFN
z-#nIaL{+kuwY5A*{$I7=p*xeZ*w?!q$qchu)(7$AMltz^wQVapv-^Eplxq34HJifr
zF8LB0))Mn1GJ0j!=H>5;LN`Tq_1yE`6ftYr`{4Lb7B~Bz`3rF_wtOF>{V(}?<do$r
zYnu$imb`yAxy`sIDl|ww{P(i=E2~b*W=qB{fA3ZL+VtVJ9c$D7BtO-+p5wjsRL1eY
zN&dCh4yyg>@OgeB-(5rfU#t8}ord{!zh<a79gmtC7SpP*(%a<u-WxwI^B%o<dviog
zd+74>8y?K6mfbVq{t1KoTQ-!PWWKoWtk=~nzS+r7zCYZ%=6`ZY{*3>78tyvpoRCrW
zFL{@riS^bc@29RWEnR<m>HD4acgxm?Eq%W){`2EQlQ=b!S}s}5-z9-M|DS*||1WC)
zC;9%$81?N-KYZF-zOgQT*_ZoaOW*&yUb-=V;avCF!2h>*1$_LQ6g<6rW7bRVwPhRY
zw3ob(KmD5J=ecF28>8gnuil=>zh|w|p1;Xc|J5!!Ty#o$aYEVO<aOJYzyG8=(_DYq
z!`I)-rzbEUc{5d*V|lFhvWurbK3%fF>gSQiCzlj@-CXuXYxaGWAM@p{*YLz|T2%Ts
zxwvkP)UB377HhUzFY4d+rhd)R_a)vdW%-|mo)(C2U3m3m!R7m{Y5$U+Ug7<mv~hm&
z()Uku)_gn8-F4%}lJ_q+pQwMnx^(mM_d%0ZU$s%6xnF+%+rDKV=6bOgpXO#?a^Fh7
z!BTtX-MYUIzAen_TKayjH+$*sz9m0CF4%K)5|iDgL#AsstYv#V`B3uThobwJ-;0S{
z{{Cma=ZwS?E`q)1W(q91ryqN5LYJ^YKKJU5n;#68-t!J?`aI{9z|!~gE0QkoPiAUd
zdM`eT&D?JJ=d$7nCW&7ft;`=ES+(^2(lrfcADI?^`Ixlip7&f%y*CYBYTQQkx2LaR
z`ICI7B4+mldsoI&`<8q)TWE7{V$MVEe@W{0oxyes-?%N??8a1Iw)n$|aE>iYK7YHn
z!mnoer<)UEjxRWtRkvVy&azMG6IaTHaWA+%k@5bL58F?&c;qbj&>rJ@;hgcsh1-^V
z*xTXseBnv^|BJTYSoGoZ(tF->SEb6=FMl8V{>G0#%ilk}5cDwZlEXpfm?a;}r>`{u
zRfxsuH>7J8XJ1=>Z)K25>7U3|b}v|7`uDipTXydv``b?}ip%bus{Lfuv}EIXRgM4p
z)4A5ftt$Mn?EUK}79W<~o2y^xkhE0t{7U~>>v;A~iji7&uXfjDr!Pz1h1-SlKh>A{
zxqkV*JHMBGT=l)7F>T4c>93wBotpCC)3T4XtNGYdBdwNyT>X8O>8yRbPcMIe@B1eq
z^)(FVYd;BV&N&jkw_>Ksk*O7n<ClD_U8RyAZxf-{WBOIK`0l1)rDY#u-#!dzO?nso
z=J&4?H}?KXI#vJ6Cv^X`3xaPfynTaf_b>VQw)%CK<IXu&NioYl?EZXD<;PafwUfGD
zZrhSo{p#4Y0}~_yF21W?`onrv((mQ0KQ;&*U-3cj+G5_1OMXmes?1sb=ww&&qM!4b
z<o_(W-}&LXf9Ll%6O<n<n8%uw|C4F!Kkvlqr3?C#f0UX3-kNuF$?w%qCU`D;wS9Fe
zSKX3(>Z?}^{d{ra^RgeC&TQJVJ?#0CAKO1K`}<>=;S+|0rT4WxlN5RO3PpaKw@iim
zAlKotRi7A6Wbg5sw`~4O#_wyE{nNc1@_SR|$HfaC_P-QAzw}Mr)kjRz{w(>oR{X+q
z$@NR$gq~h-ZOMz-3m&ZbTl%+dLFwjYZ{kmL)GdE`@5vvIDSwmKuUYb@Z2Pm+HOu~Q
zTk`(-wk3~C;x-)lxI*~G3e)2+!=BIZFwOrv>)z70+VM*+sdL?bz5LnM*Df`Sw>|0D
z@L~<a?w&)^%U-V6uU`6fszUUdCC|!pm)tzN<XM$G_wGiSpC@uR|H~ChDw{EB`o<;C
z;@e|{8V-g&;rh0VT|R!<o4G$WtXc9*o&CefiMyGZmwdRV`{Uc|CC@_tEq%Z0c+9U)
zm%BgTl+XY5;M$^Ro0r^7UiSa|(g%6EvwxhF*&{V+`Ln$BEYb0-tzj)pw?7|jVvJex
z?CZG&t!%<E-`B55tXR0?{LV1#H{6?zPAbKI-PyI$v$jHT!Of#f?p(9m5*~RYf5}VT
zN{i#mpY6QC*s*BilDoMbGJlrcD>~MpvmnU&-bATn#%2!j_si~u7-`kaY%5#KFp1&x
z(vS6fmOtOZp!GyeY~dgC*URszJea!XP0XSf8~!bS*|+3{=$crD4yNaw{ePA|H(0m0
ztZdP}i4V>#DiS}*EX2gR=y|{9<pat8Ba;{2)7tdl)51m0ep=7}ZLr+<&&N3F_(Cqm
zopSo07)=*+DKq$JFMHX|D4F!}nd$G9jU0?Q$qd(8mfYRxFQEKy`QvHY^2_d){(H(*
zI_=!vZ_6KCw_E(FE_tWw=4B7VCkZlqxV<qX_Ro^LlbLG%Ec@%p)Fr_7h>3max@P(L
zyLbPYDE@lNC6^YK;J5|%1Q{)p-p0IKuzd0l&+g-Ampr}a=~&00ShrH+^VCn>OYX(*
z3YNPZx8z%P_VULkIqesHNn8F{|0h@EN{J_ms*69#TXIVu*ip%l*~_+jGQ-K8R{#IZ
zVZ9`|WpW+k;xa+*`$^jW(w`oxu37S~JoPqbd(8aD+cz(J>s_vI(w@J3|MMjue&1U5
zDf<5=3&-{SW&aw@KR<Yu^mo?MJ@cgh>NEaczwGT7T@TCr<@=AbEd6xru4lk$na-(;
zx1L{CV157h(s%E^&pxJ>Fm?6%iE~!HE6-W-;rmO|=iipR`<uTdXZ`o3AFr2tPZG92
zpT6|d+h130PXAu^@pbmey<H0umv6C7pRr8*U-AZ?kL#5DL-#Ft_y4QS@!!iouCD%S
z^6=k>!y$5=(@$+WyXNAzxiX!3)w_brmc4yxz4B3D$;Qv?V#BvDxfmiBKX=*twfXNC
zh*tL8o&4hN(zmtmCV!ausapQ#ueD3wE`2A{;vTiUDfv(Q?l}$GOWuaRo6`{deXscQ
z<|`AA&6()7!0>$2i^_ARn-@zbuW<Q%PCq{GK<>s14UZct?z9}cw&auE^M=VSdUoZl
zEAK7)U)1SbcJ|lFwcOU0T|wVl7EWh)nX}~m^ksc#{~Y%EBz%0yyV9#S7ri|`>viSM
zq(4hOeyzSNb#&Rg?F--5<}7`GG-mn7=;+3P$xMqk-VcAX^zC7$oj0@Nb`*U4mzK2j
z)B80GKOXP@mEOJl)AsI|C7;YAxlK;}?`3TGDSq$$6{(lHKfFFMo>=;RD~H*fP5#T?
z=l}EWkgQqo{@bp^$vi9$XVxtJ^pR<nSl^P5`=2o_`MCB5(~=KbVZjG%lesU5%WmW>
zc)aYb{|~05#UF$@1K<2)SiA5;b27u*A6cf^3qOX<WPJR6!U6Mtj61e%{ypKxk`J$U
zr9{kG_ICb<q_q>C%v<td`};S4*Q6|azgz1yC`B|b3yYbmX0+?#y=5PErBwJWJ*@vT
zW8WH{*G7j-%9gzKWXQa??Dxq-t`*Ba><(uAyT6KU&y*yU3Ng?`(ceiGS|NN-r>;4(
zamicnES{H@EUHUBysrN#A+qGn@>MC4dTRyWK4p~M@kTb1iEa7Yr~6YS^w$c$t(klA
z-jWZ$qB!hVspMNpujP-)Ups%PO7Xi}%ifpoU;1JD?ogGdcQ;w+t`#hM<rBJYdc^#7
zg0-i%NJoXPHktiusepRk@yXHkf6}-A-n;a}*`(!f^sg=Zu<y#UOZpqELbq?bxAf_$
zhvrEqt;PE%DP<O~c{QhU(SPCW#*g*ClD4g5{&S=yV(G89+4H-e?$z70?C-UcYkH^u
zi<l9;ap|vk-B}gZ%N{>nEoFaG<H{Gw#F%fA$5(OMKgp?aTk_=O2X^k{dkia>bdH+t
z`LO(V`TSYE52cp<UjEcVr}J+6qecHtXfW_D{hd9Z!Ew#frztaz?^*KmO619jXS+XS
zUt99~b^MXWvL!FSP1L@z<oEhXB5Rkvn!5SNw2GM@H!kc;ewb~4@Ba$UWTpxH=5kCg
zHd!zBe)DZX@gc<ptGK1?Ke()UG3WS?2L=5xOFqZzOuQ|(=#l=%8=pAtEc@(Vz2uu}
z<)**OK0jAIUCJC?zvyb+E&h*JWa6H5pP9bov%D&6EMt8B()aO|vkWS}P2Tu~jdkh!
z_t6rw#Fp=@WUpTG`RShq!GpVF-YxkM?Km^WisSabhu)u;eD30SdBbzb=k$#nKh>6h
z?iOI*^RPJSgZRc1>D?dxiOVmJ{rCFetQ*Tdy`Ci0`N{m~WtD2PC9!6w7XSFk#Jbb;
z{1fG@e=B~>newi|$aMFzPbvzxlC+;_y;=52^zlTK4e4tZ>nZ-b&X8OEVal7NrC;u*
zFZuub^zwJ!-!92d*0VkR(B6L?)8`*+m{N8+yqU21$A#VPj5l8VpEFx%*{i#DKP8U-
zSorah?Tx+FAAj<u_dGKFzwqTo#?yC`mb}{fMB?WvQQOs5<lZiPX@Aqgc}?@#Q@`ge
z`1;>wp-tF{D~DqlSJYVjyYcb!X19};s$U;<E&u!f&GNS;pR~dr%<P+Z<nNn}-;@|S
zf67>0)by9J(m8**yzW8sW5LDe?ccI)KKR~NQo(A$q}LZY0za~C7F(2W{p)Pqij^NH
zEKFbaA+>u^`EG}^Ygoi$_b+=sci{*5x;4u`tdd<NW~jaVW89;CAAg!Zzj(v`_tYCF
z6#lQCt)?LR&34J*#MO(gpI^B>zi!F1)x8fCmOZ<_ZZg;Fqr(5sEm2Hb_I7zu^K-cs
zQoolzFWn?q`Ezda0WRay0b*-p^w)6R+?n5+*CY1%Uw6`?d#0PZm_^r!A4zVQZM}y3
zZ$!#U@1uVsyvo+7o?80h{LMx8HZFY;KRxQ|6Up`KSX6(qT%LYO*M8yqdv7#P_X>MW
zRY<%MJN0M2_40pLlY|6g{!~6)w|35lE7R8S{{A~Ry{!6*!TWssDSP(5$dWz1)T}dM
zHrt%JcXv(KsqJzLPjS$`Zn*W;nk7nK#1>|*zLFN3KX>l-c*fIVOTYiFudJ|IrR!Ve
zRCjJ&O2oqJhFgE{S;Boi`ofdr>-4KjZ!Z1!v#K&Ban@yD)5qJF{+qvl`huJvJ6t(7
zmo53XD(_%pNG!vSCI3#}U8|aZZ|S%Ec-`A~BQLZpI5F{h*MTtEHH=AjWWpnteP93k
zTKUHJ%l<9>cQrP+dJXTt?`!feMK`@Yy7Zr4?W^*Qe;2-ZzU<rn)luu$pKE-)<lpJ=
z)nChRt(v*=Z>&s{Wz_0rFV_Bz-~CjezWv#$^{n~Ujk~&Ew613U_-?C3i_Q8!oVjaw
zUv6F}dT)D|c5&On*pmvWYj}$ym!8!1UdxyIuX5?FwYw~5q~44C{A%;k53`m$n*05n
z_oQXt?^>nzWi9(>nm*C;Pu%|RYfNw7T=s9}6yE0L-~RtPVlXpl>9_p5bz3?=zx*`s
zQq$ix%f4UBUw8E5@^8^6KmFe#zj^t$|8{HHE5$wkEdO?G>XF9J2i4Pe^oZ}@;VZFk
z<?My8{>5F@GGktF>GV3@CyPxc&z!tw>Axc}8%nCrt?2j@TdjU$Z~vB^D`#$A{#}Zx
zC#ht|?}^Xv*ZjD5>@dThiu1n@`1UhSoE5%i>Gx?%f8G7F?A!N~fpQDK)o)^AU;4i&
z`p3gHkM^hksahJzvf%sKb-YHts}Dv*{+5}@l)sw0J>pR0-#!1!&%9jz*ZWP>lD`eB
zk{SAUT`%19X%mmhMFYMsuU`~zyznDfihK5IuD`M2Nvtb5H~)$4U&C?sgHiU62T9An
zt-Uv+zv6G~^V3Vd<zN3c<JsqB-=gg<$nF0hTXO6~>FigJ|HjVMj}*H8`SZp<Dcy6V
zKhAw66SMzk#mAHXV!fX}crU;IU#yD8>}^bIc=kW_n7MfE`6b_~=PPRc`Ihl~*|+U_
z=?%62ZRYM>nU(%-?V5kFmshbpPF0O>>O8-OwQj0N*q^1}zCG_?dh>6ZI#=iV)n6vQ
zc3}TgwqN_EnCKMspHDa!wv_!@{$T1Wna_XyyiYc4Uox?*^7qc-j?QZnH-Mrj{M-bl
z4TarYKi5pXk#y9+^!MM`KPM$ydoo_~J@#UHeeHqgWQNMsA2+Wyn|H-8-Y!=3^l6_B
zjs5+9tLx8g3BLKKZ1r?c+ZVzgU#_0N^xOAOOaF#nHxhU><?hcVd8_jt|IuHe;43xZ
z+7*F4OTJw%J#ApNM`6m6#H+8WXT~*6oAGCz?e*(o-HQ3k{$BSs`mS=+s6g)TyMIgm
zuJcZ?*yS7gYO+T6x7nV4!L{#~{C%76dF!m7q{YjBdtyH>`TKqQ@(ZuNZr^fq9j|s}
z$LysqTIU=%^k)rI_*4Pj8;l=VKDVajZ(`rQhB@jallGjV+n*Q?Uo$*qHaTF;f9A^!
z$^zNdOW&(cTAn}q^SvdDH{UbwZ-~4rEU?tCf3eSjr=@Gwu}q)zaLv+>sRyt2v;3&A
z{q|)I%jB8NvuBukuTeQ3yN)IM@971sYZU5!{x5u6v%u`lzcno7zZW>(kXXs$%dx2L
zm)plB@8+##xvDjLLC_EFc!lKu3|z~9H>^neQ?&ibf_;C&?IRbQSkL`BMd;Rw<(r!>
z*~u+lzq=t(T_9rr(ob*KaJ`>&%zN4AzfTzE|8IK!eGT($;a4}3*0P^!Sh4Qsg1Cc8
zcUSUd*Zope{L3M2C$eBM|If5fuRAVm{MK=E_Kf|q|4*#l$T;(4WygcFA8uB)b;<l&
z;}LJORrlME<j<@5XZ=_#dQJGJ!^gF(VfWS=d|oRUvUTa7aI1|9Kkh95bN=}Xt;DGd
z|A=?b`1ULEZ_Uz6a_bCUe|R*(>*mf2&82TTl+#z3J=XcK<Y?#hk4qZ_W;IML71XGk
z@P9$`j}sewZ{0t3;@VZqI_0Dp47O$!D_peKuyr!|Yd_*&bFwb-N9^%MzwO1A-1`wT
zJ*mOUw0q8tHKNNmuW+8%C?M*|5cvD8318e7N$+J%|NdmYeABe@1f$E}TJN$o?0Fx#
zblKPNNBqlLx@SFm&AqFNv)dWU|16yHXu+D#w-zV=%bLD+>01?s#k=3~{ao^U`KOhe
zfBv~}dg)KQlPslc*t^#<hksl4@7yLQr~g@gVU6d{Z{|r~_J8hH_UJXN=KmLczp;wt
z=sL#RKmQ54a!h(+`R>t@zgs6exGw)ydV}T1ouemz>50v}kp0s377P3Cjaqvce=t4%
zbo%3hGZmlDuKAOlyD;wTg6q}aKHge$;*ApX?fO4S-+uJ`St(Sx{L7|a=JQ^7na^G`
zcjN7&OE+d;`&AswGCyqjN6S=^eLt&AUoZU_5)sR=?BjE*n-UZ6m}uTqs=vT_erLa0
zfXmO<99Qn0{pF*7ZNZ0$0WoWH&;Rh%N@-Kt)DUI+F`#%#1B-Ro6_rl!mr3CruLXKV
zFDaL=S;el*@#HPf?_V+g3NqgozTCJ`G3lcE@wWkXaVs}`UVLT#CJ*!apD~{l+?HLL
zf8km9p5M{RQ7!rFW#v|S-i)kUxaQ;4XY+q&m9F_0Gf72y&yst4mcG0DYI;g@^I7xy
z|5GG4EZo2B-CRc7J*z}N&R_cO{%NMfmACUJ@hoI2T*LV{%JAQ}MeipwO<u$KSE*9t
z|NEuyPcllc6%bnHzm~s*g>TxwrSEr7HdrDfG;4K_<<*ZzoV=etayolo;@-Ep9KR=7
z#7y~d*KTspt1Si-9VD(<#GhKjb?@(KhgZvUZ$++Qf46<<{A<-~*#2#6)tePi_h<RV
zxy%0j-Bo`x<I3{W*4a}EzOCna<z;eztH+Ty%jR8ux`yp%yz%2t9SQ$`o{l^av8Ew4
z`R}i(+m;E({S{leZ2i~vnDsKzx0)>XN37-AZN0MStjWvbmuIVF<DW16+0_-_GFy`|
z=1)=iKl`gT=dUgOw>!JCZ{dI0=Qo%d_nQCzS5z|J=5*EirT^~kE!mh?y`HOdeaXgq
zOaE1s>qiBbmTauzoxXe7zpK}GnY_Ho*qA2!|C+^>^mSb8vyvxFUHWhDu9T176W-V~
zuCM!7^l~z{ECcJBW8c1d8m;^rKb2wOpFc%Ks-nD+4ByW>Z(he$rq1*D{Z5IMJdf8s
zaoRg)-XxB>7vy92{3*Kpehu5o-J2Huo45I$;l_{uCI%i|!xsGX<H?N^)8u*T|21x0
z*!ZLF+^h%dIVMerieJ+r9MKUfntxFuY}vottC+Kn?RL9rsTX!oL%E14sCqru`<t!(
z{cE`PW<5!|YIJ46Khu*;><%6?x+4BG{r)o{{LxB&+5DT+?=AhOy}zlhnI~rXzrUYW
z*-co=&9LX#=Cxeuzner~FZm}M8~4A%^!(I-R~s0Y{+rhkcz^RnD=x<Dzs*lKY?yO0
zZSlXqZ#vxim;SqZ>*KR}o@Y12T>f{lC0|&7yisxXf`8XPBr~4;C&#~g*}u}C>$zW@
zpHq~yj%W7THQeuaZEq~*PP)H_J96cRIhV?(1jMe~yjA+ioJn)fPpsH~<8SeEvkm9|
zEx*58DB%cW{aWeM3D?&0#LZ=YK5Y}<@_&E#_3Y?f%XfEIN`c&;1HOi@N@DmQt8+cA
zUn~8R=V?@nUfjRpK%SSUTY{GSs|(lln6-b&?XT09Y`?lHPV&mXH43lue=7c%Y3g3M
z<6lR4@0;lXS6^;lUaX!`rQPNiHa*~JwDYqoNh<lJC#MwtU8(<k$))Y9rgy)Y=py>*
zZtwRAu4z*OYWJxZGH+S^ulSC-m3FDh)7Vcdq}C|J*T3`&{Wa}G&l-jQzr2GhBa;8E
z<yk#DX2IjHe`bVtPjWf?ujSs}EjxbQTEmn5_1vbUr60B5|83hpc@5K&|I;JqESU0f
zimTnzwLJTu2JK$<Z|V1yQnMDAeti=3cga81-yN@$*6^8DTAy6-Z{vEtdtZY%B=#&0
zTg&(Q$c86xSMk<w%Dg=>+4@+c!1S0`Z`bo~Px(>t|B?RtpVKOS>?mwpcW!aP61S>1
zF&87#CUSn=Gg07q=TY(fKl2VS#x(3){4w@Jbz0-`nn?$K#Qc3=;?FFaGLz%YdY<X0
z8+aoxJOBB3?>OU19<9CxvAe6e>*q8`FEzKncYQUtpvJ6^yFWdy_|vt1PJ_`JzOxSs
z^7b%Z^9}p?r*r+2;F`H=wJ8U~4{85d!~J%R`s%k!et$Y?P_;@Y{p4ozpAsHFy3bBb
zTVFXb&h{Y#J7>(y69>P=ELdaxi9vKiTvc4h*Zv<9(!YM5>0%!@A@2ObrQK`z?6YS*
zxi`b%=vp4@e=9i>1sC*vo{(A}H!Z4He3s|gb%NqCt0%}mS|XDi^Ml!6Xj9pgu;up;
zp8PQ@ZO=uaXNS)J`P*~nP0W-q@93qTZzctEC9R(s_H2#3YG2nWX6qTDwHyC+#{au0
zy7^*8(v+}xFFwXi3a2N9Sx3b1ElHYmp>Pdj=&x@}&goqI6UofHhVl7_q`foJv(~Uq
zsF%`sJtb_@gpklg%k_-&#cpJ>m&L4Oe3`R`>+U9_s2K;kr-s#TTqAJ#+yv8~hIRMW
zFuuIMp0|AN(!cSi)(bvb&#`{(ucEX)f;wx2?SDpUX|5CAbmHT)hBxJVW|Yp3*05P4
z^6%Hv$wI-C1LHrhiE=)xXtv4m)C7k*xs_7;e>q1k`Lnz^`QL>_kH7Bu+pztA_TNb#
zwlDb+@5PuEwkG?;d8XQBKkT>fS+)5FOWFl9bGw+0LjRZl_;Zwb`49b@e;#a}<EsB}
zMTg-^i+{`SX8ykX^kdE>U%7^#0k3{eKK~~@Ywm{J^J|i4t=cADy<~6X1*5ZnqN?7m
zG&{R;Yu3F9D?k2DpKW;J%><9xi@wJmDOmJ&$#*NU&O80fu1)bTO^#imC~AGr=4Nxp
z<_CxS1<&sJn|}S~qDM!Ueb=i0WVNmBLD;5*w1(XIe;U4jTK3(Glgskohy11g)TMQ*
z*NZ*fvDtj}Me`F()7OZ_y{TOELs>~Td&}C%vwS+2{U~4eB%@-@ZSDM)FS?x3+IRBa
zzv<Xpw^7SJW<CFR{f;x&V%PJ(i!%K2Ny&QYMZc$O`QQIbRam`_eeUnc9GlnL)M~B2
z;_~PHl;i8u8K=fv-}kA@q<e}|_^A`eBiHf&){e}%we;fhPirLp<j=8uzir{Y8*4UK
z`}bts7u)sf_qx)OYis%c#TAvmGJQVhMesVC`c<1xU5Q+0yL8U%TL-ppo^e>2jr;Yq
znD6`7u+_h_Ke=+%q_;~iT2Eaou=V%#vW>ZG`QQHjYJ0N!ip%r-yCoazuEtirZrS!{
zZOO*0>~;KK*OzU)wfN?|1?qb`eGW}Hkv(t2tok>Te$Su7@qe{<$;Rz##h2DyE#C-o
zLsZ$ut#Yxe%p1>S$;Ch3{G@~RPt%UH2CmP4>h9GWofUsl_w7&JZ>`E)-z7hu$6wgI
z>VLe~jhXM-cD?yGW!q$vjV~%9*75H)o}7QJ;pF*$bF*U4H7Tu?wLX~`+q&?s{)_t4
zYs8=S$j9-1E$cQszU0&Uy|SHq8lLuD<+NYD{PVT>AD;hN_VVP1&kYXqKKxv>mc2;*
zM`ZSrC%@OSEw{>E&;MWdXk?}5`ZeOaZZQ3*_`FW+&B9NA*EAU`2Z>j&<^R2&ZK<lt
z!N9=tYs6BDo$}VO&%O84|M@EMjceGw_d30>Stl`l1$*oh#tUmDGXF9EsQbK5{EW{^
z@k2>#*tJqu$$nhVZ~nr6jp)Y6HKH{(A!|kcE&HU~zeackduh(k#X4`-2qz~!SjRrq
z+Iqb}Z0d=wKg&PGx1E@hvdU!58urgq6F%w8{u8#AeW&q^n|~PpEKgg{K3D52>*LeO
zUJF=uM=t-hcQT9SvQPJa>qlM?o4E7rPL=Aq<sVKRas09D)7JHq6j!fd{Qo;u=K6Z}
zxx1#l_`T#w_`A<Hf2N)PGdFAX8upT{N*Xb1mV8_C=w+PZv9gzU4IlbUTQ5?*f61;l
z4XbU|h<v@-ap&kdv0t(EpI)1;6DZm{gLCs5_H*%|I^@=dZ#)0|xRP^xd-lmSf9gMN
z-Ee2kTK3|(J3ehbDYk6Qxl@zwNA7vPy6Av*+`1J{&mTx#xoyk$b)u&%FIj1?VJn`s
zU`E^;xl@Z5M@|bc|Gj4ArLr|*6IWH7m1*6@u=RvLv-P4=yFUD1Db}}YmdzUWk5%i$
z{5P%KwTk)h{B_#JaSM2!ta!49p?+WfO(}zApTzh7pZsOhfyE6g?aL<QA73sy`_+NT
z49V+w<~zsSJ#hEhTG@|3W<UBhTlNoQ%v#<FA7uR3@Xh`BCFZB@^AqLg8{YnkSSY3_
z_26Xo2{*A96ZAiSy?53B^P;bM|E{<{W}2az$n<m0(HZ+!-`FT5e}gGWZo&D7;!|Ym
z|9tv=f??$v{{Gdx#}`<ntrJ_m_xjAVH6pvMfB$U@`6OVq<cIzDH;jLEfBbDtkNm;0
z<mc4q+!OfB>ldc{>##bzUNC+FQ%v~}hb2E_cc$Dtu#QLk_s1C(Qxdj%-8yz}jo`08
zi%(bnpL25!k9F<Mb)vietm0{6jA?!q`f<rmt<x_v*L+d7?2mj=v6gq~-*ucyPNnOY
z{0zRmj?0xT^7k5!rJGhr{5<vd;~MVb^frgj6E<4^yK-zI`~TSwOFu81@N=E!xfK%Y
zBUcKw|6cLn?80Zie@xtcbmAVZdna^1{$2ijSGT?NPX@u+A8*Y1JdrW<f~xK7mgh>I
z+pHJg5t5YDI1^jJa3^NL57x&?`+t^v+;Fje;wEM@uLo;pHqY90U?Pj~ghT%YlDygF
zlPms*q0av|F#P{5r4KuVIeGDW`-u|sb{o_#dU*AT#Hyw5|3>()kT5M0)_l0oBJ5<<
z6DIa0@2{sYl&=W5{%6ViTc-_9emYzKh(Z7U54DFpf94!rap?bzA1p^0U6Pl4_;s}4
zhknzmq!ownGk%U`Sh{=mT_uL}PqHkVmwl*;URl)eGUjj$XEW3PivJUH<gNcYZDyS4
zXRnjA@LJhP=gmt$<ZWNWeRd7kdA(!#$qj}p8P2bqS{=6h!}|9<9jf!b#AomR-~Dq9
z<8qbE_@vXT*Dy`Vs$R2V`@F2?J&RapcSLP${}7bkG40L~iMow0XP2|=`>U$-Aphi~
zNjhc)|JO=P-8I>`W5T74%byk3t(91Jf5yxoOKvaU^mk79{t0E9pLu(2s)%@VH0&hf
z!(~CQYx93Lo&PiY{@1#ti+1k)Gy8Au61BPUyp!|S2~J->eOKi2r}H1PEPJ~2$qum<
zJIeQ57r*>|?U&`>*GdF!HF;Pyd-46X66>q(nmyjOR^oT=k&R``pZW>(uab*C-zBEK
zZH>hKKTD>3I=v$NTC?8M^%A>JFZ=&4c4~|8o8>2N|NPta^w-srjn)6UmaktLrD}8f
z)ZZ@s^0iag?=AoTuXO7I#*mVYKj+?#E8SRodyT}uZR-X7zk2V8HhDU=W81^zb@kJC
zr81vh7x;fw*+k|l)z7c5`hEeq`qk5%-Ua_<Z{|#2{(t-bNe}k6EnICM$uW7Y#LiEX
zJL)#|Ox=+^OO<`o#kK8>N!NYDSEUHFFS-BwiNNM1P2aZ5>Hk}8xbe^I@Sn@hPLp6|
z_?fd#;_Cb*|L;yVP`+(({Lk#Ty(^jSR`9MDKRI8*a?MTUmD4`)u3?OsvAS!s>z}pa
zQ&_D(O-ah@{NPi>?DpqRSCHha$oxNZ?yVR6`DD|VlVUwHTh>a<zdw6^#9B$q_cK-<
z;$0_kx@^T!e#Rv0H4^HKlDn7uzg`ggKV)M?*zRd(*NF2c8GYw?v*ASCdhvT5tbLP`
z{%`!><&|<rKyS6UD|g_ZH#c=7R*HZ8aBtoYzwnPcI`qD+6I{7%z4)cjPZGgv1y6;3
zQs7)Cad+yn|95}q-L%M`w?%*Qw~MELZ`@mCb<*kDckb-SpEF&ZKFH0@+CBIGqrFq_
z>-{urSj!pd;a0ZBY*&iMglS5yo^#B$i0_|P7<_X<g#D&CF7|(OF27`b9Odb_iR<vv
z|Jf&*-md3+tvcn(`Za<jckNbMyk2su<mx9aiDmQrPHI-Ikqq0rMr{7>rxq>il>V->
zzr9ZKZ`?Y;pVhH`q4B2|@T^n%JvaO0o^^sje>ctevwo}9)s<Ve-TysV`%}}#GZHt~
zu<B+XydAbeabnZ?HLQ0xz4)KJMp68x@c$L8y>r(a>ev6(zP6TO{oggbr^@wDU9GcR
z%lkZDO6%Gh-j!>2EbsfF`G3uh<$Y^;OLG?e6Khlm`?iW_&4O3yD>T0TVEXXyG4qc6
z@FR@uH+ST$is%SAzu;~4xrY9u=T=9o;S>MAV|voUXJ^;BKVL1;F4$lwTJ~>+(8rH|
zj`OUQ=sFNw{!n^DTzSRI)q)5Av#gR@Rk=>^{3@mAYsH`Dt`+(Dd)dd?M{iEb6s^2r
zwT5|b_RW(=XWxA@(QDqNl;dlKwcoE5S+Di;+RTZ<XQ%8^ViNwpR``$grhEU_GJ7(&
zGxpZ4WnMdH^Vb7C;U9lmA7WiE9`$YIgf+_#M{Z0qpTAn7@qBDEV~odIme^>9w6gUq
zz4DvoLpSazv5vbu|A=7Kqd)z2_g1j@3QxN?$?N<&g=^0z=lIW-zqj!6`KfvG$^ZT@
zQrfuiR`)vb*hx%^uO2*M(7rIw{2`m;{iKbrK2PY&F58juSa9*%t1b+RTD(O`7M`!x
zuwLD?;938H?eUv`XFL7i(7f>|=63*Z?7vS}Es7U}|5z)r{M<T&Z+rju-ruxiw$>wV
zpO|a$run~ChOHINe1G7#vc7cn&ja(;nyy(ZJ4e(dMc~7v)bJD!lXY^g?9zus<C6dH
zSh?;5qu2^jy-6R}vMTOaQ~&vr%<Pq3e?RW7KfxfmbJ4oU6=yc?nq@DxQZ3?6lID--
zAFmtUe7xY$-SGGRL-7+qM^`%^VqRmDpK@3F@g9zEJ6_n8eTY%K&L)vOW7A5}R+k<7
z?r+@4|9sa%^GF8Mg~}bjJw8lUSIt==bAw^?20QUO#w7;*>kpi{n#{B!ru5CqdpG}7
zRK%>ZU$fu?UyRwF9n-`7o3fH0EckN$$HpCOvUS2Mp4-g+x+ZGYEV+)4ERm09%*r}a
z&DM8!gX6xB%qwg@itXUD5@?Qj5m2OjlSTcOiZBEBBkh%JkC=qd?%)i)!M$VS29B76
zSMvK7iis}x8NYdD!G_;ER%-9z-0@BNwf;r<e;fQ<8HJB<F>#CTIQHrT-)iTZJC2Ee
zW1Vra{kcrekK`2!qWjnJetpBT<kziG^^Dm1w*RV<EH@QorvK1Q?kwzMcpt5><WKAx
z?%LA@(Q7pBb!v&ktd-pUl=<^|>HV*c@_dh4r76YtdmZPua{UeYzb8eX*i`;)pPI$r
z{p+Of)^9rTa*}daMCO_)la-`Pe^zw0u}uBE*8J}K8{gNgkG_4fvwCg9{omVp_pM#q
z_5F57#GiHQSLb%r$8LVG`OysZ^PT&WcP{fiB6EAk68nvNa$*kN*NdC+a`T)ya!Fx@
z6P~mt?^*U$J95slAG43I=Y03|>dW%A-`A;!|35dQV(o?escWUL?~5*5yML|v_Wfb9
z(fOy=vL-XUZ(|9PWn6tQIDH-G-(Ny6C&&Ds^YhV0wms{lum2DFar9q!ZIOa^``qxS
zYfOK?T`8SDH%6u-EMj|~{7lV_jd!f#Hdh~A&$%yDSMKflb<%e&r-`gzBT%MllvyeJ
z_PWjfNy6+7nH33ZrPtp+v+aJ_I?lJZrX8$YFTHk8+b6$kYdF_?Nn2lAbKuPy&bag$
z66e<&zy5jMX1_Pv_p&vdYlV6LC+$8^bRr=Cs_m<<lB)xs?_#?WKUZ&mhi1~=W!JZL
z*4R&272}|ObwzjL!4<s;_mZSnOgQ1rD!!^HV)3~O#zkKq{@=Isy3C5jnI~D*B5JmV
z?TXm5Z0oa@2hYtlcw)R+LUym^JjOWTcGaBvwI?=giQl}IJ1^|2?fOqgu4#R1e3-NF
zhYb6w_bk8v%&tk<r?qEFPW-72QzNJ5Of5(X+#vIBV$;V<vHc70m+#rX^wxu<*RlUQ
zq(j$Swwb@PD)e)sXVQf1_%$7Vvluzo?6{+JA~L%t^AUIG^j%9!_Z&DsJK*CF^+uQG
zqy<S6yZ#?xIF@uEJ}>Tq?)grg)ju0`Hm~H&JM_Xf>BdUVSDguO1$Vsv`{(D(W9n-;
ze@Wixy%&4k=I9#EcUQ|UiX6SU)l=X+W8=T8Hp{o2Fn#bg=7LSU&Ixm!uodQeVrK|4
zF~<D;eJZGpCuYO9&zC~A|6Q?JYW;d)qgq1P>Sb@06Ow+f=L}a(xUqW4-_2KS>UZv0
zvG`EjuLS$LwbH-8J(<my@cKkS8)M8hoBtbEtpE9E)_eV%d<ttg_r8BpaJ6xv{Ok3c
z-{u-$JyLOh^LoyAf46e{-!tQFw^6mudb8<rKi90W`aW0Y=ehOL)!(b9bbdUzaP|k=
z&F|u#H{^;>RFONxz&<s*eD~=kGyT4P(n#1-?p3!&dUf4QgNkLYd)7!_FFl>5u}5Lb
z@_m1Izq6ez_vEf~+a$%!e>RkFJ-PAg$A(GzpO}{Z*-#d}n$uj8;l`P!n+%-0B;_j^
z1lLHf{~zQR{B?U{?%g+kHr#!`<;GT@ITn>`q}Q+hy5!8(2j|vY`E+moYI#}x6Ia9*
zaj!ofU4DB<!qIh>-X~x5t<lb|J^4Xlo%p)Ha~JMDe?j+M<vRDXYqYA$@2zS2A=dGj
z-y-JQxs@$*8q_cI-haq=@{RrKwW3G=&WKB1C2E@9WZ8U8;d6}khZ|wnFT0;@bp5&D
zJ^%ffM_(C4?_CZl-*drW)(xBMhm-d2u(Y?)pPk3o5b-)D?(>WyUsk>kv5bN@QtICr
zY_OI4wt7a%ap5cK*4Hi;Z=RHyKX0u;#P?PDHfsz%bF4D{z<#3VxXgt!vo2KL|Fhr#
zKTC4c+uaw-->g%4azitI&%`3`AO9Epj{LLnbI0s?>$Ru;{Uv)+%4$`h5@(C{5BIqB
z3fAdO$JSWCu3NoUt~5z!+pWE;?^dl}c*1bTwE9ol9~WP;n4oujLKw@9sQo9|_?LXz
z%x+w>!v2s9r+>@}`MhhZo`2AeSykTMvF-CpUhR~h0=pJHEIrcE*S|`>-fpE!B}>=>
ztv7#n<*rb$-Pp0sb%J}A+`{13H*4AjV=lOcPL#=A!F_!q^SX{Fn^!R2T*oIKy@v7L
zC7=Ge4e$OxR{L?O_y?QV>X*0IJD+AWUr_RqdBrU4B9>26V*f2-oXlKrBlG8)>nY1g
zhSk4{bL^I`Dw|U9bnV3GF4oLFI}4I0dd2Tq(Vcv1M(LiN_44fhcV1GHxxahKzly7=
z>A%}pBUdrY{`s7A#ntQW#D+hMKipWyJ9qAc-h~&%U$0TznA9LTZ}C_8_ZK~qF1VLQ
z#9U62|9{a@Nh{^~dZp{Gv8UF_{`<hYV|tz0ii{gcLP=Ll?f>su{Co}H|93|PviLSs
zJip=)ua?Z77QaU4`4*F-Gej%aX}R8TFI#K0=iio+6jO1I|67i)xIa%I#HK;(+Rx67
z4N83{N@^zyr11XOcEwW5^Mjp8zu9Wp{}Y0bv-F$1=9D~~%y4e=jQoS!-u-B|`*X2=
z-Ua>I&Ffg~?sf2<ztpv>V&Nz8iU%>$Ys9`a`9-c`kzc*LPhDaw{|fP>it3mN>x8W2
z{;d=IeLL@%@G61iHT?3cuS~AEy}-0mv22aMv}w#uX7L8^$qJS;*KfRFTAw6SxqL;z
z#~oj+H_5cGe$Kc1_iC1;1-oQFFeP~;O}?NNcB!OzN6?A?^%6T?PE2d46;+<`PckWv
z@zSERJ3Dq+ESz_Eq4ktom9Hy)q@Q1Lkc*QgMnvM(m!v1ZCx)k=*cg8zd57Rd^Hm=i
zSDi}QHK#TqhAsK$R9{8Gu+>pHFSmW`3q8AN!$!sne$OXGd`;1gUSM6bx_u4f)YN$z
zLKR;oHufg1h_9W<q`YpqKeJ)jC8O5%HHwel+&IX}nDqaGgt9_QAMZl**c;a6h7100
zNM@LS$sj-OmgV`Y2Ja?X@I<e1to^p7I{TBCOmoz%zbp5ynzP{Q-{!5G_N}V_IX!jy
zzd0+C?#|u*>WGDL%sQ*<x(wOdV%J&K|CGIV=iBe;Y8Dd?e1F20y3TU_nm^A3e=(S^
z`aj|4A>Lo_>i%%--w^fvbkU1xrK|3Qo;x8h)%JJEy=z&kv!0)L_5bMl)pIUfow_D;
z<(_{>OTT|gi~VaJ7P;1H^{q9F!cH?CUl*^X-gz_qrN|o1sr%pFQjVNC{p<oY>rEBe
zYivV*-<;CAbH^t6*HcT2%9odJzWwjmt-I?%^wIco-J62*JKoP#tbh6B$JTYWul0Ve
z-#jVh&k^RPq|-klH-71fSX3hV$n6Dt>p~^_-CaydjI#MBzR11UzWc#K^@3I3{%^?n
zbKN(3{?yW|JM+>Tl;#$MuF9FgwC!Dw5JPe7_BOSRx*Pu;`=-zEH@tn}T<yCbk|KJ)
z$8DWad-jTSwB3w`-8+^%yW;!*-W2vaedgKMlBbp~x0^j<=bFgyZ~s=7ePYmFZ~6Ts
zL;keVRevORec8Ry;&JQ8(l>vPg{H5uS-on{DorJoxASjk{Xf3-{)0EGDu2G1vnObc
zK|j}xL-#k&{$<}clf&Za)2o|r@mGIaZ?kE}p)9kTzSo#KtXd_zR$i5GUt_cSqMth#
ztMRur)(OcBYi@9V-*fSR<tC%|f7b2Y@jsdG#>x5$ra#ASu2A7-dB0XP{enyQ4e=j$
zl`pcT*Eh}Rn^8II$&>Gyk*E4siJ0DG;9SYN=ij>HF}qjnzcDkv*Y@<*Cuev6SZE){
zbYo+`-3raWJ*)OUco4twqMz0g6%A>|MVGR^?YQC35FYotws^zzYm?6(f6%&a<Le84
z+Bu&VwXOL%Q~l9|06|7&#`QN&J=(I0MI$ySX?<MGgi?Pt>A34TH+P)eS9#&K<NrVg
zmI{VtD-6%C`aAEi`{x_y^NhGHk8HVikhgfow{N$6)@-Pl&zvi>?Lm^*)D_Y?iAgIZ
z|IO$-+nC?a^8C-u##ujiT|e=bVfDf7)(v-J^pbwW-srh|m{DNI>R&fb#Mpm&!Xwiq
zd&p*;$g12m&a3~;-FaT%cFsEIn-~6^om#p-R8{J#{^AS&rj@?ePT#;e+4$^~+E;%q
zH=6xaxIVpf>-!Wl%N35_*R0jL_IusqJ?j`kHvKyM?5TD9v7ea>*dD*(Uu*Pg`L$<H
zm+Sv@WZbdk&5fP2IGER5%e}73kz332SXJil|LLV==VKOR|5HdxmT6HsB+=t8J>m6Q
zk?Y(4SWn-@`&cZ={>|y{k^fGu%)V*BvxI%`pFbzR+}&gq(ZYGY_LWNhucy|QS9136
ze=|$y81sqRYNg4ph29qX*K5w5Qu_aQ{Y;<mJ#*Gfof~~$*HUnH*PDZaroY$kkG<Ja
zwS0f6Z}`{Km7mwRec#$}_vqBJRd-dDl(jE#uiCQX^`Cz)=X^N#=Xrbo)Yh-N>nl$s
zu9Q5x@6`UBDXrI|m~`TL=G@+M`qkWparWIyY#;p&72d-6%(+A4VzJtum{p4NHYol%
z(9yp%%U#j&SX0rI$?H2=G#QuXny+4=be!?w@Bf{f!dEp+X!MBL{_xM$$xMNJ^5czH
zo~)@`!DTmNK6}F?wwQ0LFK{JY$hrDwan?r0$wm6tKJdGrEcv$5c+bzRKR>>DoV37!
zb$*gu)dK4d)|lB$c5F)mY_tFF<&kXIWy8I~_4@m3iH{bZlywvA3{(2KhV2E9H0S>Z
zYRL;j`8AUGSDH%Yue1}J5GW`3V!|<lhMO}h)0=i2;VAg{_T8$;nTPFvGVuR9Xx#Aj
z-qhyfYy5&Yi>(Z<m>n(gzr!fGgJ+FM?VB|cv;SP&{#@+e#j`8i=4)+VvraVr(T<&b
zOT8vCtnJi{eatl}^lya3(<8F4AAEVdV3X+k)kYDEGuJ#gHJ|mwG$Dp1*{b`Wz1z(7
z?#%|xc^zrWW*kEQ7!Mpi`{ecih!-j5Z}?-raC3D%?kIctCjQNWhsleZKduwCzq!sl
zclTQHO-y(GtP%C|yqwRx(50Oz@iXW3Pt1u=UTdqfrXQQov2UH|`Y+9lG1FpB+^{W+
zT_JITMcU%&>)kA||GhuN1janL5SS(Qv3mW3*G(}g0x=yEnZEj8()jvNYqC(yluvuE
zuGDHzI(6V>y>gI1%ZZmUY7F9wzV1H3Aiv<3I)m!JMJq**E>wQ>W0QO(cm73(<I^hM
z%~_+nHlAtwwMp0BtTg*MX>0LMwtIg!WoEB2yS+-^g~^`pLfI!qs}*LQ5*ci#nZhS?
zy<DVu^ilu5pMN*1$FDW`+7a_hDeGUO*!71=f4*!_xsh^Gq@8=g;j0k}d;f~Q`OkXc
zRq~&mzA~+o1RrzAO|2}it`tyQr@L*1p0dKK_fOZR@7Y%SX+oI(w~3|4|E{UdU8nzi
zvcS@hT;Gcq^2M#uo1~#9BK*Vjk&mtYgw=IxbSDYiTxrnCxK>woC4;UA<F*Nl>LX$q
z)|pH*>{z8@`v1W>HEF|-lIF21)RHf_i$}5xG?lUX#Pa=L@i&&?+uF6NpVk_uG+d4U
z^HHpSt?Jez9L@dfl;Yp6NEf~LXRXqk6&Z)vS7d1a;a-t(NOS||><@~6KOcCO_etv5
zuD$b{lgxL2FjW^~S-*4gvp@5;uif##>x$ET<wjwvH!;;SzRS(HzgB*-rfT_*@8TPI
zQUo`1R9tirohTFWm|IpL(Pn*M>Zj`CE7$V*{J!Rr^m6+3AOB_^7T>7!AZnFTABXV~
zCf?f5lD|&~w(Y3<u|~vI;CR^@kB!%Q<wZ{lu3)f#d~?^0t9J9Bu+CiL{JLYaKu#{t
z%RlQ%Pjdf!bb0@eD-%rZFHCn~VOV>c%d|)J0`ppD-np?)7@8Q4P0*A5_wD72HJ3t^
zl&e$z%ShDyeVcx1HG?1@*SD4D)~w<1u3V*Z{?GLM|Ee7I+3QTyIkv~I<N74|sqswu
zotOXbwI;=X3eN8-vI_mSMm_r^*I(zqQ@YoutQD@$iWI0`qxb%ZoJ`a@y>I#w>epib
zY<qocgT%fKDeFzbLQh+sdcp92qG8tVrQ!R}y|_F7g6CIZCVTcuCf28)x9+N$!?Sgb
zRQ%64gZOJx-)jBdv-8vbIfZY({XJj4f1$U0CCAn$t*#l#b-nJzKMSs{GkIr!vrg~X
zGu29o_Li{qpZ;Db-*57=N_)YJx;0YIweOm~-o$*iO(;KfHQ)5PZ{l7`ZC;~yKYrg@
z9j%*zMgO<G{XZ##@!hJ}Ae+<qYxVZOzh1gIcb(q$Rnxj|mv7ely-x4y{;9TA^=nP;
z>)z(gUwmYf!R@`=WjEKE?%wruN9MZ+;x_{l*XZu^`dWVV(t-bf<1IVb>(AR&F1)_^
ztU}q=mW8kDZd%K(<2Rce^X+Wxy6HDAPkH8f<Kez_I+I=&|GE18)|5LI{jsZ8@hffp
z`~F&3*jkpEd#;7WPgRRv^KaWXRi>x&H*2gn-D|f|Kr!j>wz&Nau{rB1!v1XA9Tg+H
zZ%y;tf7`x2U1##XUVY~?sq>W=75-oRowH82?4bSTi=};QblyrXKK!v#;O<&|-TxPO
zD|dXWS);A%G4&G{tMR{!ZAoPfZ^ZW>2({R%<h@px+v5^n(!H-UY(HNPt1dg>Te!*E
zhHZt`w>74l*6Mu;TP=Njg?GeSJ-t0KyFV9pt<zgN=cMJ%ZHZhz68}~hp3s)(U&;6L
z|0nb0)q)$RY?-GZrL$5;ZsykR)#87)|2?%<d(uPY%{%_}Gi<&wb!(=0_pP%RPRm+f
zymRfNZU2WQ&u#Co({wv=<wAE2(+bT;%!d4{7AG@Z@Gbwr^q}2devQV9-8*)+C4Ktf
z6~A$%)w5r_{=fOxaDUrcZLQr0_y7FcHFa02)rEED`qeA^>;88=pCol)@yVnAy7phm
zolzmbf1Uo573ODGUT{kmn*VQ&{?7AjEpFxSJdsjRxQ1c+-yhfJb>x_DWMpN3qNR82
zwE1cG*FW~`+-?<F@c4h#{I!2~l&e>S?rxNOzI0hI+vAfqpZ{*p|DJk^XHJ80M)ng%
z);}}1uYMB2IW=jim*DhGGR<o&zKffOnKv+mhK7bReE9#DbtwZwswe{kgD?XKL>k7J
z#-zurW{8Q4;f&#pkz|PBj}edIh+%9<VvKnk`|mR&2lS#(j<-p+48n{_O7EChjhVQe
zQkeu-CNb&?FeXJa@v;jtv2rtUiz_k-@+L8}bFd}7XH-o7r}U92FouzLg-y%{mU>qP
z&vy*997&AK)$fv&Y#AoLXJA$`VPH>V;8t42z#q%NEXcT$hk;wdk%6BtNt}U|gFT5s
zJ^7#0Czj+GMrOuE41pFb+2JgTDzBJ6vKmZe{{D*bJL5wZ#@8`VV>nEcE;92b88I@m
z$4JENN)lj9W|+vr$LNx@oJs2@!x0r31}zR1MQ(+dg$(RU$t)&^7=)GFSv<ZlG%1~6
z_!P^auEfsJw}e4o$&V#Qj)O~SCWCz<OO6v4qjJ(07G9Ux92)W*I?y}MVi_0}WB)yh
zWq2*fAj{9-&ODL9n}frPk(q^KTJpa~zC0Db8FmQB#+Y!t;bw{9;`jr)^^D^W9|I?Y
z@q*ZY4|!`?IM#5-Xfbm9k&TIoS<R578)F#5nRJc;bnjUbSB#58($OS^7z+kLCXT-3
ze-C3AIe6bC@h9<3Na9T5U@zrZ<iHpMy8cX+QPCsz-vdTA?jJ&8B^>v-Sdz3jp1e(x
zRY{6rU>9WI&`B~BVLF?{WX~WCy84XCg+cj9l0uS`1+!!fJBQ$fWQO<by^92rY}mTp
zk{J1zw@ZpBB>#KB#CC<@Ef2dB<AWG0K2Ci`Wslf@_XX$5vZ=fg?&4zY6u8C_t0k4-
z@rF~FiBm|bi%D2hCCQLUTDq?TbOV~^DNaQfCga1L%0f)GQX67^vGJ)-7f=(?UggDk
za6<CG`;r$V&0o9`(RURxv=leg6%5aa{dZ6GfY58z0}{4x9GTOSIFbY`m4vKcOZp44
zh^`Rw)$SCu6bff!5%)MPXe*Sda#Gk{sC3dHVMn1x7R?JWtfH>v-jd$xg?|@mOxIG&
ze=RQkHd*E0UFRUBhARxORLh-=8)D4WtM!dndBpy^;~QxBOv(EAOMe$dMg5pZ9&QR}
zlT4EoS(=#Evao}0KoevZic#!gc1e21qEN^zDv<oY+~R*dCwqd=>eop@uUI@)4^Lt+
zQJTqMvjBYknPAc_mdcYXNkI;w+ml=-vUDDDiVX}?*p+0#GFOqsIfm0h`9&<Fl=|b>
zt<t7R8h+uc*1Hy7yyv`fQReB_zQN)itQJ>&0+kwD?@nJhcgiFN?+z0WxeF{6Dmk|q
z5*uE}=*E0Viea6gGG%(s{fSMMtSrVk4_O$5PsV5qGDzO@<&SCl&A`i=q{O1GFek}#
zLNMdR@JlhCNi0H16EkQ2VPRxcjbVymjp6iYFJyh6<iHa1KbcW#TJg)dZ~PhBuUOB0
z7R0c4=_U29=u1&uiA%18F5)P(T6#g8qyNpzC1<ACsxVz$bYS9);1rkJ)&Et5^k-yx
z)?A)oxcZ)p7>g>;%5zFwqO2<~I5Ki3|NF4+QhAU-F3;2X3xcm~-{CA6<GaFGU~f!7
z(xw8(oer`8Yb17fFmy|ZZayXu%P=S502kv5euX5-Bnd?>o#cND?q)8yxb2#fz=Eef
z3tkE)Ht$*R(Vua}(G@XFN&i)n@)!Ky^rVv^ULuE+LB!!pGDFvv4+6;y^BLNiSYp^2
zw7(^By2Qvcu>W82Sct)rYfh}nf0oU!BvuPq?&D(LyGl^va31sCIzh(0oou?VJ@<(*
zif=4d;gop4F;sA4wS-7QvdDktDVfhYl1yXvXv7Gmt7IJ5u|y@tJNty7sY}c<CRTnX
z)+iQn1>Z@T?{<bUY~=7$sa_Goz>@SY$tz}SOp}m83}aFli>Hc6|Bfb=|8<NK3~DY+
zF&yF@D)R+zD{W=vh~bG*+$|Wx#JWR2i6xdnXzqrioT7OSG4EqolV)>RJ18VQiutzT
zHiKf!|0KPn*Md9@3=FLB0-I|AZ2v#F0JmgPxNoBp^YM%pzp=uaB&L`wPGgmrMvmN-
zlNCT$tJN^%vb;+^X_L%wK#-&J5u<bxgGS7~7=<LMBq2e@W(L+9E=dL)JHLr=H^)dO
zUFUervT-+WlAu76aMB-+!-Aa*$<mE%9G4Y1lO8eh3&dz7-P=(t#SkMHBbsz0#(+~m
z^2COuZyd4z{>i+Lc_+vuY|XIM(j|tEVZQ*wVHY6==I@;DlNii7d<C|<FtTzm2|BRH
zu*Ar4*eEfEi!ll+GSB?aa*~0Q!TZ#nBy&a1wMjfob0_^^oGXwj*x|t;kSkcpA}3HP
z7Q^JpV{RzUX+DXek#XSxK?UKY9}JvILJYiI9FrXnmrKM*$_h^Wz@W{=>~dMX`{Y6=
zrFS1=m`*7*2Y2n|b%}Y-^w*4ul|dnCeUfF;F@Yq{qz?k8{|hoZb}{_2aftZ@zOKw#
zi9z=xgP|frdJHeGQK!Hs22E==O(CX_FFFqdS|r9a3abcwWXSfsA<bCGycpamVoz-8
zytpamC4-m?r{M*L9Rd<b-*mesY>D~N(jzd3K@4=eSgZ;|E4vs&0L$d0#`e3(3__hk
z+peFS;}WC9u}Ym))H(Kl)`{i?$7300vAVDbS;b6^VJc!gmi*r?sfoGU3v|g?vqJKJ
zZwbYgfTR;uF*=fqT_p6ETAUX$kmLxRAjrk|lR=m{AVw=DoMjh_FoW>yn4pPVihK;*
zQn4+J88K|P?sYI;_?+*`>|&s6D-p}Uk_5h7%q3}7NBr><%LUHIgfJLBnOG6|iA&6(
za6%H(=J_hxN=yvGo)WC=f()sXSWfyBu<}k~ns~9-h2aAu%O_9PzzKdzH%gNpSc$|4
zvIq-LWZ-_wa9Lrp3zLbUK(Gq?!xh5kUH&~{D79hGcX`PmZq6Vrpcu2B<0ijSl5lzw
z#}|*J&q)G{g?9*v`bqA6F_B|7=vpyjmz(=zp4@h6e-QskVACWHI~G&s<Owk!86FDc
zPKe<Zf5)V*!gx!#M5sg1g@fHnkcIuFz}#b<408nw&oK#i1T)A!X5ti(h~e0ur1Xoa
zmX9M|NsuG?o5V+zzex(hT%w*gzH-TG+@8en@WnBP=PD0s8QywY{gkrMeysA5{gL6L
zP7Z;9G)5^Y6$vi^AqBn|;g}x`GdhG~xLM4CUbw0-nJH`#WRzx7*um)epp2PW;F*%b
zEyfR(p4=ivtE4)W6o0&zx+ln)$H=)M$<tCn!GYnzB*i_Pi!|j_B(($;T$qvsr+nf{
zo21dh%>G~r2cx7<@_!*yj=ge>XB}Bo8Dm74^cOK$Ch`889&q{tw};fprJ75H7)w6I
z#7_A4ILX_f^21~m&JJc~k7S0;N>f=yIxXiXS>9$~1Z_`eP+F(7eV2w|r^REA<I6bu
z6&}P$8`N_M$8a-yB}I8M&G_NOq|MUClJF>o-yx|wW<tyhj=wI-EW&JT(k|94s%H&O
zws1#G<%s!V$HF8m8naC$=AS~1qpZ?vhpoIEMNPsH+ZkhC8+r=FaA<G;H|df<DDSnz
zXq8_@1`~yRIn<n{Cn*JR7_8QKEtJJ!;WRl(s6j&f<J@XVhRg;biKOW<jjV!#p-dLc
zB90QKUy`19GIqrNzf=>uq4)$N=b8rH34+qO9E%*@C;$7Rz1ZdbkBW~Dt}f{U4_7fT
zy9h9_`!H}TIhOBX5LWVM5MOR`T2M(cCUP}jTTG)_JNLH<F|`t_eH%Siun2RgJ7q44
zk!9g`5fxDqW%(^6$Y6ca@*qz$W6ZOLNdlLcc#S!kgbf&zd{mNFa|$wC6xf>-kmR{A
z&+)};@#O!EB}$we^$sDHLJAFh1^?bBGc-<~#L4$#L!^_6jHG4Uqz{bGM6DS%c`S2K
z;mA@FVPX^zo5<|GD<Gvgz4=ShMuvSV0Rl{Yo)V?1>lo)bB>%5UX0S3<V&HvaafyR5
z=H`!ej4?{8g?`VNloS|d$1=2V$aZ?%Hk}sJAgvrDd8X6yCHL3Wj7=^a&e0s(U3dd_
z@<;qm;n3u7-Jqg%nQ5ORN9L-SIG*_`JRF-XRXw?T6}`DzCn;}tohj+4!pzMPTF79Z
z+MsiRF)5Zc+lX^%ucQ!rF4yjr${kUXt?CUk1(Z)p7z${1G8!;;q)cLF=YHLr!Wr{c
zQ^247=|zUx7}jzl&Mn<ayVxG9N^R7g-6<3*aMFk;@I?yCYgOjA*}k3Z<vgt`7~iWX
zu}i)7lo0oHR%}-h<6a(czmwrVlOik6PLVR!n3W$Gc^<nerAF~E-k&6~Q=wa8{};Ze
zb9t&&0zGBkr6fJ&@ShMmA?AXK0M}O+j${dqzb>l<UdCE>HpHw-N=f21EMWQ3&zmIH
z5_3<2*&wMheNN*ogKsRV3`q}@4yYynd!EeD@y4?tTjGQh$9mS7pS?^B;xA(v6&e}R
zKg9m~*r4FdDC3vk^z-NMB#Dk0#T}oVB;uK3GB>+0YV<ND1go%WeP`I{sSz)vE5!VS
zr{nNMcNa$S+YH<+S}X?zl30%=b+~nCc?Q^f8Vj-9v}C<%$?~+z?WEe4z~9sNIlN7J
zQ#jWn`M=O6;W-Y;3?E|TDy&sFZiFjKdNLYI%r3Y%fjdbn;ot-_=cLsWj>;HLGGm+&
zV;6HIO4#BIi-D+f(osf*3*fuQSQsweSj!nRQIJ7BZ$fb_1H*+R`6T`rKF$e~EBIqB
zPlz#&VPZ|4l^Vz=-WgEK>5$UF>ap}*fvAF3k_d;e2Y=G1fXz(hbCM=4o!UQ{VJRoG
zipX=R$?ct|-o{J{R*tdy?`UvPE6I>!v(m%xvmCpX>;%LQv!8THa^^UHgz2;r#|;*S
zvz>|QTx%yZM4!l0?4Iyi@I1?9PJx)KF~-Jr|J*Ll@aKE1&QLwkvYDUrx<<0cUCzrI
z*(|~w&-r6MP1Gorw$h$qJz1mCN_x(OSW7z<?bSNEcX=mXU`k@<o!nWppN)xC;Zlq-
zBd;aj%!vhed1jtuJTYO5r|?scTS^?AoC${~3T}PFxsYR~0b|U9ggG%YR2jKdIB#<_
zDV^bHvtyEuI>fV-Nm1o6&vFJOPsYa?#gfcUT=p^joNI+RZG@W7UFBOZ#I%vSm#>o(
zboZEarwhkIAqL?|9NU>h1G-e0*u8$9<k+k8d4ig!_8;rqOFAEAgL#>gm}+H0)g^+L
zPY96-jxMlUB^TPq$T!ui!c@tyo|T1t(nZN=!NY3bL(KVqseV^=PA<5rX!1Sg4U3=<
zhh(zQ$=4^PQ>DBcUwTNTOJyo;jX%wx-YJpIq<By^cbe416;qsJ{~s)yWVlLjvSgtO
zQ?$r;m6$&1QpWs1sq(XO<?C63CnoVqS4+jK*s*IUb}q19q5R1wwnNhNZIV~Mid65q
zRK4VRM_$Ip%XX@<X#A@+xvww6zPzE=<Z<+bmQ`~7eN23_z096}^=5u*_VT-Tz!9_8
zcRiWUZ(RK=)H$x}nicO%Ps8U6HyX4~a#*uycV@lEdE@WQl0|W!7dre3iJYYSdqMBy
zl;>W(9gjNBs2GV`EMHL6xucAsbEO5h2a^T=goTr$s@e3Jodsh5Psxf&Uf6Kr7{@D?
z=)zdR&Qtvs>ENr!ECLp>Je#wik4b<b7JToRODx022`n4}Q<#qmZ{%^|bhc)YR5{6P
zxuZ&nwSbLfmvGY*ivvMPY&XO_7;pTrj2B=@I>M4@B$)8)$$@l6#T)AX>oZG*VrJZ2
z@a&uN1^$BU&XrRWR?aWjjdtxALmCHXqkHUsC*6!9M(zkfrby9(m<>rC(lHt-TW_at
zjpDKos`6#!>@Hwu&6ukqq{MQ|v#|RDw^OrM$?h3GDy$E#Pk83Zt}bL=`drB7sPpb5
z^~OAwN>2uTj;*ZSN|~*9*k`=Fn_jlri7mNc@e+#_l4YA;xl}Y>P|g3}T~T?V#XYWU
z^H*=i$95;bdpDHw9$Y$wb5&{SW^cx`c1J^B^G;n_vbt>Zdll}Z#<870#0!rxmTmqw
zy<(+I=e|iiy$>c`UNcoUAnB#WM$^ajFK2O@J+*(y{IqOicCAeOdefKDi>|K<bM(s0
zt>T?|a^=jG6K8DloM6GkdE`Rr+L#~amcP%p-$=DhDqX#5$%WRZi{~u7kaAmP&3X;d
znAb^rC2L|DjfB>0J|)lUnUlS4YlgDYLwz@mK&RH5vtueXqLo%&W?^{i$Gm{;$6t=v
z{}#+kla!(u{;$ahPIBa8XKHXyU`l#A@m$LWWu};-&ng+UA9r#vCC$mGmXGmV`HfL>
zMX*HdzXjZkTHBan85YGba3=o`iDftw(;=0_U2snH!%ms**kql5ryFwonmn?%D`gyB
z{@eWIrv5d{C)u7TjBgB5W85v8`!Po8_>J8h9NXtNq%s_RlKXdMKgVmPc%~RO?un^M
ze>_j+JV?6nTCZL2Vv~6EpV;fCIs97}UR4*Gw}UY$qGj>>X%f~`c6v*Ao;#7<w(xAs
z#Jz>yz4LT07F#6$d%sygeV&3Ur%TN8OOs>R_?1p?<>uP%@g+$ndhVs|b2v6!>RLLP
ziTB*)9Z7pypT3T7JlV6fanh{f8Qd~)T}ve78~%wuZwQ~TJZ9?jyg6BiVwK_<V<yh1
z>^ymA`V8sC?aQakV9$Qa&DedTaMg}UOu0-)7qq%Cu}3rUPWrr&;kUxZITPd+w$E9}
zHq$v~+AQG@+*6ZQrLjJp&NOp<db4TLNrR;_v-kKPiFv}cTw;T{<qU6!B>$w<G07I{
zGMo(;In*1zJZ^r(Y~;_}5vH>6$AUeUGY)U8XkYOuhWSFoW5yl(9=uLsIT3LnhB=mT
z(tOL7CC47DIx^8&X`y?1Bj-ekhl~d}RXFA{RH}D$acdT8on^aFcZ7A3D`Q6wCvzf8
zjKz(Z{~Z=8s!0s8x85=uCVoi%&k|d}C=>I&!&Qc@)9K+(MwQleb5?y7wO%GJYW?Wb
z(vBm_*)b<$Ee{^C6ttfp6Uo6oF*;`6j>#{${tDSoh`GoSI3eWt389mmx(hQrg?F;m
z21)yi_^NzntXFw%KF6K+c*iuUDSd}H8lrd3-|w9C(xJZQN&{noM3VoU){9~?#@4sA
zpWi4tu)u?nQJ<x;Cwfu#gz3j8EKp=h61>>N&h`IIlInzl<`+qF6N-yxerMs_5%KSF
z%;O{M)7G%C92ZNno@udl-+skphE}iDio))U$qe?BR2dCenK}MQ+&z%SVHdNTT{M{?
zZR*}rCt~KrFg{+er^Cb3bkdH=39}~bQ)Uw2b>rIc=(8u^hSM<?7P0?}Z_F%oxXswv
zIY}t`2s_tC1;&_dyJqz<t#Ffk6y4#@R>8EXVB*2V9n1=`|3jG;cUUBqFs%N%Y0Ko_
z3ta!GxV&LF$u{9cr*~5O4%?)!2ktOVi7Adz->^<7%8hM<+t({O%oDDuun6feTQYAo
zofVTO$id4b^kt??Ohwb3tB35}I((&MjxGGVGG6d~(~p0Lr$pVmD#CFjn=y&$wuH=&
zB)cS`T^zBy8j>QclCCs#c&KnS1+;wf2%90}IY}=tB#G07>w&$5;e^wc5nel3-F#2j
zFmg^{Oj^djP(e#!eM~s3q+86+_dMs6SSnu}Sj_N0ForRfVOdN<Uy@Et<cau>FFaL7
zGbc=BI2yT%=b#89r^Eq?dr2QSr)=SKO`6IUGc({~j74IS#355Zrk(9kZ`=-caT}Y>
z3}|DTvFNVCu?DZCAF=-qtbB69sNn!3Ytr`^r~5|UvHuwlcGx6Ea3x7h)0uGfkl-7}
zqz&~Qf?Y`(J6ulGC>aFhu+Pji3dmZsI&#AEoEe@!VqVTDR#1%DBoWbf=3@Tp9T|;<
zF<&*-DljI6Mrbx_ypQ>t^y5Ie2alDfA#25hm>Fe9By5>h^&Wf>V>t1HdPFMIUxxlz
zM&YEQ+me$vJ>$EO^(FZn<6(~(%Q=)htz+hWo;$%p>{9#TUB_eQO!(~bLNYvw<D8O0
z>?MvT>>EWGlYAcv88F6dzI<XE$DgLZN(zl^Y&%Y^PEsh1`I7Y2I{cx+RF0$#_f;4s
zUufG9`|nfoq#vCY*-1O(l|NfgPv4ky@|TLnMW(+eC7BfTH}OW!+IfY?t|w{1Lar*E
z88iD9oR4G7e4@d8LRe<OdB*1#;y3DBuuoW8slY#HhFHW`E9DCYOxcAB3bA|Eip{ya
zlS}>Sabd1aC;4YQyvQjOVKF_%T_xtRP)epSo9<5Kq=Ou>3=0iDFeY`ph)H9TVpIrd
zV2VkKIib+cVaP0)^kx2xkAjXJ$ujE46;^ZD7nQt7k~C1bq27E$KPKSzL!REqm?UWy
z{yi_=^=$YnxxK|gE|$SjkHd+{lP|_id4VWnq|01|zw#Q4ax>Pk#Jnpy_b~RqfoL+r
zuLH3Rj7dVt|6av*Fe)T*eET|Mp}U5r-+`mTH`^YRF<vM%zO_(^@pufk(j^ID9iA@F
zjQ6}<sT)7_9Jb=`n#9p@v+^SUmq{#{TwNm5?%giv5T2H*^-E!8Qk)@^^Aav@ChjE5
z6vh>|YZjaBTVisZ_33=Ag%XSx)H_)+jaI}QdNGmhIg9d?@C_QKDw6MB>Uc0FJrkIv
zn5lB{)mP2L8pfoBK`K69SOrsscF1-y{bv<ql6)-@R9dK|{^df23nvG^l$NR6$_YaL
zMjXGt@h_^_l`Ol!_#fwVuI`kG6SE{zB<C$_(4HwV=Zm;ir^K<yb&R6?pO)^3^^}>;
zy>d!~N*CK}FQu$!e|Y>A4oqVBDfEBtZNrmajT}OEg&Q%l|K+Y-#s4zeKqbiHzQ}pq
z;QX5$z3WVVrD~j-aOQE$TI1K+JEdZ_WaO+dxvDE6HhtgO^+xZjJ-yc`=selIQbfDj
z(opSyW1=&EGQ)b4-IGOaO?PeT*?m%@V7>A8xhjRbvUfVnxsz~3C4ak0R?a$;a!>Wc
z8o%w<DD6vHWBfYEC$wC@=)v?WNj~BK{^zbSsXu)nX^x+0%>6aS@j<IRw(lubN-&Q~
zu%5s3^8O`W+jm^oJ>U|(M!x!Bb<JA8liQxGmEU&p(D^li;h*M-u8}P@dN8B0xai80
zrcWs>bHW=Pa%PCNH8Cz*ah=&GW^>Y}{4*Wvq%0qt;_kS>`ICWpRea5M$0s)*e0tzj
zvtIJx?3lV4%uK8?(<d^Ru`@8Qkl>AxPh{Z!pR{=2<{5iVFto0bP<!>vl4*WNUeewd
zF8&=8KhN6rW2Nnfn6Q-@ib;)3+zl)-ib)S+Y8VdY%(WCa8S{WiQX?nn>S|-NHJs9m
z(qevGi!oY|`s3RgQ;FmT^B~)bn{PAhI==PKkX!X6dC$zs&l6TAG9}HcJRH-o;Jf<C
zZ~QZ_)y$sB^sCn5V1s(}I!n7H{<BwDGW^V0ZFwX1Uw6U{>l;!P*B73uSz|GO@znDx
zEY%rb9?ZJ@CHY^s+Ra5%pIq!%qkekUvY#`%Yt~xt=kL^6!ELuH!R*HhlWl_QER80-
zm%Fw6C#UPgh7-JsC)ZnrP2%EI`MARL=vvjo-Rn#)i*2}b?8Ah<btao<)_mStF`<L0
zqcQR0stFd0{Wo<nrLQvmv9hseaqq%azBl*ITW{La@Qmx8%;Mbki4xK_3_|Ptj;=Gk
zY_~vp;=@(nF3)3QwOM19-{Bf@Z@rlwbA$f0n4;qycmGcae!S#|H+wxp?0;|2(hL3_
zj^4)`T$gp+7fj(OnOG_HVIhCS$^|hxYfY>t#5u)C2*sRI5UIEjbE3^=Re|5c-_j1p
z7P8NjcUTnTq+oVz;_E3s$$ReRJoMCgwBq8tbrx2~Cj~PuFgx){ab?-_Ls~i;^CvOv
z|7JTmJ(+Rqr;iKzBo_B3FPyN-rf^buUt=cYl0^5<g*B{;_3ifX&%g1M;UmwE+@#ZM
z?PDckejV5^$G0NXPU+zW{#CZ$xF<yXvx)h)&SBE8n>ugSI=jy;aFJyZ7iDGlo0H1<
zQEY`v{|2*}8#k}B>y7=t^7zp;9@8e+9~6vP>3)o9okN+DQb-b0_)US0>ut`>irC1w
z;p+*mn-7gVn3n!syy?&8mG&pa6lW?bi?FA6T;7<H$hhQjVY9j2vMH9#(G$d!7tI#u
zJ*g7L=-eTZ7|Sq0H-)8bfmzK87nK-^VhQEsMP3tMO_7<l!mWRe{L-EY!W$;=#`vVf
z{{Q%Y#?gc|F?(ig?eJ$XVUgHVv)1`xOw=sB6CE;-S2!MzX;gjoaQU2>T5Fx&n@!BD
z`Mu8h*u;Vr7dj<wa2Y-A5aC$yj)~KPZ_%rdhOCSeo=EQ2PhP>XAbHNFbu;uo?_$}Q
zw4*UGY0U!Rnq4eH7K^1yV$7;H*qxg(YyKMNvL4Ng+-sfJ_UtlTIpgSrc_$PKw(YyQ
zu>VHP3YVM}iW4|v_Hz8=(k)2(cgpn<=e4Mni#=jE)V(BYYL~n@HtE%({2S{W@9kQq
zE>M>~bM8WW6^6SC?+h9D?wKXuvwT78jHPEcn{7<qxtmilHm1Ikp<{LQhGd3@6_t%`
zHZvaPFs`$nw|BwZ)t+m^m(H1yA~-jJFUfDgQpP<=fk}sV-YwY3$H9=7%&@O#U6{vQ
z>0XK4IkpSV&-gjfl!Ze|V%gdMl2#mho(f-KP`qhkur9D@UjMc=0mow*R~D)V%<*66
z-_0>2cG=aX(N1MsVpwx6f9b6CzrTw0aoLolM^2qFYy32i_ckQ0)0u4fOJ||?v~>a1
zp}dTz6<XH#6~E$p8ppj}Y<5W{Gxs{bI2L7{=v_u_Cz+BK*nD1CTEMZuVcPnDcatpo
zW;{xn;<C>FrB<ZY>Pu5o7&tfT9b`;e=YP5Wm29x?I{#4pluwbFa~IrF$<C_%eYk_2
z@xr{$ter9I0-vtFV){6Gt^d9_v*-Ieigi})HnP(EynEU{u6Iu+7_9OC>1FzQJM&qa
zd9k2n9k$(zHtph^u&wj1UCes_rB#l_S+NY;586yy6R<nV_Wa(p{!jmXE!$kZ)_-4o
z>E`Y0{Fknp`!1wwqWnt7+_H_|*9BbJU%D}`e`WJp|EK#x%Qt#+uP@v9y?TrKzH6p0
zdl{4DVpo+nM$g;YyM3*HjP8^B$q(kP3#jS#PhniYvEiQ1!x*uZir#Ai%3uD8|DCGf
z`Io)0b&>j?VDELho4S=+s~^2v^s=mFNxiOt>FG88&)XK~Ow6;9TpDB7JN5M10P6{d
z`e(Sb+}gZYICAEc2*w5VI&1u6=Y46YkXU-))a;!%W*oKoI=gb=UY)i6(`OnMcP*FO
zoOY5ezfpGTtf%V&9wvR6lj*(Ae<|zJs>r6RYy9=nXRlwN^Z54yrbN~WvY#8xA5Sda
zye6O|lSgy@rRg6;T^+8AeOcAL(qnaodq?LS)-6_R{Fi(2U94PZsPpY}<eI>Uq`x}f
z*8A7Y`=hV3CSdNQIkx#TZalgq&ekx2{Y%pMbpg-UJ>Iw`;L7`^neJ7eH+kMz6A-hR
zr9m}mjeq5*C9L_oCv&K*WK3$?abnN2hS&1_7eBA@Rlj+NV}=on5-Vfuf9}<O=7|DR
z%3?kr{5<nT`Z|BhkB7YZ7Q{VZidpHuab?sA8Lx%~axAl7d{`BCeADD-YXe^Hnep)?
zm)XL*>KrcTSWYb3ka|33eL(TKO><XNC$9_8yvEwGy@G22qt1GN9sfl=c8l&YK3Wo#
zv_2*>l0|sEZ`-Tb|7(2I&7xQQJG@i!)ke?6ScZ+3YZ^9JEV%iDafSB{#&zE37V)HC
z=#2Pqh_OPTgJ1D2Ly6g=6&~F?{;kkty_OWF*btj=K1qGS-p2|J$B+NuI`nqq6b8ej
z_p$#@e2HZg2)@iDanZ%PL0s;D0QaKz3O^M>JJLR`_YLLS@ZxRE{1v_z>XgGYv}$6L
z*80|-yZCL%;X`8U{mQrno|z^^ukjUIn0b4hufBnj{^BMTapvg~r~KE?u1Q+sUAboE
zw|N^+SjsGY6`W+U?$go<swY{pWp=Ff31?@FNxZ$*_YsF0^A4epE6p95V~$H)*tf=K
zbqZh48lT-M2DckB-7R8|z1_RU=XxevYI;+|nva#y>%DD~eguBfdb|9<_BB55FS9<~
zYQp`&GNyM&^5T1E*LZ(_x_EzU(l&i}qyPKX`0PsY$X@d7{UnR6Q*@^4MS9$y;5E_X
z>1v+Ot1tXq!?fDFO15muBtIAPvS}Gs%AZ}iz8{M?we{Ww%ex2eul3o_^YYd0W5zFe
z-=>6Uu5nl!FZts{%nb(CwLaoWYZfJ~akyJ=R1viAc;y=V*SnUQtoyTO(;A1c`>)js
z-yM~Hn!L`tY`V(foE57lF+OK_eMrZZ;q$dM4!`uj`h<Qrn#6YJ_EP`w*Z0=g-~T^p
z(o{d`Uo|O@Q`b1GUER~*yM5O>qlOdzX1ws(dv)HmUv^iwY}?P#{${=D&0{7fTk<0A
z|2XyHX4>uV4;j}PtXEYMzp<k;+xP_&3k#<T*KYw{u~{$t<J>!r_cT3yF!|_&?Hr7X
zvH$JTCPcqsn78KO(fc#RX0OxPzkyM5mHos<flxv3n$`I=5^L?|J!$Km;Jsek+-$`P
z@9Z@}I<+hO>{do+uLxcfv(#$C@-@L5<W__xh$XG&JHF)crk4R{kGjrV*k-H0F6;J!
zdYzd*ajQM&OmKcYrMF>y7;92Z_mf`>pW2>Z6Po|Rck{%|jWH|rlV>U=uU?Sd?z<pY
zoO?!ljM$nL4ccqmRJrQP-mY+Ckt|PU*nfU~u-ME6`3s!l*1HKYSxTfNU6<OhlK1$6
zCG*z0&y8kUyXVa+_Y;g<zfB)6QgMHw9k<@yE`7p>ho3gDQmI@OwQ+sOmN}ii3>TkR
zG1pJp^O$c%;_($~(n;3q+*KFvD~p-H@PvbRt)u$=HGcJPlGZr=dBpYd&U&Y(!a_w;
z>{mK%60};&pEGfG3N!NxXIG)b3jd^Crw_+yuinu$VRiD7mBGSW4EhCyYJUoKGg+^7
z(G^;Fj(5U|)t{9d%6>9%uaIEj*}5PpUwf_V-XC@C%xhfcXZL@c;>E2Z@pg(;rKi*a
z#gA)TF6XW|<*vMRPtr=eh!w6MS1f!!;fl?gTayHzDj0K$?^)}5BxcFO`77VFe_HP?
zzNt&%V`J~5H7>_rB&e}^$t7)I?Ei3d#ZuYsZ46A&f7ZH$C~=+SQwY|c8EeD*u+}E#
z=lUn8^Zy4>=KmQr^f!hGItqL{lEnStJm-W<A32v+q^{H3yg}{88mp}SE&CMq>nz+}
zw{mrgfbx2q_hCGz?bcY{6ewS7vpZEQXwk}#4V*XD+GyX@C~FX$EU&*p%k~=63g$m6
ztQ$dhf-%Vc*uCQ6=Z#VkD?~r9Gs<0{zwy(QP6p?87RNtpt^R6?q|~>1oEEU@c(6h6
z<3q_6C;2CT++DvyDv42i)rw#JSyGSQoUu$u{=e{-aG+RqrIPHXuOE`8t+m**;gqfY
zTHE($Y;^lq%LuLAv(Ttw<A*=1QY#t_1Ua&HTXN*heEo(oW<_emdTX5(t)CQqTf?2(
z1m7xg%&28z=l`n^YsRor;3uPcbM!&Rm>&X4O8b*Une~&_Ik<9MF<blQk%_{?v>E&@
zoD!T#Yi*~RZ!#!bXLD;$)Q^RY!Wt%gDKUNwDJz?scgF;3xY+z$^Q3^GY?Ug1^8X3d
zu?#&LQEP+R=SUs5*&sAYIGthM`rxhKn=R7!WKIg!-TU_BDzo{byHl82_q<CHQeEe@
zQ<!U2O#M3k`qSLa>vT8m^E<gNGg_5veek(2NgTIh*R@4T%KY2<ZOuC0uigTw{7*wq
zN_h9w-kxuqoFehNcZYr?$I%AYkL!HC`>j09@pj&Nuho;3*q1)qerla>RJgugW&P6I
zQ`h<K{~hJQd~NN1t<!70ub&QBzD+CY`C6}^)i<vM{;8T25P0EwYs}WEdp}<ij##&I
zwcpCu_ij}D*?MAX_k7ox%=adA)LaSJwBgh`-}0*~ZO?CC>wRax-RZpSwZ8SbHYY(g
z>?$+OURH25awYekwL7+29bB_drS|zcua)(8*G|2*&UaV!%R`?_H-1{@U9x?u>6|al
zYrLMX?&+#*U%c8c_~ExTy8rIBFD*Si<Mfn8sxxjTPx!jV_kG*~U9m;wk-w&{^?s!@
zLr-to=jqc_=UAO?;;mf6dV8HuP$qkRdZWeH87J3y9gbP65w&TB<vQQ6r<0m(CeFUL
zR!L`F>+`9zJ}p?5yxw>H?KNJ(p*L6fP8RAt)S~m#;Kt*aHNLMmubjum7{gt?);D#b
z?L?;1bv~-cwym1C);Eru%{2Bu|7su0JxRqYlsA6an3y~#<jq=NTPE(6-bw$aT=>zD
zoU|b(fkpq%I^Wp34w)yMCJXw{uKITKak$PJ@A)fy?X_1H9@|*7&Nu#d^P<PxYkfL>
zIX2X+wDjBHdP(dNqch_g-}6l?=Fe#Qv&MJpikW8{PW7+!wg1tdq`kuTG2;rUqZ_>v
zU&mZvIohe+9q`A3>5#=WrWL+D7Y^^y>ttNvYg%TsVqV0BRldT4IxN{Y^^=$k`EHy)
zy5e?M!$I?=+ZUESjd5EQ-}^nn>(7n88y#+p|6>0=sb2W$fpF}9hQr)zKK{J9#&`EL
zgWmN%>tDZZTJU3?&!z>hey$GKyvFCQx`#}5W6z$LHA=cK4xC@V;oMEXm1}3OubcaK
zjZf^S9XV?wr)izaS>rq1L+#CapVFjit(eubkFLqQJ^zSX%^IJ1TeNc4_{PU=P~Nk~
z=dS9{PydpZe9}v9;9ujrda?u8I-mXeKN$+AOx&3gW3tBg_ax?zT-krtdxv^X%$rhl
zZ%vL~DE~|SCocKxeZGH4TA1`I_<6_P6NeUv|9N)rzUP|oJ-U@^d{+N{YS6M~;#<4)
znTOZ;ynU6se#$3@D>ECOwODO^HQD3Inp^vSO$zv?Qn+u@<H_rM-{zeZ?TzAE<5L#@
z$|rRF^e&wzdRwpLo-DV%xH9{}(n(GgH`-s$v0dN8Jaux!*$ewWTKs$V?fs6WZ`S(6
zsw*kRZr?REV)vUhIkA2Z;*T!~wd{Hwz0P;)&2LYXx4q)dS?5#v;mDg7<FxhOn@=1)
z#?oe7wQsHG=E=W5{`=sVcKq4VS2j0i@V@!*ZR4H=w;o^IbariO^tl&4U+>JnxZHGo
zGuzFz5qnzMmOXv(XT8nS6~PaaPE;QMv1Q(auH%h7S$mjHtUkb$RJYFI`O4&vE5i@T
zt%;t#=#{@v*tcW%{`@pfjPY3${(KtOn)w<1C)S3~k5+ne?Z|g8#(SHz7-j_>i<z@2
z`9t3E6_MRq5;k)-O^E$p|LNZBwxcVeW-(4!^C2@anPE-T`{EB=Yi!m>-A&S3{drAP
zekF&*8s9%ZFW+2PySag1p0R&H;_o=|{6F9KF3A%;{vq0GWzpjw@6R`~=3QG8WtG19
z;M!ozFxeC96BJ|aeOUAHgq<?O>g<mf*Kqyt+NjW=K5NY^TkA7+b${R8n|YvO@rpl-
zrbMndvxQaL=)jsk@25OnZ+fot!|_$Yv)3f-wOw#+lf{OQok{=Rx5g|hk<I>jGw1t~
z?3)WGd|VeC{`N!A#`VU@%ez0X3l5LFpj5GHUGVJ*rI9ORn@`1DTp4USA?am|n!~Ks
zyG8FYHfVob_?dlCl2c8R+QLM;bwTetHdp?CS80DCW8az}t&>vSQu!+<A7=bJ^XIzY
zNldDzGHtaN-8eR<vO!OO4daK+788#Y@d;E-SQFM@P&n~)Tm#EQG4-yQSKs^$;b8d9
zn8g3_rK0xl58Kv;?JA7<HDi(v--l~+-cLNYp`>4C6Qg(;%ZcM}Ryci(Sz(a2$}44u
z#wx!x9Xr}%)R{Q<%x0S?$0XXsYhS<Q?i=P+0ykD%oyZ{h|Iz-*obxx7oLyveV~tBR
zL+B@-tv?^DiLJ<9=kh6n;lvJg1%aQ8tQ%X`oP2m=UF&3q$vlhieNgUR;beYONaUw$
z&x}p0oXjm5T4&DIzjQH4mMeCx)7w3b8OPSHb&S_%NVwMj?{U^fgKK}*I;tBys^4gG
zgxlbi=t_s#2A?AMFI6iG8vOam@NPBl#vlI=*{)HU{o|nR@5Y9WNgIAftaDiXkum9l
z?(>Gtw$^J3{s{hmc+!QpK_+h#hsEMU$!qgYuXVhoFBp}+;pRHW^^+JaS9ba`ycAm*
zWIZdgB5C)}OA`%rSH707bhQ7s{__gMlLjsa_?u$tnJijtpC&V0thBdYnRxBb!*5#|
zb9Ws3kd)SFsb<htz0Tq3qH_n68P?d}zSq{QbyBcZVCwqNpl>Z@QKi%7tqI+I)Z$3&
zI{*0n-0pAI8|AJvI(sL`OK#2FN{57&mD%%uYX1E()!$*wFP7)VNBM8A39zp8P+GTU
zlCbBx(C5*tf3JR0%W0PCzU3<aCn>dUb!rd0?p@x;y4)MH*9L6m`g-D*!PiDly$Hsn
z^#QA2rEHU1^w>9GqEpq^q%#4t%YJk}(U|)0$MW4N3EXRUumAM#N6^<}k7oaxp;YzW
zee3np(%G9Dnb!rBeLpQ#{b`$WM`@|)<8u#gtPhC$n%lWee9n%T5A5p$w(gIcQW-bT
z%CltS-gN=n-$g$t-c`PF&ANcEcUP5fe7m~sWYOn+YPU<jtqItdXKDU-(uMPDLc?GF
zOT2xw{KmhNku(4QJ6E}`ynSh?zCxz|mt~t2%GZTX*?9WT49%o90dKXQPf1?qr0f4J
z^WK_(b#waeuM5~}oyt?cCim^Bsk2hohid9yig=Us_s19Op11jzy3Bq~s9zWGS8Uz0
z?DYYu6C7k~7-J^>D*OLq*P3+!f8S5B*|;j~#-ATMA2CnZC3}8N$mGRRjH`-{t_`?%
zB4)e6<24~gMhu1r<{#TUWumJ7y|n=mOuv47oES0t&nNMl3{ii7XjU>v{``^nWkuq~
z6=5A7ACeffPptR&v6Me=-kR_?YXh&!tq$MaFs*Wx`I;uNXMcY#|I{Sd*Hv<L&$>WS
zmg)}od27QW{hAh@U$CX_lP}w<&<PRDqJMr&PkPy;e0*)l;i$DCO-ZX(2AZ#LWctYT
zV_(vWxsy2)kN^9)Cg`Z-g}rhg(hpv|@%s4A&=1TrxcJ!CB;8z<;P5oYgQINE*OiG3
zvHxBqGq&*@%D#8$wS3YIh922}=hE~4d=EEU8&bW}r6MWx@Auu`)&yMZPg=1qVEfw}
zqBsA4fBnRw@AuK8YXa`4PMB(6-w<(aZ9v}q9YKxF;&O~jF4eCMxNo+>yZYbv$Q{KG
zm|{{^tvk9dAUgb$($yu?-mVFGJ!gaQI^*q63J$Lcct2TU{qpnq)oTO7jZBiiY+cRv
zbj}uwwE_F>H!5u1{^xtyRt?`h>jM6sw2EG%S^xcH$Naf>tV7i$+kbkwuE?8gv3YX;
z<~4oS<{mTqytc3G+!6OR0rC3_&g@z5F>P(g`gKnOWbOp3$FB)4J#8bjEcD5mfc3AZ
zWfc5bcWQ}hT&;dg%kOplcc*5X{aF`qS6}^T<%K(I0(S3Ol621}bf5mruApyguH>D(
zzG)`Ynvh!knM;1JaGPkj(_+?!oG-ur{rUE9+5?U)yWZLT{E)J6_4&S5{n}q5r<Y#a
zux-nv9c60*_FMgA$o`O@qAGsE>1gwkh*cAe7YP3OGNW6WN$~#qkoC`!o*uAx)@GSM
zd&QcV50@kw*tuuE*!?YO0`umTK5|LN3K|5~MAq%8UYYo@d!5_ixeKyytd2jsHYxr8
zgY!o(^sjfh|7T6?)?M>IuXm4Z;5@z{N1EwPjNH07-6V<XBcGl&#J*V-@5v;8T5!(p
zhV-BF&FxRtersd>xH6=_p?LEe*T{u69~asmXK7@uuUnaL#5nllN@cyUwTV_YIYQS4
zzt{T6RbsU!K1lbol=a%6<FYHNH+<X}ARSY2H%59zaPQw09N{)A?p|9F!u%<TJLdhu
z-#-_2_sV?;JH8^c>PL+D5~eq+i+`*M-Sug0c$j6!($#BxYkn{AOHnph<2(1as^n3I
z#lKhador)~Tyk$k(Z)5co~j=dTFh43Nh&|;bXR5Nm3lmX1>5RyUzTs)tic@YbqjWX
zToZbI?wXjrCp$D!)`Z2~Tkq>>kQw&pg_lWv*!9^zELPO^Gp_2m-f_-ocCg0ongz#?
zO}M<yFYj7I&|%@E8S9dNK03bg<fBPW=5gPf0w4dK_{+Y3X6*`w>k=ZXxcfi9Td?`;
zoaRS!H?EoJ_H1T##lr~^M^`v$H8B~BCcRlP^KZ>h`)>_AI;#`QRwO>Q-RPK{{PTgk
z)_S>B*1y*}?qO1{S!@4uwVNPwUGkg%5i8s~m~wWlm=gbI<^IR3WY2Fn=9VR7x@P?v
zC!?56AxxSL3bR)@me$OCC7==0$|4xY64SyUTo?1`U(y1OgGt|hq`Px6NZ0*&a%&|g
zKl|%hf<I=yWB(A-pcum*`@cHoM}X)}rnVI>=T~-G+pS!4jdjJQ#_utcR=LJjaIbYq
z*Iy%2H!<epTJ>ohf)%S)8o6>vCJF3LYDyK?zaUrEl6rEjv-RG!UQ73`aSqz*;9|2T
z<LMgr^^*k68Zzw5lGizD>faOi6SGhyZACk8jNeV6oYjdpcI{|&@zh=_@OG19|C+?3
zRVzGa3r>48F*13@YVnPZ3umwOQj?iry>h+Ws+jDSuKgbRXST##YtQwP61%r9Y@Lu&
zJ!AG7tN)+GJeJ*8F?dlH`|r=cr$V;ttWK^Ei@yGe>HnPQ`{gI471u<3IxX~YeRy&C
zB<BNO7E7O8)v8_>9=mtK)i>*d!oHr|khr4V)8Kg}o7l<l>}9W?|NZmy_0t2a>ke!^
zw>JFq_fJ9AYY#-LcWC9W3Hutqspb08Cs9xT{(1hd)b4Eb+MxBTqDwb!|NCe9`fFty
z->(h-nSHfv<Gr<E@3MbBXI^9cc6X}9>az`Nt-iM`{l1Cg>6!o2IX>@M6ZZFH%k_oN
ztjiY9TC+a9_N2h|ImWfm=I(#9TKCzS@Rc&N*T!_u)M?a`ojtSVhrMZ~MD)KuD`Vy^
z-7fR;)B3P7RZh+4O*hwv|EycRD(Iv^`Ks_o3)%Oq3Hw@oK%kdrZQ%V|lSEE3761Kn
z`rZNF$&8(A1ONN6o8Ma-_U7cHL&cj4Bi4k!eyS<3B4Xpp@bnG^{=}qf8#)yKv<5t1
z7qtKUBE5<|HODt<s#%<fWBKzp@BgZxpTFK1PndsgUBsys5r=u!hTj%j5j#=y-ZBTX
zreg6*2fLsD6vLUt*RKgOjlJ<dIA(Rw`3YAeR)i-fZMrJ@k*mq@z@kSpnQi|c45?TX
zR$aX^deg^mtHaY8ANDh^3OBvSyfS_Bigq_<hPgjjk{ahKKjG-FUKeh*GG-6MyA!XH
zS56b(y&|ZSW3}*^rjKjGuf6^G@%;Lz@c4fnQ)|{m{haXm_?oEi^VjQce;V;<ZTMQR
z1dAt(4j(SYo4;Nc^jDSXWm)w4px0TE1{0^u-PzM6x-RhlzH`qeR<0>{JNeI|_2K(>
zO_SKSq-F2#KPTs>U60sXbyMNWl9Q|TBQ0N@P&t3Byee!>(CdHGl?wNy{NJ=D=wA8W
z3cHvqiLZP@S5NZ_Kl1c?kWcvkvMcj{M?ZLQZC%i7?Ux%SY~QuY<v>}~fhxa`e}1T3
z+w|?@@3V*5_p4M}ze=lL7hWsbuxa%^`74qQ&+^x$sB%T>ulKEcAiVikM6*KD+SIKn
zRVUYYJ>R}EY4*Yk-{0(0d^F?S#uX{gRwSBNuT0<6D0V^RQ0#&W^*K!I^KSl$-@IVc
z^F7X@)oauDHWa;C8y`HMtE$3kP5jgNSC`8U{GZrS_y6D4H-}V{7Z`7T@#f&2B<TyG
z`g?w#xO13gN1pb*6U#QlaIc8iz_Q?;97|c!#q|$GCaBx4zUFYE<J6@^`C==6YyT{{
zc4hU-w>y)QAF9jlzg(pd)5Y}e^_uXLjNFUdlYVVJx^UHvHQ{UDtd9zPbmiICtqW6F
z*w=;cuZmQ-vNn46jhF>np3Km>a7TXswIwcBxlCkiCLBNYlYx0nxGw|mwTp^-*2Uy5
zIPS}!er<)~6OE7s3z?HNk~rQsSbcnSLG9UsOGj52)G=@-|9c(FD7$(^|ABuo8Y_dc
z?yWFvW{>&4<jv8Y%j?V5M6FKYJhEci=JmO?pPud9yYt6|`s1h8XUVNxo4-E!=c-LQ
zTleJfuij?2a=ZPH<Hu%h*>Uzz%qnXohPh$v6M`S}URfo2jiu4y^UCj!Rvh{~F{x|f
ztH*CXTx)!kq&8uZu%L46`hBxcTwL0~TzzkC#;cmO)$)w?tK$AOuufdzxbt}Ynw2L%
ze%oMIx$<Q4j|*M4|97^X;CQh{{P8dQ;`I&J9@c+c<=!V%kiz)+K#BZ`$4M@$dOsIG
zZ&Z_i-Js5)@%T@S)D#)zScYp`Hb}0yIP1{H8?4%PKOSxr2=v<^o&KXhm`N>>|Cj#M
zn^JK<e=t6dWoZ9@AnPOJs)|PoA1EiS`226jg?F1*9GTBxP_h2z<FiMTJ6YH#Z%`Dy
zsqyVX^20qlX33spnx{~-GV;;H6#Mrly-o_QTjQPg?INpk6+`7UmkXH{D>pPVFvfh2
zW$6Bvbm^He!-R*D8a6XGJYbAzj0tX%bmx3z!@8p6k)%TM3On0R++3a)XV1H^?CXb^
z!z+#)TEC){i7O`MP0Z2@T56oZWriz`KVd99sB2y!8}o2&?B_krT!Qa+y^g%R;QSij
z{O~=@>wUxbA7FX2reuzi=bm*w<=<ARtXUH|RjK*Sl;_{3hirJFSokyG<CRUPBiFH?
zZspYedUJ<ZMC{s_wYzh7<dz-V=;?gw-`$ptZJhqwc^>bJ52?D=!ud1BD*Tf6hos0`
z8r*#U*G2!mD?LG||JRX8VjJ(ux}9!F54*PEr*`s$KWlHS|Fkwb?(5bIt!EFu*OF_T
zyZ-9>J;hFY)<<8zzO;P({dLjV->(}LXFYiHNB!fJN0SczxoG!jw)MnyTYt!%e^{(F
z$8Cb-l?|c0PX9<h7TdP)>h)tkWY?5_I;lE&Pk;8?nW7+rwl>(A-;22<y=Q&&wfQ}}
zAID!^KR<8(`smWrW}54wv+Mm9T@d!IySD!4WY=_=%WKxeT#MW&zUGx}`ig%0=R0bz
zte>9${>_RX&8t4IDT|Tb@GVZ}Lea;K(<c04_<nVL?3#=7*gyxq&F5#3{<mOFRQ1mv
zx);tJZDrTKw<1cI!7cOg9G$h{rmrvDl$>jNc%Eg;iv9dNyANtg%!&HHB5GsOL6KQ2
z73z6b$F8++JW+RyY2&)IvdRZP&#!EXSXZL=BxY|&Nh`<v%`YBGzy27|_i0t|p`Ql?
zwU4db-Q+Z@kv;xL(kk(z>r?KDt*Dn?@iMmTe}mhe2KMHpMGtpfKaivyQ*dBW^#tCS
zT@1xLniG?En9VsVv+AiZgBYLwisBnhG3)aGtZ;pFBTRl{jQF|kjodNT4eRgiNdJ6m
zcKV7K)1>#zqOmXYP2=9Ji9Y;2=3;x@9^oI+hu^P>uG{*;FnrI%6HOd3SJz$7s$Xkf
zcVY9!HPQ1Mdc@mePkds$vhM%B?=PCA7{ij5edXz3W&8g^^Wo~G>+80kTBqK<Ci<^k
za)-l`PwB7MM1R$nnsJTs!=H~=*G1oJII*UM<NI{Jt~YC<zs;RszqxU8_toCN+tx?N
z#mh~~|F?Bb>Z)iF!Ssz{rt7bt-+FD&eZ8k@4F{Ik+dsY#cj5Zx8D9N=@7(`($o@wQ
z`+INY_(_&FLhNlEU;lk!`G1<>@A}H@P|IW5_3KjpP6~bH!F`e;_RZSpZF7a~HysL4
zY~i?dUvfq1<R#PAq;7v@zJW39lt|A8)+<%=uh&GE{xuRX-lLVeCVJ~Xt^XUUCqDCW
z`}^yEmM4>u%0ULZe`}(4ue#(P82)x`)ZSH3k9<2LCm*?K(VEoP+H;Q_+p=Y!_2NlS
zwr!epVAq<I?N&Ec#8*}Mt0=!=S(6sICUv#nxpOy<{aZOnQ+j2w?ay1sR>gd5V9bwO
zaJ9H0=gCqH*M(PYBd_iH5PE)rc=wEJXCKvTiLFYnUsIyav9@|mcy6PB{O+}xci%1)
z_|vetYkmLRw`()YA8|#zS(RyekTW@%Vc*)!>aASUBE(<XxC{K+w<diOQ~$!V_S_%y
z?!11mcLU3hCCC2%2(XG<*?;5bsftFHn1>U%AK%?EL;f50g*nQnSfu}HGzhVB$J~4K
zVAsb6F1>Fr#2>mX_{Az3lQ1_%AxZMWIa%qsi(cn1xVPbX?EfEJ%8VHiu?)|bPE3*L
zSW|Jkfye5T#H@?^y4Dm-z11qa{>S>7Uw_voUE`FLO1l02>bB6h$v(;kT(kF2kgaTI
zn%XmKDreG<$rrc#Ixj9;8`Lw=sD&y1<_rG%55JPOznCuI&0@Obe}e$~!&nBUW@f(R
z{~oc|7#gp&t~~YDcK^e(g2fst3*WDh-Kg{6_x!0&j~Q3n6;E{Dko-US#a(HS^eOLF
zIBY!eJGogv)_aY^y$JymnXP`Tk3SGIKgs!LasIa#`WtV&c)-ZJ@YlyRX2&1C;INyw
zzH##lw^=QM<@+jZubw*p^S0b6$*1K9l`FYcH47eJQzw*Ez0z-!;HA~g6^$xKTUdUV
zo=D=Ch<hz=RoBY0_ERfMUD>*nNJ%3lgZ&>34!>`l;m)fOd2Z&mMsBNpYx3lh{}-`x
z<j?5-w<1NwWQ)}D`jshbd~R^3uT4@tk<75gLT62=wJ@iGwx9lja}WMUB{QrH6-}NI
zHLLDM_X@8EOfi2~WZIt)PD<zSX|P-s@iVHmhGBlh`_+LJNq;`&?tZ=dBj>6)l@H&v
zo?|$kvvT$xrZ@HKf`LyMV+8*En6|m$nYP&~kKYaA6Ji+6H`<x^|FmcnFK=dy5&fli
z{|QHDlZ<Sf;0wu(mlw{zxW4>}v{UQG^Oqm+GsY#|R6KF<)2&sLm6<r#NF-f6l(aI;
zG?L*?gZ1VeH|8<09^u@{IP>k#Mh1V8*KKo8E|Ie0u;g)Cm}Hd7?K9)pnoT>dJi2g9
z{vm_wvZNI*<~JF9FXt<BYS`>wYxA-7!fg3tj>5&s3=M2i-(K)fWJqJyQ{lX&?fB$P
zjO6kcsj?@9mPpKe_#r#2vH0_fWf3dx_R3t)vCZE4{mE|zyR9W>fBvh#*CNClbNeJi
z7)SbwCc8CHeq3Zwi2bjV{Ey*<{A8wp2@~trY*dbHxa+IEVGnzQ`Me2JOaC;O&-(G~
z>z@^sCm2gNpG&%YO?>~#D<`-jFZj&cm}V}?ow_KpEUAfOvVmU%$K5?YeyVZS|9-<8
z@lxNPBfM@!-sTCbxHMKbZc4heQ(SxXtUq^OJbS)k@zDvZt#3Sy+41=3gf&)w-Zb<c
zV*D{b?+^10t)^JUmp1ipUP!0^+$g$%Ddtbo36B0BXO6DezJc-b+I|ziX$;BhGR*CN
zF8Rb^)XIHoKEw4jt%_k~f4*$K=k-ZdXr}$0wE9m{hA;WL*UwmbL|{qmF?Jnh>;Em1
zA=@R6>8y{5+$8rzI`!ox`~7W_f94B+v{?~;@7P>V-sk0~W&W<65!`98CT8XIqwQS(
zWA|FzwwZf>>e{yLzo*OW{}(p>+==;ie=fFi-M_wCHuQU|q*v(HO{ez!vn=^E<HEIr
z=dV9sGh^qIoip1d=l=IQVEC<V+RHz!T(`f!F5UR;+Cl%TrzO(cB(>}3cQ2f5@N&`i
z;}ecre6dNMaH^+xy4S4F|5h9_p7bzo5<_y#y|vT#{b`X5zWcs?@w$66sy0OJn|%9S
z>!P|yi|1?Ff6rNDu=w!ND+l#!HCbl%{}brU+1#U>UD+nNR7<_*p>S<1%kz_7tJiw<
zn#Qzp)ocHs*!Ll(Rr2)Ifbu^-87fw-`P?FT>guPU>obp5v`a2Oy`c5^t{>(fBj&SR
zKj@b~xmx_B)MeqoH8X;?uFAjqglY1w%OBgh^6g{d%r^W~X5r9XJyWoNYs1++2CvRE
z|BhKTgWV#g;CM2_;*D3%ZJKsxrt+=LvlrZ-WB8bTmedLNZ1D}zl`p%Gzi7xl#Jn{2
z|CNLK8<NUm8s8?jaQ=P5aA<AbRw0HveCvOFzj5{8@eMB}W~J7zX|c7PzbdAcE518v
zrYbvQ((k|9Brg|TV_Dm(^}dNqoujCK)wCJP-}f|e&HmA18pqrydi>Sp`afJR&pn%v
z_IFJqhtzNDhUxbj<u<N(COPLI^Ff=yq_uG|KUQq+DAm5VKKFLRl~;+e{~suwe^FnZ
z#PFT5fjK^bF=^+E*`oVzDjsA?n!0kjGUw~(2jh2nAK^=9T(OEPS0-uRf=GqOyG#xi
zRBUNbFfU{7Sag2k$0{AhRkQwZb*zxnfBm9!BU{JXJPVej4X46BHU62i^Zv@*(mxjt
zJi7BZcXb=r<~386pK4;#Dr=S4xp`e)#q|SEzptN?(k?MQTA^(Z;~JOBHB<Fwum0F7
zQCs&@qN16>_I0~Nh_|w$!S{0~HZ=sS`g!fZQoF~89-Q<#5v5T)RbckB)2k<GJZzId
zo&SHp@c*~`3fOr7<@=r(1h=To_g1+U*DA47KT^@-!1_5CCp^9=|N8pD5LLm+&#zos
zmpk8HQ?gO!v;VqlmY3AL*IqlxvGopvUH-}^;$@62cIR)noMg!D$l7<3>v4;j{r8hD
z=caG?_ec83)lG>ybJk41Q#(mGUaR8Vt4%GEUf=gRRIO=CC<>Qr7X05L@hA1f>1LLs
zXC@c+Ox@res+$qFd)n{d+Vm?2=l*~C;Kh`Wwvnr5t(o!5>zvDyNG{DS`}Uuo^t58#
z%vC)NjV%)K`z9Sud3NsK_mx{uFh5<9lXtC6{pXPjY>aE_%I2-v{H-UavY*xTM=ZnA
z8ApGx&p5WHMLlSfp;-&xtVM@v|1^k6ZC>B;yx~+V!@Q}sf(*4UzQz2U_Bi0jg#Oix
zoEwsiP81xEzi_VZ&&m$|t{-bk|6FWsPl|Z>J@$w}>-q`pzdx*6a4q@aKYKBj<v-rU
zT$mtxXwiblvoAJX=a67v{}dw@G2`(()g`~*PPo!{GVIMntK(b?9`pT_|8bIkPt42}
zJ;(Ao#8>_JIqmV!l?mqicdQcZGk7cdlfk=DwDrb{IlY`849`ytd?)b3f>o7&0qg(&
zE6NzyVnmYu+r+$?^Yd6E=gK<mKR4P$`F^f`@OmTvq$B#D9-eD3>RvJV>YrA_!*@Dl
z_kWb0;Klv&Thi3?>9g1LPTX9+ai!|xpU-W7PuS4Ll(cr<+oz06Ctoc6)9#$Kwsd-`
z)s87!ubx}m)&J|g>F##EqDLId7<VtUU43!y#P1j9voLR3+f~%d7&BpOsPIkx*m?ig
zy0fx3$Nu+OJ>mB8hZDE_?_`>>RDk0|$}OkKTmEkhXwc02!#rV&e3&Kswh0pV?0yI)
zUii7#U!dvDt36jAt<*oi@a=K-8=QF&j4|tqpMRS8BU|jl0sS@2rJE$=_W1KBS2Fy&
zsBAo|t87iPmxRZ?rUGGx$9$1zuPu0<v#Mi~*FI*^i#np81ij9!DfWN5rgQb@OBU&C
zTV6)Su4viQp)hZS*!i_d*^>erxc9CMJi0Qgf9JKUe<sZB5@Bs(*b>vic>nf_*5(+$
z2FCc}pEv%joz%u27}LYQz9r^cdZQ(8?Em){7DV3AXqRS)`SJP3k9Nijp&MHn?We72
zIk$q<NsnPh#jBWgEt^(w-{{N~zsJ-lym<xR3BiyxZI4z67S8-JNhpg+wS}?#W_R4K
zh&9RS?{8|{T(h@$#`>@sYxtA8eum^WGm7`GjoiAkJcaYY#Jcz=98XrIeeQIv>Uq2)
zY{r7d*#8<Uv)g0WMY;>iOk&g&7Mn0H)Nsz!vS#CnhT^M(j;~o&6uolB#>uPfHwor7
zh-b}Pzp^svMa0<`9#;Pv`FX#_yjhzxAy?gi`36&x>xxM~4*lqjczHvQcS}tDgVt{w
z3p&oOInOj<$7Du>jp?%QU)nk(Gknr`)3!9ab;6FjkH5_l{-3j|J8osqL;>wZDvy)a
ztl>;*=3cQn)-LJir;5+X{~H9{g#!*GGfYpan$TEvZL&oB{sqpw|HC7a88)ui_GU%K
zqs`5S|I4hJqQ7m;%r{eK-~YDa(wxqyj)4Dy0*fU#rtomBT7Qr!Mq}mw<1uR|m!H<o
znUcFIa?&aB`5QP^=7&FFI{b2{c=*1er_Jp;AMCwY^DQ=Q;%M$^s(brp?%byZMlS+Q
zZ!)mH*|1c*l5yvhl)Zn}PMpJWaL(bvtC#M+nk*)|E<8NuSZ&#qJ#W1@UH{Fuyt!7e
zY`ehnJ<pV<8W$g7`WSiTQeEh4p69>kPJ6pc#Fy)0%F~3JtC#i)tNs4<k->8H3(0xY
z_xycr|I=XOy6#`z4#9Pcq9!(&{BiPU;QY3(X6NcTTbdWG@o(0ilz3U9bp52MyXqfq
ztlb{7zGm)z{~fHa$~NY#>%O~v|MDL(i`Fdp{IG26trnrxcWU2G=&4!nYuTx0e{NU#
z+X=7Vw5WZzY+LxdMJOoLZ_+H`zx)2hS%)tb*OlD7f8NgL>ucr;GwCMoUt3dhyIp90
z-SY*X*EOlfZ<GGkBDB=bvRZb*iBC~)Zm#c*t-JPb^O{LP)2rU*tm+P1Gig=rs_r+e
zO+s96>KkhB-PEaB(^cJ&BP?~A@pYS8>W$Xo6_q*c>$^@f=+61G@8`@5_Pg7JK1EJg
zF21`>sOb0Fo^va!?LTqZ%!;4CBCr2_(_Hc2)Ay7e+p&C2R#LN2e9hXPn=7l2ukAMX
zeSV^C*VUd4TsuuZuk6|wxu#3@Rs7n{YaR2BZdkTq=Y(t4d%iT}M>Gk^|4Y6R_oj17
z_xetK>lG6>a3)<`(e-oTMN<prq%9{n(sxSCxc*gV#Rjt#9qb$2pT>Btp0GFhLW=zA
z1uoB5bZ(w;H~+@Jj}v!`{^MVvaD3wO|36kuI2iM_cjNz`ErN5mubXiB=P^OvS261+
z>`rcAv;7x#Kxp&Y3B{?avt=tK#X36V>wkXVx4!rOwE6E&3jA3=(QB&IqdiwTwzUfW
zUwN<5-+te^o_|jj{;!Fen!Bz$^xTn^Gezq6tz%!?Ds=9<x<u{oZ#%9|&En2k*K_@o
z)zx-Ed&QVVj2+vO*7W@LxA>*jma)1;=yI(0p9y~!JzX<t>HG8Ry1$=Xl(R*v|Hs#l
zYbF(iswzzkzH=>X``&fct6PPNLr+IpuQ;L3#O{6HrgYN{t>Z7YtvNq;SIVYcEkd!Y
z4kvwCUu}Oqh=cw4xv3nrZ(4rbuN9k-zk6j~M6;;v|9xSqLZ<8IZv7nAEdF_2wM-HJ
z%BrM$AuHrQF20#Ip)P#Q$+JIaoQ<$rpjE-Qw#sHjz?-lM{Ze`SA4GVo7rZ*al5`_!
z;*2Z1KCrBqS~qQ7SsP2t=M~f9oB3lFOz^0UsG6}>^g&lrZp^$DW>+h|t}ru?P)fSi
zASL>`k?DiP1_P@-&!<l9TRi*tg=yCJ+GJAWR!%tC%fE8j_dk<Q$;Ftp&e&SV(xH6(
zrC!?K9k%Z$oKk00e7z#?*qs$U+|s`<R3*NQSzGt_(*;qd8xmR9CZ>K|om)L^ZTqdY
zWs_FiuwQ+7+K-7x&Gpw!dcVHy<K*5fvxisrx2R50WU^T-wQp@BcT)fEb*W{#N-N_S
ze|$Mn#LT(QdDGlXcMoN|6-^r$WBij=nmlU|DNSLqSkZlc1Mj0B!jly~t=!Pc`04Zc
zMv>J&*0g+DVRn4>YNP5+8WP>M*<y=dR<9I@yRgQvYx$dnZ6e<3D^-uKoSWr0CopS6
z*-NoR;mfK=7x$<!#b3L-B68z}4c)AQM;MAPEm-;fB%Aq?Y3Xw|hr4jHF|s>tTi=?Z
z@nB8c`*S_cuWqhQGoQV(OvEO|$m{o=qiv$Eo-E@2|7Km|*G)WoRyicEZCu~GEO$*)
ze)g)SN34>`3qLEaV^FVO)%1x$e{~bPPt3;b)y<zc-)s!1kGr8ek-=wOvuXY++cmdV
zSbbDmQ|Pzm^@Po{n+3ir2*xxrROPR0y}8=Gktt^ChDB#r`FF3F`2FKX(c=ayTDsS_
z&3!JsChqfVb7{uctMZc<|J^ugwf2c5UyhXZMRoU9PCvS)HGRYJ$%<khbC2HO*Opr)
zzkb1<LoqLG@@^zeU%Eqd_Qpf2)Q+yKN?WNPU$dtDxMrjF<Fy4x*R+R)t&LG%zf3r3
zg^ePgf#D}V2Ih;q=WPhT{<)FWx~zexmubVg^9O9_Puo!2nbe>iAJMbIb4?pt_l<zs
zKdUwSFYdqlXUC3bYtxTTQGC31>C<g1uSHJWY`eR`;>Mc!UH4a3$gh79TDgI%X3f0Z
zRjb=i%S@<XSvz+_^8XEScJtQE`FVc_*PfNfyA}%{UArW%G3jCQ|JDDFu9zX6yoXV6
z$8)FSg6n@I|DXKN_WjI1&s)X*rbs%pTB~ZWoL|=&biA``RprVBAJ<N;shnJ6v#u(-
zZN<y`E1o}ESLVt7c>k2s$B%SB*-&|XPxEpQ4|aux*A}oHUs1RJ$7(-$|8<w-Cg0k9
z<x%K<DR!InQIYGWzndo3+p9U<X62=8(~iE+{;BkO-Px7r=bV1DZo=|ST{+orlH2vd
zL!+1MnWivz-SnsXCKqU)|9(|(|ALl^RsSb;-Pvs;{(kw-;HiIKl-|3rH+}uG@_kP#
z{<i6DT|G%kf7$fu=Q`%iTR(B?YWvD-KbLKJ`Dfk4JF(Ucy0K+j<JR{4%>Fu6SnQ+1
z?sbPk@-I$1y7HWTS!?jq-iiNzwEHuDsyli6#)UQcQ}?G%GQSgKK6@7TmpSL>7rn3i
zV6&%9FRWbbcESIr-%9U)GB8{_E#zI#+_@9wr!G4^W$&s^8Nuc2`@>Jp-59whf39AA
z>nyP!jn=ER=Kagm;{Guwc7L1RU+YO%{Qr5bo3k{^!MyJ3<8A9FUQ##uzW-<c%{3Eu
z`g<hDEnG2Y<?|he4`VLonC{=c>eG`KR_702u(-Hk{_9T1#|!OS881ARU$Z)CZNC50
zl|G?1Z@8wdo3{Gxs-8!S+<SK2UZ4N<=i1d1e_VQae$BK?b#quhH@ZJw*F9h7#)M5=
zo7YUAvNG@gMDFG_(^LOneONnf&GbEulPfyKKCYYi`D4tQiONE0`73^W3N%_X?N8aN
z{G)59e=1wq+snOf;`9pEJ*VuSAAG-ddSb+e&Z}$IOq_D*!T&#NCp?%Q^HK2Viiuqf
z(y@t58*;vGSd~0Ow7Wy+|K=_F+TC2yQ+9~PGFr!+OOm^=VmhC~f$dC5aa&hRSTo_+
z>;v_sM;P_FXP9OEV2Zi?p#Q@R*RKssN!McZI~+`{Z@7i6bmwIheZ4a8`GJr<FD^ZA
zS$)21*Q=XrCa(OvcG{Z*#qz(`=6!p*X4=nfS0CQK-gd$+W?kOj)tftJA4{5kWPR$I
zuKoYlwCa67T@<^f<g}{6@6|iil5gK!bM@i%=}gacb|l}s$FXtUx}bB%^rjx!UwhI)
z^y<UB`|~3>ZB|^`6v4mdT+vjS?|arytckw%@a^vCuCyPFN!#RhYX9WkxNgGBcTab`
zx>vUKmA?J@38nf!ZtZ{W6EnBr;l?#*i|2Rge_S{9%E_1YbFv=)bm?bVns3@aCx89a
zr+&{*m7Z2CoS+eL#(j0qB<r<PpWi#NQ7UBhj+&nfU2pg=xcB+M?fkF}eXX1;1WfCH
zl+-QQ6v4Tn!L*KPL(AEf?NXxID@qz}#PlZ>C9Q4Q-kkiu>VW9=$1y*i_D<>8+{lzv
zu%RNhxg#lmLvMWY{|ggkBNSrp|7hNPKs2i&=GmXx%^w3RwiVewZ{S@i*Xp<9)m`P?
z4BT>oi<~zxGSnP+Whb|A)-|RbuUnZ8$b3&^XcYZ!v()L&{2i~QIQLInnY3bQFXP1L
z8`rc1$MuOEZP)#Ebj8}$$C6f<rmg5W-l&`3(=tPF#hSA}>SlL;s{fIEfKNQ`!HUU0
z7}u;mwSLxdsRm>1YirBTu3a7Wd)=&48xr>YXl4+PU%M`M#eU-%g=H;rXV;d!d%7z7
z{+eS_T|2)0HSG8&wt7=izfk(O$Ned6tm~#Mj}~}xZrAIT4Bab|{n=aN)=xRL`|bZu
zhBwPkoEDeU+90j{>;5MuyN}BxCgw(1$DHmck$-<vwc@ATp3RGAty<wKkQKIO+L{9f
z{L1q`Jo@>)eodnx-=hynYDpYBr)yU*^0ewM{r3Ca;|;mj_cVsP%jhOdV!SAEXr*W`
z<Bs#;4~^Ha<Gdd;?cbX9QTiL|+VzSXe@{sMZ_S>?VKs%NeADdjlQ{zK+Qn<|u}rRe
z*dV;|<4+I9)y(2$Yvvqb&}Uomb@r~s@dsm`Y?`n;;wYQ=x$7Oq>-+L<HX0sXvm+>a
z<*(yw(q5nBy}NRfoQwVireiZ_E?RQ4LC&0eqx7Vk{Qo8#aAerZsL$3>xH4wV`q+c~
zeOdw?3t3#YFbXyB#`MHwC}_TE<WF18_j%0}w#*ooj#!2+EytS;-t`}M|JAbipW88g
z{m!o^MYUJYQD^2|zjBfR(~RApSfvj~bx&S<|Kp0ByiE%8+H_8bU4FNxGog#IZqKzD
zCz+l!>*$AVJk~DQrc=LXb;G00hiliYofdoZ!rim$mW2IVJM{_o#WiP6FTAZL$p3m}
zqT6l(>otKJ?)R-&e15{JI=LU!Wy!~Q*gq$)Uzzx9Q}mh@WzTOUi{D<q=udK!=i@fZ
zrISoPaGaSlA$#qLt=^V8Cz28bJ~z8sO;YETs9v+7S8&y)vul?}ZCk&7_fZAu*j72M
z-TPhc&v2b+Embh}#@~}aKRr8Uv!-olh-%E5lS+;ZAJ;BAcT#y*i`=TnmK&bT;@4W#
z*S?B9<8gc4x_8r*=C7aib)TQW(=}1+W_^p_I#KuQ#6^jdS>i>Ox5tY8Z+X3XM^+od
z)CXTTub&ka9)GUk{*j2Th9{eMzOfct`Rp6xb`Ad@YwOm`eEqw4)~m29oTp}fySaYt
zJJ|`bvESz`_<C-EaI46zxHe<W_SuVK64ou8zVE4+?fRM9wbVCn6xcueckdC^(4YR2
zna4IgTruT$<b=mZ*UqdjJ-2`Enzdp19qr+7)-2r8sPuQwx|!w&t(#uoYc=xZSy<OG
z?`)fqb=xkj%zgbI@0&cEej)zb+L_VEHgvDA+86n<R-%5-#^^Px@BR4vLwv<-iHrO8
zG4Ji36=R#fqUGPp;-d#`-c=q^nJ;tVs#N-}wQE=Z{?jpe{+@jwS6AhQty%bOL5kM5
zj?G8gjP>>ezB+rDamCEKJ!@Cw&7OWC^yGoS{}2BMT&(yXv7*W7cvsS^8@G7Qu3C})
z!PT_#WZa2B+vhvNulz7gKPPZV`R<zr(`P#*6)q%IGsxC$zI|)X4#k7>{{Fe(KYK&!
z<0m^bB<ycZu)B6(_VL3r?se=x{9;C7_L}z%lPymg{a!Pp*6i21-3?V0$CUS;Ub&#_
z#l7$~YiESdeWKaSn6%}n^7q>f_Ihz^W~|OXe4{d9UFH9?F>7YjU7uQYe2H9J&D9gH
zC3{}}yK%rl^xo%9zs|N!sE*)BI%H=1_`$JjETwynD#vX-aqaWk%KGr<7rJa3%s&aS
zPdgqKdhTZ6fm`b;+ElmJ9h7>+7<2u?S&5h4|7We$RAK92j5!n$<KE18cE#!62N`2d
zTzC|5;KZ5(X;O@JF%SE0__c8SIq_6F=J(FR=WCZ9f2s3<eZmE$MM~ddW<K9Iv8CNJ
z`O3-rtAF)8IDT%&{x|DplzmS>ajWp-r#DP(Mwf0*3fr@0Ud-Ld4v#(Cb<6+XTsv=N
z)Wmw9m^mhuEvj4BZJV&QVol=C^AoBcUp;wu)sjVXw{6<BX~Nftb@N_M+Om4ZOW!TU
z|2i~$x75llHN3xm`P^%pHue3Fn!0P{pFJtX^QHdVJiVbVU~av3-u@5lXK%Uv`mrJ~
z@!JF^+x55I4jWEz%KsDpV`Jn>%XWtqb+wOocIejUtXiHBvneTjLZJCQmKWNI`RnH$
zpRxV_&5MGGOedu8-iTeh>fX<vReN|>_{i~R|9G(H^Nt<N>@m~Vukbz`xuNv+hes<W
z^8b5%Ve?1j6#-o<Cx+gTy0A)i(`yFq(|?*{|DXIXI)BZYciG4HF3g{LNcrmL9f$i~
z8XaA=W8Q?gyK62iO>dmtp0~i@c&qvQ$_C4`7cac~@NGrgycUbl=46HiYj>?*vu57g
zH5=c5Ug%wM&dBynGQ)(2-D`KO&sn!3?1cIG6A%7XHaH)>yygFoMi%k+E4+VRx@G(C
z?g{T+mJ^ocA17R`Pg2n5ZMBh?|FGe~?go1SCx-1OcVAePzS5diBc|@o1>62FJC1z+
zVYcILuiJzTe;;qy!17q~!mIB!TUmZzI4@rl!?$L=ZIbqy)%!2~x^d^I=H7Wp3of_M
znAWp-)uLw;C%33BPU`*e>g<X&-HYZQU$u1py43WW?A9x`v}pMG$J|)(H#uh2T6eCN
zZ!700{=7NGEoRbtje@XM>((5Qp10DaS9{I2T>TYWZkWWZSvQfPVePuPDH<zQ<qK=M
zE!-31yfS9qgyz3L*rr`IUwyLf@%3L@)tDGdcQ+(HWJ+q{7ybD}Vxd*e$G3im*>8XP
z#5jAx;p$1OiUw+|ypwcxG)UbQ*1nc(^WSF8{@ZI-dvS38Yqi*TP_q6JYqr>nFx#74
zVMnxW=dW7DVZNrp{n1vzqgOAz+jH?tXbMC9>hiM}&%WC5@4^@Be``uUu1fD?JiX@n
z+0$VccegUG{(pY$G;K|ulfNe%J?wiRVE>O+6a5p(3|lR9cf5Lcg8SnSyT@xwzk{l!
zqznP}6HA4-n{=(iR!mFeZ*u$Iz?GyL`+vo1-eiWRE}?lGvHuSW{N1>A<;JK6ZL7M8
z%N{>zQ2Kg9dDRNTx#!FlE(rU!dW8Z{OgG1t*K3p0R+QVG6e?!sU%Rfj`cKRxp?QnH
zw7<A<?Ec3S+4*5NIQ%*P{W}wM{)dtq-=gPF{H%B1P!#XpDKO*4=0nQ$2Yw~_C2ibX
zyQ+ghHR7;vxMD4X!S1yaCjMrySu^?g%xx7HzV{~`*kAkRT=RU%&^<3RlMko<eIhKd
zx$EPF^-2uos}HGnI?TWAuz1bNg+ChF+!=cJJZiRne6i{J56$%x`$bnB+0$tMxYc_4
zh8UI`E1#{YjQw`1p=y%!Mh5S;`O}YbPWiGSa`pxNs}mVy1^?Ns`1$FV_F=W^oSWOE
zKKy$Tdq_<0+YFxLCo*0|Zg%_ld&7@4S8ne7{rdxB&s^<iTUfl5!s6B)u)3*q^yH$A
zYcJZY-Kel7dF`c|?S|ed0@K@`sy^g=pZu@Yb^rS)Ip(_c2X+Y?OpHlhbZz>>_;r(w
zW*;&AE7&lV(fUGpSex7L-;<*Me&4$P_K(!;xOE4ASw-I9{5Sdao*C0BkD1Q>cWS}&
z_dgei9i5S}?!>{eHCHabJy>?M-L0xN_S_8le#5-!M@++a{=PS(sB%sCsdKMR-Cx(X
zq&of3#eXV=2X4e&c<^U!cf9o+h5c`wrp#9QeAv9}l~etibqD`+3UtRFWxu=a@6s6S
z9`*Ipc3o9HFxPwSRn-Hh|F^luK3#WPXWceUG1uKKZdcVW1op3F*|B2d1OKXf*YB@2
zJ~1&RPRjBQ|I~Afwf|SFy|Ql2s+DR6k?EUnUcdj}YWG9!M=QcgEldwAT0K+XMzh=5
z8~k=*7xu7P#=gFA|JkY)=CfyNH5iFj-dK3&%A8FN2Tbo89!TB5k@Wr6<g|4+PVW1(
zDq=;G`0m4|hl4Nv*d4KA<=KN-Sz&kPonN*6$J*}gMyrl~J79YB#-FrfrtzC@EXaGq
za^rJ<vy169<{L}j95Fpzz2;h1(g*3kJu`|IB%NRN#ejX!<7+K$yVtDhnYH%1>X9TB
z4k5;y#_LaTNOkkiZ@6PBzhXDn>j&=-87(OOEx^dI_ox1i|JQbCKViszJT>;u>h4*`
zOs~J3b12avaL(>a>u<MDzwya5!6xQx(!~qU<+U5quYE`|KB=^cS+(We;iQ%S)EN3U
z3Yk85;F$M`WBq|Xsqd>#+)Z1va{uXdH;OH9=>0!p@;TN>^1*^rJ&_B}tesH1rqwNc
z?~IkDa}Fd(2(39{>K8s=lkJHP-};+Bt0FyC9x<Kzdi~soZ(p$2DnIM`dynz(?(-8G
zyWX^3UHNq4!^V{QCoEQLx<lW2b5xYwTe9<?igKsIrQPkf@79O4y6s+-8c}t`H1?Gi
z|BW)G%ACM=4dUH1o~`S?{&LURsK4{p-^!}|a`yP`+h?R#UsWx5ZoTH<cexc;<K7%l
z?f=%H7y7ZmRVs;p<&AIq+g&OTSjz8z(VDhmv8es3edksPtzBrH-r#0l$N$3qFndz7
z!t07Bw)XGa9DmNfEdKwEaP6k5b7y94n&w^WRq^Xyqrv$zvrdKW**dYwf9m_Y8?UdQ
z-)Wot;(*`X6PFuI8kw}iChlfsj4|?Qw(8iHvYdf=WvHSbo8asPJ+ExP{<*1te9ns1
z&nE0If78Hvc*}>L<OzpD*Q`7i`BKc(ta|lpvzS${<CtD(^fRu=jjv!nAS?cR=c6AD
z{JhEk%wDXVXfrQnRb^Nsb8_PWzt{xkm{|>;@eep-UR=5;D!<@ROqg<mRsWwCQ%WDQ
zUf5{Ae{H{!)S8%^m)>*p{cL)=%6QF<zw>X%UA0+peT~?quidxguI{<u_Caw)aM#M~
z?YdXL)^A+Vp7n=&#k-1)MiDX%)~(OahJQc!pX1`|cNJ?7-R=ze^|RUc=*!k$h2Li{
z-^9kc_E6dT7BBJRGatsT@1Dfo`5^YcZ-e>W507HjoIlB+*WzV;Y}UVKFY7mfFC6+0
zTHfD$I>qYR;;$7qe;8HFeiv{1e`QPMsVLjklde5lyIOqr#Wx$oU-<Fsu75H8QKP7R
z7{@CP_Kz{&)YcrlaeYPZ(KQ#sPEI;=F?QaX3+w$R-T&5NuKT;u_|crzdm7c<PfhuL
zY~qSX-1{UqyqLf0;)XXL*Iax$;p+7Y#vQH4Kc@YYn7H@<>WP(W*S25Su)AHY!7lzW
z)1?jnKL{p0xMCG|_mAt0*iVcF>znI0i3-20%}#Ndv2Erl3B?)5ezzJ-e%bUrIeEo9
z`!dG0uM2<P-w^vha>c|A!Yf|PlWe;Yabb(?{#CC#IX+l#*miWo_h%bqA16+@d412y
zqs+~*40cmi9NhiXq&cQy#?y#{8=hA%K68@4_v{8&GUJNIS`~>n0i%0@2g5hM^3e}#
z)N7q6wBtt6flSd)3k3XD?_hrtQ@+2UV4}XzrJEe_74L6xwmxFw@A$${Z?wTew0fo0
zx!F5!a@qY`{b0}P&d9Y#-&{V|{YW={;||r6QoR!{zJI`(v}*6i9}{ji`k4Ov_2SU+
z6TZ7nF6>{uy#7t{s^yhe{~uqq_t8O>*az>ECTvjHyftaw%0vFV+o!GF*{8ljP4xFY
zh67?TPj|eyu{r(x+Fg?_{r|pa<$<&rdn#hqd^xwK=5^}3&F0?g#pbO$u7CJ|U+gZ9
zh&9JwO;Vi0F!@A0!}PUhqOYn;D6hZGabx|N-|vr@%#2uf=6-$byfsJ8&zP{ka{Zat
zuTDSudgF`4@;__Ne7$eIz+`{3`^`I2OZA^GYdEGo-D)F4ZcMvFUTpNHEB5PObUxn1
zwdUfkkMV2IgkRqz=KF4jRefC3#}wg{Yqn4QHRsTsRY#7t2i9#}dpP~^36HghZl0L4
z{%6DCHS5ltJ+$V)XR(I6`wvWu{J2Ked(DA!2llTyGke9hqpcUZTO6*gwpnwq^5a8W
z>(y(&tvh4az53AVnRh>TutlypG|RjJeDR8C*#BP)R#ch)=VoB||6hWIfdPaWL>L$t
z7#-qSl5CS0*%=vP7?W<tFvT?dNV1FB9mB}V$dF`}bS25OBS|HRQE)k<zC(<05)%VE
z15=Vv(yJsRmZVRN+%Z3soB|mYlU6dgGBCyjC9O}2zQ|-K%#z5&#Qi#nF_z)KKlsSN
zB#uQfZy5BolR5%pUNID|ViaNMOk#@RPZIjdl)>II%_YW=L4kvTnZ<x1VSY@$BSRBs
z5(`5T3p2|Krlfa*3?28G7CfEEpzX=*%)-iWKZ!p{Jcj8ygR^jwY!bu!n6JtI{)MS4
z2*@(Uyk}U}Vw1#Bmt?}Q%R_--AH!^gm{mz}O!*8-NgOfkG2$^y91LgP#s2%OlElbl
z%8<=u%OL+U#x#aOF=kVYY0{Zk0fxCrs~LX3VTdV=`5Lo2Da?_9H#Uiz!G+;((vKw0
z7#^1x2@Z934%v<eF`T9B9GM(%ITRnnFc^aFT49Q1VE7yRp9yrHpwexoRqTuf4$1$%
zBs20d6$-@ud&FSPC=g>E^O>O}ogrp5<l>wOF=8?NjPsbJJ>D`bU{q0JUi6mpt{?;7
z3(oc=C1WPmOB^RV8QK|Ce7O?@l2$MnY!~FXU&zAG#*p+jX?0R*%oj!uJI*BDBnd}`
z0FESC4jvYE4l~Bse*&M98QwE4Omg5zdY}C7GgGz`w@b_?)|nH<nP>7?NF{yjWLU<T
zm&UNJh2v{dEW@@Ijyp*_4Es977!L7qtPx;LVk%5l`S*z>nPb92fweJKEa~DbvTr)M
znE6@X2tQWgIK#O{=_JF#i-LO@Ij%6qxW_!^Wa1XA){S9$&tY{imSLeI!@rj?X-pCm
z7-N<*vI~7_V2X*2Il<t@VaP0*^o5h-0kbM|jM8z2ID00|ju%Nh5`2GzGCcw$btGg|
zSQ%$AG&F%u8%**PEM;MoP5$?xC6+<LD8`8?zkx4CIpz~nWyf5G4e|_IJlr|$m?9Y-
z#{LH#Hpmjg!tqcj`Jck8SkPgE9|XR}GQ4KEBkU9-JR|m>U`T*X%tzj?5DC7nkPm!a
zU&ML47D?Q(W=R$2td7|$(j}SQ!6?#|Dm1NQB@=556E}<CC&nahK_+f7CPChoBylEo
zwj?G?h2(!9MYvWn$g(~5;@rN7q1}@8xfqN5>rRm(@siiN5iT6j&ls4M6eBMR@p?+M
zY3NCYsQ3uWGAKG~hcG5>m2FdE`pqh2EA(2jM{3rWj-<~?k`ttucs&>;>V*821a7c$
z$~toD$Nu{uJIDWn?3_SJxj7Txvuu=^6UDewOLopnAwibZC7cqXf|E2hb0{xSo+BnW
zU6@l$Fpl+cy%W<(s~Fa&s!Y!(y%FIx)l_Rx(TK3f5fl(K;yIWyk%fH)3%`Ydi_lj#
z7I_se7Iq2liA>@=lDs0)DiWTI+L;RWGJ>JJkEhFAe__G<+*Ri1E4iOSoWHEgUUmA1
z7?eD9kam&&$>^cQ<dp-uE=eH?bX}6M9H%M+_m`M!69t%LJOU+nR7BX6IXoC+4l#%t
z@F_8wela+&bV5KUmO)d|Avk6UgJF{&lO$t|oPbNmOa{k97Je5&H+F+{O3XF01(KNL
z1=2i||2<QP`4h{i=n&Cq;KWeKZ=tN>>Erl{)A5}~U-G|Mk8}!tGwfoJjWLPgh~eOl
z;c}=|h*6TVc+BU}?&u*G`|qKzjPQGhzgmtSQ)FXeJos63Jq{pWl*9nJC@F?>qDLH~
z<9`nRj&}~<`4k>`aIlw31*`zwk;Ecd6#MT%Cx4ym*LM;b3S3EA5_w8*JwC)plqou<
z>m&uZH8K__olUZr=xPAnk>nyVsR49Hl7+-9m%s#ekE|eVH@4nI5_4?Wx~BzP=VR7$
zl>7$1BWVTbjwDwtCo4WqeNGn86-mOggPFZlYZR&kIFq!LlHPc73M=JUH%!n@GE}Ov
zZc;dtWUtgAbc$0}Y0_a&PI;v{R!d&Qu<<F+j}cK{<tMBul>Ah}_|0AsZL5M6mg2gu
z!ut1u=Uz&^;j+u+jY=bjpsAA4tk=Tkokq({w`mDli<s^>-6?2qbn2wAql?kCL&DBd
zCXZrRYen6+OZr|>$-m0&+?xDP=&oZQ3lBrqE7kIgJ`(2Y)!g1rvHvFBsgdn?-5Znf
za>{f;g?W!WT+Rw+$SATjt!;!{fy6At5VInNCFz+bi(JzwkHn7u<qB)-+2dSPUc8>L
zb<*UoN(UK&Ra6c##BxSeF{GZ3k&0(APrBvEQh72d$ih`%dy)%F=OLA(7>m%xogUzu
zkXW2KVmLh`8KthOKl~qL$?X$(e{W>1$35pW3|uc5Ui<pGE)cNr@!r~4?Qz$2)72$j
z)4CYET}(V2FR)Yy<y>VDeXRh#328@*r)PYF>ZS=!tn*^jv+qyJIzP1=bRkk8_(CMs
zd%l983z39D7b4km%sKFiF~)IX=%pCX8|#E_{ANmK;bc^Okj)yy^omhG_TT#?*nLQa
zE4OXgEiBP%xpmtn$(KvEZRmN=_AJRXX5yALF^85&tZq5+A;vr9rpwR1WvbgWVxm|?
zJtfX7Ss&V@#Gv>^mC^GB--#Ve#v-gT|L?2Bu>KHK6lV~OVNL$`EQzHfmQnIWBkQMK
z0<r%zWMV?^J@8c6|NKa3r^ZsNr-$M+4qIK_<P!6Ik*6^etAKpc<_8N-NyIYDYbr^2
z9aEI_twFRSgn>E!!Ay>C59ad4bRL)?pupMSoygMQ*CARl^@f1K1l5=dkwxhWZ(>+3
zY<65&l+4JpqsHaNf&&6SUbuYc`SHjg=!{LP%6_gNXIjEfGJR-WVq&TibD3ejrHgaZ
zqL_Dw&ou~lhIZOH#k|;S5!1-xDb4Z1P{M2udq?EYxg8HJ1o*5(7zAUOlmESFOKSKn
zE%3*KS>(?_b{?Cy&wPff=KD2#W7);T85pxPy@9dg0E>hJOH7n=)21c~KNl7Yzi$p~
zCm32doVr6DVi{&}_!aOciFMfbJnl&De9O!jqnf0^9P>X$gpsu+NlYp~`Co^qFhiQ8
zQ;dUjj5$Y>z=asg3(LN_B=Je@{J3H#-vr^9pNtF@zmopPh!t9_VeI(9)_I&Irb992
z?uA%x2WO54OyU|bOcyW6D}b&$Qizd>5fyM|@7|L9UtmkjkDgElZVoYtr^g_-^KkrU
zntW=(-DHMNNhixGOpXE)b7GVj0!`FeMPpn3XI=0(9>XEHAeLb|s|U|C`Ix4DfiUKn
zBGxp8<o`BIH#%?ia~hvwZ(xZ1?;GPEqwpw3JI0%3YSR*q$e%IUEQ?(jy%;ClYvL5p
ziV0=k$q^&C+c-&Kcg%yB0LJr<93QVGSu)*pVPd#1C~(u|!VL>G32qCfn8r&9i<0tV
zKJ@0Z{5Wiz^oUVH-z4c*47)}w!wr^+6aGC;a%J$HxT{epNv46hnJMYlgh`7h@t7VE
zO8TEXVfjO*n2u#G5}Tt1f;s+&F|<F83DTLkh-Img;PTfV?+h9HUrkVUVV>~nG@HEO
zZ3ccnmLy$?z1%TpooBHLb1+Zh_Y-_QgF}SX^bY%k`7E*j9a3Y2lNoviG#GxyOo}Ow
z>0xD6zMRa!FgN*sM(qEpSP_PethUxFF~=BuIn|q3S=fVPcCy4PNeHAd&-7x7F-eKJ
z#-unw^axwM_$+w^rWgr-K?Y&L2MgY-#4ySDKA5T$!}<25!l~nvKWv^5ERdnFlh@H`
zlYi2;2lJE`M_Ef~ByAOX5;dVgfiY==gq5JMa)dzqLQ4ky&UcQCf-y<+8EhRs$HXV8
zGD!BYO?)Pxn!u6NHlh1StV+>@86FZ13Qs37J9F-zm?g*<DA37REg*PXKs4n=-weSc
zjG~VkJ_sr>PK{OguaV5~f?0W@#J;BtE15%|aBQ7q%pqv8!aU~igacn@y9>VmQ2JTW
zVqZGL<y9;(aRS*KF}y5EUo`e9Bni4CF$+{b7O0=Vm8ATlpjlQ}aIN}8i8+c2l{c@j
z+_(|@@3BnGzc~dlk}n-*ni~o}e=*_BlPe6&jsFrDlYD2`xiGGcVOaZwmtpRVH7bmu
zhAQg47lh7E`o!4Jy_h3YV)={+PpMN3?VOLBIQM@^Qsg-7!Ozjou+Sq(kYVk|GcE5V
zPsiN+Ad{lFW`?E0i&#cZW%mi1Us&h*O)6rzsPd8FYT}iqv=2#)NwG{5CB$d@FQ55F
zHdckHpI_dR!E(aYMujtsF?=zddcqHGB~736k(1HI=!cbHx)DSA3<Zy*lLE#J`9cbh
z7)~cC`7_i$W|HlYND5-;T*a`n!%t!+<A#0~MtR1h1WSSB31?YFUo$4%mt<6)u|Y|Y
ziJeL6Zr`B=880~kI|3ODPxJirU{QFbV6BkEnf(98o1#w&?MuoOlJ3WR%ZifJmYK1f
zQ-WJDl`)BlS5`FXP0~Y^<o_HY(rO*ajDkOMj!POpNd7OmENMd0N8Y6jD?L_lFXjk#
zVUvpHX8I|_QNqA3DJZg$tDuijP(x5dD)p|Qftw10Dw9ggQ;m0w;zDm2FB|-4W}e|1
z%P3(fP~FkNDbT@S^e1Ve@uQdr5t5Tz6j~W$ID9jbc=l>Z@b1-QTF$?B`9v<>y}|<0
z))To7zUMmbbSxk#RdjC<Tdw$CAs%s#{VP2=MFr9YW=|5x<q#Gq=2;lT_;|tonG+^3
z#&GCzJdc@MIZ5lGK`QIxeX1g}jnf4sS3i?jeaVySlgBm&_O_MQK8&ALgxLi8gCeE2
zv;1rlzMuT>y}Gx@2l?HS%pOV0WcPlG@>}4@l&Hd`vrziDr{rDDV42gCm_jwrN`6)G
z_%5+BRp#=H?w$>dQrG9qnD4OTh|=+x4SXkrBo~Tgib-lo%3k+mS}l>T@_mxbb<c(3
zl5xsUvqU5{*>c4tSMo@UN*)mw<Xv&fgR@smaxLp){Z6ixs=ME+9Of|Mew~!kDJ-Zk
zH(H2y3d>vO_bWb#aBopp)TmVvV%BI?UU8eLa1syWPbN;T-%k|&&+C{Z_m}taDnFCi
zDi*%l2Kmo-)a^{25OaY=nCo+k1E)li8oP_Z)Tn^;7RHDNyh#GmJsX}`oa~5MIN8AI
zb5G}I4O131<A+IV0?GfLCofpV=$X)IG2J0@0&C34jDrl~4_?MH?wIWm^C9-1L5D#y
zqs-I?GD$0&fAb_sbV!+~?_6N;)_vz<#)Li>MuVTMp}~_Z4y&j$OjqEEVJ&o>D75@G
z1NVf7f=sOfN0L|#zA{b-@o+pFxK}8o-+}R}B+K7Pd5Wx?V_rH)JF+XkO?tzya{^QH
zf7LAxhZ?|l{LG9u>~Nl>T+e8nD4p;=hI@vb!Mm6lamRK%nH$Hz2)f_r@hth3`x6*r
zoM$BS97yw2NMcQ9xcGsggEMBL!`8eR35>A}7m_;UllUDXnX)P#T#hl`@v&#l@*Nu;
zZIk%KpB~EP5GZi|lGJpsz!P-854!~afi21lc{m&;EA(g1+Um1%<?_Q^F%v&Iyp55K
z`kAuILvc>EP=KJFAcx{%_M=Hk&H<`NGFV;ydj{ySFr0i6^WoH?ijD~!hIv0c8$j2T
zo@Y7VzzMqAC#d8>^1q7<N=x|e3o%qPuIKOMyev?Bm-D=fK(#Q(bs7F0C5$df(webJ
zR*^@Nw6v4wJX5iCUK!!e+uO{P#LRH?3s1k0XJJ+&V^7SblPM>hC-Y8SdF3+C)VYke
zdk%yLd{yCSmQ0nDv|i}x#(1KdW3G|V{L?ek<{jwdT*@SSh-bMNqkht)!#pcFEEu1v
z6#O<!SLAYx>E~SEX}q3e<2k;KS)5FpnS7J@I;A@~*58qCcj4G6#Jy9AYpaxrw`Y(x
z$5oy8KFLcRFZsUaJq)_fXD;vIfZCpu^JRlyDKjyh{wo{m&&YH<OE$bv=q&5$KBElz
zTS8tkvF6-MRX@i&pPV#dMQP{_RY9pj4xtz$V}<XpJvEsX@(at|E|f6Iy7hcx(Cpj0
z`80!RhaHRRLD_sUslr2^a)k_Wf>S3+7Bgjv{G1TeCtWUAx#Fx`<#m?eNg2m&*fsP)
z_xV^$?l8EpM(LB!aY@s+lalNmW9DjZKeP1RrPx?q|FbMR!&jKy*IVgeWA@N$<pdw|
z$5t<ypPN0cTN%_EX05k!(StC1tHr0T$i+`G)b-k{;;@X3+wJ_99?yQG1zS(g?MYgh
z781J8CGDYk%6F%r#H2?vP8QAVei7Ao!K#R9F~`ao^BI#gU$0u;ekAt)6tkF>OC&#N
zD!*)D^lmELu`rpTpCR3$H<n?iMTp8GmJe1giWXNGwllCafN$`LW!M=Z!SdmF7xUTa
z$GDXYlB_>m5n5@o(Ll-2U<t>ETS_b6Okp@ODJbI8Mz)JK%8U%+N=JCYjBeNoJvs53
zK{%G@fBi+ln5Jrrn=CQkcHC4cNS%4kFk$)p5020)e3Bg)-o+RuO-_hp;hcCo_P=iP
zhZT(68Shyl1q)&}2qvU!q-?&uHGMOG@D*0hwhK|LHy=J>d35QON=E7n9nG%TlC2Xr
zTxPXcJK^3GHtiiVRk}KD_FMK${hR8^eSXz>-q$|KTc&sxJuF)*%BGZDFxTRN$ghc|
zYiF}Eoct0SzF*+<iVLx!t`e`=iZ=f$UF*;IbXVEh_=Z9)-osx@*XlDu63s+bE7|au
zUDai4?LE0q?*4pn>7^?9vbFU=6^rG%V;9Y0y<qzA|I%5EvfaUEkN;j`eqOfr`YO5T
z_`<b8ikfCGuP$L+In(U*B%`;POH_(xKalL7{2?Jd<?7D|U0<$DR!!Z>d2nIs(WIyD
z9cCAkFZ)fnboch0*E39yIB>=+H)ONcJZ?8RY2EsadY4RhHwAl_)*{tP1y3PH+2TGX
z#hdD}|2<|lEv;Z=N?Mb#-okOxyf&r<O-u~kM;?00b{sre^t~g6^I<DflGB`w>+&%-
zc=|euUQLWX-*Hu)<;(-{ojo1L7(!wh&csM@H|*e$NfK>X#__Qv`gFrT%O<y-Z11O4
z&7HZheU>w0660>aIjakNxpF_o9QV9s%W!muYs&vJsfFP=6Knc9w{p*@Xl6|MGpD3@
z;)`>g7HxA&!zcZap2PG*b<Pj@nQp~xv!iFdv+$lNWhs5NXF*<g|Lo_JMW!q&nz#1S
zD}8ltzZt3*HckND%QO4RUJK^#1)Y~ppF5p2Us8AH*|T#OttxMrbi|7LX3XNxg{BSs
zO`0?PW1et*d>|1MG{I0YK$yLdK{uh{feh!4&m8IvA0IcKV_r~tqs*csc;aF~mId;&
zT7?;+nI#u|yV)Z1I_Xe7^PE`5S#y_6KJmc7e9g3z48}{$pYHf*!FYIO_kya$q9@o^
zIBYWLeDIo;u~25kdzPM<8#`VaUR7a`z4i1U<HQfi|4*zwAQSU_!8OYb0o@Za7**!X
z-n?%9>q#7;iw`Kjcs29+tj(+D&e?Ee!sMeHl`NO|T5@R5c2Qq<Puh3(>_3~-i#O#L
z$<(e1vEEQTCrN6<&z<EK91|qUm!CYeW3p+?hV;fHi6s9m(%oW9^s65~3S9Yf&c-tg
z`Z7(mG3lH9F6Q3gSfM1-xR3oH*WWiusw-X|e32x#;?2#4NgSLzqW(R867!$CbcM5s
zs<YU^9de8@$Bs3yBr~*j9*$>bO=hq^p~`O7wD-W>q#qMpZ>-5|N@kdPv|BP}jwIv5
z1K$b{^7?d`HyFgI$Nn$w5N|vl%kbdC#uXMP1-DLQ^%UMKc$noN!`yo@$)0SBw>1i!
zJhGi}a?A$5#Mu9bt2%;ZB=ngieC9Yz657HXGUat3%U+(A6VW^=G2X0#8yXa*#1u2j
z-$?3<X?e{vft5vh!e3@*3+5#86V{TUCabnNGWpMn$zup-VG{Z=Gp0gP%V`$NNdX=v
z%M%ryj8|B86iocN;*3-A4PCpH@sfMCbRINITC;ab(k|ZA)qDLpE6=@d-x$(y@uAQR
zokZg!hfV}?^iJHcfoa8l!*z$YED^pe#lrgFb?pBW><Y;Y%Ma|6UeOW5zwmWoMbfUM
z73?`{_fAM==u(vDN@QW)#h5hxNQ@!JE9(RM9T{WvIlfF-kW|T{d{kU!C(p~DEW!=?
z5-}@R@_Af1$(Z!%hCuyF|Ag-`GnqfHV|%)8*X$(50~U;@gd#X%lxC#Vtl7PNMk(*x
znCP{;;~#Oo-?nD=YU}P9&)4nN|0uC8Va=|)*AM-*P1>}6&$s%{0P*V?6*X&iU;kTu
z^6iEg<~4inepP?4Ja~>pPR#n<|L6aiaU$aH?S^zimN$&s*6&%p{l<n5Z`SO-y1i@t
z*+*;CnK+}@?KvL$@>Bw&6l8vZH3oE-`zRg_fzc2c4S~@R7!85Z5Exb=z{<b?p6dsD
z>i-}4CkzY>%%Hh{mY7u`no~?K^R9`j6JMpn9m-!kNz_9^n?-Zo7v1tq8O^!=>*9*z
zWR7nQ<GU1d<dQ6hTE%Ohz1eGd7)0+*l8Dfd$>3zy+{&u&Wx1uyd&cT5Ec#5`Q+&7c
zc1?3`^7ubRcRFwNG;<ZE7#D`+Tr5eRf!1+nCb88nHR9dq$1k8Q|0S~|O0;ci*wL0E
zKeK#K&J>&S`EwfIE%knz(iUY};3@W7O8%AYhN&`Tri+8zD_67XTo#eb;+wlyG=$wW
zZz;<;)}8(=Q&*doEwTB}YcgqF1e;o%o6C9@Yd;m|wJar3rB|1;zh7EAmH+Z|v&Ay=
zvNYM1OD}C@wPFpLc4UhRTUDm?yR!3|LREfCJq1d1#Lee;`ma!#yqZUMs@Tr5^V38>
zO}?{4;F;}@D>4FJy87&&wAojA7M^F?UwS^1Wz|~wGMSF6vQx5HtJd<gvU7haIj_$(
zYc8*eT3{UiwU=(Ts&We~+B4UPscf99wzvGh7xU3oJXup^eCAg8@t1ijSFr0ZWj%e3
z{qZE(oBC7P3$L;G>N9D22E2E^tN-#f&y-6nJySi?x3E8EzZmrPVHMx*OfFvaxTS7Y
zI>F&Q(YlPvOH22P^-MMsVt%0#95yHFDvQM>CgspDO%}(gAz@5sJvf*yA6#_FRE1}+
zH)~G@@1z&6!cq?|G6@N|F-c*@mcV9~J=fSyXq(?y`FXaE@)q`B_RZH=eJ}Bc^6%1O
z6|6n7!c*CgrFJXJ&Y-zZs@Sa8vb+~D2npXA#jDDyGgWMI9PgL4ET;J@Giq5L1j{(C
z6_N0l*1ROQVP1Qd=$y48i&(P#)y1NCSI+sfT;-1+tGjk?##J8GD3&(<(Dk7fTi6e>
zJ4`#ltrMNMe4<sDMVPwKt`Li@?2kmG!dSjUo#Wu;pH#57_&|t-muTuG#R>fO)>GHK
zWIBC@^(ya#DDgJdytN`fqF7QF-%!w%3Sr53Ei1hA_<t7nc%GZu{7*|;c6*6Phng;D
zO}!Lqnkkw;H&sZKVT#<raEnz_<P}tTF0m}H;(Mej&R|fyR_q>&V-#zDZIFC6Ym&Fn
zVV&z$di$5MERnf8Nt&DgQ5dWEYPL_IM}KmzZn>$ja!H$L(w6o!VfsZ&Sx+*ZTEdsf
z9&otX?M7#p$AXLxotMm3n9TGvJ|>YhNn6U`s*TIFB|Tc<pEQM(T`#Nh6ou%oW%;L4
zaaA<lOJ&a2(=XZFrz}lnPYq+qUTRa7%Iw?XW3^V)%T0c(NQQXHHFnt`CjEPymuB8z
z{~aV6!m6{}ZP}Lfv)Bc%^$KOG$hnF?6k_?YUq*Hj55Lk>_Q)-g+PW;tvK&i!#n@ez
zh<)?TFJV>FlKHh(Cxx|s>FV~m`B&I=ik#>6$**N`oZ@Syvv|_Xe63A$wg`ykMe)zv
zxkX^QYT$wGAvQ^uc(wFSRf&ptMqAF$<j=`wx87>HRPJmXYvfuM@sJdwTfB?6Y|`b6
zm>OaydwGfBC6@9jrRwZQr`=t0MR=Nk*&No3qPj-xk(0hz@pLa`Rp$F1&KvZa#Wap@
zfj(>YT9#WXPp-31%@Q@!<*ji~+{^Z!XI9kB6;*t^p)Ac_c6B9YQ9Lzod%X(|vnpq*
zbm_91K6am4qRwU%$8vGMpyHHLb(V;#mE1*h-ft1-W)+;`_D&*hi+rN%#~_hCZl`4@
zO!VUAWX*otp||5kubawDIVKTLj+qMg=14DP`5NXM;w9r*d{S$^#9DrKalR)e(-yr7
z@!KB7(l$plK1fM!df7@*{;jO%SoZ79lwP^^ezh)dC4b7xf9b3W;Vc`L+h?Zm++TmQ
zE|-17R%S1rQ(K?h^kRu$TPrx(G)Qo7OrK$t$Y=5Y-i(5KCr&lp6?1ieknwqyMNik9
zi({XnshXe4B0JCenx2R@OW5@_=QP>dH02(-MXY2IXZ^aA>D;vG*Vrwh*sJ)0*0VZZ
z)6qZ0!oB9)*ZKVB*Ldoqbb|Hb*Rz{Ou~=_q?+jzznJqtQs;IQdakG7zR!jBo@^Zam
zYg^(ME}Id;T4hz*wU>9L@AgYFMp>*zTY0asSjXx3E@e%bCaNFcIc4fHwt{<CcF$oy
z9<=wSx5CwJ@qP!Ush?40UFca*r@=SF`&P)8!`nO--!y&_<a1d6q83Z4uDrGp`{h37
zDzVzPEVA<qRAx{1I}<7+_UuS%ywUwtE-a;~0l#F<s`4rs>|V;-E&q2bOE436i2Jf2
zwn%mhBRlnl>nHIRw5%85ye6A<$#JF6A5T^zi@IGbla`ckXT7r2dOJI7=+iYXS@$nx
zE@4Yr${SiL9im&cuH|$ITb>nf&|3XBvfO9aR7de?^BZbCnZB0AjfJ~x&AcpjHC>+h
zD#krG6;?mJZfUmmqb5tk&S=G}r?0TjTeR#Ho0#|#uBGMAx0+PQcYCo{oebVQFZLy?
z-4&S*FV<|?mAYb)*VoMRYdd}S<#OIrVeENYd&<7DSO>-HiJPsSmR|DI^AhX7J+`Y@
zb+)kBv3T8TlU>Vl=&I`BEdG_5l4b1KS9xTjSQfH!uhohC$`UO3Oe$7PeHTm5WbJwU
zsv*3RyL8o-HlI~{{?)W&*|e8i`BNALuN>|A+3@d*PJMN!nu@}T2Hi{@DHAhGA=4vu
zsp9=tj>oavX{t(V&gg1U_taL{{Coa?Z<(8RYnSunU-mmw^h$BroY%5hQ+1ndUA)9j
z1UdTKXZXzt_xrz6rYVfwYf_{MQ#_Bnm;7aI{@pM6oNXFHSsk^^-z{ZN)v=56pShIZ
z`3sBwQl6S9)&I4VW^`@%AZGkUq$^4%lAU|CUU{(UtLeO_{OonJMHVd;Hxr$+#JuKc
zqZezCQ%ufHg)i5l%ulk;T6(NKcWPD?&uZ2GnmkKi21^}j|2C=NW}nbBB}KytOIXW~
z^ak;StYuMNqJ8w}gv;vZqvR!7mA%tW?724m$h1|{lyge{SWT5JQ(YHi%Hl10kyU4|
z-~4OprCGca_o~fjF|AuF63DvKi*@;0ewi>avq{DmWPY(($FUT~M&-ndA6|Z5aB}%c
z)$>zWPxGqg?d1>E;q&6@S}n4FYOR8P*)`F^OT~5PlrJ$Q`A^PzJNf&SA5ko`MV~D_
zr>rXayL<Ud3(ZjRobLTm^0wl^-mJk4zVrI^ud{FVVzKl47A4O5<ja1sW}6=U(wD8p
zVZ1CwL63XlmhziS<%y`z^AfI((id0LU9Q`_MP7m3I*46=CGVH7Y~rtcwoj5McNRHw
z?fWj)sih(AOIfPCbgf_V?OUpJP=xJL=#RA`LR)2)3u=X&74#I16u9NK=8m{yHd|c(
zny3&*FLeXWT1Q=-4N|tVC+AkwhUBb?V$~7m6LQGic=X2ft%hxaxxv?dMY*0WRn%np
znz<y>{nuVTNnM?=eJsy<cs4D0cSm~7i>>~L=bKHdv2Njgeu+oS%f#@=y}7KjLs)fI
z^0u12m-6;!6JM=q`e-fBS(&V9GrZ62*IR36z#19Gv+U_ly)S%cv)H$NwcERDig@W#
z(<qf2yt`l9)?HyWisJbt#-=a$IsD4+D4uHmht?N<Z)H_r(TU;~jS91q%8k;$ufuVQ
zy`ha~6I(+ZkN3=;jpkbuw(|>26K%VF^htv0{JocT?V{2GSa!}2^}57=>=a9Cd%n4V
z=UQhqgQvNgA|fx91KHJ5xR1;`s>1Hedd`zUu<Qwo>{1bfC?3g`KL4-9WLbsk>&l19
z3gogM`ZxC)&&n#k%S-t`9yxz|Ugr`X)hO1^=^<)ytmV=h4Qg4cR`Jfd!dsKYnmtvu
zm&NTGZ>Ez-+f4<X(53Q*Q&}Eu(R;mx#gWDL(!8r$tZF-b%(m(kZMibZ$!dbk7DMf~
z>|uRkK`aVdb1wbmt)3*|&(0+FK90w8=ifacGEcMl&n{*66-n|FjoHpVdz$RlkO$XT
ztJbpWd&%>9%gXarYMnWBl~s9HZR*sz>-`>)+RwCdwr=J15n-6dwsWg}W~hj+)|{NF
ztVycVg7~sD^$NGDERx+BbLGuT-ov%svD>BxU1H4*GCtrZu~ug8ld#uE>MrxkMX@|$
zdH3^3U7Vl9UKQOaXQfF#5?pCdZfI^5(YI^6X6E`@ZN*bo>()k3v2uN_4T{@YZz^o=
zU%5eCX6_d8LoEG4zEM?TZ**CXYQ;>IKgD`|iATGA|0Fg|{;X-dGH&0aSWLgaVk`X0
z*1SrDVXBBqkICwA*O=F=J(J{=V_tjlRIYTrJBhVH@75&#M=T4ku^f$JzbG!}EpBkM
zXezH(O2oRqm3}I0xpx@6cuKvw8t0m2@=l&TnMETegoiVWhxz1<g$Y-d-Q9eLIg-70
zCC`>EGC}OzM)Qt(Ud-ev-O4Ky=DReDcgs`%y?Y#YU!P~Nl;7cNSZb8&{DPu)TV>)z
zT6Fzqu4R!G<qTy}Ud^&Im@O&tXi*fO!+Q3Bscbu0!@Rz%-I`nI$DXA5^|vnjB-7TH
zn%rXNO=US4&R>20+VqC+UH&K@8`kOi8dh8V7TLH2?L9L44*zwwv(xUx8r|Wa%bR~~
zjcXN8;9N10WrsK47n<r~6=tUH&3c9H&3e(JMm<-fL>{y5S<AaGjK$jRoSx6Ixw?_l
zQUzJgMe+GBH&MD;s`aw8eHz<B^Uy8g5`3z0{EM^M_1E%>nH&sfHGR$Ed!0RXm5Iig
z?TTyTc|%R+X0y!D<#iA--pcFDBtB*8HkO8}@HMtk{5#mEX2-nV%DS0x)s)iDY`gvZ
z!cVoDUAVWH@A-8R$9>mdPG(wpT*&W1$10v2Rf!`v?B;K<3K1;(`}HKR#FT4O`MIv~
z1bXpn=(|U;zAw4Go_~%iuc}&wr*xM0AHByrr$lT%yYJ3w8ObnFo7J+R(*oZ7{rCEs
zdSH~uOx`;|5xH-QUb1PHEoGW7njAKN{z|oV*F}zbg{7*TU(S|V-12^@dFWJ@!u7dc
zEEiX+bYC}}xNYf{V4hdIkF;MB7wlr$&D++Y>v!Xhj7qE*i}hgxy}JTyRcvH@Cf-zF
z2$Q(4Qm|KXo%hk|*H_H0s7^5A%3t&AHE-z_md@0|E%uMwx2W*)7EXT4YQ135lEV_d
zIbOWEOIh8gu&3%O1o6y0wt7eV^eMcR)AYl*zWc1bxm@n1x9ojwwuZIh9;|P+$j;?o
zwuN1s^;s0xyIty&eU=rguJfPDvzzyR6x)^cVxrf1HCC~dgsL3NlHIUU)=0E{>eO1+
z<yqUeI{Qw$B(p4wS0cRaVifzSU0<|Hp6kl9YY8r1^O3!3OWAYYEm15Mr?rySeY_H-
zJ6l#eQ-9l1d2OHh;i|FP>>JkVKj!^^neX#yCfPNgVz-KVNAbt4bO|cqYtmxZ-^=%l
zMK)`#2m`CHcgdyqeUe&hWpzxn!^NMhmPwkzZshZS-F5!PDptXp3Ld>SemWMq2LIO{
zTj8@s<+F%pq%ONPtFLGF<%rwbVL=BsvTVq`&9$+0t7n4L9NpXZc(xs>n8P|LN@RmJ
z%ct%ZA@Orztb*C%0hz3tDJRO$Z@=WM%5wLTi@A)?diINV*8TWeC7QfatX<~F7Zy|9
z?zGF-7DuV{s?J<1-crgYxbBW*Fl+hL<6GjSWUb~t-z)1IV&TQ7y`IN2iof#N+l5<1
z_;^=@ov~JDwevLARcvPp@OxZ;S|O@jC_G?2Yv)q&bNu$HN}}t2MJbsrYk8`os?wdh
zHqT$Q_WF4vZ*Dgh)~#ZX{c`k{%GyrVRc6hLV{^ZCzi`@vMZGgF>;Cg*4`Y3~meqP1
zm*kvS?YHdNiyA`wA5P*;=h5RSyT%u{QcS2s<qSAD-|=zz$^Kd{;^BXmdoOFxzAfTu
zSIp0CbXvjlacf(mlzYsV<(V?^d)eGou1#asWU~%C%c{znWy$<>j`3BVKS4~&A=b-T
zeK*}>+``fjHG^kgPmS(P#wgZ3Gj#o0g4y=#GvC#<?`QfNKW+9Uc`F{_DE?A*+1st*
z(K1cT^#x07yw-=QdGpAx=G6}6wT)8Wvi#6e_UsZ?{na_wuCXf5Rgk;FvU#OU@HPHW
zEmpzMQ2kvj<(GB1wZ(aY``1~?=&ThlRc*bd@;OTVzxr-(M*Xv1Q!m+WY>}8E&oxa~
zO}KeWm=4pjE$$s}r)G9<PgL=a@;R^1)DV70V7<(Rud-h@eG@;seh%M+I2PHh>QS4f
z{K~z<^X?MAZIpPMkgk^fkEP;!Ts4AuJ#Y7@MT&UrSE;Zo?+KcHk0*#%`!!4RWIMAK
zUO$~T-ky_rSmwt}*V}vjslD+u&TCK9mv3RwVBwCMb7noOY585QsVr4d&NV?Z4~VyU
zrS|Y15SzeyGeY{`FS9L{uSJ)9^$GW4pX$@Qlzrz`_O-m9r!84{hS$@-!dt{kCUoXq
z9xt|M`b_gy`gF0c+WKuR>zg`V6Ag~J#aCBGv2149zw<8pW!A@Dyn5_Xo|}cz9;@<A
zoXV!mveRlUk38GLH+{$ViW^_!KN7?&$P%`m^-YNA1g)HysjNvgv-L7FH?u6+%6drL
z)n{&h6w61J4qe5U@$WpMMEcosm;3O9^Cx@Fwd85Md2C6TEPFP~-<eFxTP*!}U+MD)
zUS%n?`!qMwxMi-mH_Oy)cJ8gBRlb%{Y`*%U+m_C?VfDJkUX{gj;bdYASHT^Lk|<Fj
z``N!(ny1QFzG3#_HCfN9<EIzDRYf9<m3uYoysu*0qu5PZ1=q5bhl?n#6=}b--DItd
zR9wGh7VA7u*()N(e7ws`0x!uP_3qwcy7;AvL$Iq<l<4i;=G8|VgIHcnnw{43jNc=S
z=lN^jt5bLwdS%s9dGgPFE8b`r#eP<P@oBHU+YRSlW%uOSp3U=WE&q9u=5Otj!m`){
zJjJYCf>gY-S$dwbbDw>;`#M|IO7Zt0EY{wvw+w3L-4)MU%kL@seW~~1qpnw2jI`L*
z)}9gMF^T7S>doXR85TF6HR#FKm8?ly)h~&9Tw{y(QrpG%?)ltfemr`*1+i02{>rmn
z<7K>5I9Vo7i#2km)tsXf{KJ^6^cJku&z;8~#&TiXR3Ed&NxOW*bKl(5p1QdI?5dss
zFW%To+Ozq*qxi#Bl4ox5Uh48o+-sV>xM)cf|K8Q2+op<$AF<rGL`uN-fAsF%zd}u?
zh=lu|u?qG5StYh>t$ZAt{&f}ID48sl)cq``b$3~Vqg1rmxp&?%teVS~B+DAazGpRy
zPFaubQuc<hmbow4jJC>m@|E4XV>nfI)2(?d{p^Wh@=xn#vTR|!IcKsf%e&J;d{45!
zXR#i!pUa}ls{c}L``0OE@3#dh>x!(>k7QhY=v<nD?mgkUKFcZU_f#int>xj>QTtMM
zJDWeQR_52X<FkYKcdSym5qezWmdN{eO_N1eM~O~&b)+a{-~A|gE7l%w=QUz%r`R~w
zADkjH{Th2%lx*-3xuq<#!&ubT`W$|Jl{Yg><oDWR-san<@-J<9r}@<U?ULQvCs~yz
zaGl<tJY#mrnU!hZ_9t)ST=6eZX5XZj;c-unPkg?G=b@N_pU<u+mapvk+3dbsS>x}N
zUuyq(nk#E=`4Shmc$Ic-o=wlYW!mR?$r$SHJN0tjuAZIK`3}Z~dhzpuMnn00qV(I;
z^4E)O+$#Q=_w2o6Mmk(pv1~i5*izT>OkwBNIXZbN|D7;Vt(E*QmYBW};g8Z?yPPL$
zE9(Q+Fh5?^?L47zM;}gQUF@@k=cVl%Rppb4lT$?mxAOS0yPswf+*YAfa&IcDn%~Tn
zy89cMgJq7`J&B+HmaWld3r_`0ZQ0xQwfv>3teZEgy=2O+ZQdeZ$toBnGW#_vxA}IS
zrJ_Hs@f<PYHJLoeNFk*EjJD|ewd~g7LR;DYv3E}6?K>ep<!u+MW)!P_)HHV1$keru
zf>^AxWOvuH=&$5?7slhcmSyKxk&u^1j)n1Lspc_VZhKe7He+SlCD!+;T<ph}c&V4o
z?D_A<Z=22Izm<(!N5{+DRX1|o{0-VHYS-9|PILX=B3v599?WgLiRoa!=L%M}Ct*n|
z6z<HCh?~P|wUsrP*XC5OcSsaZoykL8PXGHYT2cHg?1D452(6kr_Z8dbID7BYN<wSD
zgt$%8eCEGaJ^8BW<X<KWuCi)Iu{iRt@n((x!TyT%!IJQ2Z1LB{<aXXw^5<1uE7IZ>
zCOdNqM-aP<*8G!OS$?pGdGTw%7U7)E_<Qb2Z<*KGED^c7Q|6RkYqj)LH4D|*E9-V@
z8_yb<+$b)IdB^&uaamqt)O@Ktt&DSS+t+Pe(dNfAZR6MSNAqn7=M~$zh3ownzQtbb
z7xlT9vg${R?A7JIFw=VPHP5a5iR_No*aTntbcM?py;Qpt!lGZrHv6T8H_N{%Ji-^R
z1d9~uGAU2q`+#*--b(Fw)(uNFYma(+Ije?Edp{*yd)nT>wq2+Es^{)h;bP02bC_j~
zr>R7CC4+)htm0D>rxm6>6aG(Wo~~M_CS05OW&;0#wd_?qPo}cWxN`0?PkR*qN%r_`
zR^O@R=UHNcN-Lt+OV~2jizh8%_SG%9A{r1SGLMCOtN4s-?50s7=UB?;Pygt}GJ9&9
z%if-S^I65CM0;1VUJvCJ-Xh4O&1$Vzy=Q^9+0A9OJLfx15?Uea>&J6^XYb7~y!US~
z-k8(iB_{QLim&xj83()0FH_DINflg;S<bTlsno11F>&l_nxRTRnZ8`vwr;A5P(tJu
z_gPbqTvFlpVn1h6w3Nr;E1UH#kqvW~#92Q0sA<-y#-pjNX17E&H;cDsE{i7f&MDK<
zS%Otqw=pC=<-K`Ld<mO^uFlf^_3S^cvI<7=vPgbh#bW(cZhaK%RJJRg>`ClZ+3aC+
z*#zBBS*>MV!e>>=+Ue=Pn(enH3*SojQ!G{2ef?JQnqTGpGKo8#c?LVT&eAo@`KK*q
zwG#QX)I^Bo)UEaRii4PDJ)L_>M5<fUa((Aomg6Fh(;8MEO_>|V-<!=Mn980vSJ{un
zeJgLv5{2z7Okd-l6iI2%l@4De@_sA77{AOU4UzKpQ%6($_N)6%<Gsn3J0*8F@6#|A
z<=nORwJPP)&o7v0&c3$(=G0lWUTiyAeZARIS>LSWy?^D2@XcPQD7C=oRr(imE@!G#
z>+(n%GKOxwzDaiFm3>oLLc?S)cxG6w;oQWe(A=-_RW*}YoMlZai`SLnICUFs^Q*Uy
zgiU8D3}dO?J?+a9nTh<3Tlw10ew`l5BD-3}_*2V?yR+wU`0r(0$?LF{{}j*PpeIe4
z?CvXFFR<zaiRNU+*H7(<5&5iD%3>Pj-_E17m1SqvTAzn(+{Ramzee#NWNDbs8)vkv
z(n?HgYDofnLlpnDrTltZMdVmzqu5Kld@tz=u4P^P)Zp71XIEaEwW15RK9f23Zi>i>
zImX&2SxYQacjzmbs$@=F!y2ENF3Y-Hz2lk9!u%CyCYdEOJ)R+?B5}XiW2N1L{+(M`
zVpzFPwXe)zS-4i@D67jg-N;Eg4Xh%L>04yESQUa;;(zH_PiKnpWe$>^lFjmID=W9$
z1wXZlB7^z|iCJMHOWCv87furMRtXG~{UzPCeXj2}R>x@!o7pw}_-&VpYi$)(31#xr
zlU;7gyF^$~{nb)lH`b&rx`Hh3UiwpC-u)56TKH`4<}0iVqj;2bH|Vk}PgS|Z!*ETM
z$*o0|J#n?JmCf<cr83=5v|pd;S-XWLn{|fGvCysT3$L*}o5ZDFQUU5pcC$B6W8Ep7
zx8!&-yZBPpRP|{~8BF)ET;kc7#mb$^etNc7uu6qGYrki;px6domzBKQTUo8yzWS_X
zdA?rCYAS1k9`}~IXCgM&*txS@&raoix3>KE71r7+zPMBt+1X}+dbhG!ylUC=y!hNi
zgtnI63u5ibW__<}x2}KYUfI+o)^RNQ@2|LfiL&a7p0i(aX0FWfPdgbRrn(z%ZZdjo
zvbDogDDO#}7r#W=tJS=gTSXQM?bkUH9va1-&0C?%B)->YX(~q)`-YdYv#yBfXp8;+
zTk_Uh>5|TyrR*oZ@-2?ik>X|1HRH10@#Pnf#!{}i<sUAA1|m8|Vzosw=lXZ4RRpo?
zzht|(mE}Eq|21~mUo5_<LVJ&e?+jt>T<Rtm%KPG12y2w^>?~esOJ3vdc}thxXEU14
zwPNjw^+Eh|E;aKXS)r@7FnijE_Z@YUEo0=nuZfFCiD=5~_6#xNG2F|R9e4hB6<h66
zk<CZet(tq8spPX&rm!WC_De3$P`fz(S*vxb!dOiAJG={tm0!tP{#8Wiq<8F^Bg;gm
zU6Wg$&A;p_YwfBOkI*wBS?rURhR&ABi;}srResx@y?>t{NqxSB$5KUTT9<ODsUN%P
z%(u$ZPAV|z%(>#o_9%{rL9$c#DbL|~HYyXGC%a88d%JwnMV`Pc5kb$08(}&uNm1fn
z{L@zRtOyfnSidHHQ`Z&AYd1Cd9DMyec_sB4@(M0_E3)XQ&YrOJ*+JIeEq9)=l=z9N
zzlt$g!gb*c$0fNnE7|qG$eMVuo!I7@%~G;fzLHIF^ZbN8d<(XSH;UQBv35@HJ9EwE
z>M52(oyHkD%4^xD>NsvG7tR&*V|8E48$C^s;pqp<y}S||EdFd^n8K<b#WZEv)rcUL
z^4;rZU*)x##G5Qppy2JZZm#EMcb~mW*&@T)WtXj4a78sUOVn#6?;O9q*VwaviENn4
zZoPK7H|x>0Jn~=JxOcHSE)`PWyW5LZe>>mJIKH{-c|zl*pH_*wzBG8m`Z&yG$8x^)
zpRXN`i4yk~6J5_DJMBseo5i`Shl{IN8>X^^@f^%r%d(&EW7wOenc_cAvijU`znZj^
zRdX6^!R>OD*Fr01dd~UJG|Rqc_EG&QEX~Kv)7P@kW4S+-#bUeA&QR8jNz=G|4l})p
z`BSo-WoIbs=3g?5Yy02j9I<%q&$n{D_@^nc5xm+_ET%76^{=p6Z|jMgBD+R--4^yj
znWs_55>nS3-|c(V#Pi@Tmd@B9%LJ9cY@PV+*HYQ4{9>*xWm|P!eo~g2cIeUeNxZ95
zbXG*kZ)JJG=Y6VQaw^k~DWxGIM?X#0RGJyGRaA$^b=%|zO42NzQd~27RcuaMDy(qG
zVE0<fZ^I^Nd?h!E{{UO$de&4`2?2Jdr7WADzMjIKbTs)Ae@7MDiM8y$rjkLfVo?kX
z3~UUL`F;ilZU+XG`Tr}d+-q4n<N1|#&Yc#_TK<w%Kg?Bi67LJX8rk%7y;({Oc3at$
z1&Xe){`O*j$<n!AM|mm>_tssPc(17nMonc6oBKA1b!X%edoP*P(|peVzGm_>>azZB
zPG706#Cq;2yXn$g>y^wAJW;k&*p{;Dg!`#$v&Srca+qB_=a}7UmZ^8&-8T}_xxQ>Y
zyO%2KX@2je?8-js!BbwZ<X>0Hve_$DlP6*|D|c?6+)G(i)f!Dvqw1BLf~106=Vq~N
z)|I*WSg(}TXp^$U+1R<V*QRAg%f5(W*W7tc?W&CJt|^PJiiSk71dCOyXW16V^X03T
z2#?R4?V`P)u_4jYYwY4hyfsr4vqalHxfaj2VX56~8Xd%9v{v7JEBgt40iU%mvUt_s
zvWTzh0Wn$QR_ZSBnKMQI^`4JjtUZ%5CVJe{^9^b7%VPO>a>HihMgQKXtbbbee&14l
z;i>$p>mFxc<q4W3n=WR@xO2%qE|IoVy<B%+%dubOsR^=glW|_l^J2-8i>#($?AfoT
zbT2u@<R&?tyFmArpKF#DyV~;0NxXW|q6yy4$JtrEeGGUc=g%#@<f9ekzeTJr%BPWc
z$I>aU{d{JweDiaQe1PxCY3}D(`=i*!SMB|qBAU8XN`J51osh&y%4XBvMFsU~sT`9G
zT6Xx!$|?S=*S4DEb4|`UqQ9LbAWG0ZN_`i5Qs$aDFSVPeewo6<Yx+EhRXm$z>Q$Cc
zr&#{A+zPtHyU*=%6uY?nww+sfLs;Csc{^C1$?a4B%VO=tvbNNFIm^YRyuVm=y!ZvO
zc^0e{N#?T(XE$9dDs+u!&3g9bUwulwnKZ+hw)1FQ^Zl@vrztFiX*uiH_?E<_d>Yr;
zuV=|Q248s{CI3#9L2gO#TJ}VB#hRlA%lR2fj`H9BBU|aoI!SGQ{+gxiZ86tQXl>cE
zR%9Ma-j+HeUalo-PVP6qs!m(UTQFzeMAkQ2S9MNbvNb4i{dwHLi(hr6KI;;xFT86m
zs|(c~5sQ*l%4BVr${xvg{0i%*S28}OzPnbjhi#Q@3z5CTy8M;N%2J=hd*!=>Tv9xF
zuR7nE_BP<M`phDw)ob=F<%v~QI$zTMmbdhT{hf7tyx0{)Yj>{MbLvFq%=P<%SdXss
z+4zM`GmCZATK4!g=g*wk`E<?xb@4oBr;0gE(l6Kd4eDXm6hHYke(~Bvc3Wj9>Gy}R
z3f?>#|N9Gjp{E&3$|5VaRg3?uSFQA3U%8%F{+bE9mwIAW@T#Mem#8i(Ie&>~eiZLv
zYvoB9FT5pAuUwM--CxUgdE060q;*OfrCMvvpRhbi74n){5h!B)ZtbC9_5d%tPu8+U
zeuvk6igG(>*S}tb@2kj5&81UAZYY?{TIIsBRaC+M#uqm0RW_EPtd8CmvBx(q72i|h
zd(VqS)A!y~q1z=--L!b8Ol8;Sb<SJMAId5i#uB;o*or8gl-Ddhe$%C**pqlvSNg|I
z;pffC_F_A<UOr|kPa@0gX^O9puF&d~-I^fC$@?veEw1`#^zW@A0jz~RkI(nZ_=}fh
z^9r35*v!$}o_5dga|QPbqk_X%FE3|r4i$YCr2SZD!*c#DTSb2S{d_G%O_z7#UK?w<
zS#j*vJO6$Cf0ef>OVn>Ij}o8Hb>36+mModhq`YT`7ypsheAhx*^tE~J=uf$q#kz1W
zTP=^|HP-T{ZDnDs8JeLwd*!aPt}1!DDvISXJNIhdgIm=$z1ig@xBLAv4c)EmI-xpM
zQ+c<q`x41_Z#_@APhWz!@Iv-Cr}-+D9n%!&_FNzsuEuptOGjj_xFhf6Yi#FMi+1K-
zeW7*adHIx;W>>##?~7*Bxy0JD>CBQh;Y*%|Zeh`3;<i~fZ_@J`)~^nRJ=0#X$a?Wz
zUeE6nq89aX?(Hw4A8xT9J!W~mitm0Z|KA>)yiBonar?cZ(y8mJ=CUNQmYcOVu9B$@
zxw3v2>#D12t^2Od2xgg;{hw{-iYIfYZqXCo5;eDI8*k(h8!wTC+B}MzxACy5c}4gc
zIb56II`51^>^81R8U7KaM|!<jgV&lePh$SPp=>g92`lR*p4(NXPJ4MAcpsj6C+@+L
z#~QYlJ#Q*|!0Ssf?bkC!EcUW->qbVgIzDZ&3}!Xe=C``uqn*j_tIN~Gl)cw1+Pu=s
zyFH6_^ICp+cELRplUK6aO%hAaaVcTz+_qIBm|r+Zl7mIWJ5icNXRYq<%j}UsjDk;2
zWwL1KvUB^1?iDNao%&^t(<LrxeJd~3)hz2X{Y2ODM6s<kUjKT1xUDMh_Cmj@tQr2I
zW`8DTvCdd4lFd?hl~p`Tg!N|`&(*wFm-%N^#jQ@2pSU#6Pvms|UK3Z|(6u2tU)db3
z`0AIMx~Ujkaeul}<kB^@IoYhTQ+cdhpGL7%?d9#hB-5}wv~!C}BOCX(R;S`9_PMGj
zCQV$=yjv#dntWUltMZkqrEINlch;Ox*w~sfCpA*VQH-grd!jf?^ELiOlUUbI+|6P)
zNx<dIhy3mdnhpCVDu+*N3~UXF692@Stv}6NbJ}s&iF^4>cpavRxoezw!pwBXzFL*v
z)1PN8O8`6fRo0$UEK8QGUdG}c#d2#uYiAVy*_C_l1hbf~o1d_RHQs*trZ?Bhyi{`d
zroXN|w3JPgWrjEJeO+sQU3ONxIdfHt79RQ{w(AnBEKB@K8Kx=Xeyus4JWAR8deg7<
zv+G3ZzFaAKY$<!8%Hd1uy|-i;|A<9p^5{-wwR_N{k}dOOVkXm<e7&hTznC=dT$uz~
z*0!zTh~Ls+8LmlUkL`?Xt)uLNpHEY`{ABKG8A;t|S*v9}hO+ca_sm(#eqB^BO!R%`
zw7$J;b}}ZDcwVzK)Gg&{dOqut?5t&L_f0-NM<)Fm+mdW{&8@sU#D9jdroLt?{Hph?
zO6>DqwzWdfeXgwB%id<URxX46>r@{0^V`>6(OGL{x@N7+8(yDl>^-;Cl&-9rs_uGC
zmwS_8@3sA5Q93TF6RdpyKb6Tk;^D<AYqZUG>9dur%im5pxaGvAFFp^W^goGLt>(Qn
z=iW<Qg&<bD*E+LRSR=jfEww$$-ZqtGhOYRoQnnJW&=aDOYgruQjn=5$&D_go%~Uug
zb~<bJt!s;yuuM{A-Ns!|zI9d<tD1LRm5<+25qp-|em0A;S!c}keIl|bj7Mo{jq)Ye
z(@|_0vP`dRCT#H+<KG&_k{9G7$@(>$MSQDlr+n=+e)GMHwboDTpTc_Z)KQnRQ|uqF
z&RW8Ib*n$;5tp~BT#9LGD>)bOehXz4KR3H~%iU#WEtCB!d>Xf~X0TT+sWW1KpR*+Y
z`MlUDe#4u`9IG^?6Gem9ir4bYoyu}cHB+m<Z6jMu9Bal>p3orCL)rX~*79_-n8veo
zzEp47^gm*+_@AO<ue9PX>}7kyo0a!cbW82&DLiw1OZ{Y%bU)pitN%XbfEUZ-?Jd{$
zUy^Cf5)rR&H(x6Hb!jty+3KZCNz-rU&bQO&_TI|6P<;8O<92&l-bATSi(>!Bde>Wg
z371KfUpvd%Z2r)t>}&l?gG*PGdQH8|`gOaPLCpFkn&R_=--y5V6$;^fw2D>#GT+B+
zcG<0_L9Fh2=iC0Q7x^E>dS(9-WiNJ@okxr>*(Z3hR4u)}(zfd=>#8V~P!{FW@^7Ag
zo1@AfwW>?&JBzMP3={YHc`0FSFM?U7WX(OFnCN~(p_%FU-155RtS?j@jwl4?uQ~6{
zU>EbJuI0Vwk;c1PC3Cile&TPL#;eUXuf#=u<(%!&VQODj%U<5f-_0&~O|I#s?6nfP
zJ}cdfy|R(l)S_AA*Rqxyt(`TMPdkpae7bbxm34+eOaZDtRaqNKd)9fW=U%N@uEV#K
z@$s8!m-JPmRL>l_G?z8~N|<sKOShK(vbm|Y`(A#VzSfI9VCS_BapB5Q;;gcX*+*i#
zC*Bt_Ez#xnVxMQDvsASF`tg%~7rb<sydx3~l+ymyPhP)Z-?b@6OIg+8n3t?u)270k
z%b}Mk_hL$1X7VleZFApBNEL_FlxL}4y~?5=C340r-IGi5$dmQ<dqZ5Vo#sBft~Dl$
z_j@RN=Opg#<pFkSQ+R}DGW)Vr&DTHY#ky*(=(lSu;$A9Us&#ACr=^PBT6e;erC_R0
zuhm}G3%BRGA5owCzxMQ*XeH)7YeQN8@?2K4)aE&`{B`V6tzh0o+3ey=d7^!LUtQ7l
z%6xY7DUaB`Uss;}^%6;t7hWnKy;bBG3wPF46O$<RU>T;VtUI-~vTkO-dyP%dbLL?d
zuhlGeVJubC^|?#fHZQx9^@YzfZSKy=y+8S;SS`Ij=Z$4h>=WrzpX&GYR`I2I@`f<y
z&O6{OWL;Z)lB;De_w~GWch|C4u?iNgySsPZfiU%5lU_H<?VGp#ns`8zh#;%%TG_4B
zjGEN6HceUoc`k3aSMRp9Jyn;~4!mU5|Drk}==nt6S=sEHYgH<iUGeb}PnbFPO|U-S
zYm>!WWInD>^OrSR%2Kseej)3OOKgs>`GS@*emZL7#jE&9VNd^(cun(Z-bb{So1EEd
zdP76+NyeJT5qF+OXYw5Iyj8+%%Cbt^cXIu_l|fuTeI~DD?cb{IVb!+e@Xs<)Z?%L=
z@6=h(X}K-?I!Dga$>$CKT$b5ktlY2Vc28w@%<#YB*X6~o|9<;YQJ%YP+oD)&1=Yja
z9(wZpTFav5z2M3!*4bP5ZM)2kTkfZSIjFf-Ux7VftN5|JfGw;XvP?m`RV$zTy~0{}
zDfQ>Nr&?_KYh@4dZGFx#^-%g&Q!U|)C9JGZzi(lG#Huq*JKe`DPDT2((CIk=VV8s+
z`<Z#MTj-wER4Ix+X0+bVY%6;n?~Q5RX-~eyi7;jJNbY3|=5?O7`_c5vVZJt@S**|2
zvOnOFiDEU~F2i+=HU5&iG+TK#i{o1HSFD0XS879}_~lt@=f`|m!n!kLZPB&b_3Tw;
zOFEab8C~bCtZLETDbD}&;ANh!DApj&7jbR;p7L?ISL(C2s`eU$&*|L2)c<GdnW9@V
z_m3z>on~-cQ@=;fFpf3M+kO(4%&we$Tlv@VMyv4Mwq8C}CrtFysiWK_t6B4wl-=NC
z3=x_Ai^Xv%SM=1&R+HD&i=2$|kyy&6=FfCul}pD|RV~^0X_CP%kD`3+=bGH9(`8SR
zeYTYMk!a~Pk&{t2fh_vkEXv_)YNJ#_Z6~i~QI2A?e)jbC71@G+#}qHI*K4uf-|FYb
za%9t;wNsfM`K;;7WPkLO-|4vG`>p(?J)bVg&dSukev-+Zc~AS)sUjKI*txyf52>Ah
zB@%Om)vk*7Y<bI3z1A6f*{nq}f}R%qVljQ9y<x7jR{Xv8m9o)OS(eBw_TrV9?z$$3
z)%rE>@vD0OezEv&6;Ws5*7`h8Ia@q1mbJ>u^geILDjw&p&vx@2xi{rN@hYD0S7rO7
z#6<V5oVtEuy&uospT~K;L}tI-+wpDP#Pd<IEFS7!uI4gIt3|Io`Sz=~NOvuNGK<|(
z>wXsHX`Nw5WcPk+u_{`2lzVd6%RL{1S<h*T&e*FrmBmpj|ENrT6#oL*S*w?vn9TZ&
zMMrO{%x+$;C?1Ekz7wXUb+N=-t>vG^vqn{PyZ@iH><de6uCiX4xBYk!dx_S0?zQYo
zWW|?$Z(tD*-@8E7lwl^vy0y_;WM;`OJJm8}3j2;ta}}1d2B!vmTob)Tv}fNs&$|1|
z)<-X4b=f=RvUqBi2=~e#w|%>USRFm%&WJ=t@jKX-r>=?q6=$ZmUS{{TZG2Ju>sd^<
zvXlp%{gmZvvzBE!D|eVrg=(?T^2>SUa+g>N=cb!&v9Au-588W2{WtGY-vc}ox3Y7q
zNLFp%%c=6mi$5oucbfN6wk&p?Oye76lQX%r+)b92PBw`>vo1Qyyh7aKG*i<0=sQ(x
z87I#^)nHv0xt7;Cj5WZUIfK8_n^my=!|!dEqM58G-P>%xq%L*OtY6F66sC8C-O#(t
zVm)cGOGh5_0~Nd98b3Do=lnGbVn6+hML&pVmRvK}T9(<qe!(i2*7AReS?3bHg=G?B
z1JChmB1@P1%<;}Wl^OHSlPM$r2uu0YKiytFXV%s^eifY`Woor|*;J7SlXwnvs9e9o
z>l&s0iAi(a8pq4#XNqKV*E+6bReP$+*|2oumWpjX|3r84_V(P0Z7+W*rnyv!(>Lmi
zT~8I;wwJ0a<Q8nTF}=>QJ3NQ?B=4Ge?k{W~PvME!yZ+S`-i|811+^xdcB$~F@Ut^T
zPVQaDs~yGOoGlXamnU%&ljgOjA|Y0HS*kp>U-C0*vF^NmH8E4ZN|W`sXvJ3fU>(^w
zo)_6NQ&)1v9c7**d!fa7>m^?A)xJ5`+2)qD+`jCWqs6NBc6r1V!B<;(<}g*M*xfD2
z@pNu2DAg;!Wm>Vewfd#3=Mv_NEUeemL-N)+da_QEuJ_T(X0cY?yFjmYX^1|LZS9`p
zs=URL4E^(Fdos*sV-l|N;%#ERqOYd4e98;{#4Ea9TI~93)i1HWoT|1+XM-2J<7+m-
zGhZ(1`b}Od^Frj9zfQw+@&D5}=dxV9v?p|}?*41+dv>W#Sjz6WH^?hAV%impOX5kV
zcn<78{4GjfQtX-C;f47tR)1pp@}^-bd)1~JLLLsPj7(xTT$l1_`AnF*q{3d|8cU}a
zi?uAfZrS0N6;^5$lcau0Z1tI&6vgk)BDm70@&6VcTmB=T&c*FLpSJdkPvC0S<_rOM
zmbLQMvcA_?HShHvuwE;o#gccGy~;~%X>8U?mL;LKuD6$}`<b4<wQcPczM?8t9Z$KA
zKMY(e?79!XZSP$p?#0wrb?5F@)`cuPi`I*;=Wkb){mx&ymY+-g_7jKJY1giaXGHNo
zXA@k{D*M&_#Uv(Q7em|Y)0Ta7Xuab0B1&co(`+r57oMz}Jt|yYsItkbvU~Zgc*$z_
zeCt+rM>gv?-eqgq3%9y_Vd=jn7Py+{OKHl*tZ6lCS@Xi*2k|<;X6d}FVwvrmv$#=}
zmnEw9<#N`w*Z4n1vFzu2y8F!DOZ*jHe6CCv{_UUT#ojt|jiev%=ln0tU&KU$Sno`0
zn%#3VWX|I()`qn_W;&~4rf!PTIV9t=RYZJ}&kFX`FxLK;Q)+f?;Xf-nZ3%b5RN>34
zYD=d^d$CN?E!&dH#&LF=-B#B3W^*U$_OoouvOgj|S9E(wJztdTW77pM<;s_G_U$$F
z;(fAhsl;WUoKqr#OP)k~dQD@!<|(x}b;;W^TjEqgvTahI`g}gKW!+Sj!z??WunO)e
z$Xm<4fpuHZREHp;FuR4zz6NOR)%zssy~WR-#dOzI-_ocl8Z6D(<_p&H&tkn8CL;05
z-}nkkv+9!CwZ3vJB9r}%S^iZm6`K}j`c>@AC22eU;vjZGm99*76J1sZiwD=ggnP2O
z`|2*|dAp=XlV#<!6-yZ$pKyEcje5U@_0i;Z!w;(_@ilICX}0kHcFODPWs#oj@V>Py
z@hq}i)LM9~!bI<C^ZR5smw5S_sV~x&*N&d^ar?FSV7>UPa|LU8az&*}&o8@WuX%QJ
zNjCcoUDj>E%cqJkv#Dvl6tcNH^|FZWvM<4YB4*j_+@7K#$HG}Sg4o1GO4qU_U1z;`
zN#KTio|cc+TAl(k;p=P*y>dUYyX9Uf^k>Um&okL(=Zq-UKGmg~ESl5LE@D4>Yu}8`
ztVz@K-f_;^!oG^H?%bZ4%UA=Jx*uWraqT|Sq${PosySz;um>O6xc3rIL=-zWyJO`#
z{#Vz{t)h6AiKxG{J$*%%v5L=aExRmB`BwI3QHdy)g<ILI<E(7b=16@tWv`Ok`ImKD
zl+8Dhhw2g{(XDZ>SQ@6P?qb<ox0Zhy%f;}${;Iqi<M+(X<T;ZoQ#`lv=#q1LL^ezl
z>hYZr#1cGj%G&GfC8xw^x5aN4{iHHk&rmB<nuT@$%YTc^&6fN-<2N}>*6_8Q=T=h|
z(SWG1Y`ZPtS6Q;Nc+F>TSexo~X6c@lqMNt!yRmj=r8jh5{j0u~-I|AYDc2_Ug_F2!
zdwjiE_pfEuulvix9wpjh>GX;(^eLD8wf9TJ6iwN;@Xr;=zs6pkrMvbk&r`kL%Bj3f
zXKrY(Whr5An9@6wWkc3hR$q4UX_8fYuW3ojuI!n+eqC;c>_JWQIsCD~YxP#L1katY
zOh9MK*FN^DX}l{q%(hGk+Og7~ZCg;9S88yS2sdlk`gf%|rv>z;E?CQ-CZ2j#q<j+F
zy!SVFSfcpirtO(!w^FO2WPUKqHnp#_=dvwtQJtoJv`00V)ij&8V`{wx-_|&JpOq@o
zU)b8B)a_Xc=d<s$y2^6>l=z>sH)^BA6WGe<3hoMF*|76l?^X6%BfHP*{MV|d+*xj|
zr89LUW7U%jTX^1zSWjc+UK+GNm_^5%d0&X&di|$9Q_@Z{^_;oBhsW|7KZ6m|(KFY-
zda-BBep19eVV%Ni*3O<aEIiTI_|vm=-iNPO$ZxwaNtBJDM}_&f&T>yCHRs(T%a^Ji
zo+`F~$|)~){jH)~uCdJX;;j@ho~k43B_rr9CF_^3&91PLkGH0O3%?kPU>K{{YPO^;
zGD}!vwy+#+Pj+Xin(EF6>c#L!Y-PWqk{`s{k<Ie-B=2g0$gjOi+22j&xA#r9)8&m7
zIDJ#1>@>Uo5&pTiS|>7_vaB*Y_iQWAnJ9UyQzEQAYl5ppl|7{w-=C^`eYJn7zfk|H
zW1@aG25aB(T;*MsB^$k$O<!(e9Cu=r%vRa3tb5Nu%SKJZSbZz!tqCy^i(+qJ`>~$2
z$~)O<*|}%e^xdO)&120oS&nYy)ngIA#;(76uI?39t5Z56EfQXt{I_4S&hlx0s+IJ9
z_qN{alUR-voq4jJ&uqF-!?)R)Q(2pNj!m1lNaa|ZnZ~#7+{sLQ=hQ{F%GI#%3}Zd*
zE$hJAd6KET?WPX%IaQWPC6_e)v{)1})qb)lzh!@O{(0VBwksk_f^<8h)S1;q!P82G
zQK4ZfjqAmKhRNMr=|63<sH<3Vn2z;Y7Hd^jcM+|%s?0vq!da@GK0WTIyK1e@r>}fL
zL7dlAsx#TAyydxi_SKZFooq&NLU!xcWKR*-DP@b2sPUTm*ZgX>>GQSI{_@OJNj|~%
zYU&(j)|e<3acvoarE{5iKQ9#zkQ19MTpsAMX6vdeyXG@YKQ@8w@ih_7D0Xgs=aqAL
zCNWu04b|GpdtCI)67GWX-c5Z@!CvgAS!T~=oqDU~;@?c!&6?HCX1OzsYrg!5dU9c@
z?5Am}^5-r@vgt1s7u{mdsS~`ZWqMGQOc}e+?Rj0^>^f`x48qLs1x?w(-mvZ5gKO$4
zr&z+)6kO3}@7HxHxMsZ6S4(D%m+Y69b}y&&eOjBh+DqJNZO*A^Uxu~p@u4h***<1}
zSsbJIPq7Lvop#on?euz<T7O^loon;_SR2-|KAW1mmBlFQEAQe}dmU1QV^%%Tne$tf
zRd#Mj{Z;m#TSQN2c&a=w<mL>2lX8o_>h$Ahn|ego%DkI;;mj4@^}hUJGPyxw%__Ys
zWlW~?J@<F=Vt=C<dT1+aw(9dZzV(~hK2O~=ho$P8y5f43<fl?MTUV``DmOPrCeBB0
zInUNGpPZGtg;#i-GsU;R)ZOp$=n2E=CqceEPq*qH3S$w>X3OwooupD~#=bnq`jW^i
zFLrC2y;-bZx3bKOn;ym%Kl_Sgkoc15Gta$b*R1ys3}-p0<r8?5Np{cVE&R-;`B7XG
z>UzFvdq!VkDr`Bnv}bLIiG7smZQ*RMy?y3?R`YC`Dyqz4bd7iEC7CAn;7z=9|J*LE
z>sxv9?XyLq!B=FuqeM;w+r45ndSYEW{kxaw)F6c%eQQrPO_o(_r~6-LG2P4J8z!&$
zdP!Rt@6*++;w3)2R_pX!&6~A~r7cuUH;XmzCs%#v#wFYxJSJaR3%z6;Sd6yvPrt@u
zdP|J?>6E$b6SsAIoGsgWZ(79D`^Dl*SzfDNy;i24rOFe@>b1YakNb9zCnxK#-S?DL
zxa!h4R?bf2so28qTmNDjtFqd?Gt*0-?SDJnKZkwNHIX;##oMm3T7TlI?EMfwmu-KX
zsn~1%wLR^d_I&yu#dBdf@6!+-t8Cu5Sij6FzRInlX(Bn-c%BBGi{brsTFPSY@wu!$
zURy<%i<yP%uF|xBJN3?ccb03cKBstd*3Gwi$!47@yuVjdRC)524PW1i2lO0V`-HW5
zjp=2Xf-KgO`~R<fT6Xi<ThB6k_JC}j2`jl6pDa$%;$CYN#BS>4YpEi-nn!!7;0ZRz
zaGvh49+_RyyiIF)YOb<!M~S{l-&w_GJyo=E%ekzn=J(nAK_e(;6XVybFL&80|Gw>F
zG_O+bKdutC&0@<1*77go*)dJVj%Rlm%Z9Zq`q6t2PGv4D*>lj7Ri`9hUufY}m2aX`
zz4jj5%i<EW@8D|IW)*8M>qARLXS2+n^IPAdWXZZMd-rXTkLI=dvSnwL=;K`Rqpiz-
zzG+%<f+uV5Hf0yRldKmVZ1;9dViEteIxT}me{QKX>rpQj{rD`N)|HNbRnKpgNnult
z(-&O1Z{k#$*X*@%?9EfzV#55ZgYu8_GM<**c7N{+9_={w-n!)yUMwA+dUGWb-PUOH
zt7Nn5|723$)0$N&v7=S`5=+b^u3ec^&idW|vs7{}OT)G<K{aO6o7oq>yuP{4aEtz$
zFxHpearQd*cdpeBHf7G{xvk5(zod6*+)|c#Q`xzvdu**<TKbds;Mrxfqu7s%_(itP
zd$onXUL~_`E6;TQ%s5ulwet5%`7U4bkx*fdVu@t2-pUd`$v>ZGL0u_JRi@2~sbc(|
z`Cr%yqgZ!}mM&LYaGfP7_smx>{u|l4+|g&AWtzWzDJr|?{!*<N|NI~k({1OTT@#Nv
zWm9$T*|lt$vrBpFL{6x<9hCcZ{oGG0wk2CxlTwWQSVVTOF?zp6{(>5tpYODlDh5I9
z`kDT9YeTcu?v;wUYU%t)Wsh&0uBWP_Bf?h8-g!yAowq4UBrWetw#yq`mImqNYyG*{
z^n+PXs4`}sUaGoMg!O5f=6owJla`axacxtdE|pea>Gf*rqtpV?IhAWecp1zN^u?cj
zEB)rJCU+ozvZl;y_OQ+K4?GF-;(4CU!o8HArNn!w!zPwVU)$!F%`Mm3+gduWY=4|@
zX=o;Y@ltiauRFJ>=P>Dqu%piZCouf~E&Ktx9)M9fNZ3-gGFv>*Rot6jTzxfb=M>Ri
z*1~&xmt-wx`M1RVok;Ih9>z<G+xb1KSZk-+n6c`dJF{pi&!THQT1Ko*XP2yG*NJUQ
z%4WHo$u(KM`ls~YXYye(`@GG!v&gP=d%9Gp^N;-{-o`B64<{!_`0<}V_9=>GGu!_4
z{9;S$n|WsWoLv%{CAxVn`vZ}*Y1OPbxv!>#xi7YuqW38z+e_q6wy5aTw4?6ttgedO
z_{Gw>g#Cf4&DNMu_jgxVs#g1SeNmek#eY*R?(N-|eyl0_3CdId%dy`yI=gDBeD|b?
zSci!<-IL9FrmmG=FLJJTE$@|`^L@XTOnRD<{AS(%67e5iU+-}3U3O;G75#ZpXQFdM
zcTV|U61w%2NaykyQJHI6ba`d|H7;*n$olV!=*uW|U7b%aOQg57m9jfd3Tb93w42Jl
zT=jgEytX&%PL32UlkT_t+OrOauxkbhO<-BLTy$62+12l_ERdbbdqm8sX!@h|$DS`?
z<$Kb1tKEN!XxcPQZqt+~o+)cZKVRWh4H7TCGdoj!(py%yxzYMt*>$9wYC5XjmS{3<
z3R`_~iYgnk_!X85mlXW&%wDakIMvUU$Is|o!z2ZX?78gCVSNj=Y+^E*K3#cxQsIN0
z^_h)R`CINCUv*l#`+M#b+r1N3gEn!=#s#sMZf9}7Cc_>jvqRnWwOGhi-Bd3Ve?Qmh
ztP9Jgyz@RCY{veYt#c`_bEw@F7LlqgJNKGSVF~bKTf3GwaT;rnt4`R~ovLhSe`<Fu
z>(_qEuQjc5ZxGA$tlIlGEgWY&ec~KF=eg!qkzfWRorc0Fmp%pcN!cBGtZQ_=cx{$W
zclfGO=f!e*OL>;9>E!vVJuE{~!n8z7rusO2-TlP+eecCv=a;OIiMYgm$Wz{g&38S|
zqnf?lce6x_Jmrt`wg$}83Ncj`Y0SI1mtE7UJ!VSlav8p9&hH$vuDDz)yKCaf7rmZE
z_A1K>uehzOg`R5jS(2uzZ;ums^^zy$63gtUw8OfB`Nt-0zrv;w_cL+xTp8~u9!1`1
zseAffrf^N(+vmyNmb<^tQc{tj&tWP1a`n}@v%lQyX$TfMB;CxrR%Y>C?rD-@XKs12
zsAbpad#Z)B{NJ+Vevry8vG>bW!%wa2TOt=1CtsFrVzpIv3Y%%wTJ}h>W8td#-po^3
zt+z9szB5N+mEPASVg@YM(JZU7S^r&S@e10h$J3<Cs=U;8HIHh%iqC4b2;Dn8OWEdb
zzqe(%Jl9tB1GlzqzQZ#`t8a?we60mKYne24Hm%M3$ln;sJ9%GQa2RW|rds<_QCU;h
zrPc~L@BCQjEnU0%ioE$P9fi9*pMI{oHPP&+?X5|+QUM}&mY!VBdOb{BI*R`_>+h9p
z`qPD7a}P%G7r*9d4R_It+Q;rMq|Kr;H70_s#7fufh-{>1|39XMcdFLQv`u9>VZStt
zr%99L$6me#c4<p&4{!bCB`Rygkht_{CVP#ph&$Kh+-;w(lt<mERaMQunRwz~`xm~M
zlUQYUYAkZgS@uKMRcvW!G;jMP?r?U^us`22Sr)E!uM}A_#n(o+ZHd}^{?%KiCUUWd
z<ZYeA=*||b|9Bdg+rF}`ajMKKStCnA#3!|@Gu7tqc=bh1_m!IWl90zdJHE1TpJwVm
zn_nBno*i;%Ka=K{JsQh>7e$Ngo$FE+#v7N-`lFPmyGpKPD_`_pPpzBW6Q14IKFO+(
zZ_;GA%s2cpi++&NN#AfU7FoaWY#!IGEG6gIzxaoHu{Y=4U#T*AiB(A-)0JtbvUuyZ
z@=a6u>E+FHYsuCxVYiOGkn1aW;b*^e!X4j)ytVw@;xj^2B%=7wikw@?u0O?xp<7^b
zh!IcmH5r*GmTZ+v`<XPi_$9C=O{+iGR)2}5Fp6KEwRyVvqb00iY=R|wB)yr+d-+$g
zEe~hCc$!iC?t(e8#c|B{-M+N&CS>m|T77o<QlFe4{_tgUs-JK(A6u-xm#t)P(Oy6C
z^3|*{Q$!yN37$Edm&xw9mDh(gdzzqy%v`ON)<5=1e(_J9%XcA8_S9My)9R-@N4_Xp
z?Pc4{A{)lSz0@~(iSjNM$9VNuuUTs+i63UO@Ovhc&9Z#0e1p!~%WOv4>d{M6x3eYX
zp53sV<?pnN;{O5jFUh`}l)ZRDpY^9Fm$$bBU(sbcrEW0C_ja~=_)~t-CEr$s@Mh*M
z`KJ7O%Z{e$Usc4J!uYr7cIoJT5h(bx`%329hG!Ei`1mxw_#}9-OycRA#JWVgmW_1_
zOGd}dkJA*tuNGY%D!MSrJY8hxE>``m=EbsxTUcH)KKsJ9aFt4tiop&(y{&$YB5$u<
zVSLHb>1XHXt!lvI@4erFrD`hAEHB=a^?VUqdHQ6;<M!H4WjXcf%+@LFSz$aC)AsoL
zu`I}M<h%aFVe#25)^FL%mwleX6T9^D>#MAtUi=lly)XF~RXo!8=VXeBuw-O^o{+_I
zPE%c!MPJvyOH8x$sY9m7p_gn)EVGxeykWh&wC?xR*Y;Cc%lVp8G&b}^YU|2$i-zoF
z*Oa?|N!&7u_fbDXRP0<G*=!xnTHo+2mZNJ~N?0<2+cs5+<Yy|cW{=-0(@@@fjV(Be
zb-8HNO4-^eGLHQ$dxLaBtM3TBUc&mw*Cvkl^U^z?uCw3ytgt^|4^LMZ&jfFk9Pysa
zo`2hyec(A3#j|C--Og~n8@ELNO_-mdvVHyx(+MjIr_aiE(e_k3!_X6QI!HE!Rn0s2
zw~vjg=%JGuJ^DJ+1T<M>=gKgOF1aMbn<Xo}Rz{Lt|0|nm7OVC4Dd{ZbS!>1f*#s~9
zc`Rk^)XF@+S+!!Vp9gDIlzQ4yd8V!EpLxGW3G%NyGxG|2&?oVi%W4;i_-<wA7AX(%
z3DIP?w!XXM?Sh|kzDTfsiDDJEULmNzwD$Ry#j2UoJ96~a?)k{ZJ!geA&x9}^@8xo0
zmw3&CI9~Y{S;?`NPPw*S<;gYv%1NS%5}{l5gIF}TE?FI|)3cs6bvm=PsdJEyX^>#4
z{--D(Mg8CFWv_&>q(+$>49Q+9-g~7?$V<L6-NQRQid9EjmT9kg#NO#zm8SpC9=6mK
zv1ZlTI&BJ%&@`r)zwVwazL9%_F0rI~@rS4|MDGjITI=%H)N%?-kC?B{)Va%97H&0P
zZMrv#<@#FRtAARxmizgs7(6t1GQBO;-*4X*enwvQC|1q2pau2%YkBLZ@pgx2m$25Z
z7R{e3((dnPwUzZNOS#rmk#$dNynKXK?~}jEQj#SrvNg4iUEhoE@9MqspV}7aui`PT
z*>ApHr(n+V+kPkGWRL%AU9es?+cV|5rPS`@GN~e8dlDj-U0$}wX^YPsS($16jVe)Q
zlm6E|c{+vX<k#yb_x8;^TNuZhIw?A8N)u~USQwKh<60)iTPzlBk-uNC1y7y!j>YjB
z3%6Fx=e4|&EIQeqvZ^T=yg{!;|1A+^DE&6Y#phdm?2_dD^PX=NVc@R}6Or>4RADh)
z%cq^festMsUzY6oJWW<>*?n2#ujyq!ySrwK{4&w^OBl{>+2+UMxO2(XDEZ4;ru-)x
z+BrOS^Hj9nw|*<T=7y09M>Qjp^|D`)(-Mo<NUarl8N@nCn?-zTP8pB$HSvHf-o&-y
zQmQjcmxNw2*2=5*E>~wi_w(7BpYi<NuVs|J^~BaK6<55(|2m52uc)`Gn#$fKI;^Hq
ztiGyo{+WiyMFRJ-xr;2l#-f?UD*lzl*NXL)DoaoPj<xIyS*Bh~nXI*D*;dvKEaG|j
z^PewepXht8ElU0fuit57p%X=(Yr2%=+)h`OKGS3oU(5b<uVkD`_{?){aXv!pdDf|9
zAKCUMzSVBM4&PRNCXtLN|3#aGjOIT7yz^{}^;+I{S1gwv<7qt~EStNMMSrqUdY$i*
zz@sMqS7p~%nH8s+Pizyu^^VV@`zUK|=p}zY)|gXlZ>9&WWceE8o3@Kr^{VKuPwFRI
z^_QyHTxI2+#B0+sjm0QyCfCoUSG-uZp4KXE_;+JUqZhlHXPxlI)2Dc}T6tBZqUYw!
zGUW+ky`K5yrvB&2`;J;%;mxn&Q(Y^=&dz<6_wywt@om>v`-MMc3cJdBNvtPZ#A_`(
zH>-6RtEp!VD`<3ZxtB=G{2o&;^_Fb$OKbV3u$f-xk&j~W<x5=8ax{uBeLaipnh(!k
z>Li7+u3gO=`jw^GQ~xpBHg*4muRM%izFT;H?H4-`$Nxo3M{ujCpy=H{t#{{$s6|y5
zSNS9?<?rgfIEAHc+3jeaglv)hPx)^zyB)<8KS?HwIa`)%ira-fWz(3s?XLGUXw1Ai
zk?X_N1G=j0oh&YE*~QuSPt`fyCR5lJGi~YfRa?ZXST9cVuV4`m<7Yq3!T9v)T;9-o
zEqm7HU$`#9wu*&2X8EP%Y`?Fwb}n6~x>W2Wi~E<Hn^F8_OjWC5g}1P*;_tY|BDnkR
z(O?nH)4~2{I)bCb!&tg!o|~$(ouRtbEJ$SGr-}FXgqCZG9{tIz|Chs4^!=v|d-f=-
zvFX3WW8fvP%3`fO_1acjYkB`Ll}nr2&$oV^zvM;w+P1eWUQ>KaQ+&U@x;x8seh`c4
zt7)e~T{d~~i)lA&v9Lbn5&LStZV7Y0y!lq%8io^7Oru$k-dcQj8C!6;$ki;~+`W9f
zEV6&r^6fP~vP3%L+=)=0`q#X?s%8fnII8C_RpoMcS+2_(yi|BK>)L41sI7B%zTd*X
zLbd44)T@(3xyz<Wdf(NbR^~0_cs#>fWrYaqX`$@%%kQmbT^n;QO~3!yRMGzCw@Z{g
z{6wd+@8RwB<N21&p0Scuc6zZ2x2NpHwIa<bHonXDXUm>_KH=B-*u1Mej9IMjukr|2
z@oKNt`5}L2tIPsM%`N=J;-AA*gmks|O8pggv2<P***m*cX=~mrmWD7Mmeo14zOWfZ
z@f<hN%jQp9$>_fI_a#}eO$sl!#(PJJOR*H3X4<r5?^Jf>O}&L%Ii9SUV=|Ss<kt5D
zd(ElqUoy#xv@MnWC3bF-Sl$yBFV_C`Vqcf8GLSJ@`gpgG?)q?*X}Y4lQ~xLXCS3bF
zVY$!zt?b;a%cJ~_m$N*G4^_z4>s%{(&ur5ZZ`Y|t-mK@A?#ulu`Yp=uEpKa<@BgK~
z_G~)SIlrjv^<qg}&62yf^~M|)ov0}h`dp%_u@zkNHGQ1e8}{DW$<r04TKaPu)6XR?
z^{h#gt{L3l9LMv2HOq#e(7%4YN8Kc&riC)ilbd#Ksb~+&PM>q}(|NVMcs)J2{n=Tw
z#f!Fzc#C|BV=Z6Hk{vrG%Zo43JT!~7d8+KekcDN-x6hT$i()CC%F;Y-TSJb6Ury+2
zmFlmo|9<frZsDzT<G7}#x@zk!72TQw<)u^8#hIpxXZTwBvGzspmG@?G@pRtgwxe!M
z=N6V!^*`GtGpQTRT&nlZ$NRb%$7<HcDxTg#nqSqrg2YW(YPCh<m-^+4%)2tJ^7ek+
ze_vTI-ja`K7W5Q9EjM?)%EMdpmQLmAj<VPFmis85sLi)yFUvNao+wjiO&!yf!f86z
zA^hywvUa*`Rl3(#s@>qL>6zXxHz`hPgBHK%UbDIE@%N_849n6zRo|EFsqClw{U~3X
zpsJDF)G5VElYP#Fy<~0PyF32phHJ&`uU@mo$L<w~V%cN+V7-W=vZ9u|r;020B6h)(
z#;=-7JoRb?*7|k3un4}EUwoBy-YdDwTkV&##D~QQf7=pZ6>IzTlFW3KlRy6*+~VTN
zv+SBn;(8v#X*$ZgB~^CGr(gNXlB%V$^e*eXEVhiLt`YveTiF+He;@A0>v39n#uBeP
zSvfM#Uj!Zb5~tF<n$;p_`K0yo6PJbt@m5Sxe?PB%VnTV^gKO+PQ7rRVS1r{!7&Y(5
zuhhp&gr4QGl<kTu>zF;!{5Egg5`(ug4oi(QZ8L&JudHO%_tR$evT5LT*5u!mDdWj5
zK9^09@6M8RYnH;L>q>dAUE_QAnyp7wZKLQ?&6PhzzU{TS$f`4C8TVhdOYG%UZ2Q;h
z7qX--WqhpS5yYC}&FaH^Hmv7`)lweUv?aRRUM}UYe$#PM&6(GFuk1@+o~IqP&ob7B
z%DnJqeZ$v#GI`RG4<)zwGJChYUm|+tGE2FY$<LKSGg78*5xL7=HI2#iAOE{xS*w*Q
zmY4Ouu9kiARjw>b#*z0zcHHx=tUKkFecPh3C(ZPd+UHm7ZI}AiTJbS%KK4zeMr-es
zYiw`gc+$6THPTusYO%#=vS_Mbc$9eO&A&WBEV8e*8co$X=N}$t?x(HuRkyx-S>j2*
zaIxm8g|}Cl#(fo8o6S0@)F)&;&yi5kA6`eL+4c9^teeEw>Rzygy<d(i&i;?K3*TO~
zg!N0ee7)>@Ac(d9HCy?VZP(fOuKjlkaz4bGt<BOLeB@gdoAp-Fr7WiRj(nTK9(RqU
z;9L{iS$6H^>@`chR{KN+`5g96U}Alz>zS~UYv!6i!qqi5UeA?QXR=8B>vZI&U)}MQ
ztfy7jI{!?b%Bq%X6vX>{b;yaOb<=AWOyXknjfzry7{$9%kI!z!7f%hTJD-#pvRGO7
zil~K1MX@-}-=TVCnwXdP4EE(q#ZQ1XYNo5FTCQc$j7llZ)^}OUF3Xn~&gz@Z!@HMn
zCC~9o{^4s`daPfTdy8e3Y%%o~U02(@oTq!MxHOw=9J{`j4#!?ujfT~K_DU|54VuOq
z?h_KHbUv^Bx%btk*+)Kvi|*8B`R={#+4`mO!6G`7?9LUJN<0nm35jA~C?dXE_Uu+!
zc|DP`t><oC`Lp?{+61@x3#V~MFA=lujZ9VRZEjN06Bpd6dZtW$izL_adtY=})IJ~d
z)Y#m|$|9RSZGIT<*3&`;?Pu4x#!X?jX4Ur-VP5GnQHAxT{_L%+Q&rmSRtg)d^=@VP
z;nU*Bx_PahxF2_S6km;hZ??*cxqK{BUk9<QS}T6<s;&qVV|i#e182h1AF}XszIhoK
vM(6)W=l@6N|3~NlN9X@X=l}Ue=l@S=jL!d$&i{|j|Buf9kBs?$1_lNIElG%u

diff --git a/posit_adder_verilog/wlftj9xmjx b/posit_adder_verilog/wlftj9xmjx
deleted file mode 100644
index 6e6b4846300b31c955a2c73f421fc1585496b070..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 671744
zcmWeAV_3sr#K6G7%)r3FAU7fH0RsaA69WSS2Z+zWz~}?zgSe3lOqV!V4Gj%U40*g9
zg9BWHf_43UUHw3EFr0kH@*|s(fsuipAu9v({|-iG5Xr!x08#+91jHD{qaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd70>41xdu8O|{<fH7#+pT|X-ftf*oh22Ggk)M%4WD+AY6E~xx
z10y>F1FNEo1FIqvi)sraqcam5yJAKHi=rTdI0KU+vl0ipVgsvUC!;JA_kT79C1%DM
z3apF_Oa_cvf(-o&SdD}xvXnWqNHDQEfYul=p5kC*Vl!lZFp<@enZ=Od2m=EHm#E|p
zPeutwLxwOGRwg?^!MTE*9PI42ES^l_oGfh5E-?zS*gBrM9K-20g@eVG&#i>rR)KTo
zivUJj7fvpIxdW^_l^Cmq89LW6$}}*nTD58wLpBuyYSgIF5Eu=C(GVC7fzc2c4S~@R
z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2cd?5gu>j%5)|9`Ia3=AL)-u2JOV9UT-R-Boe&0x#Oz`($2%fz7JVHM+(m=vRs
zo1c=J!yv&BpIDq4Q=XZ}U~H6_lb@O!!^otgms*i(%fQ5{TaaH=V#~n9VP$1#Wopb|
zU}?+5uUlNgkdj|ol9rj1%8{3t%b=p`%wVM-TAW%`tj_>GPe9+XfT6%8u_RI7C!ZlX
zF-JcnHMf94KP=ckG$hrG!8pq(%a)mgkx8GSgMootnURsr*~3cTlflo$GtAQ^)RDnQ
zAt1=#%hj17L_Z+EII~2bseq9+J~1VQA+;#JEVU>zhb2FqT{zw%sUfo@z9dPnjM04p
zhcKHCs{}_plLQ0XE)&)y$MXE5Y*s&2PUlX>ZH&8^SUFr+1r!)AC^0IqGbswNFmOsR
z2(U6TFf%e|<{5gj=rSrWbGZnz$}us>nHaIliFh&yF($M4DKv13GjmjQvZ%Ayh%s1t
za4^_1X1Ls8aJ|jo>2iy~_a;N2%MAwR>kRBJ*BH33a;iCT7BgD&70>6%c3>_oEn#~6
ziZ9xelW!Hnq)D9oyuy5eYI2-RO3Vz~g}4J5Iha^Watq>}@|kKF7#O9Ln7OJL?g$Ap
zJm6&cFUauXAH$o!f(#%2Fnswf$iV!If&Hf-1NRRG{_lbe%-<N;zX~#Ne_`PNEXct8
ziGls2AOrUY2LAVg49xEs*xz;vhI6*CFej@pvNANPF#53Yv5QUWVlZVq%up-9D9xxQ
z?!xHKJe`3>#b1V5r6h<=rA3xWg(;XjYXt+Vmxmmqv!Ip`<1cOj7DWzqrc}{_PEH}_
zbTx)N6~@z?DuSGWf(&&6f(%XkOubB(Qbn6t422yT);I_<Y_Mn8V#ml>BF>tdm^Z70
zJx!fKL4`F<aLy!A1_Qy<obx>xm`&I-vsp}(Tp1Ew7*ds-88V$1GX)uK*%->C1R2X1
z81J!76kszHV`r3ZVUl8KWl&Y&Y!qN;Vr0-|lw@FVVw6Z@6lY*plF(pe=vHE6=mt|9
zOpF{%N{nF2g^`aznU_J`g@-|#n}OMdi-Db!fm=x;hEd{@5+g$@BV)KA!)->6491B9
zYZ(Q03h!YM=XD7Vigzf=OwTBZms#o?!mv+Cc4-U4DWztHb4?7Flo}bXH89*#s%N-Y
z$M9%UE#q-cT}2laMgxxToPyspSmJXhGCyWej%WPfk;oy!u*ii$@LMm3f=dqrb2kIK
zlHi0+27aXu2H|!FaiKN_X-+AI3F0g{seESa?jD5<)~xO>M;Y9YFnGHhX7E465bSc0
zf%yOfyUTtC?tKjWEPEN48N``8+1<qTN*Ux_cz>Q`&~iD!pm&_XNaYx#ksy<&;&SOH
zoJTyEi}(&QN;om9FfeGI6%srqdGd@PgY{_!`%{dJQsOKrnQ1|3%ql!gLV_wRyBHM(
zDjC%{_A04z98}>rs;KamQHkTMkRr!LjX8`=@=Wf`r8xziEN=3Lx)}srWDjXD<vFky
z<)y^4YbmME?qRS|)G1&z5YSdMILD|bV63DoV6G!zt)wkruO;BDq{-yQC%iH$p4FHC
zkV+pz7wdi{OMyxYfm$VVfkrcdRwYw`P7{G%A!C7w5_1?8rLx7j*aJIxc+Ghxu*?>c
z*uW^{AaGh@1EZqE21Z+f>q<5Px2*;4J6UnMi?cJ&7V%?uo8rpy$WwyP#X~~KT|&&o
zO+w0*fmumnE~CU;CPt~b;vA_JQwrjF=J20UVX)R(!YOH{VaDh!$YAfqSjFMQZr~K3
zqO#<b-6BE$6o<5UhSZ9ZqQvBq_)BGq9VR|1vqiL6*%Y+InKJWOUn-gwGOT1!?X<O(
z_`o3PtHOClGTBq&gH)uTDw9^EI8#xwAWJBVUBjwQhIA221_d()1_p7qqEvzO%-mF#
zdX9;9t5!2i)Lf_{cuOHii9sQUK_O=%gCR4ABg^s*R=b;P81$CN`s%OqWYMf)Hx69O
zVl1U!&0N9B&&Vsru-=nlDlem<&m_Y#!}*3MTr`{*G@Miz99L>M2{No?WpIiYD&h%t
zZ`q{E;v&(g#30egAkiqq;Q3ghkzt}lBZH@$bmIiZY@R9d-!!)HNP9|JNH!Y^Phb#d
zXDqb%D#Bj8)04xGcb8$Sl0-K{V3d#^gSbRDgP=q=gG4ujqeM3Y1E*+Vka3k*p{({?
z@j{6Y9Sjm57~s?d1`~#B5+6Jmm{y1vs!N}^DpIH<@sB~`Ul4=DKas+<_V2!m7b+=8
zF(^naVzApGE?%hY%W<UBGeAL-K~d60(a?kAhLS=qgF^0CkwTYH27?d=6O~{FX3t=y
zKnCsr27V!b24ST;;-cb(5rPah;S3I8D<wrm3e^)B^pxTmjN%x~lwujIVi@d{q8Xf`
z7~Gm78QnNJ_!S>JBqkNdEAt0=Yo_)2bFsT!Vpyi~Y*OGu6*eZe3^x%YPqk-}{3iF7
zFgTj1FHvFBjI<S0U6R5flq|?0mc)2oT#1AESiGs8r`k%URMnL(860lu49qHN4D4D=
zOZnO58>4vG?cHVh*)uhnuF6@-^04Q0GF(>Nl*P-g)#;d&xIB-+nj@FdoRcGfC8fAX
zoW++nJjuf^Uhp;NJtdw;jIlwSLM#mH!^DLcxn3~rVwxz*#;6#tYt&J}AX&~JtKwPU
zxnp8tS}}vJ<{g$meg<*IPR`$q{!F?rJQx*M=oY)QFhn&o#94(NQxRtrvS4VO#KG36
z_*68mV+O<Q=?wEZrZH~j<WS;t6iW4b^0jWe0&8(;lF-6d9%+U{ydo|<G9EnAtqeyv
zG?$qAi5=;z;c%_y@N_9mtK>{n;+JN(W0`c6BP*sSq_c{HlY>`DF(qYDJnL45wsqZ{
ziSk!ieu+F|`;}zMvh!6S`%Z=wxAo%DfebHs>|Le`lui+-beYV+Jc)r_Wg-K2;H*jg
z48nmQEc!fH7}gp-+r{?rD$k3+BAyphMGr5La~0L>SitafKEun7c?@soGJN!y!|*k*
z(q$II-<ceYUNWvCn(9FfN-H?DmUHMSE#oj+%3-Fogu`kvhn><Q4yT1oE-K84emnF3
zc4mg<{@uip+p&?Oa05qa$9j&+bsV)WfjnzCT9pFJS21;mFekCN31u!Ub=fV*v1J#>
zj-7%Wdv-7|Zx>`>-^RebRgi&y3j_0JPewP>n*!UN0$cLSG++PXJFZfAv(ZIYW<%$s
zPR2}D=3@B`9_(h9JNHjw-5z<2-*p~Gq_Ial>-vhwNp|uR`E&kFVA#W@rS`{#f7^G4
zNt1XrB;P0+dK_jDR*Z8w$RK@yftjmTm6Jn=OYyRWdHkiK$(!x|sxmq%CMd<{8-}{7
z++<925@KA(%3Q8mJ0o_!XXCR;ot3M)>Su`8v`=F*ny9eEmA$szla;BHrF4>c^hD3t
zz7l>(4{<gom-5Qa&NU3It{qo6f-iG~cU<C#zQ_^Zae*WGJV$!RIgaeJoJ(A+f^sG|
zzFPN;lc#fQPHIM4NxXfaXC?>BQ>Hgpa%==tlo+H~*DF0?P<zavrSynF?;(Sc(gOyw
z`wUh}_ZaN%GCBn^tuIP%^yPJBGHsh^{){b@(}_tX^Ohi2>>5qsHyp~ZJvr13y$!is
zUT_#c=P*|?Y<S9PKat;-O>DZO-x8LddF!f^I2jmZ8W=)DLql1?P1sRlGz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLjV#2pm}|y`F}>X
z|NsB}f}Zlv#t%^gA{T%N1_lN&&H5h-K!PAeAX69^7#cu)1_tIE)u7b^AP#6BKZr(F
zbO9v5zzn)ufPoFfhAC2HV1NiP2nx;xao9n!3}-IKAZc%$2Nq-jlVAnN+QAnUfX)Fx
z($SOyR?DIQqQDAZIzWn`o^Q4S$uh7_+6;5Lh}EnWATb#bF^We+U^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmwhX82$8-&4*{QsZf00RRUL&SpkKr{Osx{QphP7&-ejDhUTNi5t^Y~rla
zYgyE{vT?H;N3jI!GNxZ;&CX(V&Sc!r=6;nWd=-oTQr5*RtGBXn^9V<=b#G_AzlDXJ
z<#QBE@oJXtP!{9Wyr-|STVLYM<`K_g^?u2k%n}^N?*5uZK8!7YEst?2+xpe~<zXzp
z*NXnXCbl?=g`Y2YD@*#Wt$gjQ%a`yz=UE;lBD|V)dMK;%YxdiWAq)(Rax#n>=HP1s
z)&!eFPyA>2|DRzW0|OW{gFQGT{KFZdVZ<QA$jAa(rVt!v4%yzuun@^Nkkt>v#W#?h
zdqdqfCm9$RKp4EFg#qH5c2Le~iC|A+^oU@OVPR(Ej$&bE6pv!#XVLa%HD_U8&F;UI
zC78|r8k=<%OME7)JzMcs*4?bLx3ElS4Ub~cUd`%%mDN3nwVq}EYL@(~tgkQe+OwR`
z;xpdL9?fz&jP3hs*6T}o*Yg@jv+J*A?G9sWf6a0_jJ0|#%l9Z2<*jV9qgd^?@|owY
zW%(?}eN{$!72o_)mT+Cx$)&9Cv&ERVvh3zFzQ$_I49Y@^Ofnkg3?f#uB36L15NJ;&
zBP82^^Uf$S8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFxW!?G{Fa%v1DLi`2Sb_0Ruzcedzvw1{N6x76v{>
z1_6fu|8<#IBUZ3yFtReQlT!Vsv{lniQNx6RfkBormSJ9u(tj4l05;A*W<hUOeus%M
z-(&7EDY86eQg@AEY-C|niv6$7#^b^)qQT1V9Lq3=MZh`se>R(b;3+nKfwwXG42(a%
z#Oz}W=ZIlpaK8}4$Z+&(?Ei`w0omC9bqo=Df($Xw7#z-fvT!r1u&!n~!?u+%hKC{M
zVoX>JN6g}hEW*qT?5sOn7`gQs#9d?<q*pShbi{-*aI-V;D@ewCWpV9f%w&u_z{2ms
zD(=Q2&vKO6F_xj5lSP2FjWMQ4nNcwIzZa8)##2@~E+%#z{g{sXG5;8xoY~xzE;5OB
zy=9MaVaZ!`n!Rd)Q4%97<HVTbEc19Y#TZs434LSQ#(RgGmHh}~jIcw@yBNkKb{7BU
z7}l7-4DUL47(P8={U(sa$dN9t%frGT=*iE+CB`PmoWvL=+@T=LsQPb*<x@t%4gdek
zGG#U}+{*l~p25h<xJZMIUxSy8pX(Hx{M(EM{S5UCj*Lr8t{vx@SOwXPFR=wPFlSuM
z@XwHS$vDeo%*Mp(@`{1`0t3H`D~t747Iu-(EZ%2aS-5Li)I~n9Wiy&&l(7kBiu{jb
zS81BaV#mzj#`?*Hk=ukNtbrrLB9ozyF?m7e|3bEN4U8GA8M^GkO2=6ybg{5W9%5R-
zA;hxoU53(ah8;2tdxXpx4)E42c4Rp7EF+fX5)<!)iy0>~1XwZ|dRShvGO~P}mBE<F
zpw!9o?=%-1BdZi^=KtgjCnhGwhz!;uCC>Yr9J-TuI1IUGvg*0;|9rt#<;a+Ejwe1q
zIAaIPGy#{4$LveO1sE9DZV(Lp&8ox36wC1HmiiHP<rvwRRZ~>fPm)}#!Sh9Rd8miF
zfMB4)as~!QS*9eWBnFWrj-;}rDM<|fV_1?N#V92)n8a*MDo<)mVhUs6XN*}H!;uwZ
zs?oSLrb9PIMB!_#!q0}bB<7ee49pGHNz6%VnsXIm|CehlSs)+tJW1EgS}<w4=Drvv
zbp}1Qm_soffk{6YlCH-v8t!9E`W55hYMTAkLfPSb(#M!=hAM{BN&HC)F<gQSg0C5v
zce2DtYV6yUl*5qpK521`VT^=Q81u2m5)ukbvP>~d8WSc7YfRC+WALpbN#jhCB@@HB
zB$Fi1Scd;8F<D8PNp%8A^J3mONY**T%!}bn;xOQ5`N2@99dnBz#wLa-hBxVxON<@^
zI~xPD%Ugy34i0gF_c4nYqW&u~=ls`}Xk}vNGZspEn52-EWSI1wG29`EGf6k;mO-qF
zHRCo$OP8d@ET%dVyH!{i7ct0oFvbWmv9htSHgLr}jQM48y+exO(heqJ4tFNWFEO5s
zi!Cw@(p_qpwCAuGvgk6bWsLdh5aSfXAERKfT;P4oZG-OPEiviLe`4Ml%=B1f(90#)
z@n4Ifnw9Tqh9LXKPU~of?UpRt``CD+++qX_zPmhNV9sP<cQIh#{w5;mGM9m!gMphx
zhk^fQjIASsC|`^MgLIHZHrvESoX+fQ6U8{3ZDe_zIXbzWxl{!O(_9#;Sy|X8uqXXv
z=;XM_5OX(1F~-y-W-UkOFNWJLp$zjSIHtP1W?)|-aMHzyV<9ucXMrs-cFdeHiz->z
zTSYE+s0&{%X3@zo7Q5^cb5g*JO~OimG3kiVT{TCcyR0#q0)8I<Vi*b-*p<8`_6YcN
zcqgsrNOSS}ui_c(xlD*rAjLDDwUc3icRC9zr$W-37y&t@7|%VE*<>|-#W0GrEaK#I
z5a78qo#B~C$2=~+3rhSP3by<g>{fEP@m*NS&DQVA&}}Ot;?5%@;?5_<=5n8jHG_$J
zQot>S`hayy7+7U^SS6n`#%K#N>EGbGz^D?#D<KkK(8=k@VEmRz+G8Q3qRgR1k^;PZ
zw&D!_HnK8D_^$F=2lDe-y9;nzE6K14L?80xP))Jp&}Ry~#2E7}X3|rUat?2SxdP8(
z1pdWLa*g_w%)k-oBCxfG!B9!|%q%8fN5Oi=m>&$DLJ}qd*Kc@gY*s8w6rIGCD)3rx
z`2@xoj#Po~DjcZ-f0Zm4D)ks@edT{>Xt22mvc2XP*{(0;`+_maO2<V*NNo2dK8C02
zJ(KM@xW#tQ5@wqmB@kU=r*ol1L}d38UZHN$-Sh2*4u^>BzAVb;=q<r5lkG3YYh8Lp
zoX>iqg!Ff*?OXIt2yJx{*n3a#qLRSTbQbo`qCEmvzp#jRRI|v>W>HrW>J<3uq{U)>
zJ%%G#NlB7NQLs}<*;MBZOSH!V=46{YE}9n0B*o{iRh3>WB|6*cm9*IG7cx5GUqom7
z%ZkkwmjeZrJfF3@g6M1|k$QgZNKd2Wpv}V5l>+`SS}pbLR2Euo&?;oHIH*|6lf_tQ
zv%y@49Z8-spIL+_32kTK7TWG&u=8oqlu1s<7!-x}wlJ_?Pny8S5~0Z$<Jibje=x>7
zrgc)s?Z8PftlBQD)*qNeT{r^vOkpv0$q(4|J>Z$h#E?Vkf&o2>Vo&*4l3qrLd`}7&
zND@erQg|-z7;uauX;R3$MS(k%#IAGj1{geyVPH>6PBM&nmBhpw`YUEek`rT?mrcyo
zq(Fg~{YhRCY?D}G%mP-3$8cr_tYQgYrOev=LPg}fiot!I{~l~H$p-HO`&wQ`9AS(}
zTx1e5VY*<1j)R5Z6~TmB#u-b!IxS=k=TEdyePPNncZDkJ+(l|Uit!FBL+p8^1Jzk;
zT{ZN)RcA4AI&gY>v&IM|due+6zVP(W^i=ot_npRR+~gH`IVR96al+o18UGx@U6OZA
z@G31a;kg~6$+|yKOEg~B<K-$l9{UyAto4g@bhIyvMw{w}^2&bE6Nz@$=bbHT;Hl3Y
z;2)Cg%N16xWV@b4FtsykqV2}#f|*OA7Ta%Dn<`v9G3xv!!P2Ok9(x4KqaG{ezUkMQ
zZDp(yxyq3B;R_>~-QpoLZ9_%sLyUQoFPhk_ekoc%%T$z6>zA3z?ZxJz^<5UC^-B`Y
z)_u6De%sel)?U==uZg5n#(KsimD{G)p|bl+Y(m&~iAFE8RgLYkvoZg-!d~^Zl7sqf
zPoI|!<<+iEirGo;7W`xKj$D!?72{zO<I5ynW5^i$-#+F<BdbA7ZHx#LcZTuR)t(h?
zH?Ie(I9!RTi~W~WIf=nUN0q@&!8M7K!Ns%TEt8jywh%*DsQQbE3`s02((bWv#7ydq
z{jXHWQXRw4ENJ;+E=xCy<D@x^F%=8$vq-Ku$Q*OUDXCyu%uSZn3R7blCNzjDDKhP1
zV^UCJWK#RYBIwAt=2OhV754;EW2Q1aFk@tRb|oe)W|BZmOw1k*P7a}%P{qp2j*iBG
zF&s(ZzWz@B+Dnoe<HLO&wUhrB2edG-C!I`+jhV3`nW0H1e~~k5W0#Apuv-$FWaXq6
zF`nFw-d94r8?=%bWB(U%oK{)*kkLTt1jqFlj@uKa$1*f=JPHY~Tjk2Dy~2%GyU(3>
zt=1$DWqYgYH3c3?3)XWP{tAg!T_MbA?<ugJ!D8nu6~-6EfmNL^jx%^gTix=Q#^D*3
zEAAP}dNIUHwBFsDH(1o?u6TW*FYjMhKiSo#A^xKE69Yu+g(O?T>XTTGZc(`)`?u$6
zc)eTGPJyd_O+^BCl{A!^G;h8XcoEjNJWw{HD@b3gZ=RO$3pE|VWx=vfyF&B?wK{8K
zHF;Dy)V}C)1cs`%xrUjWEa#Q&4%cPYS{0$gtUO2Qwy(+`6{WYU)W5BWWIeJdipMd3
zRWz^TiWs}XOXBhFvAmB&<7#<igX2ZxT@%zFD+vkmhX$Q|G2`KiNp&6pX(zWP&3(x@
zBiH4r@wb5GZc{^-<WGK9T^g{UWl3R@#>-bOVdhCoE4r_m9V>dKVlg@Broz-Dx0s(A
ztR3Pp8c)_SKKP<?QQ}8I`-G*eF*j;hv^1Gw?x@6EtKKAVgM%sNRkUOhli-gRtW3<W
zCR%)*&nEge(B+~?BA=cJ|Gz+X_Xa)5KmP7XW^*R;X{^4+6{WgjiG;@GXD5~=vvqf+
zh&=GS%C$WqN5vptS<I8;f<g5aKGW1tKI<!Kyw<MiY~7+6Q}yBsR;XyH*xtH(l`G2b
z+OBCq7MHII_-69Oi)NMb#s_Azb-U(>&khgC<+BdV<Fyvg4`u5vDG0Bdl*J;j_(#f<
z0PVFcDniQ@UQT+(C>-FtHh=P?T^fu_t}^{8@|>wHc`e9Er$6Q+gS}hh#p-nv7?ZB-
znAR;m@yRuY+Kx*VRqB0u6I+uW-8sTAJAdK~iz)FFe>N5JP3@D7)XXiB(8--r#5c8H
zDs!T4t~kTrKIzO=#cbU#N?iQT^h&MO$yHSl=U966PfbWEpLJjvueEr2C|mcJiqJDf
z9eo>bwl)8{yTe3zjn#FdUk-7T(=A@dY`*NloV4}9IpNcDm?zG&xGcaNBfOt^PST^9
zts+NR9?xJ-`pM~FE3i4~Hv5by#u(d)PWr5E9y41WE6m!`ah*Yu?}Y}3u0-IR7^Q3m
z^>+-~=eTASc081r*5E!fvy!hfZ(im_!K30X#(`C0N7v0yb#*ls6F=%&&DJeiGgsuO
z$DcVnl4k!Z-ud1olSBJi(Vrwvd&aKYGCPZECvrIVREbRKy4iW#VrGMLW9!E%qqfOQ
zl@u1HD4S1ssG_FNs5+4|ev!g{73H#p5>IORVwV+)amI?t`b?}#Ra2hG;J_L4FiFr+
zK`O>D=_iZdw}n|Dl{_3X3e+qGg%>z5IW6M(o@5ZycYnd9_Y1O^Ibz-?sVs>JRsGn}
zRL}R3Bj%|_=$x5v7XDK7&`8|A#7SYcL(-2Vrv-f{*pr09mmX$qkY(zLWjyAype}Lp
zIrd3PoZ5*hTIn->sW5C`#mXXL5&PfZz+pzt<Rvk(F*yb?XJh;hyzKa2CUC7@;Fb!<
ztc{Wuj4>+?T;qIUuu(kre}iXCSW<e7?&58N?5r_LS0s2YvYPf~EQn@oxa8r$oF}dL
z$iSXu!!{Sjnxj#(`;Pux8d2|2#8As|SBYsJw?U>-7f0|B$@q>~hWQ2+|5g+!-ZW@v
zUn2AG`3e`sMkx!)q|l{*9g-O?CI7c(pX$VDnDjOwyk#|$uyhi`6Bj1g!v<&E7Q8jM
zq;isRGgF_^S0>gPMq7pC|LHNU3=)n4Tay{iG5QO{{x4-x;p=3zv)~nBu$pu53WtV6
z(uyR>1oLwTw>2$eNct1QpTzc|F(*k<k}0P9RcAv2gU?wDPo9~c2F^1Q-Y``OEQ~R<
zsAlTiP?31+-l7$WY8&`v4u0TRkn}!gNs@^D$tEN74W*t8`jUxm8~76D3NR*lSnX#N
zN@DEa;hf}{+3+LAX@~6`_Lb``_CI(Rvv}QdnU!(Por={KUxhhXc($0w^gTIo_S}Za
z%x7Y1V|o53uQ1VSh^b5d_dY30Vzb5TO%@g#lJ0C0Nm{{S^`)U*fj#Nt#^)X}sxb+Z
zck(DCJ&1ARFll3Nc<|Q2)Icz);WpEbH-a&8F(>9GnP##!>@Hwjv8-T8QtW1Ti+|@g
z=sap+yDqdrwIho|_`75(3u9u8TFf!AhJ71_I#=euy(n9}m1knBFptB*6G9HlyD~U9
zwh6=teJhaF+{mK7A>Z+6r;wh*b2r9=3CaKYHdLr+Uf}r6>F|f+cMPLL^1nC94C{C8
z@K~M1aEw8`g^w{P>1GEL^V+1k7><=mUzudpk_5HqTw<`~P)Sl_V3qJH6wrRV;ngI;
zsRz!n+>toDVfLanzAb_*ca+4L?}+j&YVTyc!H_7-vq<H`ZjLw=#w3jZg%mf&i=uoV
z+;%cEHmj&IOmyV(<J(~+=yc>g!@@;9Ev_9b-CGqkk1%|HbNTWKF|(Pv6FX%q8Gd>Q
zN}2BBP~u=`<hUX9PeT7-++9wNcZ!*2Nk(fq?04`wNpi)!R`7FDEJ{wgkiZbboczB&
z#;hP|buz<7egWpBDvnI%LWwGt1z-7AHn7CBRqePthf&lZY5R@<6<LYygWHstE2gpR
zsOe+hvGTV@Mgn8fnq9FRJRB=EV)~}v^l6dYmLjohiiZkAi^fN{n2s4t;wr2fQ<be6
zt|jRw&5kjaaAZ+DWECT4DB;aH!T7-2q#H4(CpcQ{<X3tp5x<Y)nUH2<7eiK>N7DBg
z%f}p3j70YDyyw!z7bd{-$W?;(k$X4aqfSff2Ld5i_#X*%=v-K#ASh7BuAs~~D~ab(
z4@-AeAlHVs^4==F-1h{o>B@LZvnbt{;>wT`2-24lld*b|#JS>@my3iDtCWd~ij<XO
z`7y=`8+R@iWW2EAeV|mpLXk-&eSFq|{k+zq6Tb3TKax>+{WMucPAPHrq>1KpIJiCL
zr^u*n%koGPSfvm)Nnt*R_G~#7j!=R1mY%8)TvU==B_kBFI1L||OyNm>!qC7G`GAi_
z@>BA^*C!QMSTa0j_T(rOc(1b3h+WWG=!U`uOS#pK3R_kueOB2r$*7;ZTSE4T`W}{z
z%L|eY=p{)QFWj_NAUnyUI!1578Hq)c_?Ggk99bl(dSvlrzNJeNAB#M^b+CM;>XDUG
zSh~YRA5NUAd_e4>(E^Sjf$d@s^$X=~#U4(J<+Bc%&TH*DgU5O=m+ci3hA%Ts8Ja|{
zGbY^=-2X&@{lv2rE4v*_U98-`u!y@<v#`%*;TQVQYn8?_kwspag*{=ji&Y&@1asTW
z&x{%QDhl>ilR8CbM~2Sgi`QE<TXgoKIpVXs=32*;h|PANCpKGrekiYX-~yTJ`pG8>
zJ!ZW6r(Bf4btg|o{YLOY-4r>4e$PzbB%O#4YTN2P4JIbL32mO_@y2nD#6=gu&LpAj
zZH=?*16T_zVs0GZyWyYA;MC0|!<Zy=Y?8nxp<@B+9#4xTw7Xmw-`-CWI#U$jy7K7}
z+Xgqr4VsON$qda*i5*N)#-ANturRAJCH?kf;FjW#xPD|oKuz+-OB0e96dx!iPTq8b
zrSjyHm_p}{6e9`!Ns%u%CO5t+ZhT{8$iJmlcoE-yK9-o55y9VM!VO{sVx+dF8wK3k
zpwOlBe6gHxQRgm~hYU-T3;sTNb)xU)iw@4BuQM9T4kulG&^mFyM^s?F$3%-?k&p7W
z@2^_I)?%rYv+8IkOGo9tr7}Ezo=;mCqgdIJHnJ!iIOMs#oX8u)d3<{3-V+P*H#})z
zOxl-Jc(Q>#W>S*b9wEzJ%Xl?kEa%e{N(!7%$i!;0C(L~XzpgHqeo=q)(j&hESL)63
ztcYMLe`NfKbw`cM#54L#-6}5}geKm4nZ@jTLSov4WJji5my-gS4yo*QOs;UUV&e_m
zwMw*l#cI*&S!=|qwXD|abi|5QUtA}<)OEdB^?a^f9sM>L#z&@ES8WilpD4AlPq$;w
z45f|wRVx!XLjHJiDg9XKrR1u{BG}t06y&P$TyWwNCP5di*;9q)x-hw15}dEZ#JMM$
z^<u{}R?)>5H}O`wZWdq6t{wZIskmv!$(Y!rP+gUfEn+JIw~DPuJ#k(k`F|0|T*+4B
z6%31#8a5{B>h^8pHP>6Uo!{J*>-q$)yHz?yyLRXqz1Z1$?q!mWk-DZ}`HAHJg+g^N
zB|QUI9Mo|+E;J!pXxb`0`xm=JXD`|<I@@m59<kXg_KMH$-zR%nZ`FSJ%c{!fxE^)&
zvTz&Taj{%=Kqt!8`bNwN=}FoNnmIlTZ>TjSW*iK;J5eZ#kF}hUSEeH)>_F1$7^x(i
zZ966$Ws`5%>(8`!QdTDeXUHLi<bN+&b_wcqCj~4#H<3{@dGj9zlN)TUM`tCiC{tky
z(b?+A9u~7cY15NQOt#Sto=qx8nhoS1-QqErdSkcFgn*8u-z@x>8uy-U+UER;MNvrS
z6vI2tivd5fbk9vZr0vo$#XaPm?P1UGcTrs-@A!3-W;pKfVT`d4c`trsFI#KK`@W;H
zdeahTSU6q@c`vMEkv(1gLF|c=D@j*E-dsG!w$NK3ELH6CaUOMjrn{Hy(k1oxTs*<n
z?JdI>{(kbw+-DA%DqFhWP6*ZAGi%8XZ7DyGX`G%?5x+uSy*$P1zvwiNf4sz_T_WWx
z&+vOLRnW^ze|c7{yziW7x!$VtqUEkam9`?4-db{DZ~ZTb*Xj#hG!1!sF|$1*<gNSm
zw@D#yzxo}xtM#HtQ~d*Dkk*&bXa1LX%f&DM<tbk!I)B9#vH6Rxip{s)bxm~sitD2D
zr&+Ag70=prLr;9gO&xLVUAIKbSKJmY@4F*buC?o~Soz|6vh%y|i<W0y3<<E&l~hu4
z?elKjSh3ou#ZUIZUi;ZBu^PSMYvj~t@JxEBuc)+jO;^!#_Hzw~cky_J@E&nclF()O
zafF94reji)=OM0uU27&a#O#RijCt0q>Jok|X-%iTmTjj*bzmyXPS%ZWm5i5S-X!Hs
z<Y8ph*m|4Eg7E==;|;AC)+DYDfypalKD^|)@O_$m!{!V@2KHAB-mEq;i)Q%FT9ffe
z?Y0L0zo*?ZtQl%w*xgmqc+7Wt@j~WrEMlH-Z<-}_w8?&Dda{+R+gerS_6DPyzTerN
z8ecaO5N%p{DWv<ZimtbB&5fjqdR6xnem&!}e({{gy8i{AbsU$WiVd$Vm%Zs7wU<-F
zX21UT<(`_i(0PfCD%Uw9r9w^bE4-=|oBicAPrUmZ@!8^UYsF??e8*?){$6Bu{gn^m
zvz2sST8Yn}DfO@=WckPNy=pPDm?Rqv8bhC-dAu+~u*vD!#7jLcCiX1>Ct~gjFMK1>
z5~dO}lSTRRH8aLZEJ}tf!q+dn`^g~Kd$*^dw?jm*Y0-q1q*DEfNeeWpJB&1%c|I07
z#|Ucl9$=1nAHzCFal`2a%O`x|lkPhhsa=1@wly&Dvzqg={zFf->lelqPTl1Dg{@mx
ztJqV`(O|lM{pGJBk0<h3Ikp7-bk(i5V=`Ca{pn)y+>LFap2hpLo`b)>@mat4&STyG
z0~8XrCD!`&DnDJz9d4?&Nn4yuZd7Zw>bT9-8^SgD#F|s0T}My6&{~*mv2vPnOO)`<
z^c4~<Q64eO_6>$j4v{xv{xCZB>||e|nf!OfLPg8d((_l;HtM!>H)!>93v5+jNjg8H
zPDqc@no0i6&lhiI=Xb;uXr7<2u3vJ)x7P1VdOCl}eY~?wc9QT_5qF2XXO?}K@|$nw
za=Gk@&Y`veoT67}{bB2ly2||`#?md)<1hDgx5$lbD>gk~j9HOlxM|BL&*}*WB{yty
z-nqkF@au_~&mQ|%zD=5N#CXYTuP19gRthl2=y*K5Ie(?{f(JjoPx>gqx8qY%_JVip
zH@^3*bX!ozpLE=L#dKE{XBK9U%kN{DAFpv<c%|c?Uc8XcVLy@bOAMKOxm*6rvac$7
z$hqL#iC+v;H-2)Ej4=$_d~BE8g3W3_-NZOHD0i>89W=4QG3i*6r^G^?hV%zX?_zFj
z@Zn(cSjZS7-KZg`yuee#nR&zI_c2T#S=OFg@puEn&WsNX8|)oo8J$G(j%|FulTBhl
zj)O?d#aM>@?BW8k|6LeFMI|{@pVYb}edFLh$e{TlJc((eor8XoKZndF&Xk6(4JI1`
zKU|z}M)KhX9j4?RzZNn0?A^ksbeW?xVouDDhG!Di8)TBCIhv1m2tH)pp#D%{Mt3ty
zjNk_M-tR1PigwzwEW06lD48K?g}@)y4Q`A-WA?^O^5K}a>3u}f1Iw7p4KEbGGl+6;
z^nN)lW{YR-_NpT;TsIhJEcIkK#(0V$>0mNLTN0C4@`gg8I>zISKVx<Nvu<p5h<TdK
zu$EEE!84{H#+Uu5a17(oDKasuHdL6-N@6)&x1pkUKI3tQ*#D);4AZ(d#BST2!WFUM
zu0rhpk{fT7&T*uFX1df9Bevi~LMjW(6_%uqgRioWC)sXjU@wUM?_ie18q3hd@aV&@
zn4|+rj<F2;435|A-Q4OCvvtRuACt{Gg4-lcnBU#8>H&X}_R71THz+zt#rzgoY4dMG
z(zdPLr#3OF?>H_Nv#GZu`G3i)KN=E62^=x&H!N%XmE<F!TC<`5MABM|4;OEKte$Z{
zV#DH^gXJI0V&Yf*V4R<HB9`G%3&&i&8P7g$o7Nc96(c$G=g}P%69XB(ZJK%ZK!@N5
zp;86;1O5^sG5=V$FyGXgvhh{Uwz;)M3L-n(<rZ4TZ=Dm)DIv!cW7o1jP%fsCBQj89
z+ib6jqB+bD1h&q6zG`xi*<-2A+h@hxWlyR}`oJ9f-){TNE0dR<X=_Mv-Q{Jseflxy
z4f<QBm5I(v{`_>y)RniF@Ay2?b+b^1{qggK-&EW_{yp(@_5+Wtch{Hj%2sZh_|JB2
zKxl|3uj9?_eQ~^w;@+XGHPg2BMv2GE`+^2q{Y2v5ZtZ;eJ~`&jvdZ~um3N(R50K26
zy0xwL>#>EcGtO1ac-FJ1Yo+Yjnanq~w7=Tdv*P_n>Ej)`k9JM}yg*%Y#gF*yZRN*y
z1+8>R+TLC*$FR}h`I^uhwT~GZiq5V*v>|C*_nmVa)^F@)@{jq%zw$>+&5M}M|7J7Y
zlD9~97WC$?|N8OfYzFO^xMYUei<mbWe7rHg(KD7|*@+l;wwVuNj>g14<U5>nsBtNC
zEJJr)OwXjGq_0y9*X?46{a+Bnm*k%lv*d}9*v^#sH~#M4nw+%t$Yd6?2RyCkStK8*
z#%$W*J!k!0qo#<Yo_@yI|L(Dm7!2od@4S@rVS?R?Gskz`JG<xMM(z#89mZSUA2Mra
z-@u%_uI0%?*@i{!jZX@1PYz>CW;k7w#J*+m@uUrRnPM64B=W1r{@bu*GK1+3q0e1a
zhxTqbEU_um{eWf(kKf0u8`Ks5moaE?Z+MXu$x*c7h0Y9<ltu={4ZaPHG3`mB3_2BT
z+n*mxTDBpGjWK54iP-=C48AL;J$k(2#=8%v=j`-bIs5o7Ic0`yvsZUj)psoA((Ot9
z|D>OD-V;WVRqq}~ul%~PX@!Bujwk0fFl^HJ$lS0-U<(7MuS3$Aq^=kjW<w<w)@UYP
zrn3y{>dF7><R2_$oD$>BqHrLhJ!$6VqX!u*cLY31>SPGm*`hP^klDp&{ihbP1T--x
z2`-x5D%io%|9(qX@`Z*gN4Fgh?t7t9$8`0_oQD01KRLpy8*IuN9_uhLFtEbr`WYA=
zF#P||#LB<`!VIAO|H2Ax3=9mZ49rTbj2vmK97^e|92u;FN?NQ^+N{b-I;<SJtb$5<
ztWx@{%1Q>T9EPldN|mfqRjkTN)vO#ftb$4lS)~@SDl08!<ygWhsI-(-Y8k7t(sEXg
z6|90vD_N!XvMMX>W98V-DyVdTRq7zCveF?|j>D{iN=I0wPO&O0oo3}Y!z!qBmR0H;
ztFqF0R*nm-f=U-zr7p25D_v&gxWX!^^p;iX9jmg^dsdDQtb$4(S*1R)Dl2_v<@mxX
zsPvUp>Km)F(sx#lAFP5(KUt-Iu_`P5X65+9Dya0ARq7wBveJK6j$Bqjr94)td{$+p
z0#*)wRzW2JRw+SNWhEh24m(ysC3{vW2UcYzM^+ADRzW2ZRw+?dWhF6I4kuPYC1+MC
z7gl8@S5}T%RzamYR;hYcWu*pIjz(5Nr6yLXW>#gT7FLc{RzamUR;hMYWu*>Qj!srV
zr7l*fZdPTb9#)QCRzam>tWw8Wm6cAga-3ulRAOY1WRP4g#K_3W#K9!UD#gsI%p%Cj
z!OAMgCdewq&Z^8I$jZUVD##_sD#gvJ%p=Ik!OJSh*U2iydzq2<at9-fTF=P4zJrk$
zL<um8vnELhvT{hW3Q7s`vK(bqmKS8@P+%2Q6l9fBVpUcaWaUs{6;u^ul~Q9>R^Q0T
zAp<!?0hA5~`x=%}_l<_YXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S0CFmNK~{}~wm|B-(J<IjGufi>pS
zjNcoW4y=2?6mwAI2WN*|%^&ILt!K2FPwLhrZ#nDA$fNUe1J41*nA(^PrvwUbUC4@9
zk+gG9&X$~FzC-mBIAYW|JQ~AH#oldDIL5eP)z16`#-s<jpEqb8U`)#1pm#{<$RQye
z?=9z|H*B|AbgJ(}_&ly@IxFvrt?G0X@cy2pBVjN0u;CFS!*ABh95x4E7jfKr&lJ7w
zS{DD}m(~5-uQ!~RuSov)cH`9}%u;OK*JqtzXk3wGxFLpDCq``ThHGZ?w*0c=-{B~I
zFp?wr#r7>c#;4ZkyxnqbFL&0T4&{>!_f8l_Y(Mg8x7^KrPD$<86Ki&=`6Qj2A|z-b
z(KdJf9;HpMk9TR!+Zvnez5SXHXNSbT8lxnRwiD%>IGaw2JY-C|xG0%%<GP7l4|Z;B
zWs%a}(_!x+;jX%;hH<q`UDM|T#+Y+E&QE5RoGfZ8_VPurey8iC%jcPd1>`#3G3YK*
znX#tP(V+9|zGEl0UulxKSa;LNy^B|v;W%S;2XBg;Qrec+J8gbE(_z~B`u3|diP{UP
zo8~Y{Z+?AaXTt`jqze}+yFS|NR6FuVK~<}RgS+PNjb~MtrvEs2nPV#h=d>6Hreua~
zN$m>%UDOn&G77NhFdYcXVcZctfhoyA!%%8!%7vd3JlT`3ac_9BwSVC}X_*6Eu?&+o
zy*a$3flV&!NX%pg)`$}y4R;;zE_j-hd*Eo!>W)hSpJEIYZeHHVBc<9AdARFx>dy%h
zI$PdoiCWFRq565#Wf7~&?eD$BtSWaghKN{g>0$5Is-Gfa#i6IO_3Coj{f~Qp?@i&z
z+H-6spVl#(?N*5~UppFHGpDJ{5qZJ3cBj_2Ct|wW->l@b{=EJD6dr56tO-2Uipt+(
zswAsCb(lG9C1Zr7G74*c^d)^>Brq}3Uq?NM<Aa9W4$Vpy+ikCFcC3A}*v0+Gg2(^2
z{M@<ygy)T&`)elLsrb*c`Df4RiwBhZUus&oFv_z!NGma_FV`^9P)O3!HSrUE;L7MM
z!*L-@fqzx^x#Ji9vv2zvv|86;PiMcytJ1kLtlNJqpCZS)i_2Yx)pd&8M7tLMwfYWn
ztSSzs3U15aWXrN{-ukD+OP-Z&?J0TI?O!MHSzGyU|M8N?deio=DxB66y$)APGi&6{
zc^$q*)nB7-+SZ$s&6KwMf6g8ArBg96C~~%q&lH|mrBt15Uzdx`?wf6QXHua-%QgL2
zp7<+sL}$Cs)mfOncd=%u=<I3Rzh05MUb+2;m&k1K1zTmV>n`*YnLo*>IAZDJ-~I-T
zW((N)FGL+Zsd3Xo=fkX@C(NEWIep+hVlZFnz}uwm37<PBp7c<9<Yn>U<V}YgD^{wo
z^ZLm2-<+_Q^XcsmZ!1oi9Pzq2dqO>bl1|d%1rj<eI~g_=RBZUxnNcTWut?{cp%TL?
zCLboZDIbCut!p?=C?y4&zhA)I$P&Zx!uI&agy$DImH#>1`^4w*c0yOuPZ@!jOAddw
zvcyPva<jzrI|i_E#w2=h*RU)|vpX4VC)07kV_M9gjN~ly8!?YN>T^1;>=Eo$dAg6w
zGjV%IQW(R5Z4K<Ua!NbyH83TK#jKB!iQ=#jcu_1SdEr=!UQFihOG&~U+1Ko1dY&^%
zJ!OtLl4RS-mYC_hSgv=|vS?L~lTT`6BK7{R5X}5NU9rJ~p^?K~u!13m>2X6&(yorj
zHC~I_b7pkBl)F(Vf8sFf#t1+0mt`Fc%xtXJH|>*O%6i>3po4|MR6s0geZVB?n*r0-
z9DNco=jIhf3FgN?1J+GgRQTb#*@>NRVvbB~KEZZ<uhw_Ygm;Wd=jKj*yzrJ=W5AUZ
zM#kHRe<tpnAoqFU$%E`kGbhNpeX;mBiTQTHRNnQQ_USC)TkpY?q{O>XRYF|oCg*Ai
zdA3ykrTfh?KW3h&nQ&f-k-dI`_9l&sPn3M7ajoAJb^qkmOx}$XrLIrs+${C*hW>=m
z2mDFmC+>Va(bmDl%F6n1(>|xAtPfQ?=Q2Nb|1^QIW9O&DfHn733tsb|o-clq|EN*@
zga9=K-;*52liK#4R?akv-yfjc6feKSpYvwo@s7^VD*`fkZcbFXyo2+$74r%<{<}K+
z{5ARR1~Ch4mh10S_<lpW+eL$sRlYrPf0P%){8h5;+x8_b<vXj&bdlk5seI?Qy?K5z
zowf7!<xk;TU3tTUNikW+R^MRpUfJ&bRZCr0GgeFXeyR+59p}NZ|5nT=j^k~NYBEzN
zR+V425R;oOW>q+?Q+g&7x1Q|mBUP(dZ!S}^sy%&V`nG*tTlg-nv`-OUK8xL7a<1GZ
zg}33oGs2Fat#%TVS$*2b{o`|IcFj^HCVxh=K<<}K8&9sUZV1{xJKTGLEEn^Y*}5~8
zN<6nq9}F~l$SQw0a^LhIG4UlV4l;JZ!VY_{ciILzoMf^0U$oiY-^zR6s#EgEFK$^Z
zfBg2|ZI@Qc9Pi&2wmN51znA=R>65GMf?sauTe(zfw_R|R|GraE@|!()RT#Ymm4t(&
zlqBs#-|xS<veYKje;41w^xMf7?ZWf-KhRvMk!%}&Yv1!pd>5y2o#(l@L?Auv@$J2z
zFR!$T?B6Fp(JQHPmtFLV{qmES8s@Vwdz4wHvWRv+Sfsmaj|y{pHv6aJEap=mxKFi+
zp5T~d?eTN&0bgeIYqO_sU`)F4$Rp(j$Gk%pJCbg`>UY}^pd}EL^!MJRKJFbWSf!t^
zG77}xC+&;0iHSIn6h1*S#WL!~$H|Nv796R$Qh)oHOpwhYZzfZ%4YSxzB`rv`J<4{E
zbxLE9+<~3)lSD-L*JX;?BpsZfBUB*6F!74zjsv|vPd*A^J3Nz>i~U2)$D}JSjc;`9
zR@OdIANF@C&l3xos~eNm7Wnrcw145U<`N%&MH0jJcOTRfS0A^{=B%8|q0F58<lhSx
zn`738lU!#cxj$tIvO78dq!T~K&VvT-oK22f@_AlLcyerte4OMc$Wc;K@RE`J#2LmU
z)kF{VD@i{YCmvipgG*E?l;JN6gBbtJ_*WlUHr{wq=)fBDT%t!HGiesb(PYLKWj!(Z
zF_R-1(xz1ISyb}z&^+Z8CVi?iFXY}+60hnzD3CElKB;Ds=ZOh1S6!XB&6hANsGAmf
zc&`4ouD8#w{P9-ZRyi{=rDD<ytK&PB7S&DeKf-n^N?~cx&h@pE?Iv73S=BEdv2bcv
z?Zk6OgRga_vFm1T6-aUn`fvBOZpyS{VIt{VESdsa`L<4s+9AiiUBKr{D$lgziQg8Q
zX1Kc8FZP;LF^O}1qQaX7ea@!LSO(8N*QmEOlkHFJ<=d%vXbIz^`pFYxL>1*YZfA(|
z9DXUZsJ6fMc*WNGeifeU0^wfvJXe#R{i>N@d#pl}@1_~^spzGAH)Z;lDyY>>+IGBU
zifr?$++*D<UCft6o|JFBb#&VGO!?M8t_8Mo?f&P)mgxpP@?^TR<bsZUlNBdpjI2zz
zR@Heu<4hLM`WgDO9t&v%I*G(Aa$r_Zs+njPeQa}<&AXMtD{3ct#@HOuXy&pxl5}gO
ziA`O1?vcZPCs|b+uvT_ok=-36wYRqC?Xd%%^*x@Q%jEW}T6x>n^<Ca8CT#1zD)-nG
z&G_FF7pgOAlyA9v$=1{LYIou%i^+SM-d<JJj<xZuKK3Zc-gDB-Ack9V2d6o#weimK
zKK^dCop;|2Kh`)8_8^ugHonu(v#q^m<12n^uHJmZiV*pJEqni8x8=H*-wD4wX*0Wg
zZ`G^2^1ZG(RyKCQd(UaAs>)BSWSPpdwc5=k!Br;Y@znEXOOF;iGB!AK&x>RWUv@sS
zx<KV`#*B<jf*JEJGB?JoF-qz*;C!JT6RcQZ%@uQzt^ZM0mgJ8&i>@YetT>p=x<jP@
z-Hti#)ys4kckG(OI^+6k%SNe~cNzRLGY?%iNIDtgwt=-rzay<=<+2CzSEf0oa;d9W
zw-}wQo?x{pdw$!qW%a%%*JpH2V4pu@^2QB1CyM@VWSli2+IC~s&EpL_1oi7|KL}>H
z2r#T(a(GUa`Udm)XOo|v`_OirrLm&BC^hBN#}Chwb#I&#6#O7_d{HU$rd@~sZ19nD
z^JJLYV^m?6bLK-z635J>S+5mlB!yJm_{7$DrJ>I4eeIK`id3$cH9I&JMK~1XSU1$_
zH)>3H5@QirwxXMPZcI^3(*!1NrkIcoIksj~52#Q2#q#T8<@t2o^wp=<iRSFKw>uY7
zKBaiy`OKm@-zqmrJ*hA|BvU-4^y&HZ(^E?4p7DQwXKL}!vpH7N=YBive*V<*=Mzsq
z@rs!9ecSo;rF_<>&S!>4@mUMX{aYZqXG8OjIU-6g<PxUO5%HRLXL{Mbb6!Q$il3j)
zUmY{8*o!}wE55Ep9HdcfHb~<t(b+ZU(^rbjK6N(d`byE+o6n~&mAej-i4vb(qGom~
zGJHwOw)2^DW*?hVXHhx(gyrm>W83AgtVmM2zT$(E%IDc<z6$8~oNX~)^y7TT>PG#T
zX*OXR`EU8}pD7VdQu?y!Yo$)3b?4V3B|^JrtlA~dV!e}flfdbV?-(z{$RzPt@3`<s
zw<F2&*cnfO=N4Mp`yXk%yD*`ton=B|tHO%(1=Es>Sht?|WM{PH*xttL$~O%-i);<d
z);hmGU#P9ClDxqBq}qFfuD!E9=<JYrbUx2pclP^(nZgG1ZGK8!JQIFrt@F>bC01*7
z=d-Mxsz3L9p1!a7%@}@bknUI>>n%U{k8dfNqBrw^sjtO9yF)uI8QEuk`Np{7&74Mo
z3GCt@C72l-d=K9}V0Zj|$NG6E66YLbn^7uj#x!C7hS@Q>F%7QiQ!`bg&s57NS?>r_
z+N0Lww5YlvvTR2*i{q+?G5<Ft$tIb+5I@Ko^YMgsyI#`HFj+pv8?0<Gk5oJ4ZLWDn
zT<*BLvGa~{h+R$0`4eSpj!#fO$PshmM5VyJ6L-FKH?HuR@n&M^jq{a3yoV>+c$kU)
zpZB}1&gg8)`<*qeuCsH`SDxOideSO>--@@VV<yK;Vo+C6+$k~N(%|9cB=v<yl-Ew0
z!LU<(<-}t7+vl2|c0{^nxEq})UN}Kz?#vS$!bu4n;!94bH?NP;o~R-EF~Ioy3g%;+
z6Ee77>(5wU*O_}``Hk0W7T)(zn#ke0=faLY0j7XW3icg)3uYu0EDH*o*q(E~F*8Ja
z{t1T}+%;!%1o&f~GrZ=ynQ(1l?Zm`cJJ!l?i}~u8d!}vwO(EgLD@i;t%Wgd0&=Je9
zB-1i^LXmm$i{e=~+D~VBF%%~qk69hFfhCq<_Q_2SvHzoXoKd>a!j*8IljRX3Z}R^(
z_ZxpW7UUl0k2z89o?)z4kj$|6hLQk#%&ZH`HwiKc1v5$Ptlo7aZr0R28)`mYnDIzC
zGegOb!Q=^NU?sE71o6q4b-T4}-8)Y)N*rXdV3B5w5uJZVedkScJBCxsq)%wZZeZw6
zW|-119y{URt{8m|lbv_uKU|1qSUR!KIf+S-K{LiL_J4A01H<kZ{@DMf1zH~%V<t{i
zR~D{bA<keE6Bm=2{68s;A^+m0DZLy!UYaw!j%An``#&P4j<4ez!`E1bok<fEVkXBj
zJjn{O@A5d1{NEww!>(tu7wr0YU|!@eE1e4r{~B(5NK$?<p@Z>)_5>f(NCBRG&y(Lz
zVCd&aP`I&VTE6khII$DAl2?eF)NhVV+IWn|KF(%>Q^Sv>xFn$miiwOX{90pITy|zy
z&k_4=$J`Vt;}c7i<7Hk=t2vXW<Ro!Pe@6%tL*$Pn&*YZ>l@bqYV;+1IbV{DZk^JAA
zBTgko?%}CV(zpCJD#S7@k5T6=`4Rg+@gT#-lM6aIr)fM`x$z>ez=s>}nFL~bW3~t?
z&1#7KpAl0W<8#5b{p|(2c8;BIZQmp<IUpvmL4bkT{(umJPmE>k|Jo#`pB&b)|Gi@!
z1?6J@hgC4>d|%+hvg(16evFCqi50@fAK2bmQ6sJSGvMxy$&)!aHVZy5Pm-8m*#CEe
znz$L$ndO^)SU8`l+B0#Es2$_U`7)eIFIgB3IZSUo=>EVG^Xq__8@E%)n)-<?o(%1V
z+y|0QBs1)LSbjny@661!3HI&<*OLSa6b^jmmx=i#`oj6l^tZ=^*Bk9N_{8Znab+`O
zQtv@!Hs(c^0!1r+vm~wI(D)bQ%@ea_<HJ}ThUSOo9b*6c#Qf*d|8_)NfjcHfL&bV;
z+lEy!LOZ%1-~1XOe!}9h)P{TQ&*w6l9{KmzA&qrb%n3o?dG_}vY0U91(s=XdxMc0q
zv(s+x+Sm2t|KwY#XQ#hiy?Mg#$Bny<4_YW`-u>axV0~2LZn;^fr~8Zvb2f{8O1N@F
z;&ZHc((SX;&nF#`@Sc8V`rH5ZZ80B(<}Nd=IXnGtY1xJc60_zw&TgG~cG}wCMGbF`
zt>|B{IN|`m@T1nb)kpci=g#=OrenJ0f?oUE5BHs!_C6(~_z3^o(>pr5R>mDYJFRSU
z@|kI0BVUvnPA)Cj7vq1!uja=|_I+n&{5{Bj<Lq>%q|>qg8xmsTk}Q+zCsf($FvgrX
zR(3$7=FIe;C-OdCxRiFH`}4UeGD$xU#jHDU<cI&6DVwHf|5!P_AjWFd!?;VeGMkF;
zY&6MIJu}5L#(Kw(CMMP1m|bV4sON9Ub$gJ^WH;~p6s}l?iZe-UXQtdc#Ks)UaQ7q2
zY{oNF9>j#KI@HLNw0A<asr5mb7AB5}e=+B#9-R>Td_hX#4*ep9hR^T*y%u`V5z}<Q
z_(#dbYab`vRbu$2eNn}(<L~1uEKhDfNK#2UF-19mL$rS9MH$8ix0rfj{%_DZGg-g>
zfl&|BiWi5p%{zJAnF?}QJk1Vd*6ldhb7Aq$X7f768NVtRH;6<qom!;8lC)Dpn!_*Y
zG=sijvipWlF04(0(M-JO!jdM$NF;AaOn-U$+|-jhcFGy*#N3;;rAF+)w<k>uc4wzP
zEZv~fagf39;YX%m)-bt)4BaQDP01CXxBvXqZwDKnot^Ttc!Rgu0p@G(4jJ~GoO6Ea
z)9ME?#mWEPouBejD|^PNp4J1NJCA%`x#4*2#l_DK7`D$mH}(0eLop>s?sT2(K6-Xa
z(f{70q#vx07oDH-bJeYzA@k2qdAcu4CMju?;=*Y$XQ!^O-VjrgH0$h?JO3X!?P@%c
z|B&&5$3xBuLgxPGrqnmaBqS|8J7uYS%=hCje%Ai(nswm)@ed3iIDRN39sGDOF6Kkj
zv6zFi*_o2urBD3c*?#XOL(7G2vek`j2e@MNV*j5^Yh#R^@ykB_k<JapMvj<?GeS(|
zPcnYfi8*|>IDN|c?I#~HMRkADdSb(n_H)YllO;};tVNp`s%G>*-mt9y+lJ+~W=~H}
z=RU#2qkksg&yg8xE6!{?6wCNyUKjIfh2($N7`9~pNw+)jt&Z`*g9^qI3n~~+L{DZt
z`+AZ2o8<qWHc3ACJpUBK?Qi0bZ+v{{!2U0(OyuJSolD7&82PWxJa+VQTJo1@e#V$W
zM)mWLRmCp2Sx^2`*LmR28@?Y628o;}79M>(^SIbAM(JaP47zqt?8<Hn?s>T9=8WXz
zm`CS7Khk^jW9#`Dy|WwGul_lcd*l4tk0(qkP9#j^mwS0wwyga0+BY-8Ht1g{JFqdP
z;D_qGlgVc<Z+d)UEu%}4Ma+ia*#FTd)=xBL{Ks^-!;_aIdB!JmzcVkAI5WilGWRjQ
z@CawoQg{+`kkjf>GYg;2p@Yec7dRg7*tmK!x8H@O#}_C)Tcp}+&XaJ2(T{tPB>!XX
z6TftFk{+Juk$Zf}?$(QhYfKGA6-+Zuew>&%Z{D5xxo3B7{}H!wLbiPJzW?WTd^@?l
za$-(<EXO~FV@WnKOJg@M3MT!ZT&*s`Y?Ji#RD{m|lM&x0TyOp#6UD^ZA<V=r$sm{@
z74!5==i7?diP`7Ro_TcP_`{hGKlDGmAand;mXbi;p+M=>qys0W&U;z5`NjLBUtf=&
zoOy`l!(X`|?TPlsZdgrh<7PaNq#vV_bhkrCUq|M`tqqJZJTsh<e%n1%{^6R){38E^
zn27MiYOT7d1;2GZ9=|2aaBgn;2f-A9Wf#28pE{OwYHsp|lbhRPE~KArU}yfGw7L4i
z#&4awD(X+qi|{^uV$bR0m5sfdZ$8|3{KTmaLGy!6Q_UmzV>}zgQY716_H0-gbN1Yg
zi)(I(P3Sm(_FU4r#VaRs`F*(XdDidb%ci}y4YtSIdi35-DV_iBiBd)5B6<CDb5F#~
z7f@<co_}WX(>A@B3sXzK{)*N%w!2}*De0|P!Wi>=YH8@yTS5GcF>+lUny(wRDw;!%
zPA#pAooaRH#4Huz)pk;hzZ)$(tZrSOT6+Cewx?6B(9VFi4^NY1&MkTK=veZKPl?Ju
zG6Itvb3zY3(D*#1^mh2%$*MMUk6vP6x7)jB>Xfqb>$&HauUs>&EUZ&Q^Tm$H8!VG1
zeC*XqKC}Gi|4Ti8E0;eyz2U_CEhcNG6n($CcB%E}v-2;-pR`%@O1EnY@1}Kkwr?o4
zKi*`_vs9>h>XgFrcXq1(OwUgDKfB`lNvWTmE?tKv`fjkUn_9S1J9*K@gZ)R(tyF$6
z`KR#7sfEw2j<0iK{5g5vp>wjY=Q`Y+QuJJ_dZMIK$&wDCr>hsNKY2pB^KfK`%-ORG
zz4xA;dGs;wyany%uUGIy&T9U=hT-&|x}CdDo>;tst3Ga0{>Ldr=WZVAdOUZ6g{YX!
ziR=H^Pe}inFgba}jT25xhSQ3yuQoKwG9@##o|is9!MFeH!s)#`k8bm7-WTJ-uEn!K
z=fdNyR+AcU=uh%Hde+|gG^?i4kHsu3raxKPCmKHdF-g-lec`Ja%gjHrg-V=oiJW);
z<HX4RP4R}CoRWULW<O!W%NVxDPhq8Q^29*-Z%zB2OK_~1P{!Ew@$=5x&rakWKXzJ9
zz<TGYe={DeIcZgSHgff|q;rvLAI6k|sJ^5Ho36jvvFs$L??e^7vPt}c9Xr1NOF9?%
z>PF0hUHr-ae=lsZ*r>4Qf8yE5zpCOpR!=xz*|q2Vgy7k8-p>7gKI+<ZM;De4WlS+G
z{$~~cEI1eScfRKSH#7213cooUed{mh&ZOl3*SII;G$#K~I2*Nh_o<ss9Tz-iUU3nx
zKN}UlbyZdc<AEEAEGwp+o$y2d+WF{p`;RO-IO}}$z3+2pd=5SGFpud>^zHNwwR_G-
zfBjy$OgCaiL+sh}G57B7Un0`X7&D_|n&>>%vnv13En53=)`!Q*XJg;4Dn7NPrh7r`
z9=@|NvD=r-ovh?|Hg@apX$Ke&h#fx=aJXj1<m!3nRNu|n_2Xxxt^I+O|5p6kc*XPE
zw==Q-HqGFleJ0j6{pSA}bIzWMmx`5PXupy4zpi!$^XH4p4)N}=NI$Hf_`PHE=R>cX
zW6mEi`oHGDwx*ug6{pK1%2sSSx-%%hVR_P)ScYxCUfb)RNsx%SaKpj+g!7N`m<4gX
zQ)3_e&q-$3a-w9zjHC&#_?-_j6*9*7oLDcl+y26xH~bCu&rb5RGVa)Tb|bIWAKw)$
zO3eIqj4K~bWSD<4*f#y4`>C(}G3TAkS08-+<4@Y-e+PX(p2<Fze4gjgjgvMfc*16g
zHzzX+9BozgKFgN7;?!gBGfW>(@)w-neB$2k>YNo9|498jW!csE={hIV48i%gA18~g
z{2~8)n@q(SmQ5?}RUULcKclPr4CC>Yr|iC+tDF@pP$=`jbgT83Yiq9Wba?zwROi^X
zb58_6u99M0KV!G$LuLC%ujS52?mvF<#(`<a&r5Dz`MLgxz-6H(i(}^(i1O*nH(6=S
zi#a2+?Z5&lF7LClpKiQdRz9oIA-v&N%%zx6k4-fvIlny;c=C{Ig`#OB_oY*^Yfg%*
zNw^5PGo^|0=~!QSV|Q9!|4hiH2QHJp&3Zds-g>6_Cf<K5U(BDW6Pt5#LK9<le@w-L
zl8SdT-ad>yrFM2jVs_c(w?`z_Ejl`{{^wamtNs&BCk;2xJos!ux9OYzmM1<+Jh*%$
znL&af`odkozX5ZYR-X_Oj9}sx6l7wRVBi+7iFtOWLhRtd*K<X;oYUn^X8g&icl7L!
z`wedA&&I@m64-lHsOjH52AMxcHhtUUxWK1TD8?}6g!ca@3X4|Gv1eh7;ZFV%Q@<zn
z|A}1_XG-jODZO!nMEA)#2V?&q*lPbK_C%M&OVtLp*#FM446mLU%2)WutT?^@A>)b8
zWc?Ed-I)v{7}m^C?Y}w0@A`uiG0V?39KFExe1^a5Kep2iACmvi{C1q>R1^P}$f8qc
z?dFO8cw~N0c!u)lGrPB)37?*>y*uCh$AzTW2d)n{UZ`njxzs9JeX6P>rcp7a?R2I5
z`VE3V8sFXEKX`yONpVArbd2x<)@Mg9>|J|gchO17tP=ss9PY1o<la2lwfV%Q4U9XQ
z=ABqCzai;wEW--E*(VO!uU~OI;-q~)6QkgXeH=w6oz(=g>Obs1!o(oUbfSZs>GfQ<
zlp}lR@B4E$A!o*R+t+i&YT`F(sO&y;(z5sXp6u}Q$`1!pb{v~8HuwMf-U+I!Icoo0
zk9j^<bpE?@n^>yj&L!-bka29@(e3u}Z&ztNQFK2m`g$_w&BZ=fbIyxy-F5Bnp40Kk
z$9GJvdp%$5$))C=RSV{cmFm6TCI4Z`j+^ovaWN+o_S`&h?E8X6f^HgjUhJPK7I7l>
zAY;;jnb~_hCh%Bn-79}m{7gmc|M&^qkF6#%o7bODxY_aZhw3HCe*bx*@%JXU@$b$*
zk$P{A=;>>>xj1cNtXBUnyLQ)tcZXplbMx6m?MN2=^NG<c9@ow%TKzbi#5zax>46yb
zScWTS>b}htO$=+$m5tlG;_c=Md1(#yvdueqV@h`}K0d+j@U>I%vwo=C+COmo@!x)e
zN26Cv1H+t-GETR4j^Y!d3Qa%y`?lQvc(%6U<JC2*K0QAfe|BQQ?9=h)Wnn9RCo>-0
zE}wlaVdI<GqO(|v_DpbcNdBM05_p<}_rL`GduQW6B&BpX%-Xmj{72WsmFzM8dy-B~
zivHMfZfVNt_|~&~r#`NkBeH+nfgXN?^#@*99Fz!CTk-NRN79*i@AvaWx1OFb>Db)4
zqIKV&?w<27`B;N#r9}9A(e=OfY?}J`?1Ixfmi?S3`u0`%jq<R=R}`5Yde+YsEe+rQ
ziy`OC{QV!U?U^fjJ+!>-M9<8*qSyCT@4gdo^Mt0&t;=Dv#geZb`qdgUPwelpq*YUP
zRMpKAV~$y$%n;T)Tf8y(f6QqH*$>GKo08^>`z10U@Be2+o&QfdJjt{*^+j{}o{3AI
zukMz;CVG;i=18N?uLGrZ^CWhD`{6%t;_>ehoEz*~8BZ{n-@DbI@p;BUuGl{eJ%{)F
zIP@kVnPJZfSJ~G$?)^Mv{rREntOavLz0dG|J5!nY_!0jD=>t_U+Oan{@;9;a%}kL$
zFBWrf%lbD{Bp&GATf1OwvfBd-nMWG2dl(uUn0_4Cw6THt@oD>y8{OnDYR{NjHsMe5
zk_XlwAKLaY`~ErMeJpPllij^jQZjc|ic~VOpKUUGAp40$_MFhO6BA@t&k+7`Hb?dU
zJcTFcJkOu-oc(ak#sx>@IG;age(=%!{ey^&ai<jz#xiU=@FnT=(qzW7Ub+X88M<Qs
z#~pgnwRmUko|)S!PPRVymLzk=>+Raf6%Ud;PJ8kuP5u^ha>2$^6MvlZ{=Ay8`>gl=
zNUn2}K8Pkg*d&$o=V;QU%H$J4{d}i_?DNlf_dR%UX@+xo&cP4gJ}sNbbaBOjuMRQk
zF&rY5HhWG8$IVsmj6HGq=-IQ+PYQoLKTkIH9>c~EVOFEN{3p~IR-bB$IPiMUxv;Ar
z%ws~%g{8gCo%6!wM6*Bl>o>_KCJ3Gt*euX}BJ{)rcNV3MYfet$VB}AF{Qief<qx}~
zmu5VE@H;~L*RPG|`gvtymc5?${^q=dqmO*D%+B>iGL)Z^{P;j}-huD_46R`|k0#Gp
z@WHl@ai-b9r06p*CZFwHzx~2<Ddzn@<qstPw>sIA-jFUT_Wyy=jsGzbKc@EzGB8I-
z{E$9+uH*Z8wi)xZ);wGwydiGZhwc-5<gaaRC|xr{KKW!AKV!_MXI!i+D!Vv(PlRzy
zY^bifwg6N*{87>WJ)gBV=4|r*w+(d>FPe^C=zMlI#a*#-PtvJ#H>ccqy!vEs|3XD`
z2E$~A{+OO?$tTnHoJ_rW;=-C)l8-L(A7)BAnI_Nre#W;COed}?30^;xz%l!5%(?XF
zB#GxtC$`I0Fvh6N@I1e<yGrcDq?;n|XDS{4BUv|f!@27!iU$QM`cGCU?0zE9G_%J%
z|3q@xg3lXQIy}3%)3*M!N8gKku_qRk?wM8o_(G(71Y^vH^Rv#K@$tU7DE{Mvig~lO
z!hf7Qar$B0qGzT`>jY~4atN-Ts}*@-%AdKK?i><KE_@8kq73ZU{@Bjs*u-Dn^Wqo7
z78S+clK<b&+S4I){vX3Bor`Ba+>KHED;L+BRLPKA!FXcj(Q|?4o-a^qz4TYM{@--N
zOaY!Xja_Lk-<s;5?)rA(x6SE4+pbLAw2`ChpIqs>Cju)jAN@QtcJ`UTssC(l35K2B
z6vl5I{a^0+`3tsEOiyCEIl|8dEZ<+#?EXn${{#^a#g#(ZVP{vaSs0Z3$7||5(Rq1S
zfAIf_U4FFSo$Zq!Rma8Fb9dcp>*r%=e)DePwN27L8wy^?{e1IZu1KBXVE=`;QpJ0A
zyB}se6L>2AG?)9Dz2|<3&VDH?HhYnr=xnPjdA8n*0;YB{>Jt@QV>64NNGLy!5_ZmI
zp5J59sq4$g{cr8`&41-it4dUMcM8lAJHD!-f7!P0n^qVcT74$)RJpFmYyA_8Clv~7
z1+MA)y62Bv@pW&9YTKP}&jdVO7vy?#<Fx-d{!jnN&0Te>vsphPiRo;``^|HOZmVZ3
zfAlh-oaJo5@^{noMK7LGIT#w`_<yf<$|)xIhN3l>{~rEUd8T6RyYLX#n`Z)d?w>MW
zS>^Gr8<C4oA3t-eUN-9Ie4Sl;KetHdz5fs){`$|PJ0a1mH4|fI%WklK)3ofz<1NSk
zPqKP?{>}FOSYBDJ)&Hdb=r6SWDbzl>x0i9L{im}P_wKHoW4guV^TE8>JuSuF{;6{R
zZk@aQacWhG<d)@6()Jr4vU5LwKKE$h@&9^xZ~see)ef~e?>FJ1(C@f2f$O}^pI<39
zdwH(d?5;e~*}L}qk-9ehujQtvEsy64ZC~&5ZQ-mB(%)Ly&L33Lzjm<k;~%NG(xMNW
zTmDs_34D3F^<U~)d3S#6w|}Je?t1cgas%g^nfa0n|NZ|d^-<=0_m$|SkI$bmN&b9L
zeD{ajKfnIcJym7)|BrOm(<$H0b45;`3tal?tl1=%?s+vaqUSy~XN5JcKEBfb<VW4V
z(pgVu9G%m#-{|U_a{*U6WuGQ(nI^A&K5(y!@urtrkLL*Qf3>&sljN3!n-04FWR`zF
z-}@j*V26B#e}jen?v0!qSsL1^dssT=p6+X}p0wrrjicxKzO6Xex5&RS_TS6%6MAPb
zYVVmNBCfF}>E92A4~z{@t2eQ)+Pb}uZBNeW{sT#xvHz=2RqCHxkaNoPS)+r_xqjh0
z9+K*-=ZI`MSy`GQb#t!B)U~H8)ulf9pYKgQQE8jLLH=xCr6t4E)>+<X`oA4$c)adx
ze`oQc{eqlZE}F%hSh)IR<;UtBJEZou&+zj~YP9-ayy*MAiDn-dlg>`!<e0%4Gyi1e
z6Jzn?dyGz=(APS*le6*C&yPELWq(`Qw`I*JacOw)kmG^9?X~L%rYV0{kh}MK#q)<u
zQ}SKK41Og4f0*me7R(foZxR!oRIx6}^TE91JD9cO4rpzhDI(t$$>4UflAkGQje7Em
z$8IhxHJ_Nf&QH3r;??;xlZxU_R6cw-W6uWBqz?{{&aK>hrqX-<JmLGVe#A6gFwdUQ
zT=DPCFCBl*;y*7py-u5$)4x7)`I;Smhh)!H+FdRC+B`8q+F|z-!AmdpiQ1o=8Q;X-
zocy2bwEf)_0f}=}b2rb@>prb+{`yQ+$jyJ+Z})DwUjC<j<tOEgfZspFBPJf3sPOW0
z!`(f94Ad1qum9Fradzg;wKueqHeTHGQ@FC*O1}D{<F|8FE6@Ef$vULS#u#&Ul5mp!
znVIHH?@x43s46@nv~170s!!XE;?~S;`*9)UV+Xr_*qpX|XR55fTF!VMH}_1{%6Q|T
za|<kI{^;hjzjdz4{_Dw(+b4EpZ_=>8b+_W|te<%kr8du&VLy{MJ!MAanblMGo~imA
z>$gEsmp|;xtbJaZ!hRbL=?Yef&RzZA;_1H3xo`gNO+L3{`uDR{-l5VvW`!{wsN8sF
z)}=jlJDSoqJ~z#O`?`nmh3jRm{}EGOxSrkkqT1~NQ`Wh<^Hnc@Jx#iH&TjrB2iNme
z^{=cqMm(K!epb+5@1t=Q&1DCrduF}A#uq!ys?sL9u5Q<}1wZ&!oO@VzZ1>hT=c_+m
z{m61=R*~h8>aq<#+7mg?S5Ms+T{pSxtWs3%l)2}sOZQb**7TpBxpLi;$IYwehuHY1
zpRb<2`}~aV!%PPbR^4m7b$*^`e(n23L31WnoX?$};!%61diww3DHUO7X6`$ESf_)-
zdFGje)5FB(fBS#+<hh+%Q~zHJJ$1fX`@i+-peIRP88gq$e6F3o?aWEb<L6G<Z7Rq;
zQ+>X)NWppI886n=ldk5i@z{N?`itcfr%EHkGpi%{Y%9-IFSYupvA1PY#LdYC;_^H1
zPS>6>zvkD*ShEca4%EdoCNnHQ$t+*``*e*$(g}{~_cYGbX!h^;b%NdY|Jj;@vHx9m
z{&hCxI1)Eu%VeH_GqqnIB>(rkIaPGezL>MM(;oEvO%O;DKVtRp&k2_EXQmXNJJ~do
z!K&;;Sqj6#gG`%eEP8$*Y2)D?8`mDJ^kisd*b{bY{pU_^d$R*VVzDQhyAN1&+SPvC
zw5q?;KKA3;=A82l+dp;0SZ}C{(LVI`*@^n|2fj+h)NQ=M`*BI@q2wFiwC}apeQq$~
z`hP9$#E*}ifAWsqv^bq;)njt6?o8eM@29IiivC!}$2k8)#oyEQH7Bafj@0gcc<aWW
zC&y<nXB|7V^H$o%*cr_8IxOlpo@`KJn0>=1>BWgdtt@v!9`bcLUCr5OwC3WhxgQu$
zh&{f&cuj+aGRN)L6VDxBOp-oX)5Y<!;>Ls@y-X+5uDUSUieBJ8c60jqYOmktJD%2_
znIm>lQvKe3fr#A?LLyH@`3p$dJU`f8_aTyzG3j5DS>%pY>o;!s*^qMdOtts6v*lIu
z4_r9YI(?eJnp0(0*K9cZ{A8K=AI+FEEi&en0+;7-=D$ASC-FpY^$fL>0`F&VX5X8!
z>j|gBrBkB&Pek3A#n~Tovh@F(8Jy`8cE?Kizq-_YApU%_=>F3klCMNJByEpLnV}%H
zdi{^b2X4k#zj_{dwrR4k{3Qwgm?bqy7AG$L;ke*+jIl5M*UyJa$Imw0%RR_p_@L12
zv_#$iGiBNH4<^m!xW4IB)$ydMAF98duiA9t;g2)ge@@o^n6`cY_A}Xu$qXm5H=nWm
zakAm#`J{>yaYs+J%$sTd*XB&o{&SwGyEm-=7&CRNUfsms$KRf;JW=}QRAS@{BY%aJ
zVmr>{pZNPpVbemL<8cT4j@^7<Z7p}=QTOSx)5*4bx?@k|JUg4*9&;j1)c#Cz-AvbJ
zrkJ1u(e3MQq?LArNPJqO6=spJp>p2YvlY!uF-m7DpRSp*^L)2*W73({y;|O9BV}VA
zRjSNp{&RNznn_Z#URai{IiGR;MA8uht;rYP-S~U1x9jB9*0$MdwJKHFoLiOlX!v+*
z2CVJ~Yhz5Bwky6l`G5MQB6l|RxEa2Nzgy!j2v6pDJ9$_A=kv4F8RWkmIq^(blv`*1
zE#c0bQbj(E4ZG)RpD^s4zWa5py3kSYBYe>lPszWZvin=?xu0rhQ;V*C3aD-5(!aLL
zXmUX3ndIm1ZKm;u^`+iEWpDK<;`v<0=)bB)$Gy*`evFy2JNth4C#B!B0_V->*SQyb
zdk*9Kb*ImzT+$6vUq6>IG~9Ei?~Ai?hg6@2xSmPbxqpd3=9+`LXHx2?E;8@w?B45l
z{A|kSa4j|7^JkL(dfJ?yCYo(`{`{Gg*p(u4&z(uR6yCPTld)~4`0k~%BhTh6{jH*|
z81Y`a`O~~JDf?0q+S{_?x1CM7qUs#_yo>ov%F0t`e4^%^OFsXjDqrp($N#lim2(*1
zTUQqB|2g$B<Cm?+=Q8HS&pV&8YR!q<$J@QvoUg2nND`d!&z%2!igW*=52q{5-#5%q
zZ>TwcKIPm(gA*}U)6S$s%slw-&)Hld_16o;pLZ^amyle-lfJX`t$;cAxy+a~hht7u
zF(hfl{;%pkm2$fD|C^ai=?4!eTFGpzWHmc&pP&}AsUz;{6LzQ9zeU$SlD1sn`1?%m
z)>|H%&*#SH@3`>iY^CkpNY+PZQqu%}oG>l(|D~7sedPo5>T^{eF2%5%s#4pLXu}e7
zIwkDK3d4g8)6S*b5ImuF{$zgFn$PFYxEw#V@`IYyZ2vRKZ!#a)KRcbAsPn>D{@jBL
z!5<l|_q^_y_>uW!>MZ`oKL@%Z&Zi_9H_iR8;GCq$r1yH|s|_qCf;MQKsD3<C*?yYF
z*_3Y+j%Zywn`^oL!^_H(RmrkvtKQx^pIWT1QNRDsrJwUu*T)MpeiG0;pZX>8$L+I+
zvQG%Qok=bJ`C{7fKbN!XPb$eCz549W<-M;aZL0fu=daDVR9lwS6LKG)PhEL`M~&po
z<=$sgON&&Ue=LcctM=Qj(y{Ms>c873!XmE*>6}gdsvfh||BPs7WJl89D_7rT8U9)~
z>qcGK1=F4zjlUk&eu!Bx!||BFO>=I>{S6-J=hJrYJKC{f&eT`d`W@$f|G#o2e$zak
zV+~V-*8TZ^rRe|jGpW0uUSglGHvOOW<F1?)cK6pbi+4@v*x1UU{kpd2^ZzT}@$*;J
z`F{BGts(#D+5EcyTaScoJR>&ulINPgS1;8{p7cyU;e9sk_vsy~kI!43KC$h`pDWMx
zZf<Hm?=<OC`}wr>emu5Q|6L7zHHqQn37xAp9k<NRrR|APp3C)Ymw9{AkE{0+Vi{JP
zOiwKNbIsQ5WXH2_>VK|vuWH!-_o2s(KgWMutFDOIa3;Me`M=xAMqB<9>9IZkofe7C
zu$Di`<ZWX7_qu&*hd^l0>FqOQLj{>MMUrm{Pkmu)^Y7=G+}tzK_SwfTl{FY_p7?+M
zkFWnf#B4YpSaBlb^90c!XLwcb#V#mnwc&WzbMxlT7w3O(t?x0rC(BUvgn|1^+H%`-
zLGRC0ot`67A9*U`=v)=4<S@n=Ozg@3&z}pid{o}&eYqiNQ}5pt4z5Kau}3(Bq~-``
z-#l6H@yG6NCQX}DVQo#1_?Rqza33h%*wL&viP@6j=89E+Hy<clq{8)gu425|fot^#
zD*qjN^TT^Wg3WpV_h&MGCd4vqnjs%+bJVHk_mTINfA6aHA9!@+oBH}WvR6L|%AXN`
zc0T*;365Fkv$x-$u<+45N%{KoS)B|r>rZEyY9Cl|?M&9U0}Ip7Wa;ky{ouyoh8xl+
z%G);z#M+#Ati-jNPbhQsO6#QV3AIPKZ@>L<K>Gt@Qq1}EOO<D$_kBN~zv01!#Ghw<
zHBU+?N_1>c+4b{G_RqiP-9E&eO+Wuh;^LX?&zmG(U6}eXmf>{#=Cj$K-kx<?z4?rP
z(6t{%A1~~WxX82d-_H1ttc%2)k2rnYqc$Uvn{EG$L?)&f&7TT?I@a6X)L=Rgy7|{N
zu7a|dj(Pn(mu4J29NxdM=5FJ<7-tEYJuPq4HQe^kIH$zG^S+7k`N_qu8jjn}xF^ND
zI9Cxf!|3>_qP&Qh6En4K*Zhn*>H6ce`;R3TwI5ra*km8^F(&MSlQ3fpKSRvpn3UN6
zzOnxsn2)_bF-z*hnk1zY?Z-bh<nP`nJ|n-Y<H^x8(`L_%inTctkbic|$%Q;zjB-gA
zKJ?!d+`tgJ@#mlZ8HwM2?Ed-Y?9B42A4z-@+7rd**~Ra<=(myUSogFS5!d(3^x%qF
zz4q&LqlFO~^Yz=$&DIZHbFzmymZ2|F!Sz(>(Hp9VVon^azP2DJ=VHjUQwuBD7uefY
zpSbz$%=$MoE0WJ7u3h&;Xy)0D_1n)RUYAW)VOS#5D#7=AmQcm4fb$JOuQ$Z}J3Om_
z?dr!Dk^C`nJ_j~$yvX@u&2!m^<o_NwN+SL@vYAIRimrJvH*NmKL|-xY9F`lUfBv6X
z#Uvi{;;4+E;IWsty^Wd};^Wr6cv^Q-*pZ3#?B3h=&Ze%i`k5`V@SFKd14Xg<+s>wi
z)r#FXnSCbh{&b12I`{Y9K7MNTPt7)0+c>@%w?9rOe7^2?d6!n$^-t@5TdQ|8{$F!2
z`1iUObN5X$NIa9e|Gi&SrPzwPrEWLX@7_9_7Ik%cnC+%Bso!JOXDUXnI($NOa+v28
zrtNy!=hJGpyL~@(CiVV*^+)-+Enm;1tzA87<xB?VJ!evD=e}{=bGG;HwKHkE&Mk=g
zIQL9y`1VylHZPH?T(eI$|6F<W*|gWE=7{QFuY1f|yux75>@(4y-u^qb_5Q|h$~i07
zuX}ze`b=uH2H&2wKaQo%6%*g&#PF))dw$r51AA74%|7%+Jd%<3e0oySS>exTQ_UH@
z&t+UYk@kcke&aWtGZ|Y~IR5{5HlyNn+UEn`EhbD&UZgZnT$KMrdeSuYGwI(}Kbyax
zW#jp@?JNHlFPLy|zSzn)C(|q^-pN0kwtvU6#I<w8=Wp)Zqc3(Q^ZyFtXFuxX`A=oW
zWS>n}=UDNL<xKjym527-JWw!MVDb5M+doO!9WQ=P{Aj;_!SeQ`YbT2S&so93pzwq<
zV6%v6_qpTe)A#;adFc4o4e$R)PJUo2eKLaO`KGxivmaN?5G(zmc{c0ZT(Q+#Pfomk
zVm`yXkJo3d?7O~kj#zf>wSUK78ts&|JF!LoeA;OV>vL(R=gt?qyE`prj@b9K<p0O#
zfBN-c-kFs7+8Y%=CVk|sIQjDPQHS)27PV`7XPn;Lem1q}?THC{X5OE*dvg8fGbzEb
zVFw&5m{!ab*FK*bds<oIyydnF3k>i4tv-|b(k}f#)cM|}YtN*{)NhKiIm0{kLDj}3
z!kf;d&fS0d!sD9@LpEO2Pd=CW^!?igkJ*KLf6SgQw)M4t7x%f>*+;7Ux6cy~{k__z
z!(!UCut}5h=866Pz52<jXY-8zo|>Tc=uGO;S9*q@6lP6WUU#JW)t_^zfBv7Y$eg+U
zf92WKpRbCSgoK?D%6dIV{9CErhWOW~#BTobK6~tQ-)jENy@Au`h+lnel|Jj_q0jDo
z_Pghu+H)qgq<oWj=sCNfYjecc+Rffka3*#ByPO?U#CAsfKXGQm`AI*y*4Ll3{?j+*
z$Ky%s?IUmWp4sv3T!u(Y{-HTyyPNqBUp^<7bb>qmz^je=p*lM|?`=>yd$3@=#evV<
zXPLSF&lW!vyWv^diAeKnQyLgH&XcfudeY$Y45nEfo#C6eJbt>xy?ou8Uv0-*ewp1o
zpZ90SJL%Z}I~b31o=C_J`>~>VQ|$i}$^UsS9D2BZ!sY{wNwPbBtvV1F(=+4R>JP3B
z?EO0@)Nh<CS*Nplhx`q;q?7q4HZg76!SZM(>)!~$*=)AEPnaEuW!QWohCBKH292b%
zx$5r^em|T$gF`E9meko3WqgMJ&lxgZNXmE<)3$35U)uT|n-9%C6YRH<^Vc>$mfr_H
zC!Gy$eZHaRYJ~8V6YWpV7n|-sk#SPW?9lngX9AzzJIM6>tk3BKI?vAsE`NKrLNr$X
zL=j{9&F^RYo^LxFlX~7}<H5rbXX92s6=0b3_xp{cGr3DY&ojBd?QCpT_kkT}ib~^z
zR^OX??eV#j@n^r>-Kc&d;h6r6r@JS*GV-$s|M|5u?PP}R?~~mdgMTdIy0|AMprPdu
z$F6O!J}{n5oFu{gW}{!<4B_~~3nz0p4g`lC{ITau(v2N^P2(7MOz5{3c<|@ouZokr
z;)h?#?VTy|x?;me+2ofhGx_e`VBApmrgKx*kCxIm7h(@;#OyeeW48T$lkLZtja5}i
zUba6LFvQMz7Rs{e$EhC+&%fmT@czdQey!cRt~s4&k}Nx0wy`-%>Qp4dhs6iQ>rZgk
z%`n<{hFdJAZvTOFf9B9l%!+5YzrA7aIKeeJQjYJ;g*~&rbe%1l8m(v<cJkeg#L54U
zo+<L{k7b-8e*H$$Tp{_{CvTlAtDR=}a1KlD6Gpi+Maw5E#Il)fI#;}jMeftdvh=gv
z+L02?b9j6uUH{ExK7Fb|EACwNy_xA*VON>g%+%~Zm$kGaX@-lhMrB=-hM?ACgN+*P
z2WBW(tvT{S_tC=Ivz-s$9Z3Gqa)Do-@&AsK$tMczKQhQa{d++w_Q-!u0rtBySgrp&
zS|C(0Lq+ueN#h$Q8k3WE9*h4F%kZJ8f4A!2%_oHHC6dfuEDV!7@w?`Pc1M!Bz`aTd
z&ObYL^C!72ezBIh?#9O01J&|>7?V2hE)$)yXU0|eszhV%i!mqE@9(+DEb-#_J(ih&
z&R!Iqe_})Zi75iT2kO=zi2WZkOKnx;tmM{<8vhwy#Hh#qPg8%fQ}FhiB>9c!l^O1R
zWA56S_<cj}*9m?}25B26haNr<v#{}1r{HD<Db|X)8z!5-pP{c1lfcO95c}UTmf<Lq
zc*4ur|KTUj_A@nf#qxYKV6=<5A@q8cAbV)cn)D9>Gu2{$eB5!=_8;eh*5fDB5An`a
zTcr@ADl$!s{{nmTnbM~xE{gw1X6V>)%J%(&*5)LkAHT2LT$=enKbfI@Lwsq({~t~d
z7tL_Czs7k%@QGy1iC*!K42Pr+oMx0uIv-<MlXT}y+|M&rR*?$nr}{n~t+jGF&ma)P
zAhPG?OwGQAnOFB5XrF!J-v1{Is%L5*`<z%enZfvcZ+!;~g9_h-rS|{+%`o$2;Qltp
z{PW3KH_wPq-F{}%W`V3VGtA~)Oc0Mgv;I6!Z&|)KXC>22v6F{hpOYwhlH`?h^7Q6I
zY8#Sfq|Q<_`4DsC%$Ac(JZG3*-#DpVb8_n@CgBsj_L~^qp8U{t@Y&TwffK6rHzdz&
zPvYID@mS!DQhAhw=fCq3PwiIE2%V_jDdu@N#gO}4&qM*6oo=xo&-9#OY2yBWz$a<<
zOs97@ZhS~Fh&t1^`Q-V>=X$N<7B=vn-!SXljcW=OEHl=Km;IYjsw~4G9(|_2_N2tt
zEr(B)ovHrkt-*Y6mZrZ3_r1AdtF>yyXUEME+pTxg>CS9>d;JZc{=L4wagIp#{kzrY
zs$NcC@nFXOH47fzTzpi%`-61C>o;56Z~d>Yn{=_4aYgspHP8Rwn9wC!`FiywclqQ7
zuC4C(f4#~%U|x6XLW)NIT#@^~tlsb1_h|Mk``@!f(hh`go+Fa~b^6TH9}hhEd8YH#
zw@!~Lv(tW$C*+;4n0oF);rois2BvX)XNveTJ^Od?_VELse%)Ac==jObXDgPQ{?vH4
z#cS?H#-s@q&rejCe@ouv)L%C-K>qi^S<mN+{AG(do)o{k)A#Wlk?UGN7-M#ySh41O
zW!ju-*>B0KPVh4(eQW*vYEDN<?B_kFD>g8m;Hj@WQ{l(GdPD4g_s2$EkKOK8aBp;%
zUp-S)omuwx37Kb$KSpq$5VMs(IHzk(O!<zbeGlKt?%(1b)ELWfF6l&ptef@CJ*>=q
zE27`7xD>mw>uXai!>Nm<o9Bpi%@7U!e0b8weLFT+|M|M0YW9g6@~bb@rJbrW?Mb?F
zGUZg&*EK75Dl_c*KUd`2CI-!uH6FV-*((32%oB-kTQT2qMRaW9k&~BVHzeh+xNZH1
z@zkG>ua_|Pt<O;uXFPZ+fbHO!s=Uw1Ct4mq(6v2$Y7657^|~XE88`z@RaHwEB(1Dq
zU`#rc%rHM@=~))1qy={qlg{nF_hyb*dDzM7i41{rL~E;0q$C|=$X#ij$@2L32GK`r
zu9(-|m=OKv-=m^==X<KP&sY81?!H`q_k7W7;cpn{iB6j^#WwpuU|C1T`7^5fB2QY#
zhB0mPSo%IG1~iZTt~FC5X<_HO`y2m1I{kHegT&eG?*D7<M=w}-_DtC={Rj6~pRbC!
z|8^nI{XI8sch47%UA_PF`NS!+wLYF^k^Wz&b71$HxuW5FVkRzoyyM)*gB1_YC!O-Q
zt=x3u$E@=gFYo_3<?GP{@B62GEx&cVe%8Sf+u!HQgTC$Fe|*Q9{XY&&ttdK?X4-b)
z!uFUSZ?8sd+Licc;`bj1nl_&doAvKRd_(g8v?QAY#b-~y`fy>w+x`;~@{cbzA8Ocq
zI_S{pkL77UKHC4Ea9QF)?)wXiA740jcg?nFxtM?N&xm~7`8+@FeCwtiU+dUzHZjJ$
zsg60D@c2Tc%xCX&zfUFHnE2oRBeT-R4QkbM+%9FDiTc0hMEEiOld<&;Nrs>W-!qu+
zKAv!L(dHX9S!EOdAKl4&=-rOrhdDd4c0V{6`=ia?ZNg1m#*_bk9sK-vKEK%O3#$%u
z{y0^abL&**8}?h_vu@UZefa#siTawI)onL9U!G__yW`jDn`ab{pX^(QI{&Z0@c%cz
zIRgXu?0<&HGaF9LVfz2$RKn3qyCZh*K7RV@q1(GU55?^2N<NTy_QL56(Fdv{PY9je
zF)e+^(d5lP`t2*OCH?<-p`Yo5aeM{a17-R72i)%YeZ0`3XLe$hoyeifhp(=?IFn5~
ziL04$7FT)C)m=UFuWvq(Byl6{@hy`x$!Bj^ZMc5mY2*wJ+vC@M3O|zle}FM5=)$Sr
zCpeQjEnj`$-TgH$?!$}|=NJq(q^&#2V7}wy^8;7=SuPyDnykMoOT6#Hf+>tQ{5N0C
z(<{69?D$;e`pC2Czt_xF47+xsdCwV(=O>kqp6!~<kfgKo-n}zc8z;V*eKvply@L~z
zX9>#xOWt6Ab_V<V9}6#-uJ4?CJmN&>yg7z{x1GyBb&93r;I~Krug%|lBERqE#?NP%
zQcvg0?w)Y2@?6o%M6;OCGx@UfFI_mO-|8uJokc<^`M>Y(30F779jH%ajyd#f^C30I
zbur;AS{ok49G-Yju6ZMirPi4V)i%q{Rz{yJ{&-;VNsgGAf99R6Xtg|KarR`@VU|}?
zde>$fPnJ+%_Q>fhOk(4Fu|uV{fjQ}VjKPAhv5((x*lPZ0x>O0v0fz7!lV@<rmqnc`
z_&p)!H|N{)SxfCVDqeqn!@E;(X84Ia<~9;ZM<(2!!}`A6HfE`WuFVB@ourH3Sy&hX
z7bL}&#Wr!BUnng9D=em=;;>Kh$(i}zHu<&3o~ZwSkSS)x(%m1!cNyjF`8$Ju_Q_~_
zvu~G<mWAvx?&aQL{5SdChHZBv-d+0sLv)w1`Tv<V5hoJ<oGE^MQTX`uKh=Ap&re`w
zj8T5S<5$!FqH}e%5$9LDZjE^;dHh!U;k5@#oaPyd<`~_u+QYJA6MxL=6Z;OdBq_{b
zwJJMNcQEGtnL53=6&&p>2e|J)WIYk~kY)0(ziVbo_RTO3ZDdK>dnUM*XF<Gq*sTj6
z+I4RHy8HQ^^85zDug$_qss}{FKW`1FyEaqn_?Z~*)U)+d)iz7UCUtN`^POr*7MfXO
zFXneTVSR&y5=Zg1cPD0lJfZM>hgGf3nS`xR?C)wn|73JRtu^_^%F@j@%sn(NS?+nm
zIKw#oWYFgm(I+@m5B|_Tko?~_nc-ArAD8Tne?Ol1GAcX~vwV7{S6usKl?~5Xm;D=7
zT>o?Wma>48qNN;TlGV~gfq)xH8)E;zIr&goaWeloXU~s{g}YCARGe}CG*eGM>`c$8
zGcLh$Q+jqcbO@F>q?~`fA??{r=lC@zr&ly6h<@s0etkjc`G&%;Yc|F7U)+2AY{%)c
zCr;}>E!q2crQh+>R@&FjS7lnC$xiKia(~Ut1BV!Q9D04T=gIzUPn@c2#a0y6pFXdo
zIi+3V?EkZAf7FfCA_XniMr=G2>~~)HT$TT62l+FacIQQ|FclyB75iY->l1?eH?+Jv
zp`qNY`u}G4H{0DO_dVZJH2?1$-fPn@DIT3`y8rE&wE63w7}PiDgr+cUKI^dZT=UXL
zmyG?@RkQfx*FSSASLOEqs5>d<OqzH6DzVus<mIk^KAU!aeulFLvtzOl|GhcvYk%t8
zm|n4R4*T}~(~UWWj6G%sESJrHGkxN#`2pL1TzTTOcil8?f4Tpgj-LtoeJ=f{pL+jG
z(dh5bovPzk+U@q3D!Euo`VV76E_dKZ7S26Soa##TdE|Fraa^N%`~GvM_oYi!ZrlGq
zmsYb}<+fIt?NxQHGP^74b(JgDKB?I|%bvAXYyWen@XB*ediP?s+AJ5hnEvW#fcDw6
z=j*1lyB|$1|MOJx*7NiEwXed%Z10^(duhM4^t@R7`Sba|@2n4T{PZYYE#c_@SMKTw
zXYHo`;H?e!_!D^STH1Yv_mM&87<B(X+5I{0ti#F`!K^ipLjJ1VT=M(bv&R2kVa_kr
z9xo1MUD6fCqquKnIBQK(#P9rxlRWQm)vnn+rCUHn<>%U!oBu!CUA9W~@%D4+Mf+7A
zzgih98vp5;Lw^11rPgo$7NnhXT<LxKq*BS#853siKg0j#i9_wHV7JdV&!n&PlBs=u
zCT;rf5S#Ni=kTt#o@#5$A3rPGPUp`(rA;Re{(sZ(asHc+vvOMdrZ2U*`84z16?yC5
zXLkMEJD2y`a<SRx&ZOzzJ-I{o<t{G9Co!En%pZ!C?wNaK)_LdU=N4P;vY2s>e~M|+
zJ&8LamiwPL1n+D~PAUC*K6vW4Cl1<Ar@s1oiKY8;iOAK7d`eqib6gGnZ?DvmKTqlE
z<1VR2HunRY|8=VWVBAqATKTi^nZx|kcSRnb@s;1pvi^y~{gokn)<4grEe+wZ{`tgV
zuKk*%$^*Y|b}s#V&gI68H|EK!7n}~h`{$X1*L?AlpU$P7t`9p9_IZJF-Y2WIbJ(-4
zF13k%v(@(0+cT~|&1V?utUv4a(e=`E`?%kyl&hGyZ<&UyJLz^f=H}wx9~dv3ko!09
z<r$C4=?B?u|8KKpI+YZ^C+Y7+tF&|JQ@?Mp)s{Qup558;r)CE4an93e_J=(0CROa1
zyKcgP7}clF?RO6R{{C?eZ(QW*0(1S27h<Pe-*-ehwL~Pp;XYGv>(h(>|4(_Ro=i8N
z$9&#p)7f0h4NTtW+^!w?(!2hwn|dXOL+2Y|hQ$|FpQ^pNW0w8ulNleY)-2>>OGzsJ
zux^sOE90eWCngvql`M?jGkwkC<dcTRdyCo*Tb-E6U#fFT>%)iG|D6tb|9+i0925Go
z@--)em`0M_{ZromAA~&maZ=p+<JLXQ3o`f_ckftn=)=j@tVygni4*^xy?*Y6_4^+a
z<sLIH$S+-UVCuXROJ$R`Gvxd3njKnUu-$HZ?Mcr+XJ<;vCENW!;rV{uKgoj=1sPq9
z7*;=6e`3MLt|Py+Hd#rWi@GsWj9oD4_0!Ow+imxLKIz}hrT=60-_uXe&yo5y#X@Y(
zO1*>s9^Tq<sr-rJlQTB?@6Q$l9Zh;sygFjO)cm?g#@abj^UrVc`h8>aU;EYP(qq*n
zm;JsOs$S6he5d`n%%yg(ANZy3tm-+KF^{)iYfVhej?;Ye;*!o}t`nDBpR~KP{P~%U
zxs`<`M_J}R?>@Itcm2t4ksC8bf1l~zaxOc3V#9(n8S_t_>$W@HaP88KXB%?<s&Le3
zC{{AE9%q@Z*1Ku3B%}V06_Of9ZU-sx3C+1Lw5WQb#k~bH{Eb4Ve3hAUw&4FxP#S#h
zw|~>w`F>fv*7oNzo<2+tO_6Q6_-Z1zy+LfxmA3|$)|@Hyj{kRJ&c_FtdTY4PrC*ya
z6#3@t^ykO^yUH<doN`!Et8T`DKj#ZhPj`6nWA2%>^>sgmx6d;ZHRZLPv6aVG>f)a>
z1^)3>Jh7ElTJw3A`f({|iO+sDM|Ae5vjzI|MP^&=KbQ7Z@?DGj!=f2-#@cfCs}_hX
ztmLXcraYbZ<206&%&|L8oh{t;NB=;-^+J|4j5j{dJClBWRrSUsr8(ydwPST3G-b`@
zy?(Pu;#~UreNR&4j~=tG{19}eclzvgW-s@g;kkb8=KnnxW~ZH<9Ue6!t?XRk@>gHv
z_HXLCb*|7ZcDYTU-R^URmr8fpgl;;Ue(S4ev8siA$gGg(sb>n~*X8TqjCd1srqEm8
zW9GMw=hJs*-E?z2UpV*Iq?(CG>Ze-SpUwEYzNUZAx!%5C0dLM1p1!_Pe)pWFEoa}B
zuReL{aL?0CuWp_#ocivPji>3gxqKUE2E6&0_2b-}=|^U4VSEw)`o^i9@^j}!oGHA!
zvp&|w_wp^d-kS>-1oGVe+41Jhxf5M0Oe$_1cp*D`=DeNx^8e2r-*l!hc4bxP+qE1!
zXI?0rViP(m<e|-(!q9hiKNYkl%Jt6NQU87Od?~xX)?Ei*Pr8~kX+`-B#;I4Qem+yE
zb@k+h+KIJ4&j;$>)OdToaK6^`DYcL7;y<qxyYVPP-uVE_dxOTfBU`?mEwl<<$s60d
zN#uOt-<6j{W<Nhu7`p1R$n4Z}nM+Sku08o;?HrBY5-0B*K6B6NXKl8Y$inyM3NKCn
zu<`?Q(#FiLc~W0r7HvHnIq8e6`w3y&fc9_a3zrvdDJwgdzFKDU*_iH4*EX)(xbjcl
z2F94P8*WI<Y|}qs|48D>+Kc_yPxMLM-E!$*?0=7mUDlrzk3U~vB_8v9<CS0YP4iEh
zl%2Fo-0(p`bobw-l_#8JU;kR#sT8^J-_pA^XRU6Z2|l;0q4K~B`~Dps98o<xn-5$t
zHA^~hZ}rLIv=eHw-MhU`W_+B%l(nUixAwr>+6gf>3TG~Q8lS8*J82zfcEZb*N%v38
z<nNEpTWRl0+B50z^ToGrpYzkV*^+dkrtUzw&d#0RPH2DJ@zwg<&#fD8WPUud>#<(k
z$?$&Dh7B7JKYsRd&W+Qj*Bn3LBPA?-%IuN;fyy<aCz@wm(O)}9>d_|kdKJx;k}vB|
zx?eldcK)1?{+`!29;KhuYUYdaxDfa8gqUQ*<7568l4rEe`{n!pq0FRTw)bw%VCiRm
z(Or6wG3iuAdc)T@JO6O=JibtVlmGvogC;lUvh4kPw&u&Wv+iq7Xek?n#xczfjPO30
z!@4PE!;RSgB`3rC4;ah4{heXSJ$28YQ$EU!-0MI7RAUs@KV^OF!oevY_PsruyFKTO
zde}_%%@@tJ{;>b9dwj}5JpR1m)*1ZQ_nfFXoP0tdeMYY<OZUyQa=UU5ScIJkj<PuN
z?@YwX4l|3_A=?e_D*6~2DGCMr_jO>kKDhYb0iN>*-gKXgh`+J%=eE|ElM&$+haPaW
z8=nXltv}&??ndv59?J<r{ikFl8d#VeleWfuOZ^eu%J}2U*FOiIB_^FY@o}Pt)ZuC8
zCumljjQ@PF;n<|p5q4!?tkrj)jrbGx!teNjz@KMqf8U?On7!SzR_92m+{uIg|1Mg}
z>RfxCd-_c6`^6ilY*MT{!SnNDRlk?^=A08;*M5|-#xmHQI;B1P1m|mx^3MnJ<sv^M
zt%%9^<(j>R|3^MQ|Njf&%wkCw(|`Xwvgq_#X}@c;M9*Ga@%(gA`JR*04*ff=koIEN
zn}hp5az2}9_2%8lIm!WbbqX^CTcs3!|2f$pE%^I`>>=wLCch58O?rJ~*YlryMDHK_
z`Xnk~%ipZ<HD|K6pHe-0!maD2uW~`ajos(6Hl91-o;>qO>&=tW;;Vn|eAK{Nn{!I7
z?6l098}i&Ozb_;`Ke4sn(?an{gy0F8v*)t%K5+`3(TL4qI^k+|R?|%Gq=x*Zdy?Cd
zu3X%EUfCu|`<&zZneO6mk`|tEjM;XM^VE%rKYt{<b2^>-v}|@xokz^M1a<8vYi^u%
zQCakK_Boyt^`RfnbElrTclNC7x!Y%WPwf!Ps+-FfY4JSl%;nE#TrWlcN!jYRa&Fuy
z=O+pOf8LjUKc6pT+ZmBfdz5CM6JGDfwf>N6C}Yfdk+L=BklWH282<kin9IOWe2#&E
zff;nZU_%tcsTijiMg~R&-WUZYb|p3@*0)UDObeL=Es_{xl=K*w*%{cG-Z3yQN@5IS
zP#2J8RQ<03(kUp*l*E+8Ad<w9#Gmvqi6JY=FzI_zT@u5*B+ew=q+3Z$jLeKNj7e64
z4Dt#Ls_$Kr7PHvuFxWZ>$uh+-F@(Dav&8c;B)dFdV9sP<cQIh#{>H%XQp><Rmx0}d
zgMnLzfnVTej6DM@-;x*w25$Dro!Shc!fcbH7`jXBSh`h27`qu(dN9TaF|l%RDlsJT
zG6^c(XJXA@;#SgU68y@<DpbRz$nZ2qX%>?xI}>Y@HWT-a7<(pR6|P8z)t=1$9FYv$
zg*YPlT(vGTY!u~l?PhSdW!c;(#%up-g*czRvIMvNM5fPzf|eZfJ6KuRd06;6?y-oc
zv&eVov8aDx(eJ3{GMvL=tHRO9<*1~^;(MJla3WVQkMLTSM5Q+@g+dFMD@BquFLFdn
z@<nqCxH2YL*@y_Pk`kS}Seh@kPeyER-b4x2*<8kAv-7HC`RrvyXUkocy&WhoHrri6
zbheVnbbi74f+CBV*}0QE8M%c@8Ki}TRtx0*5@cWwWzZJ$WKkE|%pmTtBgr%7GmEv8
z(Do!IMOG%J(5Fc<F`h!d8IpzeGBN1u#4yEltW08Iu>8SL$>GRSdN8KLJ4T$P@wNu{
zg#R%t`fT<cADBcr7;HPHu&m72FmCvs#1S)*QPM@^rMkdN7DYa3zND9o8m-@x!jlAC
zlB5*gifi--a3oFAsT5J-o6F0nvGQRIds4DPl3~oNBwpRa3NbU1ob(Pe*u-2-3XIvG
z#N?%Md{T^A3===YPR<yP7+;ofW!7d<mAN996|_|ZHXE{fu*D=Za2m3Ayo_O(A7jR;
zHr-%%lLLeHm6$*VLxp`YUJT}fhD#?h*qh3-%vB9#o%=<NN3mOg*PcgOYn3{$^a>3<
zUk`4PoyJoqu*M{7nHo=@@FK=|qsdGcCdrvhtg}pJPvf*?VUoTa6X?jqzBk5;iC@Y1
z>jWllD-)iFt2B8ZuFw)q6_W_I3*~VQ(Ppi`s3VpruM4tAPbAS@pI2Jcpi^I1B40q8
zRYjswVc|p_NiH^F+wC4Kg4sgqO13+n3+BHRvb5XnIaRojNmJ#LU@?>Nq&<SAOq{No
z9sMlT#wxLWhOGCsRvC#_vnmuaCfSC{91bz&O`K@Lov3hjOGu#V{dFr%dH*jmQ@L-y
z%3QQ|g@wxfC<FB<_uJ~XO)W!Z?L(|oZ@XH{?iaQBD;67Qs~YQSClXs9Vy}98qJ#Qv
zmD3%3J}Vg&%N>&5C58FKGb~Axim{3D^;CM9aoOWKlZ9mxQ|x~ZyO<MfMzt|YB23)I
zr56-g*<;SLC@Wlvsf+!W)a<EYt)r^pI>9xGQ^VV0xeAk)j&>)5ptkl)25AMBBxd#y
zmzZTNMKKJfN|sOOvNUn9#5jArmyB^_(2cp0Wbu$A<|fOWsj&_W6Jox_C^GT6urVnx
zGO4M2Vi9EcAQ1B@W?{gsRF{~kOu}Xik_=a3(qbmX#HhsVVff{LK(O+Hqp?%W7mlQG
z7Z)e~CrJgr7I`j73U^^-Pg0ASksQL%q!SzH%v$5>q8qE6#HM-pRg6xoE2Fk*K&ry@
zScay6oWCIsA+D?oF1qo^n!AVc%9?oS$0to<72GBu7HY4R8PVBtvoqmx#B3F-_a4(Y
ztgU|h3UylP$@^@P7msWHDsR!`6+Yt0vwUS)w0`;V$S(7jZR`pVO;+*xALi(i#4`75
zOo-!Ep`iW(L1j<H3k>WEAD1+-aD{oy4^++2`W3{JyewGtX;+B)(^V@&b=ww&@yO<{
z3O8k55ux%lN+3FFj-txr*pNumQx~InoXw*{ZQVm+?A?81Sr>@L)ruqr$Med%CfK?w
zsnqiOlzSe$>9^A(OUUEsyp4=PF-xpH)_?O1$eeqB(_0}8?S+=lJgdzaESFj9D*g6k
zh*kQ{km@is$t~um!1@W|F&YbeJ#Tq1o~ZS#aysE2^NyiW=s-2oN~Q@hcVezpr)$hr
zV2XJatUqzVVrGWrjLaRc7}n2c6V>>C=JKLMK6(Cgms>neB}tyK?MqT)Q{r<_pE&by
zCIkDKlTp5nOC%W7&z)YD%+}qN;{02MA+oC6GemN>`1zBnCJ~cn7+u_L=<<0Q$4Q3u
zA!jZIr?PE#P2+Wr4N2#74$KI%UwQuQb-VMEc7+SDp1qv4DwFNviY(sP?rgqTtz9`{
z3ztghny$VkGTT2_j9)abl-D*eUu?E(LF#R*&~naQiUCu<#V9@HFl4IH?tE0LA^VI`
z*oDK>rN(*Eqgvfg&2Se6=}rduNgr!<cV87%5!$PfsPvIRo}WS4vATjW>B=8>6~=<b
z-<}+dNtY^I)MGqZ4O?X&Eo_P~%ujm%kK3iPqL7a>L_nvsMB>ZlDMezJ87EHBFOAXh
zn9XqUr?XP&Z*hi)rh1jdp=|vnB|4RpI;uH*bu0ZCq$f2zXHeA7pQa$qU>Ty5A6&|3
z>srQRyO&|N#~g<Jyw;}Wp=`ZdD%K0uZglC0`DbyJ$?`d4%-xudu$VPmmw!1#b4Ums
zejVc|e406F>w~FH!snS|Dkm)CV0ti>F{WvT&`ai|M-Qf}2%p@QKOu%W>8FF|1SN;0
z+c7^G5?ySW!dcri&owqS-BOIX&LFGA_u##5#_NtbG1&~-?-=wsxNiJ?AEVwS{3P1F
zF|AVWa*Lp=eN0{Urh=r|9XyX5UBz1t_Em|UR}v5~XB9i2R2$PZkFQruAohxz^Ob6r
z{zWqm&1LIeT;t*@?D0RzQz5kI<3~%EOb*j$MgN;PT^VCsIb1uwRq)q#Wh-}8Nvd~?
za;_}m;7D%FQu$bAC@nroslry-)`MN8!c*B)y|I=v@zSD-Xcf)APO(}&V-F$zc$V{p
zVm<lpb!yt2FEu%1SRN(`x=O_uDkTL@{r16BfH7un(#QQA6Jpq7)C9#DA~~F9-TR)@
zU=VXZNi*p^$5#f9nD<FbVk|>VJD)1m>z(A#DlH1-Slapai3i8puE#1hTNK+HH7t{U
zBsnceDPT{U&c)my%M{BX)GBl%_z|N=GQ*ChWM)2v$+7>{*=0SOYE}v{$uu#i#4>DW
zQDBSxZxEvt7R0tBqK|_qhV6$1f6Rn+G5#^DKXGp0aBJKa^TH*jui;EJ6Ss;fyAwx@
z(rE_XVvdvsrr3WklNqKnNOROlMkUQ)Y<w5{-!qnhX<0-AW6a4Uf%v3<F)tTMs<PNi
z=XJ6z>@YaQ5X-PT#y?3jR^@;7rg{Ns^$Gn#t}aOx0uK)|F#AoIpBBn;hoMhBW|K;c
zCHpjn6M`%6X)tTXI{bG}5=>&`wqoJlAi^CZ#mTXhDKO@2A<G%=27M*t)9;yVAF#)K
zk6G8kZ6Vt+t*Ftef6DEq#rm-fXBtcxS(5)-dv;%EX6^Va@HQ!IVQ`EfL(yGc?O2z8
zhnY-61p1kNb_*n}OXBcP`kJtmF-GBQ(*Ky^l<iCxH-3*{O#ZJ_Ca|Mgp~G?Ga<-%r
z?qVf|qyHb&wj`BoFiV=jBI{wy7{SV{crxk7yG91V53&CPIM#4vaj=KRF#TXs(oDJ+
z!*^?jYi-h`7a58gtPPpXOi5puFS797NdBLr%i=%bSd+<)4S_M@Ohz2c95<Pg6n4b;
zC7od?budW&9}(liS|=F8&~vUSiK908f6alQ1&lG?EOR9!8qReX#@u755pP&MaYHKS
zotDI|q*99?DjauqXa?!bv|zc&qQG!hFo~nN`SQR06XFz`oL(u<STCVv^mImAhl`R>
zsRzR$PN_AVzhY*$#0Y4dV2qh@)o{i}7N(uuNvtsvpOW-CJQW3A$GjC#P~+^AaGp7(
z*|SDz#vWk~flf}(LXRf~aSZ2TOq0&U9H@=`pB$6b>CVYfQj<L4-}|I2#d`ud3NMqs
z&-kQN%i*?u=C9@%Uu2UMpQH#LnmL<8b%6k1j1s57G!6x)CdNb3?~-nCl(|U$;9-0!
zw7@9vM0TKpn~)EOlA=co+vgKPF%KCU7HV=xaxf-IR%$I^ohbB<jr%vpsfO=KIx&1Q
zRvsK1o^ZGtaXgrPf5O|C#S7<{%u#9;elT&tCLu{PNhcPDeu0>8oeEzpIan4XO$=0!
zVmh+mhTDP+56L#B+6l@3d9@S;wmT#yGpv`EpHvVp)W)FGup-GYMvkG6W39pac`=hX
zH61@3ceLza<fvm^6nU62X_1a&(%U4aSt>~<Uh{nDJ3Aw+jqQ(zAnPCQtR+dF$~+0J
zp3;0tf!Q*PnqvGGFVo<>HKm>J){40kk{&ReGg$AUag<R(wxceFb)oo=>^Y0hGQ8Wd
zXo_G)2YdHKrOX<Z?}33T9CsLa1y5BcHO^#IG>~VE;e0kx(V0c)jsdF*gP{&nCj+P8
zGe$*07KQESV)Q$E%%hjSyuq(xXnOf)W7N*1?3i~Fho7*{ocYqDllzF$_elz$xK1#|
zvuJR#C;j7O$n{)%H>OEW>ZMuIS`EdHUj|H)8k!2PV^%2rWJyj^2#8@$X82zpW0tf!
znW1U2!c3-^DycXZ#h#XkokEgDhEcUGNedWdleVwOSC$nxr@k_(Be0X>GWXd(4EGzf
zlGZ2HC}b<lOR5qu>(p=*u-Pi$z%VTabQ1QFq;`eXY7>qNFiM<c610e7)Dkh6D8R!c
zIp3kH<BUM=wFxg3w_cjUlq9JJItSZ%!l$<uSt?8toQ#XwB4!xxnxWkIG%44jgJX5W
zolh}I1_sTSr@WI;T~MjiCFUX^?&3UQ{yc&6Q8J4s%m}*iRMaWBTg)lQ@t3HRlQdV%
z9FZ3x5~6Nfdf0opHb~TL5SElIT=~d}(bywN#pslh=LJTM4YqP8dp(6&Bo@n@6y~$y
zxi4rXl)=QRugH}9H7T$uYA)MqCUu{Mb9zGhc&y#~d91f`v96fSZ@XdwkFBf8u_{i-
zNzB~Qn^;&`1o!<&(wfL5DPUAA+~>jZAxFAqlHh}qQ&K`I3SE{W%G<mOCrp|so5JNC
z(WSyt%4Xgvv`E1#$#AC1=VKg&o(!dt0usAAl3a~$e_gnGqNIofN5g;aWQGRIZypJn
zb`cIr9N*cQ<T;in8EVLB#R#qCSgva&%o4-KWX%!7%*i0lsCzC+NZ-JhG5fWkmH9#^
z>Fh&E5dkp^mgILR{+p!d_JUuIaY@7!ImShk`Hn7$+#tv3;@~w`BsfG;^#7JA?A_x3
zy((u_iT}5nD)PU1;S|Osk^g=ordwvSt(RwBG>ymBYSnZe+r=|@Y{j_jt38GPS}gu?
zZj#Vqqdbev9?CMp1rka^yLl2)Jf#?ovjonoym1t_xSM=5b*G5OOl5zew_au;A}<9P
zlkUmnrc26{9_R^Fiv6O;JxPpPX0GULmrRXWJh7!AvqfgR&k>y+8!}gHwzt$p%c_zY
z;tNCO@i@EBm$_g1Wr4`TOG4uM3so2k^>sFTb`&MrX&r1@*ux%klz}@%;AqDel_*mN
z$)wLrvPv7iwrC{Aux6R5Xhv)9o%AQ^MBzp@UKJhYg#nWmik=r~_MM`_nb^3?lQT2(
zg=Y99DMyBOq5CQV7d>@67btK|6ngAb5dObj;FW_#jA!hBfxiXG4BZplRI04(DxWGb
zW-MdTJj2N#%5*JeK_SC6fs3q@Jc7&oGb%fne7L6Um_C`o-osfXVFL#f)B6+4Sz<V1
zRsI)dekr&t=y9AS;s$j7A3RXOz~}%w{~yd}Vo7?<5X_Zyo+ajzNAuPgDMwFXmY9Bz
zEC<e*#F)tp1uR0I{4p{y4WUWXV*Vr<Rj{^r*u*^6=s%*!D7d%AWf9+62fmn>F?C5N
zS;9CPw<Srj#3(tmG9`(r#H?rVj@+Xl5F?f(*`YK^uRxIHP=S@iwTtbHVyj_?c}G?)
zw)-vAIQyYs26J*p%B#h@Q>Gv4m|nTPQGmnaYQb!##}gWocHLO8W2<07^9)Ij?<Xcs
zjM%{%5mh+1gPq|o8|(E&OW3Z9B^+l_<j@yO%3ME5I^k*vD}%2~qMHQsW2J<*w<@GK
zXFLj+e<a4pR$%(xw`~`LmJ0h%@yqAT<X}vSVoOp=&d@i=Fm`)+P$9#bW$x^Rm>Efq
z9S0ket})+sa$-!{$#9v)tD=KFX{O_YjxR}u5Bg^CCmj!Yxr}eU2a^Op??!coSfQJo
zs~J++T=|zS=Ub}Ju-AhzrY1wl({k4K8PmAdt1znU__>8KhIgZsh{tq>`we-MI9L-O
zFx>39SrYlyMdB^1=){XF_;z-4xHEI_c9F`FVq*1*F)sM66s<4Lf7CPRV?!+W@kva}
zcu!vJT)5Kgr4qN`%}$Qp&W@}nI3`w#MmZZKy*R})DcF0>3I5w+O!Ieia^7WXW#hl!
zwTkb)ALCCgxrq}6>~Bc-GH`0J%6C?+=6jsUp}O7YuY7078u`vi6MF<U%XeF?S}WhZ
zcpcyB$(7G$W`yY4Tgmlit(WheDAivr*)KIy<yIfZ{*y_EIgZcc^N^V?^l8dveQ%N5
z9@C^}OL?m3DVHyt)+srYg`Guq;i3(C(c%KFjGl`OHR5G#CpBa+#ae~CXmGMHi@RM&
zKCosHlcbo;+SH<jjdvZ`V~)g}Zc$-mYkVj(X=CVYChMH;SzL^jDonDWizl&2?q<>t
zSvn!<x%7UGnJeb#&U9tjzg>F&Bt~0_lX?s1ZIV0a$N1CAE_kBG((AT?8XGlO?ER}Y
z>#bbL@KE;XtS$EbUWM;>$sb+0)jqIq8{c}romxSHXXTFiZnp{kdU1yzueZZ&<+YQf
zHae`d30<{vXPNyKo6t_F)w5)0#(4z(vI&2=i|^@@?zM8$CwW-gMb6sI_cBg)a%{;S
z`^Za)8TQe>d&8fXhU}A{8kFr6_S%2H{B+$2&CViT7WOjh^err+7yLbRjT6~fPsAK&
zQT%nGgMoW0$9DzLG2g#EjlQ$$zfM(3;J;)yq0>@`f%)d8SdI+=IzdTJe`_U@`Ysr#
zu<npM-Nccfv@cOA=7364_yV?5ivn5LnQfPRIUpu8iS<mZ7u%UdB5Ze5H%!Rn;N=lx
zOgiq!Y#JkyWOb~`LPsp=;DT8y1qvKoMOSv5nJTPQ9rKk%pOI669dx{RVa6fG6L*hj
zKM?vmmB-VfC^xM}twCGmpsE{7_9ez0i)!py-W@o;I!0=KgU(v}$&Dt}i8T$Ul$aKN
zVTf+5oXwp4?*-3#6&GHM`%11Ai=MKGHo6JMY)E?3&@SldpWn&<&}rqS%>4pMjvTK`
z1Pfj>GN>}LB&k+(T}iqw>6vh1p<u>?&;ut}IvK<+roUo|;ka?3kTs_1ImheFq*)pF
zIFdOY_QZ7L$4stR_q%0<%f;1)gn#)pXw^*874#CUog`j0;ow4rIQgWSDJ`A>F<0xS
zxiIlF)z6rC*nHl@NpmhOuAOnKQ%bF3ibafL%nyTKNp(|K9AUfVD#60)kw3|xnSrxr
zs!Bs))x<#Yu%x=lmygy?c3WM#aP1_9=##5!CePF5zUUIvZdW(W_LwZ+W+qLk)1WiI
z56N+FSFrd}JL}7FzU7yaxN2vab;nGaW>ChlK2hsS{nX1R_;z}8><+xC<zlZABdRFF
zaXU(!=djSCs@jQBC*7@2pAHgGuAQjMb2CsN(!M9}Qc{77mH#Qe+wRN@n}X)4$V^=6
zZuR0c-`%OMRx+)-R-BP<b(!eO$g$OHj;w6E_}Q=W?TbLieRs|~C*Rq{$g@6*WAUPs
z0<to_UFYR{qiScVI*D)wa5#y?I0P~mCe=)qzp$6@GE<()!^u$(HKiEpCVSc*(P*_f
z5;=Koiol_RORm)Rs$7&^D;1$x*SqKD-`d_R*_|t;cGvbTyQDXB@<uj+S4*}$vhknH
z@TYFVyvus?<75wB4Y?wJFleE={6YV#@(1@?oG!8R47?`4-?Msxowxb*P#bUG8}h5)
z&f2b`)o8S8>6XWKzI`|Cee)*HVVxNvXcPEq#Vz~5C7lIwQ-ke;FW&YuTx}aX>yCY}
zAIp`fE5){<;&<=bhc42xv9SxEcTax0*Tc&}6J6wIHW^hh#>m)2YgOI1kDj>cM^){F
zj)*yP7SH4KuP#yfYp^L}$3zC!i;VRQyah%{J5M{k(2ogTapeS8%tgLQn>$uLdh^0a
zW`SoC)7l$lVKMKLBwwy=ns~V58taUQhJsC%N2C}e86TN2UQaq1<92+5sQ$!%>R(a<
z3)eo7zva!v%cbr&qyH0o5yQnBzb0+T$Yf|dd$B&yW&H$~bcVkI>`9kP))-kb@II(J
zxM|Vx814l!p1b}rD7$=MP#0i0dSuC$f9f;-x<8B!3S!Cp@^6xtAWQcog^4V!43Rxe
zA13URU|GR2iSa{#;*`&ORd%^dxKXep#xv&OJr9<oFMl~IS!Pz8W{uHR-VmyIWRlE_
zB_5>@uN+SLv*4h+ThhcP*8DR`W}GuWByDY+mpCJ7<CGI@jW-sYbADelwdv<fu9!6)
z#TFtny3et8*ezr$c(UTXk;BCudk%n(2cOWw!Wa@G95dB@!iHZp0fLXrg80kl{O8+f
zeKV<l;qtW#m8Tx(wM?zG)fI~QU^?TYZTQssEl=2c9k1+&nfsqp+v&xPr0#{^r`J|n
zJ&nn~KBd;1Vf}*nDt4@Ey%NlqFgy$8u?>9AYpWmSq-DcvpY?*rzA#WMW_pA5?4KTt
zN!K~ugRIs~sa1c;?JpUWvu4G~vU<Vl4$+-cYW?`5Pcj8gsq=j$GCTCjYu;FIj<=$-
zecy=A4h?xLHv2TE`hxyu&KU8zv);+vUiIR==v*<AOID(?4?pY`S*TsXbHjO_r~37E
zPlQ$~X`H&g;zyB_%J(^!CrQjTePX;U;(UjYf_}_2;~ObS`R`^wobB;Zbf-|JR!+BH
zOjCw|$+;aLR*Ic8vYU0k)4=1|qCf2}CJ86LSc=@7&0*ogl%&*c5W;7rCdRVa*nO4h
z1&bR3JTZSY-iWJDHfCUTe#rZd<+0&~9R^2O3>GL%XmbFaB))#)C%xHQ&Q`lO-nwb<
z=-7>;+s=x9PF0J)=^eA>Y_mq}|B?-rjpt+H7Pzrk1jaJ-F>)S`{qL2`z_)LQJY$ma
zo*!Ry*=Gw)PpFB}PX2$PF2y3X%f=z*Tv9BPgxi6a8eSIbl6Dk4>XCZMyKqZE4C94%
zCk7UVJxbTl9XYtOvXh~jai#U~GX)=it$2RntBmeMJ|^F-kF=YT4&=xFFFIkMc){W8
zqnH&6n`T%^{5$g0`9edZ&TZ!8|EWnfmK;5^9qlem_&3Sy0JC-Pgs<)nzAC39pE0;5
zS!=c>ooJhL;H>k1o)gDnl-{$fxxlbS>KoslnD31SUbQjWv0QRIF9pvF#c)b-9E_3M
zJVWpaLx(|DlX-LOe?Ly4jvWS7?@oF-#vFEVH(-ppa6>A^%qwx`@dUA$(>nrOECXyU
zu6=kHBRFyMNe0#%+ca5Poxbz`iDf)7^^o_6<bM;^3nqzhBzG|KCvj}{nK3t+X%~Y6
zUyO%`xzR<2zcYWQ_%VH5z|eDYk%zT}^dX-%#uFDgS8VJxWRO+iW@S{A<mg&ef6_qA
zBlXaik1;#gJsBKDtS<i$xh4_I`0$RS#KYMC-WFLV&v@p>%wKVdq4o#k!d@39c2PkU
zB?eAW5s^iY1w?+D_L;AIXv7fQyynSmM(Y`df`L1iG&1={$1-e|Sk9o4{NE>;fp7B#
z%m2wd|4VPYW|CA$yWlY)>0eSLLunv)&xTDRZA!n`_OqYZoy;g8D6;T@z{20Da@l8=
zPKafcEZL&q`0pNr#+N^=&Lw|Ep3Y&rG;zhQ;|~rM#4UQ$H)HZ9PEi#Z1;$XLe|(V_
z+9z>Da_X_jb=+l``(Kr_?1m`|qkz-Hm|rJ3DkPUYSQ@aEC9sLnh(VE&;hF{a!%dS~
zQgj5lB(_SF?^tgk5_9pvX+Hf6zc<GC6}(Ch>|;ujj{WbF#9$T^8v8#wCQkW=AZIC)
zH>*SPf8Q9Ta|&tvH<mD-G-8a|5EHJ*`5@`Bz$@nmFPfQnRJ1o<OIYo&EM`Y6Lu1OS
z9>xbDE2R`SBpjJ!!=x6FEBxz;kW%*|fwxJVk75LQq|S59WV94gyU}-$<I2XQ|0`?@
z9xZriW3wUh0QiLQ8x0#7XY4bKU7^Lpa5Ln0U($(hiDxD&*D%;mViMdUuwc)8_e&x!
zPZGRhGkjQ%DVQvcWn3T_^FN7+C+`F^ThWWXo&xFu_k={5ID1)6O0fy{E%{^2=4r5>
zV<Lx%-^#5D3q&@vnXy{3o%k@rQ^J<#pJ444HrB;AzBn_+2pCS1e890qM12uEtFt->
z^HfjC3<V#q*XQ=NT$(QN;>F>gI*Jo)CoawK60m-v`QTL(!-AyPWQHx0N=X~7S$@UH
zUW;YueGtPO`#)^b9E*P!GT1kI{fmhx<gn(y;3FgSqDj&rNh0QD(m#<iE`K;Sa<aV;
zYp?{(d|Ud&$UWb1PL6HEdAZOH7v%UhToe-HD>78%O66m0v7E@knDMp6fGhdGV2j5K
z<&>gp9)DvBv@|@PNESX>F+<^9%o~p7Vu~AHG)d_NosF^nkl6S(>9tIj#}8?t4{}L@
z{?P}G9AoNhybeh*#3-MPXDmwoUzjBGF!_W2dFc)JV>lCkt?*`vbzzui$YP|imxpCT
ze2hbPGDAy}f_2(~nD?>&{WrWi9Q0wf0n3eF0<1A9FMiEp<m?3>&D(J|#zSD|-VK(*
zipO}^H+;AiqiPeQv*Do%vumP(T9WXK;`ax=C#pz26jS8kz8)#iyk>^kZ|!-l(MC4{
zl{kuug}9`5wIr#WixGIo;B?UHbke4n4|@eWgfw~kSAAQx&Ryb;*$#6D#u)urhIS!W
zhqp2RW0o*+x;#@jEX^dt!~bH3%0Y>ff-!O%t~ppH-BCHRNb>?O&-b|xHXP_YzG1H*
zqo7YR&;OzqyDoT2ys$VaDc<(s42yt`&VtQsJ6ZUXniLpnlNok5o_6PQ6!;gzXA~gj
z$;hgs;pq8=Pek1&W{QGiQf(6B-$@(>7ONPRus9yQnY7^~<7dbHla!c}|9eg3WZumY
z>Ty{hW|2Z8JNJ$#KJFdSVnQ*hT#h^Wx3XO3l<i0|s4Z~__BgN5QYj^~?n5zySl_|9
zos7{MkM^>hZV-r(h>_$`RT7Y09?6wF?@CX*h!da8g&i@+p0>yxSfZ@3TIpHPOjjrN
z?gPs>7E07KPo2ml*e)R7Y1A&kB(K8pMd7aUhe=Y(5{xl78dgpFd4}=7t5E42?j0>L
zKj++xIm5VIyOLpgkHNMx3|~|i3j}gl&*HUr7dM+?!+*Za`_Oxd+4IhqtdKCv)|t{b
zpWFY5Qs&w(DNp^*Fz)mdn>+O!<8v?Zx$?4G#W*D<+wAb0cjn6HGYln@1m{XzpQ`RI
zb9?U@#^-t)4YZlhGJe@EpZ#e1g$*CiFqX{M_IzSte&+woX`F^nv|^9^U#Z`D$Ewk9
zrc3rE(L{e;J;MsVr1Otv>80KObnej<3&9BcBP+F5>9f{)7?es|<z|HxpFhjE)Nc2t
zGmJ5FoxW}fc_~_3=YO6t{Flo8&*vCwmiuI15{>n@RgD$3TiUl<{r3HHjF;yYoVS@E
zXw-0a@6$~iPn>1^GFLbD(;3E?sbTh;_8c#n=vf@Fpx)nKF8?gU%eemR%5$^qR{8QO
zpYYR9n{_+sNpbZw_A`&}pJyyqPu~2r_wV=5MHj6O9X@d>=7E9QB&}_G?oK{^j<IOJ
z%F|aXLq!`uon@?9ulls={5gidr{-<GcKLJV8OB#pORdw_6sbI@f80K8>RHD3r7E-M
zonibHqQ+^q@bu%(=pElDUT9xC{d}iw#lPZhNB@8EoI3xMq}hbfL=OkXn7f+;`JE@H
zy9Jy(Kg~hnZ0T~FGmNE|v}5hgN1kEa6)d*!`8mdy!6FM~b3?_r=bd5jGnHH_e}7rN
z*zB%?-mJ-7>+j58ZhMY#`iE4RuFEl#IzQz9v^>xF^jzcQhe>mf_&$_4$GFq>h;QnQ
zhB*yOR^B+jX@Zgmb9zJRY@3Sz8z<<TVR(AH(37SA{W->!Gm^f(*jkdlahI!3`Q9^(
zOG9{UE6*@$h4R_XJHs%E)3!rx@f^O>pU*J<d6-l=^Mvq$n=>L0-968^ZcWSK6Nb6R
z{(s&WvvaQG!tdwLZMK_v;PhF>rR@Em&oh+NHHq@9^y&I(<iGQ{1!KqebBwdLo?{G(
zZQ)b+vM%NfV|ttGgzqdGTso(j>{hB@WaKsVspv>L?VwQPs8aKxbJ|&E+XWxZIyds4
zV?M=wg86TxMnt38=QGS->{i%vpJw5leXxirMWG_;5QEd>jfHzIFvi?T(wG)=hUwG6
zMIDa2V^1=%#xk61>-c?&F}b1P_zj(tPFK$}1}i2rFnm9Ko@wG8e$8`C>BkQSt~<kA
zbZp1tEp?Trm~&RNx*ggYaBEJ?A**h|hq32bHg={--fXpCj4|Fg?I<hri5WK}R;V4G
zar?u0*3BGoI%n9Pq%bI~y!e>0VcYSW>kcv{eVDAE#Q*C28TOwYJJ&R9<76sjN)r3O
zVjlPGrX3TKe3Q;H6rbf>K4-@MQ=F_ZK1W$rOB_%*_Ta&uLe3qBQq(NE`hE&C>{hH~
z*ngJk)ntt+8}14+Y`pa#rZj0q(mAG|{V@;ToIk_#`RAU^O8i;t{m(O{A1Ty6eV!@x
zVBuuN_!AS)ZnQbB)*;BxFTLY7hxm2Aj^x8159~EOFwyR8>hlG<M+#3*kxxIzwDR6b
ztqvyUm~%|ai(cgEEI7kdF1ew}`5@z*f@gAD8u=%DW}KFEp852dJz^5UZ&Rk7WfF?`
z#dtE|0psK?rou_*&oWJASsi7SH0?aoWKL_Vo}7mdfAQGbo@ZXpV|(fxQ;^xIIYM)d
zCUf3o^)#Bx%(~EM-WjHS8)B+X-i<uR^lKVt@rErAXP+tFc!v2;`VKCg^Lm={%t_Pq
zKJi}@vP?dpdre5w`wWw+QA77tAztfQGuV5l@syrnTCTsBCpzkg&UvO%A!SLCg)^p#
zFFeDt+^$q);k0v1b}PM<#24D0XNvdUVVX5*+8L%-{??LaZ~vV>-m~dM-Z|!)`8Tv8
z=I+tirE``kT-~X8<&g&YPUUrNJn>s{e$RBRS*SbhnBc7S?IuSXjgz)z-o7JVah7S7
zpWI%3A#Lw-OxI7=y*%1ow8}Qv<{WeEa=Dq)CAObqTDp3PmcC7N*7-9`^{O4k(>9)G
zS{+t1dD?mAUz1!c&oMpSrQ&8R*IJoXdY);YpZw0HwSDK$G8KKDRNc)r=Sa7DB-fhr
zEUUb20<TXy%d~oWU8hZ`kd~dz`7=y+)fH5ZUyzJB&s4N`O6}>h%t3Q|bT*%1x}xfN
zL%(Z<*r}k|O-5&#o@;OAjSkv(XG-0)b4+^aOS_I<-`Lbud4_r4Ws$i@-yOfZX~K-d
zwMo5yy3hPvy6p^8NR-&zH}x@AYd=<=WBOGz!!d$6=^WEK@Osvb69US3HW<W6oJ)$E
z(IS6v^1A%S7j-j*_e`q0Ig9V%z6-iJ2PS{p*STh5edT$!a~rNy?qZ1GJTSi^_Q2jB
z8xF2K(ZhNEK=$K}s}lF@{+n}PQ3@l+(_?RLp5+WWx9QP=XOA|1Hr)R7$+-xd2~UpC
zcv^9qD`LZuS8d4*jYU^<&hXkDexDHYHu-=0iciOP?yFq!e4^C8gKv*cyghH{iO)yg
zl<eE*S#wrm?uqz=bup)`)j1U8PgvfniP>_}d{4*DV-Y)xYBs#D*|FihU1L(j!k8n+
zKc3t8mHUzOj=7@T2flG+Px)Q=?eMZ6$&4Mk6Dx0R%xsN4@ZtE0)@KLiZ#?>b%7RWs
ze-6Rq3r8mPv&$suugJ4Vx_MGde}})^vA2&G%$f2gfA+>dCzhW*GUf3>-{kM@8+AS%
zGCR{R7JGuXe^<(}x6ikoWmI81TK9ZMNY256lyHvIHxmm#SZ`wK-WgbV{Fheufgf6D
z85on)lmGjOCH<62VrPE(|6uv&<6pkKKfruAmf_f!%@f{;rk_mNe4+jP0fl~jE2a+`
zF-N{{*->SF_+)kPksrw`4tn?q&R}HLi9PV6?PTYf0~?Q@vU|4Te9p_S1sh|+S6n&r
z#47yYkJiUGP87uWueh6JSkHL-^`8w<8+RP}oqIy%U`)y)KCV@U0>9!{d^vJPg6T%n
z{aFlA6)`7T=DeD;a~1!jnEV-0azD$GC$c@zN@U#mWHQgw&PnF+XBqlqehaiDFeU##
z%eXr-Y0lll|Lz~y_~<Od>Eo}}7^Swc97*|n?0@RXWrYVWPdih1gY}%~ngwc|5*9IM
zMf@4_=CEDdt)R5$XiCKrrHK-2WiHvA<eb9J=BOByBJ=U&#Es_}Vsm0oFkD;dQ^9y*
zY6a6lbDa~{H*p*}aIaJ1^3#s(rWOBU{>`XyW{qKB?}&+JVijRx<&a_E7LVEVoTc+T
zgQ}u(cjwj%E8BfeF!nM|`tdM)h6=Al()}Z@+s-mf;$S>@*Ok%o+l*g-cD(-(dWL`Q
ziCssJe0_F8W-?dI#R*5x@Luy2{H`%4NB%rt`jJP~lOm-=r1L-jFa8xbNz#{z`Gm;X
ziyPB#m?*^l&zEwrUlsf#mSLt_GUJSI{Y;n5&d*rhXJJuuMxuI_y^Dcar{sIFt|bn!
z|2<+E_DQvxT}pS9T*nj>BsJ~d#zQ|Acf9_5UUn|S^9PJEO6TMr%UxKWDE#17B4bDU
z=PL)#@SZ!U#1T0A@aG?Ig{_T~4qdP`PTF$9JL$syhy0f+8HJMn`!_O0fwsFl#6<i*
zAfC8rfi6F1((Utnw~u_)(mup2cWUXTA`8z0-xOocTLf-&EW3F@@y8jVJ+rcYH19rQ
zpc8vS*qu?;_uh;`os%-#&WLV5@^dQNYaxZ7>o+pK?K%8oqBkS=Debe9qWjMC=0_j-
zIe&t%{qx8ElUoln#vJ*@mdvn3F7`(4?1|lne)A@sHH&$8eg4TKhko}bEj%tC=5zFa
z8|Y-4Ty}=NKZT|r`9D)nVC9;bKX0C6IN30rTi{8Ip-SS#Hyh70ewy!b<is2+mOWA1
z&M-`WKS`(jf``A#e!0a}_s=kt8$}#>aL{bhllUVW^3O3o^%I{v|186MKVIwkNB+Op
z^Az5maZYIGrU;>xnn|s1H=o+jDR5Ko48#2QaWX&C&oI2UOI7PU@^82G$<*rtg(v*_
zY<8YI!*D)!smgu(+vga{f2rTkIr_g;HRb827YT3AG6ctKhS^7-Vfe2Z;&}hazi`iB
z=UT^23A>ltmTf!3urFMsb?fo}>+3Qf?OC%o{)*-FGYr$;Mg4RLQvJTna@#qEeIY8d
z_Z|8Ft3-|S-^Qmo=NaNx@mbd#|64n0D*rFmUGshI&ZnMXc<slRI`tgGeC?GCb4^!&
z6<K)uEW@u5KI@xD{_mRnr228;iB18|^9<jfAMrhX?Em-5r|KuK-tRxhu=Wyr_sw$*
zFH?Am&qgoLJ<l+gedD<!|HD`E*?vC55H#I6yr*lwR`Z?-5<kx~yxe?-;cNVdScV;2
zA}coT{4x0q!^$>+n6)dyK6k4<I?2%PzAEDLX@<i!tKxnh|M#rq_}}iCD~ms$VGv^c
z6LaDO!-xO3<e#5mSk4YQ*UkRUg>#c{?i6QYjIp|zB6{rK5wBpiBmb|3ovhT^xX|pt
z|9`Qkgx{QFIFS;0p208u1Ycs(IfmzNugtr7?C&$d1NleKFq}G48YFsYVnN^0|MGP;
zowHgP#Mok(WZrD3xN-c~iJ2_Y$A6li(mr&+?#Pd0nG5uf{^!%m?pXEb<_;^tIu70!
zksN{tU;KF~9kKCt^7F~{?%^}{9sf~jeDT(vmqtGqElXxxAzQOE_`~*?fD;Ul&oV4c
zIGdz(hGBW6_=IB{Po^DXK9Mp1M4IiBq$ZAeoqgvgO7fTLpJh01be6&E?zW?Ucb_`^
zy{~Bf4aQ@Cv+^fsZ#(jL_ur%cLr!a)Ix6t|=%3v-C*0#^G^I@VbnMUTO(#W_E3Sw!
zp4&P9oCc$4MACVNmBBo=Pmlf4&OQ-ZH)mA}hwG6)zfUhtoptiBx&6`q_4*!F@yBnR
z690ef&-LXZ|8tK1`Tz6uy__@3H8ajNbTf-5one^1I!I*U^JD*){(7opbK5g{#tfr=
z)(f?!*H|u2ta-4!?(qK#ndAR={yP$}dCsvvW!E2NB+orlu>92V-{GZZ&pN7R$sYWf
z#DDDn&($S1;YW8}ODn&3xb4l6{~=#HcZse){^$LwrzxDr|1bUbq-Nq7E7u#rWyk;T
zvWu+iKh~RBTRS1_9K)~rSewAx$N%sA{bZNM!W*BD{V%$Du1EXmf4}RNQ>w1bIvjrF
zg1z0*w&#=EW2RQu9r?e@Ph{@&V}I73UgLf9%wnC!C!Zb&AOC&z>6`~Y7?1vuie->n
zu^}uh=_JFOgReG<pJBNCIA+DccZ?^KCdHVo*|To`LG`nYTa#iAzCFL8r*Z=K=M5Wg
z?)aP>bMSxS`a^HdZ<rBr<o}x&=?<|BdtR)OJM_PLmY3PibMG74er!ouv-3*j8J5*O
z8x9_Mx&8dlQvS5+V=oI$&rDjw*lDC(aK8G;%l+pC^|OCWESs3O=LBy;?EfPos`&@H
z!j6a>irIAN)$CmtH?}CwKcsf_2JZ<b;ZwZb0-GZa9eY+`(LLox%#qix|DF?ka_IR)
zNu~p@%_shn<ohN6Dp~wSG9%y7H`Wt(h5B;wPwtS{e#`hq>L&xoujK17)(^gwy*L!X
zc!uxu<le^{_aB`p*ne)r^dB)(c=?kS#-FVcJ0qxlz&q}Q=bq#5YHhxsVa-3sdH&d^
zPuI>dl)bVRJF#h+&+%t_j{mN;`F|`~?x3CZ(eIH*pWHlX_xR}d<!cVCs5s)k>FD>#
zr^My^_m~~5K7Zu<>GoKL1L^YG$Bz9x`u*eq)cOAel=*+_<t*WEj(?X)7GpRNIe$;Y
z!NOjKL*GSb@3_D7@7Y72W(&@mb94I9?^C^{?C!mM|AfiqK=s)p-_Jdgie7*4`_WIb
zA08e1uFS+2rgiLxpV0Rw)<X9$Shz}9+-qF4`Lz2n#utbBey@7?ra{?MQQ&P-G|MgP
zqd)f_`S^VQ+Xnkb2R?qhVEW*{*MVan4|X2<yYlXj6So?pVgyc9o1YMfk=D>Z`nLGm
zd69^RCjven{rvrt5byDCQ;$B^jg;JbV9UniU$!2<w|}z6tP8rEFD;(vt+DqE^WLoa
z$M>9J-WxB)Td^+Y9P{gM=hTj!JJB@%$l3Lujy(LffiY?GDF$_hJ=ge7GqgDD`R{l5
z{rLm`=QCWXcz5u>FvFea)kpr%J@RD#WCq&{4yM&d|94uXt-r(G&hqhqZ2p1nYe)X;
z)gOIU9udoM;`C&vi4N?04nL2a@%Q7&gyiEd&fd`AXZk2%<9qDNS<eg3$N!#6Rd#UR
zJ!Pwp0i*XP4#%5Zhvr@Iee}cg{8{C;B#{ZRwF=@%5<1`SAHDYbZ1f~ee`m*v)i(?F
zoZ+>VycNR|I%T{5T*u!}I9?ttUi)15+>)b5k4K#qx#CwI*HAlIAhTDx)=!|duI2E}
zBk!Z?H!;lLvvd1-vF+cFyeljJBr^A`)b;2i?^kQriq8Fa<lR1}Bz4^-e;AuiKW|O5
z|9AG}?Uc+zCtkI$zID(1|Ag&7Pu%%@!N9qXNsNIp=3tC>@_)Omi}vfAzIJ51zddnl
z`I~!hZnWOtGku3K>+XigjZ8E6`zGy(KM*67^!A3iym+e4&S^X6{tq#c|99l$I_p%m
zg-1TV{XNN8J|KC|dB?B+j(mKjU8{co-qDZ0^eZ>z^qou>oBlfc+m$)7zu!#X8N9#k
z{ih=z%R^7;Bp&&A@4jk{^3ADB&$ZgsANlxotIE?=dyjvt-RskM?#Sct`RDF`y28Kx
z$m6wIH-q+G{rdUnhwGt6d*)B<y?0hNFaFr$`63Hn{W<zEcBP4A&iUJKk9=JFzUENy
zpE*Z9Ud?@=_+l<w_nRXhOVuyy?w+=w;tYFr{E^2`&q=NY*>A;fn}6(MeB@c>)6;j@
z*PjxTJi~nd_wkRfp9mOFTp^!->|^b|GgfP+?+p66^OfL-b9MF;s=}u1e0l5Wqp;MM
z|BoJhWbSI;QC7B->&?-RH=C;3V~#)8zZcVCC-Y$m)B0&UU8XbJemnN@5!2Bpt8Zv5
zR5*X|Y1+Y$=YKrtXGp0z{y6gJ$L!gs?;d@WUw`n!CuZ^KJN(}s{BXYOfmYbGotDS$
zwB)YX@$>kH*-Xct=-(@PbJXHOL)Ghvw|`9NZ7<^p+%vI2or%x-f{)vd6L)$39sTfW
zV!^D9N*|AWxW0y|X-zXz(vcVIQ#hgyzdW3jdYVa%iIsV04Pz2_O42t5<|D6mKj4V*
z>bfbue!{bpg3Lcm`WQJGwkP=|olA;7{`%(8kKN)E8tx~2PwMJ9Eo%Kq!)#;mMkZ$C
zDLc=VZiuN#p1$+ku^H_j=SnfYp0=~(_TLL950uZIaXgXnhtm0JJGDv=#8fB$=bO?|
z6*u80bMn8p$*-sFjJbW7KQU?I8FTw1D{fEU`P@sP_*!ScnrS;PPd~ta^i;|G6Nf$@
z`*6Ke;qtsAAGiKi;oM}PRe$6|si){A$)jc$!*3cKIdF2a!Kou3_wG)yNSXF=d+J1y
zsUNq0Kl<UjI+vyX3-e7po<~1^i#>MZ#_^MJo2MMVz0GL%o2f59i9I~Md+N>*$p?D#
z&t5oo&{wK{-<c(Uk9~+e>GbjRy#C`G^>0e#|M_?O$jAHfk}ov3iZ49!VgI|yQp?Zh
z?B90e<F&unZY159cl_x-Z?Eg0w(L6g;d}k*ob01XWuFpmpGhkFef-%fzrxf1<_cxr
z6wyBR@wMK{hE|*4TgN`!FI8Q%zi#%N^p%^Ae7qK}?qa`t`pzrUW6tLsdwN~<_}6<$
zM=K`1;ynIw`#V1y-@kFwcCO5iwF&%v^uz8v&$`aAyGu@AJNEIN-SZxuBOl(s-t(sO
z=8;W3r#*Kl-v9F@(dNbODgAqPbRV6v)9$O~9Npbhc3yeDi*L@%Gvae^P1$L8btSLu
z+!a<mNB3vG6KC%}z;pD&el1Hb+lkfDN1n;Xyq-MwMDdRmO9WV0IM1%1WM8>ac+Z5L
zX%l*ES5L^C*jFPvZD&2tsqB5+OU}PpKT9QY+OFr`9Etx9eR>efFni)k)87lYI~ZCo
z?07C37JH!a&19A57iIoT-SucAgLz%)f~Om&%$?1k5|MoP{FD0c6K0sMo}ga2<L<`E
zySrB`4E=HZz-tE9n3XZ<F=8KgxX$02eDLb|Bk#)k9(Xby<`%8~*?ex}iyevjbu0IN
zKJ<R$#x2`^ZpvJ9;Qk4Y!Zo|~ZyvfQ*pSV2lcQr}(VC<8YUXYIXLJ1T(+%48+DGn8
z6*&B3(UIGorqfhIYmQ$0ee`zb>?7YNt^A)N_3e_&jcYrm|9E)p_R2RKRh}QY{e1iM
zqvtlp9(Wgd{O-$|qjyiToj-Q``?jOkKOKxn+W3CskyCSzUeUkFkiKSG&d$64jz6oa
zoN)ce^v_3jq#nQc^7a9*{^J)@zs0QN`*?88;R^?!^w0SHK{MvalTS$t#6qTQFu$qs
z`pA>W1qN%*9(;0wGhx<yy+0cdKki@<KXhZ=VdI#MTH6119DdWtq->z}d+G+Bq^&V^
zsRti9B&~``(3rI6%z~t63m=GgpZs?8(cBG5787>O|4|}pleBoV)W4>vkJC1IZ#@@#
z{-o>UqYs{+p0dGi`_ZR+BiN6;yg7A?_U-8#o{DqaW;V3A_3MFAjru{CBi;tK&vv{&
z^nZh%)*7Y>6P+R$nEy>U^f+e6&5g(I72iAdJLly3Z%1#($-KFF>_+6r2RbpwuRWc<
zaQb8o)+5j7-#WV2dy+!4gZ7bYPsJR<7}u}3y@6@c!tPT|XE$Wjy|JFYee$tAb5BM|
zxa?J~N~!bkKDTRg!-u{dH?QwGu*V_xe}P0s+=R~$V>gJJeq)^Q{4i6@i8HfLEHt%0
z{-j%CLf4@u;`}F1dd@$PB(d<#gt^aWO32$Ec>G9W9s7YfpN~AgRCyrthVrzX$B#bt
zyFF#$(l<vRf4MhXQ`lp|eC;DorXM*uU;Cue7T(+`i|ynN?mx*{!qt9s|Kkla?e}bs
z-B_KxV7mG2n;Mgs)JCjbu$K34%n$FRZ8QCkZ!zva@ZbLbM%Bt0to>d$wEelx>^pKW
zA!%dmEC%uCH;q=l7G1qje#5*48|71PaxXbq`*G2JIhHj^?`Cd&esGeh{MG&c>VD4P
z|9Irwr)fuzJzBe=O+Jp{$d^4QW<^dpdVIq1>NkwDjGs-|DBry&k1=x3k(Xt^&+=LB
zZ*crEBg~cIc-<WP&nK7|lK#gs>^;hIlVb+A6^kGPvsBW5|D(U>9XbE~jP~Y;^=oGE
z9!j2iRPX80pEE_yOq%=o_|++#E1qyPA2;3p_UPNN(vwD!vqY@!Z7Mm)7&G;{)&3*5
zroI-cP-IF<a(EXzW4h?<Tiv@D<8_W*SJGG!qjgn|@z`~x)dA1FJD4VIR`|~%b>xPt
zhe|~(!_gagN^BmHSrJxY-w(h4ynv7YKmUQ7dXXOa$8YVLY$5pb-N%!+pPlqRe&pt*
zax2rl>!++QnJ*q|C#QSu&+6&xzo;8^f12ZCxOZyujYMB2@vtNJ-f5k*4$e?KV_WyV
z?#R7;+7m18z6_8&dT;tKFRR^kdj)4rJJc`xP~gbrn6s0o-=4N!`@43C<M|^u!(WCt
z|2brLLLlwP`|R@hNej2kaXoTx{(i58@pTuACm$-jlRAIz(R+91y1hSl<lgzeo&i$R
z__OU!+aI}GuG;)Qa+dFbh=~zpi&p<#v+#^kMAIh~Ch;>(f9j6h`*mtk<c)=Odyd^J
zQC&T|GGo<Crq4(2U7mmP@)Du_;uqf@xfi0k<>K$N%y;h{dH-6=|BU3cBe&k&KJY%<
zY<AbjDEY}p-bc;V;M;Tb-Wj(Y%@N0Mov3EvJ%0bxza#If^*`B!9s3ZiQFLra+Je>}
z{Z~G=W~B9BZ#@+8C1Ur%59d2?^c}u0KYORsg?HbNXl%6FeYSG7murHo*}*M;)MJjm
z4>y~6+5M2$^aS^NN8X>Fb@SQr_j^yj^q+U^{r$Yd2iB}T`o8|>;rodf5A4V}bnQ>_
z;rHqW3%HNmidlW+!(2A`KhxJ4pZv)1i0#0I$ip{3BxSFdI)8<1ybHs3O@`k!cMlva
zJNRJF!5fjCE}suSczopg&I*@9*K7`6e#B_SH1VwHMhg$N3G4cgUR!$O`;iap_pGRW
zHnGKgQxiwW(fh^QkKTIvdfS1nwBxr{u9*>Yi0QygEtRUw<q`RPj0aK~4@A`O*}(Yh
z*!#V|Qy8C3yKJs~<iY=wGZKz}_5Q@Q;`saM=`%j;InR8p?%4fTlNa3i;V1t7==;Ch
z19?)UHXqrtbk2*0GY-@DPFsIzxy;l(#~y?%mAR~Q#`vUC>X8pw;pz(N-p99{(qDY+
zef9O+<F|fl%QpnieSiFY>2|IDHODfhG+a7A#ZrI&@%Q&vt*e=^VBXQ3$yv-t-hW^9
z?(>tNienj>rL|LXPOU5ZcSa-o|FQRfLr>RqUs)gY{OJ3=tE<u{RUg^%JZ4%=-I4dd
zU(cCRecpKfx1+a2V)|DeJFwySv1PM1HXWTZNq_H@4WU}|4;(wXWb4{P@#&|MWFBa*
znZ01yim&axQzqB{Ik@NNw8_UGSpS%i*B>)ut-1FWv9RfzG$ol%FAi~@^oNC++a~7d
z)9Q)l=QkKV-d%U}$kWLiwx2(6y-I8r$Gta)@{=!2Xg6Pf^z+jj=Vjj?S^Mz6(1FF~
z`;UIU8+qWl%}&oXMTfr}k2w%$C3fV+z37jPA8*Z;y0H48P-2YDfmf~DPo&mY=pK3T
z%x`0M;?4(m-yDcg<6*hM7<1`D@X@1Rvny|2IXh{U-UNvlQJ&PD%S@|}zpgxTYw5Y8
zC;i?Zd3bWdygVbVh6}adj@){=t#ixcW4ET>n!4LQ^3dGP$L7vDkz2dxaJiCD`1&Ka
zO6rdPw$?xPB<|Spd&h2`vRfGPds15E@#80tUO63o<oKpz7q{=@J-YMGv*S;v-#ekK
z_2Ylc)P}Ri&->dQf3g19<GuR~&mIg)I`VkG-u#8E``phSyjNFt<ov(f9oxeurWf9S
zV7H_6^^wP=Q;(cnzN#|m(8)K`ZmJ8+DGNVv`p?mI=A8A%T<Q)#2$ne*cl6Y~qvxj`
zJ*H}Z^wRI+7oR>+ob}Rm&G9Q!j_%k0$&hs9OxEtH-BS+yj!kj)J@R^P-TdRTkGw8@
z7pyw{$!Uj@qg$WfKbetbcHv>9hT!pS2N`2tblg0)&G4gB-3$%K7~cyvH5+yaP4JA_
z=VfsCf9alM@0A%nuGJs-#AqCICiV!!F0Y8UkJPRH{66?znSuY>`v(&rvNTL@=7~A%
zRgl0R<9^^qKjVd0*N-rYwjJ2X&DVc}|K$l~35L`#i5o`~-<(J@-Sj3z;3RwZ(GBZ2
zAFBP>HF;T=kHVW5D#wyOEX=Yyy5U>Qghzos9C1hX=t(!6(EMmw9D9Oy_J&ngpD^%!
z&^4XNAQH{MJZVd;K+Hb|Ue>sn6MP#_*vy~2rS{D%rDL&Ew`hOlnsA>_X#Wgn&cJU{
zNp+$p<UgJ@>^^wq{E@BKCvNoocI4&TZ^yQkZeqB0`0Yu?4@dZ&&oR6{62ruQW5Qu8
zyTk8pGRa-zQTB*_@o6uU$*Fw#nBTkXBPP6N{~r^5<l|@2BM*w=j((e#5Pgm@{?yU0
z=ii9UV-V)6<d}CNxjp9Xw5vkW*)jG<zwSM9FMOZ&O{t=@9Lu*KIrn+)!Xu`i3aj#u
zotrd+ul__dv-pvt;w-O!C~sgqad7^HIqb0vpPE_Z4xDF-{hu9w^yubApRdni@Y-|y
z{27T8JL<L_IrjJ9`40vc9Qa?A#T>uzYmx@%@yVf8zyB+isv4N?e>3gv9b?ngM;?CJ
zYLULh#{bBJ_<ir5r0AcQUcUY4L$9y%LmWRHd2qgb{@k0J&dGd^Joa$u`Y@N+$m2Dc
z?~gpFseN=tigBl!?f$b|C1po0{5p3;c>0ltp{s-#dgoXlz3}xlzx9c-|LLDrYx^~(
z{!5Si!?FI)@h_L^j-2<KU0Zkj;i)4Jwf?GKym-@s`AmhHn1%5EBM-i)uE^Zf^Xcym
zsV<9+9yOL*SJa-{8F}=9eresY^ZPa*JsK+6u{_el<Jg6p2kp|1TuA@P%76UWx1$#>
zZDKJz_VC;%k1a=z{|);gxAEwSn+Gly&b0s5u<QKhOA$w}M%+32@cG(}zCVv1+jjV2
z*QNl|-P0F_gdKjk@xbTG=?j;>Id*Xlquq_QM^2nN@X+d9hv<<L(+~bAe{<+V=YdUZ
zKU8Y>^faB|6rY$j`<K%7is_rOHXgo~eB{#7RL;F0Lrmk3pJL)lNV=P3dXiH+!hcu8
ziHPuzH>%b!9=Y`AiE~8P;fwAp4rMF!KOTJ8&s@Id=%qP_&z?Ve(f``bmk-%5NXfsy
zRpZG#h2vP*(TlG(AAi{OL+}2YV-JI#KMmNs=GeuW#H8wD7h97~sMu>CznFXE;ne?6
zABZ01`uJDzUh0(E{eO==xU_Xf^yZ27$$u61CbA^W`C!h@l(hT5;?yG#%KuGLdUsA@
z``e4JfBtoS8rl8db>phpvwm)j{qxj)+VKbRugn)@$UXSA{-1+^>VkqQKI`|#AH+;|
z^{9wBz9Lim$i=(r1}*!iuS(e<zvk$Lkm;IVYp&`2o4P&I`pAX5%SC3NI(EV9u4+@s
zAI793aUs?J6xaUaIq`1p>c93|lTvGr9sR1B_4C@CKT)eU9lP+U{$%&TKhvs1<NhhW
zwu-e0t(>~>>8~p`k<X7jn7dCevS#X$oTc5zj`_b|)9hLCSMmL>r!KbB7EXUXsczyq
zNAaJp<{p2r{P(1cjSr2^p4sI!_1J~EtD_`(gEl_42|PEc;@AW0?^73Qd_J<x|M?%q
zt*?64lucP!{%R7J^|2+gk55S)eK7r((T2RZV=LyYs6G0i+%7EU@bV`dv%Y@VyXK(P
z^FworS1tec?Zf6HmfmH3EV*KDS9K&GZ0KUS-m_(T+u8rxHyMhKEizkiFK5G%1?rm`
z!xnb&oIk!``_YT*KYchMoqUYpV$6}_dT+d^w3~76pP=;PYxen-KOP-<GXM0CR{LWI
zes7R^{GnO4`#|dsv&Yd#ubiGBoOL7S-;QMCU613M>yA$Bn0M>s)d$J{(?0$&f4q48
z0XN%E%1oS-rW}fCvU_#2Wv%T0iN%o}8n)U$IO95`j_zGGucM>%@ruKb9{%r)zG`#i
zQR$xT6X!a2vGiX%@?;W+#^&yR#t+Nm*DU<`@lwNoy$yUZihp#@f16k>%+fD#`p9G3
z7`ZQp{-3Md@#N@}`5)$n>uf0hwvy`x%MbRmyLU+WtnPT=d`rOj-p`yLYc{M+P)L$#
zxL(fiH)c;#VT|9T15BLD>N@VW3jXG({qsO1dDRco^%J=)*X74uIS`x3vSC3ZQ<7YR
zTlm9iKlYg?MKN0>bw0lOgY&?1<z#05!w0mM|1j<DopzUTRZMow{8L&y3=yh3*m91%
zH9K_rq>+oD+VP83Q!iZm+_1YUY|6BW4D}D5e*DeTC%Gquq5tT`?SGFxn{xc(UAyR`
zcjAs5)w4cwW9vh;&qq$|J$8Bi+9RG%k6w6Nd-O!)(ep1S3rg?%A^Un_Z{<JnrJs*6
zJUV**<-G&-+4GK{|9kMJI=85$hVQMcjT%?Z9=<i7Mf``_(F>Xfr82(7#2&eL?xSZ*
zQoX>Lm|Q0HXRA+eY!EtfNqG713GP1{QtVg%k*nOGe}2-5`X??7!HoyQ_sps~!4QA+
z$|<p3VsW({^1O^O_6O~rtYT>Rc*ImGjiKWVL(lt<M<Rbb`F!f}%^$YMf3fCG=#Qyj
zSa#sfT^9ycJqFIOi6NhR(zN4_-PK;-*^zYQ*3-{F>XaFhKK*7$>#(VNkQB=>|H##?
zYyQg>|43$>w30pM@BAwn$8T@_b3*UOu^aA!8?GI>_wvn8k7s`z=l*0pa_RO-w=Tt5
zHG7ZQoy^*O>|V*YqmND=zh|}o(5})Wf{nkvy{r3o@K4Usmziw4Z%Q6IcH^bZ(T_Ke
zTwPsz<-)g<@o$b@(n%`#J$>2JAL{1wuiTwj^3~?(lhmV6_C6M$HpRUEpx)74^Vggx
zJmKAS<Vn?h!C6N<R>>Z?p!Y|5X5gd458NFj4!nsuo#b(c{n(Qu$1Z=gpY*R=QJq6y
z@I%ajn+)MUJ6b&$;!k{L5`1(i>qLyY<@>`2+@CU3{X6nvL+t;Xo)Ldobg#vBhMk@B
zM(%8+g5UwiBLO#W{Mu-@$wBa^uKcP!F`G|#r~lBCmENsmV}0VyjaY^sPR9@4WO9#X
z__Uv?WKwT8lcYySgyfCK9Wlq4zBntSDlo?EcZmKGmBjKh`TPY=`Tf>2PVRYqflY8b
zgXoj|3+zP!2Y>c-B<VZI-Fk88G3OtHxqq7!4=bI}n&;Az!tn3U%&oac&*$H6X-qnw
zG*kJ0#mxhkHeQfaW1MY&>fM^;122_0ocDM5C@IY8zA4es{gpZ9S(4hpC^Nn!$^Uz;
z|HS;6zy0{3?WYfX)cbbuKuUn_feW8ITdnFkT#p|5Rd?;+VVhf4W+#;PPgwTzN7C^F
z3zBD)m<uwpN@y$>6x3KP6Yy5pCPwwnq<@_SM~_^-{&Dlc%Qw&RWW^u5lHO#t`K&-?
zljWaZu1P-{O>cTO?>Lkq@b}=g!z?l91=E|HH_x+?^V%5sVt13r%_G;-IM0b#-#>CA
z^+&7y&x9j~e;z;n|JKhVH?1Ni&K&)}dfOlIbJ55C@BS%q+T&=`+-K9*T-BQ0w6>$R
zc4O1}pRKP&*Pobol8JxHn%A{c4LEvUN}lkGPvHtYdVTHh6r=j4vdN8EmWDM4U&J+T
z`F!O1G>fS<M_;bFe(C0i)eU>>e*8;1bba$oL%!JiM_=B^i8)cR`N)lL+m5|zV~Y_w
z_DcVo@QkE`Z(|O=KKA;;{InxC*Y3NdqWf{$k=Lc$BU1L9SNZzy_>Fy+?OsltDqL)|
zIpWgJ`+`P$_ne$@Y2%?8bMuu_p7gV@ml-cmNEW}yFaCe(1#!dK_gv4j>`ynkXLEXG
z`0VLT|8F*KHgH;!5H@Yy+kIbDZa+VAd+w^fqz0Ap$97ZK-rtv^_;><i{F_Ou`_BA!
zDSWYvN&F4t$=_)wKg*lNT)6d<Nps5D{rmm&Vk?i_e!6<nhDX!Xw;j1rUcXZH@x3Fr
z?e6Xk;8s!ky5j7&r(M_2&wXV7?da{7>qBf#MjpMrbeC{Ne@|pU?UZKwN(Rou>yG~j
z)SpzfvSK+4`-zVXss~G+&DIQ!VC*Qg|K!A?IA>DTqZ^YiO<DVQ)pUmQTTib!a=U1%
zU*)MI*W*H0@mc>la_y?>E?fJTJ$1)#eVka|J-PS#<i|Uvtu24Oc}kC8*njDjAw1nr
z#3WW9fBrgq{efF2uCN}=uQ+~fbzw}zjPf;mZ?rOfkNN#C>A;mWQ`Sn=|2*+w!W8>Y
z9xK{!1b68?=9yp``{BQKGULaL*$q*lACKOMZ@RqrXiWUAvv(EzV@|Oet2Z2sU9UWI
z6%%tu?EgB3!X-y;{8zbrFZRqPXO^6&503H9OL+BB^~mK<pP2QJU*5#xu5;u;-;M=Z
zlUlwXWQ>`#X8n%?XIsBdUlU&$cfRpiul4qZBNj}I9jyB|giV}mRT0auBW2H&`Rz%e
zGj88c+&5uO_?sUb>Kv9ozQz2x5z$`8@ax9agONuk&a3{{8TV$!ZK+s?|Mp2<F^wDU
z{VBb1Gwp_}X!FX)Y%%@k?{g+C`~P9zLB^PQw=QaR#AH6=3O#VPR6=0J^|&7sn)?|i
z%=vnQ@dsl&<IPLgbGpTwb{ZxB&pR?@^JJgHj1zlaRnB-|{(3@}8pnkjf0A4ppNJkw
zlH<6;KI6CG7K@94f09nz+<Po}+UoZ%(F}4dXDvQhB)#8|{J$=#@vQa~Z<ff5$-GQS
z)gL+b+?%xYklL-=T5H&k+$gJTyDk5JLf`W_|F%t8qhDG*W&T>7qj#=PKe#xwXOrX9
zHKivvC`BB(W3~I(jjwZGSnip=(d$sgPR698H>$#){uFEFnVkJ!v4pcYBKhB|iJy=E
zkm{bg=IhStr!ve(mZ;wh=sbF1rB<Zi_2b*71veeNarK?m#tP2a6O61sBqc3Ae*Jdr
z)QHD>j>Sv~+<hZy+Pt-|k7UfAd;G?wyGc@|bEbYRk7AN7J97TjueGzn=TBMvedp0h
zQ`aBAUj6s(r<(2~nI~@4o)xZpUEA~c(mA*15iASeot<{__m)dL{!Cqcs$TyG<I2i*
z*@>w?6uwOO{rC8}JGGOlOg?>_koEQBwAEI%@8^7dbM*SPSi6n|-A6WUSiI)g_3)1|
z$CiDLp0Z}@xoN$>Ql=cdyXQkta_0qm?IX+fPhES}@+8y#UGt7T+H`oW>#m(~)21Bl
zer~^sL3rvEdmD}&(bJBsw>|oJK2!Xgon1F4OqZWmcx2O4hKdPOLUUH^`t$!&Px^`j
zn>*jlKC;1`acbO@DaQ{7JYVs3W5aXnO-Zr`wsb4qUGw3R8iVxfg9|E-ZP3|~{o!rQ
z(j#7x3*|+tk3O7wVDaH(hL!K@?2ddW^W8D?35U$#!={J0IoV=_k6f9xal!c`AHyUU
zgx)@<bnJBTg2bN_>qWJ9WxVM;!H~Ska^r{3vk!jk-Ekr(nQ=!8>yo71A3k?7{JzlL
z7B0;4Q;dI8h1~27+ZQRsPUMiDd-(q6Bd_KhJQT0OT*PqlTjfNZ&1+6Y{hJbT^y8GQ
zy2+f685gAf|L`~Fz{#dqh7HTznaqzp`~G2)_P2+s%`7pmSq|N}HY44(j%V_0R|Za*
zX%p6F?w(NC#u~f8qBhxU!rcFV76<cXSs(eeXZO(;dnWXMUvs0s`QJ6}XHOVPX6-6Z
z;rKFTOZcIrh@Hg`Vi_hZluzV!h*4gc_-8_4-=dVy6Uyuwk{RYC|4(AD&*}Q`h-1gM
z301WgZkN~3NxFElmMyWvlSTBjNEFY-Se8nWv?IrT8F=?xpRj254t`fAaVe3M2}z$S
zI2Wy}t@}4^-{#pa)=2_Jr+TKuDsUxDV<~>UpiPa3GkfRnx})zt9Y6i)=F~Oo{~S3{
z9(n9;q~NT1M^1k~awF(8&%2%T(~e!u*FSpt=dsH#=iHtu5~y?VXxZ^Ac9jz8$0lq%
zaw#tQ=!H$kE=4V8-JEmKpzP?S*GC1W{5ujeZQAnEn`b#DPg#C-r6{k{9sL77_YPm$
z`~h|TzX4_bf2DNn>i>eN)0fA}na0?K9lG)@>EDAC4gH5^J&*W*sKxW#_!W08X<|#e
zgX}gIjexaD;XkF1EQpg0JM!`1<~=pZGq~z2*mh3)kkmMJx!%teIi`=8l#Z?Ga=hMQ
zCU?|wQ{v}im*YP%JXp139>a?pZ+2W>GoxuXL*bhbJClCs_%lv%$!DmaDDg5SQf2zl
zt9#EKxe#>q=NbD?$4{9)+yCKDL?iq8pHkU3B$K8{q{kmSx%v5l#t;7{zR3PJVP0z}
z6Ze|Q^W??TH^g0-v3Uk3Kll0>6Au|4tC;ll)BU=zh?!3+Voyl0Gspa2&~?b?L{jpB
zHJeY(PG9&kHf2To0>QrtOfh^vI7Q3EXVmt;JyMl^(s7@R!@(<6*S^0^j+(OJKNn-n
z{)Xid2bMidp19#^MeKwPrn?(tAI3y4Xudn=Rqi3@%@Zd|{kjp$uxR48e%ph~#Sb*b
zbj32XPF}VC$Ak^$?++fVm?1B|f5HRyScVPR2Ts*+9ys}C(E<*x)Z`5>CiKSV960hu
zr6aZf#3$aQJ@zLy{$X0Ez#sFEXNFm_+X=?R;|DHoo}-gry)fTA{>bvwBlpFB2ChHx
zf#a5g%+{Fq2MRuPoK$s4{vY5}-NCTUfqn50%g~x5hd;}$+?)SMCHBIBA7_v3(|bB)
zU8$eO%&-Gb9h3eCG<`d8<<G%AhlM6>*MGz)xbw#{)Bh7rJwI7fv*7f;BYSQgTOM}v
zLFCbi>vx~vynT4@>;pgTSD#6}`9sT{;rFIUsduscns;0{_;)ht{X4Q})09Q`za4wC
z>Bz#VANwX72p)Q6TPZ02bKCikl@XWalNl#&FU>i6XhSkX^U5RjaWlO?PPm^R_e=TX
z3ciQ`VvfFepL=A*)FXe(=WXJAS<~qmx9IoTNhg2*Jo^3gqOyOH3%8rTpSmIU$WraC
zyf3rvzL~P}`Gn*5-yD3lF?quJc_&0{Ur#vr_{fv@xkpxpRwPwV+9z-6%=~*%Wx$ss
z^IbXG)?A;kzwhY0`V@|-%RXOMNdE86DOi2r*CwvF>kd^_E>ID@Cou8PWShW)Kf-TF
zZd<>m?^fTh=Hj1ea-aTKMq6KavVPs6Z#UO)ck2i^u9?35{OvV|_J7)TmrwWqkGlsL
zlkC=9|0gT+{!iDOW8dn}HON(kEo?e|WL??wMnPeYHFH+)5cObKoA~S70;_iZB?rp2
ze@|Hy^pe+l^OQw)OL?sO6n@XERmt8Y@qG16E6*S)iO<(&DoteJUU%s4Zo#DLvV)BC
zpLPFXDL=Jf@w&)kXL(~Bf0j5LICFH(q1CZ(o4O|#_D|j~K6_s-*T+BW58awBX1?k8
znNxG+cmL_&nLlA!f6|ox@Rfhp9lAADg}HCqk;Ut)bXB}LZ7Y?0*B{!eUn?FRH)ZPX
zAhGD<`bTrZCK~9AJN(_Vu3(nx*%S}K8RtwRC+}SCX<O-`yzbE6NgC`6wqBp;)p>1h
ze)N&W^^=tE8=Q1vR}ro?KKp+Cp|bVj_2<_ddL6q`tp4-5LwVu9RBnI%!*Xt_+HJdS
z)@Nh7Y+6MBTfRQ==VZd^i@tJf>(~C-rheNl`^fR|S8BKStUDApeMOS_{i93HC#^lS
z`sySN&4c^?vDEIH7V5C;_?wkhk5)cDzy8qgzuGqU*B`5`(Q&=(dac3l{B_q)_tqa;
z`(}#a?UEQC*+6&JI#&<dho2hmKACcJsi^4eQ#Kz>&#$h2wUPB=xLODE&r6vrv_v(F
zBExLsk1YA`rIRKaFX|o2>$t|J)$!cFH7&k6$y=u^y1Oz;EZ)>VlvnmkK!{^qclyLe
z*|mqRzYYy?ESoa*cXX)7O3~&;!Mv$mA+4cbBgLAp)*U&$Gf1rY?YcvE*M+D)&Y!X<
ze%%$d$HCFEM_psY;{Su?)gHfHbLi`?$qVfd)b82fKffyb&6KHSbC>Z?vdH-n>$~Rr
z+ck%-YfbfO{>K`swR>vyq&3G&tM{)v6t+^0cg^uLmojCKi1J#e&3=C2kfiM<z6+C&
zOMcJdx6VIud?}ChsVR%zg{-tWyXnZ%(oDM+QQr8htGw}*M@}yf;fb$YcWAGd$n4U%
zKP;N1BC|vCc&(owIXyQ>WVUNTcxn2yMdenG52mxkbbnyCJGk`!39b{T7Ow8zfAiRq
zeM@w6Yiw>N9Y6kC_{R6S>yKTTGi6ciOFu5_Ne`DBuAZ_yzOwq*@o+1C>-wX|LjNt=
zeSU^h{iX+*>kn1OPx@lW*?IhQ`M)V5M~|Maef6hdrBrw1Ddxk+H_zN>ckSccJx7mS
z)zsU$d;OtnolKkOtUYvh^)#RNoj>JYuRRoY`^eIFe-|9&-ynZvsl(4Iht&sdl`5-z
zj{fNJKDw-K#;da}0zYo7=KuFXB9>8d!@}P`B5wX+X5A4Z5ufyD7Weyg2g}3`EsIOK
za4xZS-7NFN8$Sj&K7W7m*T1DR>(1>@TNzQ=ye(0qS>Vm_gE=iSImZ`Gow(rs<_0sX
zur&vt|2-l7AeLd`Z1eP{2^~AutUlOxaLM@@hc<3FwluXn?D#>(jpq+;jGR2ll_P|&
zA#ZPzg;!GH?ovrc){eXDCoVV^b7YkpKcn8aDGS!$IKFzL=uc+D1&i(X$sSpwb70OJ
z&IRe*5A2eTt$C7^!<w-7xI*&(T=wFh6C01MiC|t4*UGzK<@F9}re5X=lR^uak`8Pw
zo3McQOwu*wPPSeb_BBr!LXWK3-1t?0O<f1~tYfS7Rg#PtDo!M)B#AOK96Vtkq$|kB
z>ag$FQa_8If99<{aDM)QI{5>P$^YIZWvxHBs^&D;{6ppSn=IC@KWG)&Bq*Q!pXcz&
zyK5Rg_itD;S)_ukXU&9_UF#2)uJraWxOZ~n=VK@0>+2T0D7+ZB@5nNih6cu@`Pvh*
z;*K9Ycj=0ARghPa=FSy+%ce|P>CbfJ+PcfR+Q$!uPm(<yQNAYq@5w0(e&5wikp0SQ
z9d`8KzZCNmJ9us9Uyc65bp7A<Nkw`alK*qAKd7y4bhC|f!%MXVGp=r2b5Oh7N`~|Q
z0?FicH{-h|@03j`P+a?~e9c0g?z2jBzfE0GJ^hDktcvFoJul0G_Dd|Qr|f)oao6TG
z2leYu@kAe8QMQuLdei!Y+RIsbr&aVGIq}^}eFyKy$^RH96#Fx?|7&1(Rf<eu2@!m-
z{RtEQ1C_~4;_D99uDrw(dzxwL^acOtip|zqHCtr%rnLvPmx|1`3Y)uB@!QRVCn8#w
zDxO*?GJEqMrh6;pt}mL;W3Bc6*omo^cDV}A_dEGrcxBy`v%cRyU;F&E@Qd=bnI$*a
z*B+d@&mgAiLQcvo2Il`vTlbzkn|7)C{I|&w>km%-pX%AU!RPLp--SP}9Xh@!aaO@x
zi>p6G61K)j#WJitSo+VXLv_!*BmN;jk001M$$I9@hw_mIfwSd4w!WFRc)G3gMZPQi
zn>&q~r>~g(Rq=~a@9T93FU{q<8D&)+cJ$z@AlY{DHLtr0A8quDeX}M#zSH44(+j)v
z>)UqSnX|U(+CrH>TQp9m@HqXvSbBVI!ulmLoqG1i55#}+eR<-R@=B5Uf9gD5zghle
zy3F(^J44pEg#LQDf$!?vLsgtN_pEg}6*=eH(T3(#KUO!HM+Q7xe{j0qUb+2IwmC;v
z-d$<y-@Qft_^F%qee%ciR&A9(zG?kIt@2%V!HagtZ~Qh%&4cS;k>R~HF6z7A2yEb6
zxuEWm#B%X9zsv0=?c_dR)cD5k>zc)SY6r^pum2qkx|QHR)2->D_jkS6V;{c6v&%km
z_FntQRez2gC}~Y9yQ5IF#6akYgJmh}{r_DjVvava=y<UIBopVlgTJn9J+Qi;WzE6T
zl}9FQ-1LX3Ds*Y>44cOEl<5n+)*UR}HO(Y>&-J-l`o|B%Yt_tpz5d{*t-RN#B}D#Z
z`uZ+hw%PQ|&FtMPRtMHjJRNy@{oQp}ww!e}oij7`VqJgqF^`Fd)~`MA|7TR~EOjTL
zNQQfB4o=?}Ccpb-ZQti3D?^vc9?n|5=HTV&HlDxs9zXDBrJeV^wFh^VEVc2?zJGk>
zeA(_*f7cxFuD>PMyN0du=z*W{-yOmxlppQ7-tC~XruSAxZNaC%8=6*jZeUyyuXSwo
z(X|I(eVPC9`=1HTTrB%HEK5JS>hsM#vv$TjWL|Ti{+H0l;-u$u6Q(qN&pP+GU+bsJ
z{0+`$H*fe=F_H1(M_&GK&wGlFo!EMMO4Zc02e0m&%)T}vH|I$3$rG(DpKkw~GSRY@
z*E-}mul4Um%k~^SP*W6JG`+gAW4(Wpf7rT%&;10r?N%kMpVa((<%xqa>kn3UtzA;<
zsWUlmN<-4Cyy^V=#llm#awj)mPr0GAa<S;^9e<u3oF@8vLj8t~t7Rtk-mpG0O|*U&
zSKOCNo*CX@#}|D&zIiU&ej%<&8>|mbzBi?l-zRzhhZ_R#Z){w&d&Sn|6}+3bJiOU?
z{_NVrucnCHuQ}9jwzA{-!N;5T-92%RYhLH|?6{S4S~o9fI(}rKcii;O_p(RgFXSYt
z9to>Ey6D`BpO0=_62Hl^YGslA`J)Gx@?Y7zqD?+__JLUkwwm*AFiBqB@mb(K)9Hn;
zS1fzHGHB!Epz3Q=JI-ztOgggB+LZ6XRzdCuoiAkgj-Q;Kw4!|dNrvo?U+bUjVEDPS
zBzD8Uqz}<tN&i=@QfA;kc46V9V>7m~e0;Mr<Hzw`r;cy3*4*;@+QyH6A9t*Nml*IT
z$dzm7p4U5_=dEUAk2#QiVBU=jF}qUDKXRx4KR73S$^zT&Lmg6gpIGde*#G^Bz>}S?
z&mWM>-sAIu<=M{J4SX@4Nf&n{eQyqoIlbaStLy<6)AcKg9<7r#JYiY3qW$cV&9gV2
z^=B$wb92|3PTtgg6U0N;tUDR^{O~fzWCn)k-_{qkCT$4&|7KOUe6kJ0rkw|CUT*q$
z@5A1Szr0uc44=rt-u=6k@xzkXHIw#M>`bxGo^U5_<?)XPJ|-Wyym=~P|Hu91I>&l*
zSCs$F*})z5V~LBBOc)dE6Fw&H(;ms9Yo4Dx-hKJrfvSzWG^*D`eE9KrZS~zZKZVkc
zbY=P<QQLOBecz`YKa-EQ-#&6cZ~Ko{+chzvN7qmEm3e=G>G%TwRX11e5KX?3JJG>B
z%wYp}b9l@XjY|@TPe}hW+ORC;fY}2>`-K{FVjAyw{y8Az)WqtXkhD1|?ZK}%2RBu0
z;yL~xzWPCN^8c8h`l4d1PGwv>w4mtxiKJN*)_+ev)!`gok$!a5{69P6yN@p4`u=dz
zucOOv-Tw0V>2%BgDh)a(o3Bmp+r8%K+9%U`rax7Aesb%^sonRw8T(_7ub#i@=#oiO
zC*3`Fbk*s3$I847sty@EXNo!GsdsNi-J990O))P%OiunkVNvLwgE!p5n7EJdGYLvG
zJc``eF1mZo{!LR`Yfm2A>96uS(22?Y_loW5E4GFIFq&{y^!4<qhZ!f-X4Or~6}Cz_
z|9i)rWA88Y9on%$?{%VJ(lL+Q$69aKt$2KPNAA0dosptqjFW$Q%6_oeW)=RXuu<;W
zk+Y`jcNQLJT=C(50_f^W+nWJ5Z;IF)ne=n=O<SGL<inHKBpvGf;JNZ&)gH!~3nLCs
zdE&`_;3zLklK-(Oj~x10CS18{b7b<j6Pp@1VtQh%O+Kxe*qMB+duh){^U!5~R_>e~
zu;|T^)hCid?nEC>{=rl))X>9xnDO_TkB@(3%YJm2|Md8pqIt(EPQA3hIh*JBfqSzg
z?Dib#?~w3_@rvJYBj)IOWv{&bYmQAj$##5FoxRx6n)hpuxpNA!9pM+^kvO{H+|4O<
zPd6Qit2ng(M$(F7w!f#$E{Qub!K#w!^wD(_6-*inuC`=yF~&@pUHfj&kx3=rrp|t8
zbEGEpUxVD{DNn7xP1-k^;qTUtvkRH}Ildm@dU1Wq9Q~6_0>|o-8v;dFPn&y!N$Xg>
z5!?C$H-8+txzzSZL+XPL+xPz@bQx;@A9{A<kHq?CQv2qpf8ygfHD#{X-Zh)AuGYRO
zA@{cG{E@4dqt|TS`uoqCdr>;mjx3mWv~B;KPn{<i!#D2rJuzwC@yq-EaPmYm#w1@j
zGn4!3o>h-$e|h_T-@7Lk!qeAIy?3Ooc&Q0<?@a$AXP0g|))sRzDZa7%@zs4d&+iSs
zeQfGazo_h6KKWNeKHr|+y3{JNVEfUweJ)w*uMUXU9o@E5`(*F6gyO%>b=SVl|7~-m
zE&NkV+R?KiHtt*hOg-NAG)O<O?#R?%{<_JL-;Tad_T5{$dd-^6(O>ngp07T}bY}X5
zIqOe$<o&VE-~a9H-+k4;7j3UR(zb81`-)>N&$sX7SNCi`x#IiW{ZrF-SAKoF{#9^K
z{VtK&Z@<3{3;(x6`b4+F+=J8lj<zlTe}6)&)~68NgTXhCZ(F|Y;Iv04T5qgBGA+?@
z64&OnoAR%9R@fYGn{3Bib*!y*`p3(E*Bsk==+uF>!+&=v{Hi?CrltR4!JnD~(|)Yn
zD4u;Z=TGpgTU|GKKOUWP{LX>*uOp9M+W+}L`p5q-s?v^a+0WBta`teGIrk2M_mzjH
z^sI2(cs7xJLU+8yy3I5G{Fhzu_juz}i}e1k<k$n3zW&*9u<KaI(i3-?V~(~P^RM4g
zc-;SBXWxeZ9}iDU`uM`0duRNG^*g>KeS0OZeQ<}^!S+){ECol}j0Gwgb&j-kojBU&
zeqepX<(T7bNm>WGYITma*(TLFc9?j`GWG5(mpjyYAjU80bdt)4>+_FYDm{I0Cf~W$
z3)$G}lHR@k_A=!syY1@3m!9vO5dY`k^cyQT%GQ-ln%Mqm!P38TcCTe+wK?8iy8poG
z`-uyVw6E-4k$hy!*LUW(cF#M~{{QrWS61_mw4a}H%?{-KRXs0HZkTlR@aD&BHpaj6
z=d!)_{a~ipjCC)bKiGUm=<m0=%yD9eyZKlinKLGNA8(&}^y}BtM-KaMVthP(lGWE&
zBD4Q|d38DblhE9a)8*Lr#Hh`<Q`x3-e(&t}Pp3ESfB*K!?&n9^ch=Qk$oe<&=FvIp
zwSF&HxaWaL+J{Lx$J$GOpH{NfNt$!C<9_s!OIz2Kui*PLchB0ApGP;pfAz$N`)K6$
z;uYWSeSfub|0J8(X;G%T&ufPNoYM66*R~_=F?FZ9dN;1$_<d)U`i(c0lTRP*_<yQy
z*6~ZLYTrM&o>DpSsN(Y82hZnJm)Gq(bu4Jx1jc-`LqTCNr?ZbAxwyY}Z%zNFV;xt{
z$DH@u|K?J+@s0;!M=q^>A1c55(<QTG7wf<3-#S}r<9Tyh)7w?kwmiOQ`*(^>@UP-M
zQ<}=+t51ZP+BYc8JJS9`=J>_EyXN$1et8wX?{r5=T4P74&Y|mOUAK2nYYN>HGdU-1
zlFpt54t-spHy&x5-|^w-f^+FRcPRJW`MdhuujiB8&wtvlf9}QFGrxXqJ$~u#?wSdF
z-;Q~ky?9hMH7|Q3)1C#FoU6Z0&%1r3zxZ6kypJN*auYQEA6b+;HE;j9BlG=LnWpO>
zX?{D&?c+h)<RhggKN{$TP0Q1+Z)E(|De-4oZn#*-4~sW3%Z?oUee&+3qu0Xyc)plT
z$oN04`_17NcdmeY$CmBck-l-)q~eI9`^4+Gj<<d~y6k)8g!T}g2V!ep#C0BT6BC;L
zw=U*bo6V7u+z+odpM7fm@1pzJ<BPqo9o_qWL(H~`ZCo9Z3}27zHUH-SQ{3j@+CPg{
z%<Dc_YrlGOcEzDd6M5nf792ma#QWc&niQrb6B5p!c>e0<*+YMhg;ehJc+7r*)8;@?
z+kuaa3zTA&PYc)XpHLRLdY)(;=ZDw|qYWv44$eRDKI!&^^U24ye>`=q^1#+NN6&hd
z9X)dLSWbM+t1au-Fiy&wB_nbpX@%+M2@~WPe|NmSdEk(3|HS8e=FGP_-ahr%^sRqy
zXmWYY-f;K8{_xKSW@Ir5cxlD{H|svoc+$;R@L<iC4cEjDcJE(Rp(K!5z1m6cc<1}0
z+PO#fOxHPftnAyh+Y4-G9mzg>GCFN)&GhdxRU(fpeZKbS#>ro=-Q9M)x%l3vCmWA+
z-<;SY{(f3{iCDAN{3CM?*4zL7dO!B&B)g+W^3TP6yMKFXY4y!x%|A~~2;Ft#c=OAr
z8k*nkn_3@j>SU1Ec%%FNL&gKgum3sRAkneloAwHw1ofoGgAGTIS00x<QfU@*Krs1#
zTr9(Zif3Khf6U%dmdH4f?`X%q%83_RCw}b@|MT_UrRWJ4HqNN8m|D30SMITj@6~7a
zY&ufB=V;yb?Z=D0Y|@%?r0~P@135n)_?$gnGHY7J-95)AO+GfW^|eIDn~M_jFR-8e
zbVzTLfxv-jeMj5F|4gi$KQ+4c)Uh4*k;m#b%`8&#Q@ts<^1~r_%cm7dHxBe3J<#y@
z#;Wi)GuQuI`&9hT*`&kYZX9+y_%i9j;<N<^ADc`~nYw9uW!AN$-C6w$3Qx9*Ui)>t
z>(;{ik5{b!|K@1d+zU&-KV&?3IW{6@;w90#kQrioE;#p}V2)L0QMh=`^<ej&j;{|G
zW7f_OO;NA7Xb}77cz);x&ZG+m`_!!3*B^LvDE7q0zJM=pj`o#aJCf_?nD+0dL}Jmg
zOSPL0T(iD+tnbu;pT`y*$eBN->~EI$k>u1Vv&!R`o~Cq#Mo*X)&+}m&L;i^ie`1ba
z;^OLF;dLa}{`axnsYmkO+pG#Ln^d;>X3xzdU8fg(e?DPm`I=*0f4?0oc(vznf8><Z
zH%AJdp18&u%dmbzedMZMn`4PLFRirAK0Yb>NaCrD!CfaAj&EfCUwL5dWDC<nkNyfq
zO<&u8vgGKb?e~tP2K}6}a{l%cY*pXB{O3;of0OC%m;bq8?3eW3Oqp})+K~!BZuNV+
zG<$WAR%~B&)M44&36`@NR;Ofg9j|yF9rb3@skmbc!tNcZ*yqRleA<!hb-f>a&vtA)
z_&s9l?7II)=EvT=T9zWgfAf%d{zGpYrwxbi9jSQdr|SLfNX4$WrW2RGT8TuzJyP-Z
z*A~%g<I`)7R9xNaGJV@wk+8}m6|3z^)oy<~Uhyhe?e_Cy6>r}?wYhVoeC=)(%{ZN;
z>Z5a}AIpAvY9CvC<(G@=tMZS9Y&~AFcU@|j(^=+~Km7OpU%lr@Md()5=XKYDkLqY;
zAFZg@4sr87yX5JSinZ^)+Int|K3;Kss@mhM{38|ds{ZFrAIn}pXTQ$z%&GG#KkeM|
z>}bVWEm?m1wCZCOe{abc9qo#$JX-PEn?Lq=*3p3IxkoCtUN-%7?dZ0yoJA+hpHG~6
zYG3J^GsTvZCfCK>{kne7(TcCH`EB1EtB74GGFz#D+q(Zu?U4iFFFzlt2-|<=nCkBJ
z3xYb!lVYB!r~mH!TzUV&-hZEWge3nkohZb5v|{_dTSu}!Kizod_|fd76Pxa?S!Eq@
zv~u&MO8XNrM<uk5R>qY**m!ix+gV2{_da3LK2n+cc!KxL>+w2Aa;J7lipJGkusXgv
z$8G~-Qt%Dk>}!+1?3t38-0-?oVRMXy?v6@{UCnbpF39bcJhtX|Rc_<K6p07Nb5_1N
zynptwoab+kR&D)I-@ATV+V@XKbAL2m-gqFp@@Um$4iklTn*(`oVmjm{PMRd2Hk;IZ
z^+(LHDq&_-<4#t2HumElclUfeuk5LNjqynJy_+-E-8@#k`zY4|rVUSDo$%~kxl@k0
zensQIW7TUK4{)WOKU(xL=F98ZOq<_K6iqNrI#}H=cHzfYWn-t4|0m_2WRg9e8+<i-
zMyc_I&7V9(j#f=8u&bDVwCeZid-s2rY&CxRX2-<t-M^22eQbSp!nRYh?!Egu(V978
z_n*7>{ldTfU`=M+n0a>P{Qs55t9F-nJ=-&<A-Lkm_5FWOy!?5*>h-sVl*bECnQNa?
zDQigk^XYfw%&?CQ>B^OztM5GcoPI=o?dLD&&sFX$v9IK^ZG2$*m&Z2j{)6XNSSD^_
z_<N+f%#+)m>uTHvHFvJNDJ;^_$MaTR0}p{6sfu1IHv81ks{3=rXWQi;s|pv=6^)2x
zT>nhQT~)%hO73>`(dzn1qVt7j9)45)nDKbk+x4kc)8+-%e>;}<<@piLwcX41z1+WT
zN3mLE@9w*AgFh{}eK#rJfB8@5PYTjc!}Y$cyz%+Y8+*xL!k=nZ$oAB3ZoN2t&#|h%
z`(x_Yv`<et{^srGqt(}HCrS59&i-31pZ#0qlSW|MjQo4YtKP-i`p2%>a%|_`TUCEQ
zAOBqb`*_uEFX_XZJebd>mc2h(^>)2Vc6-oM?dkHB=db_XbF?~kx^3nYiM5+sjy~k+
zI$E{;cXaIx$zO^;D^?w=daal0=5{>q=dEK^(dAxsQ)F6WuN|%a6<;$e^+?{%tnA~T
zR1X=wzkC1k^dq756SqE)Ik@Y_mE)hapHDn>>teafiTBd4Z~wdd_i57At55T*kAB{J
zA$85s{rB(QYnZd~g}(l#jURe<=}nyG{CgM2pZj68_l{QWi+?NfZ*BOs@ay?UtKY9v
zt?%L3{(G&~kE<Csk5xrq{n;#Sb0qKj&2#^^uQ^g3`g~gTrz2J0r%q8To?5kv$M)p&
z@9{?$-M@JxF*?G&?dJEj7x(?Sx5xYT)aL!4fBbzmH95RzO5N19!X5wjBu&(q|FOlk
z?D_<^<2#P(6(lqCPMI+6NXZG0n^uP+^gkKM9V_{9X_DytJ^gzg+Seb9EW7`3`Q`(M
zo*!$_w?Ck<CiXyE(jm9whcjlg%#T=bJoEI?#%Rl~b-yP?++5+B63npUzIi0$=NCK9
z9%!0(JY(zJ2|RB+<4+%2{O_cN_>*0$Ztl2t{78CK>RRvbpN==rW&1YCtLVVg>o;PL
zrbaVN+q3A#{;ngbTeshRnyu=2`2Cb(H7@q*J;sw59&-F(c>d$YgD18(xxVd8GhM$j
z=kd-v8y`6(A1Dm{^YN714#u8uFG5^bzS;BlMP1)PpN}g#^*0Hq9Pc<LHZ`)k?ugQw
zgXxt=YpvEFUuDi%7qg;_J(;2YNcu^RDHAfYk_vneA4)slVYgo5R><lvDnAaTeLm9q
z?86rGlQJd(H;$y;SHD=XQQ#Nzv9xWoe*d_!t8(L!wCzVv+}GTHtReMiv}OMWu|v^E
z5AJ$bal~`u%5uB9ulG+MtDV<yyZp@#v+hGd_BKhv2Q&X|?4815dOTz4rvv5cLb<yq
zm3DBMs!q_Zf3s^v#qE{$|8Jj3I$qHmwrD{j-=f8Dj_15Rl2Vy{G&}cLa^?Ri_9@2`
zepk)kAv8TQe%sNl_Io>2uL>tz46#2_psSU#dty!cN5kU_Z1-0l=x^V2VC&tDhqr2%
zO{n3IG5VOn9q>VNw&KSMmJ_On8DkEn9oxR=(eIOri4&Lqk636b`uj!YF~%LJ^ZyGN
z{{LofWnf?cpa0M0{*8e-tW*C(QSyPpqzO#6VXG&tRXoJtw)@tl$^((Drx=11j>n{b
ze0-B3X3Nhb(kK4ElzJ6$w0C)X((jlTCI1x@S?t%}xq9j6@t(D(rquqr>$drf%GEb_
zKP*U|TKoN-idE9%1N)y?9Q!wMcJ;kuJ-4USybJNREZ13cZ{qA^p4NifpCxBsuB+eN
zTu?co+Wset-ozzmr&s;YIWzh6)CleMERK7Qb#8ZL|8u-_%k<E@R=Zb3Kf2JGy!D{^
z@rV2NN4(M%l<*Ec$yF?!+th1)D#YYi-YFrc)jpfOemd@WvD@_h!Nkae6(2i~+pYO~
z^PW`Fw=*}MoWFDL)z25ZY_oTKX<|rKNcwmn-1dQV(vukN4^K<i+`cFM=KqQlhfhCX
zUv=Zl*7WyBtEO1&=ZJ}@^@+KCyf=EPpX%#l^Pe7Td3wYvQ25ZI<5EXj{GT2PsoWGH
zba2uw{+QQ~n<vyNP5L+G&%|`K;1BvI`2HO^sQZ4!K_#I-*#{jzMKYW`@#uJa>PIR0
zj$`f0l6n=f|2-Zkh<66_G4uN#nBW^M^k{(s%khrqkx38wcw?+8Meck&dwxn__R}M(
zt`hT)om4(DGrv6UNSalpz>2B0dp{kSd3*Yin(tQokIt+uJCgqDiI&XqS>~2n+P^j4
zAH1B@IsfCQ?s*cIbsjMOyTsoTD9;l6x%+F1#H(ZZ#y={KE!=%HKkVLtQyZt{#NR%W
z`E$>U(Ah_3|F5$@k~vqD_1>8h`zL)07oO<;{WG;U>gk<xvG=C+uD@F%W8!Id%O`#+
zQ}hJBjg5|7JJY{@o+$A1@Pp0G($A;%UeCHFJ8f4x{~x~c?2Q&Nwo_8?RepcJ_n%7f
zq9ASkqlLBV620%YevoY9`F}9~q{PB4J@?*!e}DbgWQG0HXZ*jt|C*PtrkZeB|EbD*
zTJ3)~&z#Wrbwj-7{6ohy(myaJeS2RQ9$I$6<IT~+Kb@1Nyqq!P<J9u(uXFE2@A>0+
z``(e}-T$9-<aRs_p4#zmO8MJ=lV?1fqxL^q<#Fu2FYnjy-hSikamEXbyH7k?(X}bN
zY^(9{N%P-J9$5ST>ZXdj=cn(VGH3shg8BbcZinr7zkBM8Yu~0vz20+t=A0wt>pvZ7
zwojj)w{_e1eR1=DRb8<^`|Zg3=j(c!QcYJziOjaooqz7%xy#$mdhI<@zCK87cKVd4
z?U&@$Zyqh*^=Ag(&y7hRm|~6;2F*QQv37l=c>_aeXlN)S!~efB{tOINAq)%*EDRu!
zz$l%hki?P1@IGmAn7V*0BZKOH9R>!53RwoG7^WD;7{(+H#u!0n_9RxOBt~AwB=#7k
ze++``jG_)PcVoh0)-cIC{7MRokzf)Qcpc-y#Ln!Hv^B{y<_j~oM@%JyCu1PXEN1?s
zM@bzrF>FlItSo{GKVvkQGCM#wq8ZyVaeJ_`mNIHPF)xp~&JgIp7xOtrmtn2|=teZ&
zcZ>{zTrnIm?^R+JGMcg+XVPLgUMb6z#K4p!62p-sn8fff34DoZ3{xHB)ucH|oD50q
zNoq;rN&KQL|EoF~|1)e9X87#F_)>sjuge3(wWS=~0<|&o7&tiu8F<+km{kQC*u@yQ
z`CMWY7zEiFL|NW3NG?iZ6yyoj<_N9c%F@f=2|CTeqlUYiAyh?(X(wYY2V)FB!_jXs
zPEQ$6$1rmUx@2;QK4aol;@;}Yq001)LAf@GDMqQAN&G7l>qO4Q92}0E)g2$1#QB(5
zIg}W<uf!-haYZXIh$~&<FjnVUD99PRh(R-7RYaLHdQmN3v=DFfA~Bxm#dUnqES%b$
zF%OxN1RbSf43nI82$+6L;##T@Gbib(fVu+*=%%$K0dXacQYV3W2F950Nd__ZlRPw&
z-gA8A_`V=%Nz8wdy&Uy?UpZowo^teta!gcW;+X2eF;kF#CqIMl50@k-hUe@ejI0f^
zOdhcetwIYV*qS&sVi|TY*sDkiN{UZ_+_lE#k-^K#p8>jPO~3(k(OOuNpq9Xrm<Wav
zeufy(Eo<LnehVZyC9yEfc;OP$$I(~K#O-oa;88xiuM)#Hd(Kr1NldZ-Uc@p=vLwx5
zRE_=bDG<xBEQWL5Nr#yDq<=Bo42DxASxluPRG9WMi!#LiuZsa)ur}d;b&M~Ax&WJs
z#1Ezm6Ow{so{QM9nsVG>P*ji6*c4;Qa@A9MgTyjUj)vI(?y(Hh8Qc}PW4<v|3rR`T
zam$=-WHwCVj?t2N)bU<wAG<_N$2u84S%nmjMyWX*0YZuq$}zDF3}<7Ql9-eK3t6)Y
zGm9s&yiMY;j$w>tVYtWK7yIupBa>oi5=V@HLejb<|0Kyt3XDnLV*UtxU@B4Cb1{h}
zW&&gK|1t&*jxZL64||imRFaCBBN)6`{&R6KmnJiKG{&?m$TDbU#2B-bOk|2Xsi4fQ
zz;HQ6Huk^2AcJ8RL%9n>3}=#d(!Hb~4l$3Cz9-d6NC>eU<<(9W`Jc;nz(i0XpIIQ6
z+a*bs=@zTPbOENMtsMQQ8j@6#|EI>du+}I=GsrcZP0~pIUzeoluHntYH%VORtl)pa
z%N%Nw%t=k23{w<31>-uBN*VeNGAvPe7^5R(BFLY>tij47$goe4!{oi9^7MZfI69P?
zloCZayj(bv6azyAxSTZpCV6luxO~&lpU07;q&eAw;g=8x!*&h9Bn8%(Pf2<lSxRgM
z>Td-WC~<OhOyt<zDci32zFD)@MIcO=BST0-P-^EBMgv3e?P?7%klWP+lvxB#Ymyin
zz)J>VT!q>=UTQ37Oj4@lSg@Yy@T6NDri%LoH?;_~B&iCl>EPpNVbu8Qp~f-6uy?|{
zq#GO;B(JJ4Jr+2}G+W?OcFg-EFCmqkhId(7*xn1pJoIE}G7#nbsU*QrE%i`o4ht_E
z?_WoSe4Q9RNgX8#6%K>Rf|`#Rg|0A8h<O{cSkg-8xr?S`t5K<vqN<RjMkBL&l0eKi
zg|jY-)+`2{D$kU?nOG;e3AjkNG1WID|K~}nW3*sM{tvogjhC$_X+=_lVT@doPRv@a
zSsa|2GBNy|3W1DK95GI;Mh%QfoN6kJ66Q>EIFe#wOgjFZ73BEP#`i&RQVb7+#9xlQ
z2}yGqA1H9T@TQ0<h<5NL83@D}T?&X<Y<fYYo$rCbL<Q#u3ho9yE*c9M6~q<lV&=s#
zFPtRKu-GX>AzfpU&YGwW_HHhzNdkfj6FIt67+3{u6pg+m{p2#6q{$JcxlhqrMZ;QR
zqNfCx#B`P2lOz^ew6Kag#GH%K=MWXrWOuq0!|^hSS)zt9#*^W-0wa^K0{E`A34*NC
zH93?!JGqZ=EfW-$Q(<<sx+nBcfz|TYU6vR*sn2FfYXuo5|I&CU>Buk}e9>A*a*{$&
zjKVnv$USQ;43i~vm}06p6&^8mw!{drSRF7l2j8<MowVIyk%z2=hDV)8(ksCn4rV36
z4}TO&6||DpC)FsJdCW_ylD@$q&$5+6d0GsULNdd)q;{wCo{lRe77K_7FeZIfNH$Ou
z;9!c8SSYAae}*IAnj=Ha)>sBb1188lYk~}YZ!H{|Iwd$67er_{aO~2sdYYt^YZ1TN
zU{lAZ7z2Z8mt9VFs0uiAi8%-e#E3b>Xw)XnW7%|3a<RCWU0}DE-4cF|52AK0(rhtv
zM4VPgh&pBTu=hGj#>Uhnc`lq3uu&>IV807Pyb2R{ljlmtBwmZ}DuQBCTB0(?dp(0}
zB{#|(7v^K~y)VcVoWT^SugDl5^VM;)r$C7G1h&<Sm~<D4e8}iyU;EOagV%alzg$%b
zkF}7|VZk3WMfn{kY~^v(7M>7O#p$Xeuad+ZJ=u}z^N%DgElU*vqe|t&lQ<;er0XP$
zR4l`j-6y@)RF~9=6YkJZ=$go#tilo>k>1Ht%4VLVc~(Wi%V_2#W~PfAr4}tJfo~0$
zI=M1E{hAcPI!Q{xQq<y`11IPLwtp%Knof+;LaZ8R8QGUJYS<|xX~nGN<XEpdM>s}_
zjme%P!n;X<iSt~NzQKAI#{Ab@t{e+v7`S7yosuGqV;00*7Mvtj%|BO;Got)OlCFp5
zWWKYSk(1>(1s&GR<vA+3QsbSDSLPJ<?w3L{W!aZHddssfpDM$y%qzt>SB9PEaK>!5
z^{(>V(|Bx4Ri<Y?Rj^*^n$Bmtd<KuLnAFW`PiaPlxfw4fF@25L!1T96nfYasg1-wF
zFOOp2Bqn2{E(TkVH^N`b*p&>UW{Q5+RCsR|HBsa(V?d0vU`IMjq8?AM#uq(dPcd#5
zb<x?29G}nPiM3ian<sYh9G=)|5e?$A^Q`9TES@Spo9pU}S>kh7%oCa0H(zA#s}&1)
z?3aoN>o4?VEOZkQbc)!Sq`}CoByid#;gbh@%uxo(!lFqG%#o8B)H^;iX)9$`y9j(_
z^-xe@%yi0haEbYop~hG=XHupz6R*ix7tQ?(MNdy+$@QJ4`CCP!l*!uDbD3tNl&uoO
z)O#$wo}PLMXPIU*3EfX(jQ!74FHr=#la1-Wz|Ulc?idc1q$;Tvrld);7`Qc#FtD~T
zU5Z)2@SsD$L1~`BB9$^%&x;d%xTX|vGFZD5C^AKzV0m9r%M!yeA@+Y^vcrE)p^kqH
z5BM@VI9@YEawTz`kGaI~Wot~66!_M)jD-!H;9J+qID|MjpT;Q2#DpeID@brM%65-=
z98uOJ`BN}yQpg3RMQWE7_#n5gF@<q-Z%dMjVNy!4W=ax^5n9jS9l1k*0d(tH%)=x-
zp%`9vOXF)oF+99VwagP@jwIQ#C1!?eEEWqCY^->g5u2>oVf!jZb9(Re+0&CMY&seR
zgsv8RWnp^UkhDuMLd>hefl(o8Mht7xjFpZNTUcZGX9z2C8ZdF_`LbMJ!ggI(;iMBw
z!9}s8%=IeLnW~z)f)xq;3~w1#k|dZPGl);RRZ%iyXTW@gBQdrD3p-g8Ck8I{$@j}R
zE5zV$$;;-Fl*|xmz!0nQ(qs2TMW?y5En{XB*eNi8FJDu%`U$#xt;wt65qr|ih<_em
zGEA6n2NkU3U0<?{Z~df(UdHP@8{--rRBm#vW?=7P1K++DS2^1wrY1u!^QTf}AY<YZ
zL4$l1Cdp}?T<fb2T;bm+q_{t6(sa(vOq_}LR5-Rq9PiBhmdVA+`f$+-zMaz<Ix_`S
zICe9I3rR7>&Ya5Fxw4kwF`wam7l!rX{6{AV&1{J0KCS|~h;3q~%}P~K21ygMhuoYh
z)156@lZ29)4>MhU(aCw8MSeE#?I@<dDmysuDoJRu@!z*vCD*^QkV|f&3&+D7(!Gl~
zUbD(~zF5uocqzwn+0HDjHS(Q7Op~JJyZzUu{9Z2Gov*b{zB`DK`KDa2>w5WKUBSaD
zG1Zd&Oq`yJUP+`Iepc$7t!&9UQKEdR%ygko9+wpubiKPpZcme*?IN{Yuc1arYOa(j
ztEW}C<$Rs!;0^K%y&0@IuTGL$EcLe`O+|^-D%g`D((-g>z6)<yx0uY@ZYfP|h4mBI
zV>HT{Ppjy$X0}h-D7#T9I;VXWmy5GY_-q!*-9k+IA#;<2o=fj%csXaU>|UlskL}X?
znWl%Z${#G*Bqn;v@u*#JC-@dN7mbZ9_WpsJ<&Vm4nQHG}SiOYdx_w~ZR_*pB0+lTX
zzuE@+Zj(Q%YPfJN??$`ezU}fyqXhP@vI}0m!#;R2%hMTKmNfpi3C-HI(>~PIrgElD
zWNgST`^Za?-|eFPcgs&L4cQ|<b&_YSVXJ*?)vCSn(--fvkG;&d@nzWC7yIqwRSiqo
zm@k!CXR-(@T?p1SPF7@RjXBO@s-(qYJJn#mhhvhpfo-X;lVB=i%!j*9jPXgAA|_9=
zo@6P)z}#{3QsM@KJcXd7zqMj6NqrZ3Sa&EiG27%P?Xz6s5OW|Y{FjfA#XJ^vX4h1$
z1A3eESs!>N8c2R)J9ANl?arhN9+_M#)mqq?laAY@d5Bn_h|n<*OFGz~B~+l4kaxxN
z#P!#$EQUgChdCA41wdD@WgKA?h`AY~ePZKZ&jX1}>JddsvGdeU{GW7CxQ;i6L(_wg
zzarrZ3*;I$l_Z-+4klI4Mk6lm2XB>_*}qhXIaI~4B>#KC;wI$6%Mju^kwNMyON^VK
z1Lz*M7tD)1{quRAx^gVrl)1kn$uYyXq~J9N;|op3q(iC=^;eP_zfRnGU@e0}N9cu%
zEDT~U7n5JH#7wx6^x(wJk2^Us8)R8yn36f}_r&DKBu#GE@w;V@(jny)i#r5sCQWOc
zRy(N!bP1aWONM;Sl!;z;SL>%S@oO;E&zN-B-J>g_ZpJJfj|`TIDKU;QmmD~>>ZZCM
zVY{U(!KAr!qw4C;hQgayB*a5jB-Ks!JSwau;bY|F*p#5cxO1{ZoNeu7-A?X{LEd(C
z)2ghF@on~FYMk8XA;hyaYKPoQ?(KE6JdXQ+;90Jl#GqR{E5gb%LZV^{=lVs73Q6@-
z`%m!gj8ojFB=u)~Re!6CeT-;r{}!IZLW{oCPE<K5`-vgk^2xbrFRs>36ymwbFxOtS
zCy!~--<nA!r}%C!VOA=Yn>eYXtZvGz(|mX1YNxD}Y3)A4cRJ2MAn2l}e7o;i?)|&u
z+Fga5ZRaW2o)eYtWZcTL-l}H-V+`yLHmU1T^|PFWggDMR3B@dO0N=u9mvupYz9+*p
zo~wqhgBX_7O;(AqImEE9)#gyrEoNO8iv^5xZ6`54ST^~ksK-UwwOrdL)%7ZUS*U-3
zF{!q9z3k3uOi8tUSyq?i_Fmk`#;7+lh#}d=|I!Mxx(Syr>&@4m8&)cF@ZuG{Ug>U0
z%@yoh?#J4CUb!lN&|8AV&Qtu_RC~{f5mWd#+IZWox^Ck=>xR9zAIp=d`d&L<*_)o)
zy;4?ozH_BYEM_g>ylUfr@fP1h$;PCY2Sw}xm*1A_uh+UG-|souSzy~7`PZ@&7u~fF
zj@GieVsou5_@4Y!&+0Cj>8i%hLt<n?o(JBSpWV&kT~*uj#DIJ4f0NpRzn&LfWo%<$
zZMj%8!6<3xHo*;lW0Hb1UU987OR-o!SwMj?=FLYd_YHb$Gv?0;o3ZxgY8T@j%qxEI
zXs&UQDrAskTy^yT_(rx3ZH4+9JZ6=wd?0@#is|4ap}Vz(4!Rx^#WgJbnf1Pckzwf$
zk?bx>mrGU|G4T2=(6>5M0J?`wN@&*~2IUWepnKR>FDd-;Lw&(Qm4~WKHh)yV{HQaP
ztgN1(cyhz5YU`HQ9TtTwE7%knKlphH9$=RK!@v*&x`pjo%p~5*Sj(9|+EhM#FL)}X
z`(g>Bu8iS}1&kBIm>5_7NzzT4<mP0<<jk>H0dx`Dbfct7lO4h?Aq;2O8ZWgi(0*UD
zoTX8KD`w5{6%RyKq)cSZIK6Uu^OG2hUtcBOuVAz&ikZ;D@E`<q4cmmC9lwqwop>a+
zV@k!|jaG+mChd&aF|~a8W4i};dT%J}#uUsgbXa6NwbJzod+%v3v#FK7+D=a<+(@!_
zdNcobw$)P=@#|B{y&2Yf1b{AKW6C$5^Y580k8R8Z?d1Q(0;wAc7-P;S#R>}K-|R48
z>`7)g$Tdsac-QwM`xv6%tvlrMoX30XjPl}!wK7FJqAyI8I2pqkV)amB-GYAt|6>_?
zIb&mw{P+Ipwr9bwgN#XwHm&OvxDq4bBh&o-U)#?OvM+e@qc}r7Vyc-A%kVN?nHF<4
zDb|ri@OsQ9#@Hu4EDF=6xG-JcqtbD1+O!q%jsh`~EaibO?Fub7et*8uuuJ=i#Hy(?
zPn{4yIfJL+zXvBP)20*AF%dln3K>~q{w4ia-&nx7*CUppk$*3vT0`>xlq8#&Dis!n
zyBCsF)i=s<JdvDrzU7XfBMZ00W$wc}HgfFjOo&-}=Fg&6JYVK-;Z*VHQpo4|qTn0L
zuuCAolk1DZWDb#z7-1f<CmWtDel6n>#q&p1V6oC>?q8i8zYcdQ2p!0`=oIAG5%Zp-
z=U<G%lB6Rso{aBRVw7K%XzqO=79$xWxS>LY;UUL!<CeQ`bk#&OPB&`4uZhu)6}q94
z_?l6G<y?&59mbd&GBMjaJvtnN(s^SP7}*VC|NEWTB*a)R;k2;v!3wXK3o#At6O9zF
zE-~C_Z}Qf5N30Oz26I8j<dlEcq+TrXWlCn`OLCNm_DOoHaae#oiI3s(#kQn_D;W>$
zRXok4+uU3#owRXoBI5xi3$7CiO-3xw-zVK>4m_eUk@0|vhhUn(Z6gEzgH;BMNei!j
zxcE*->O?FfW59}jPO0#iSC*2QyA}zBGc1;gNOHchE~&H5?a)bSmLi_JJ1>8E&vREG
znUSCQ@@qk+%MlDaC1)jxh<;#e7m7NgDaf7V#l-W}a)acKME89eVN9ZjG}{<sHr{ez
zOcG^jmw9|Z{NqXPe3{$pE_syq$;lo&P`GjmhaziI1oO(3u?!neZf%ixykI(~N@{2F
zk^gZL>i-XJ?6BZY<k)VoEa}F2lO)x=PmX+n0x=Ic0*#E`oamn5lk}YP{2Uj>8ivKE
zKFe`#>G0st<_U0l$Z+Bdo1f&}^Dh4$Fvxt>`R2|i6zJ9!Cg9!0;{A<%)+EkIp1mFS
z7;?U|Ow7vq!8UQyPu_Bd$h0)0DPl_6>9@HaD8+C+TcXui0J^koQ-;OAm@_9PGClew
zw&1{@#$-kTmbEU7e}D7YTON5jCzf%C4$BRtyBw}ne^_0u{_;2*u^IV1SkQLkQ=C(p
zios0-K3BHze{yyzLR@e7=3eCV{m*;fNXqkpv`5S@iH`=!JTW(}Z*`S*xWn;YfI*Rw
z<EyCq&8IDfNkYub3KjqP$~U|hiD|od<B0wRg$EmB3NG3_VU@ed$KaA=8WR>f;eT{Y
z90P~qsT1DJvO6^$ePYfth^g>-ES^w0QK4|d1@p-D5|0|zxgR*%EXdKfqlfK<esfdI
zj)VJEPPGcipOd`d_u%vijgvQLG72XDkB#kNSbZUI*TljF1rr#PY?*8h_)cVEKmAB~
zf_P-x|Cv)5g;w%hxRJyWnY7VhCrc9BqXoJ)F%2t|z!$S!INQh=v(UlSf@4Rmp}>^i
zCmlr+Wwe=2DlXU1e35^`=<CH76P^g{bto5zIcD&B>6~Q74c-=$JN}BCyDwpVf|;%4
z!S|B_*4H#TIp?w{{dCd%C?wR%c6cRQOaoWU!<b(&aY0QoH|}kAWi?@C3-o(&PU23N
zNG}`fLbDqV5{xk~4tOg5OmdS+bGa*VnVr?upF^wP?XbN@rjeBV)-xyAlNA5o(2)3$
zq@WmM8?#hmZ>NB_(|Q&ISLhXOo>D>Fdt#Vf{wcb1@^N1fNP5V_y-3oNi*2`xrwhYw
zPYF*I8Ait`+-#2C<{~P#Jf6(E4bJlfc55%Zub8<YmXVLee+4hw#Fcz36PNL`PgLPs
z!})KL0I#=F>V+?peKt*+AgENyQz)hI?LuY}vv9=0nF_HCn-c0BG-Chz#5@!D?ZWm#
zI^1ZzinxxZVZ4(d+aCTTt*0*9jQTGvPPZ*|4zt%+(TNT0@DgIXthroBR8gZt(@}F<
z@_#SQy&f?yjNW=aY+{`GH^xZovd3x3?0|bp3~Q4VpK!cQdL!ZbF@{GlX=TD=^#>mu
zrnbbK`H;hy^r6Wy>2<+XmeUN6-ILx3>OB1+P$xW>W%miGiB_*!b|)nr@E2kEEHanp
zzDK7D!$z+Ao(?+=0yaG9NdB)__1eW==4QCQN7DJE!nJ~XU8Fcd85#F0B;8CBEs{2p
zGH5?-YH=><<vmBox37|R7|iuCeaqmfq3~gIlA?dquZbS@s;^ksi#(b)&N}hGkYnzL
zEYC)!Xe$B6m<<+pe{sYzEb@GA8<WHmY18#_4ogUoS1g0jKE-=pw>HScEIE<D;+PQ4
z8k6cE$}%fKM?Xe|CFx$lCzhl=DlwrB0v|GDVt95~yG?wt=J<^#EdpgPHNS}Qe2a<s
zd&VV6z`i)?bxLgH!xx)nKFD-9CO%I7AHexrAeLcUK-yxJnCBV`6D=+Hk|baB7Rfc#
zyy?CyE;7xmmEV%V?m}fl^8cbF&X@}f2Rve4*+uzGNM`8b=-@o$65-?L`Mw~TVdss7
zJpUh=Gdkb+$jx=cg`ew`gu_1;K@TRGqzi%qQmQT%`vVm&N~mp{$Rktssd+NPsfI%?
zR^Rkk#O<1*Cvt>Wb2DGwYq%uMBk62p4U-<n^(2K`u?(IG88W*BUkPdK6UvTtVc5yy
z`-X)*WdSq)hixgsS&f2|4QxctEt2HXp2jpYsN5r2z?wtfiE&j@^f{)KwNleJ)*N6-
zW@v6qQd3YbEL7e7^2VWrcmHBu+&w9HrNPZW^uZmomf(v;ol+v4>Jo38KlYuEaa2#Z
znY8J{KMsb1_830pms43jPTH*?B&pGm<ID2Y+vi;2&o9y<yu$0bfA<M8U-V+;-7Cm+
znbTsOAS3u_(j*C26+Rj5xJwE%bl!2P@Wo_I<a(~p#QL!{nehdiiqLb*Qs-R?NqI3I
zNgEg+H(IMOChSl+rpOWU--A(j(q1OlOdbcN7N$V9n8FYfmTuXtBHu+AJ!Ws*eDUxj
zhF;s2bJnZmWETF6X+78Svf*Y-=kye=-p|G<8uMDu&6bzxluMf1$=u5!Y1i`cy@!G;
zgUI<wL8nD-pYuE2qSee8(;~r}^vjYX|3`~TjF=9ORN_wt;l|}1pF=#V1rD_M+=`jT
zBAnB>hHITml8#Hv>Qw?i7^+zuS^N*icz0%IF?rtBx}&nTh}Bl;1CwZ@-xNU><9vaL
z@8bWqs0%6>D)MdQlSz8{V%GOZNtP*69cIen9Ey4zN7+s(={eqbteEuBU`<1El3~oN
zB;Fo({fR$cJ7t~fjAgKixtbIhW4FH{K#{>e#%zM&A#shf95H7EeU(|;MOEgCd{nrv
zVll_!M1MoyL7vT>(S<KhX3j}EpZRM`O@|g+$DEieEir)>XZFQdzHo8WnP_pV#FS-r
zh$^pgpc=ojx4}-K>T?~%9X{#{-rDj@tA|48{~=S0j0a%*|G|9LdKC?BX{q_XVLP}*
zP8&I?OsMZW*~z$JjZ0+Bi>75o3X(gMiyWSu)^_WO<1}oN`gl1eQ0nJ|y)n%^(Q8_g
zQesSauJ>v3T5DBliN`B4A55Ahxwn(k(W}o+BwlNkHt%5%9d2ijS5YwzqVa!2bVcI>
z^+e-E^+Q?fRSZPqjTlX1&Tx6EJk;TjFz{fB5y&($RC3LJE|_b`D45iCPF1*cB9r+g
z!Ez=}k3E8wOu|aJef>HMt&BzLR~hm~zc3Q7cMT|?BVN5IiN{vuZkI9dL#<yXVzrA*
zMQgjvL~B>AFc<y1$U^1rz7>|TI~Q4r$4-|L^isc~dOOfs)!x-c?RI>Kt?KPSJJs8w
z_My7bDh}$mRf0V`OneT%RGaWFDQu;UL9@k@7boOwCLLnmD_@hutPuO(F6IQQ-h`Oi
z7!fUw>22zq3mCnBTuJh%i~X0S&Y&Trqs(CBs#qY$7-O;6lgUm;Q-k$Hb<B<}8|L0<
z-sJLn+cK6sl^BLB%ezf;S?X9~oD;e^BpG#Mu1I>!-1xeYxnycA!-S6Oy^2h;JJ^^M
z7@1f-KCuWg&UX2<fSI`>!(}SdK{EzchAS~?F_U6qRATlpeDUAG-}un+r}3T{s$aq#
zo;dM8m{f8x)Pb2jNiAl^;t$(GEW4ap8?<h@*xuai85k2{se5wQBh`*S(|2etnZO)k
zGvAe0UMtIuUw&nX4X^AXciwqj9=5ktCOiqTp1mwkFji&b=|v8uA=Z;t=*`f%(c&`0
zXxgTeml!xg9sE5-<3+vpipNVE9N}=Ex!7;9Na}2F(Pvt}e8f_h`^vU<`H7_dTj{UL
zvM3<LG5m?pu5icL_j@LtaTn+a^Qc=DsQP?Gkm~bU!MYh*lR~(YB`Oawg{VFE4K-yK
z4f`w77#wce<{BZ|7{np3^1L=AlJ!7fl*)5m1MQVFi%hi_Me{m$#fT;TTN%syXi=QX
z<N8(cqKO^}>W_=|pITx&|D#T5V&_tOkH_BvGIM4exa3v0#MW)*`yd;o3Ud~TyPIY*
zTQ6w;DAUQ{>9y{*(+|d&CfOq%2d2*W60y+!hWG|gn?*XC7$$aPp7gl2fGc@L<3rX%
z>MRdmF~!_biMdvt$*8Q{VZ)r=$FzW%m5Ev6)s0z)=Cc$t#Vmc3HZxf{QLg^yjgBqe
zeUe+e-)kg^otng_u=z&M*{RdkefDjgGec*0bI97SSCUzJC;8i)*t{igy=RK?Og+<;
z`#q=3)pz;4ZK1)*ea_4NsChakuc)8)Rru$W)V(~`ku@qFA11zD>G6SevqqX4*D5|9
z`^D*e_I(+8;a*p2=f8e8*<feu#r3MYLJe-J@WfoH6#l7c@cLTu#?#9GJEvaE6r1as
z#T#3CC7UlcFh_i@c<xrwxd}Z>OcG@M7v+i0wtAJ%XX{ZQI$NvXXxa8TE~izlH#mEE
znCob&#Jp0iU=w=ADD2_y;#pJpO1E;V(ucxskM!9)*w0N?d1b<&K2f*xqeuJ7cH!z0
zM&%a_JAQgHxr-b&P}aG$;hT#3HQ`p#ldo(I9QOolTez>kkZ<yw4yEt$DwnP*YVVWS
zvutsZn^{kfzJB(pg;mS$B`zyw=??2LHOk4Daem*P)5}Ukt{V4*t$eb#RJT~@Mq$8b
zUQb0y2KK{qy`F4T5nQBMT*~LzSH|o3>P0z^qiV&QjoG%m=PNu#FEe}&JAQM+8IOMg
zD_`9CB(VNSRBc33Ws`o#ETPBD$D`KXpZP5Ln3;9-kx11U4V8`SH#X)RkDAWjd+vDT
z^`9H;Tsp(MgVZ<untSN5WaovOitb0F{`K+Bus*yl=5W+;hY7_KSrlRpNB35;@SZqo
zz;`ry`vNt0L#0nMRvwL+IE~rz(BX&6PF#8rpY&%&`jeRfM`Bhds3$QUh%G+U>UZNv
zg3p}bP4SU4QvSS|P^qvb#$31Hq`^FIiz89x5=l~rE>4WRcGl$Gv8eS;$qc6_*nM7L
ze)CxLse_G4YhrVb#1!*&<kze_7BjJk(Z?dp;(SN#M+>9mL$RJ*2^QIA2jeCcemuM2
z*TVWaCtM#U<Q$1t;pdF9n0Wtqe9Cc-lEw|f+$NXrA4^ElWRN?WsGP$br9ShG^_}}i
z5)QK^{cX5&i0Qym2c{%xMx$d3f@X$2JCMYj<ePNnSki&AW65O`-p6>eW~?~YViB5T
zbi$sOG3kV8Qum|4t{a*xit$Hd;*Ab`m>}D<VZp7K(gRXQkH>_I#>gM4N#6JWNX+Ry
zypxW^tUtBqOt6vTpSyGNkH=U`AMT5ho3n@O_R-j<d(PbKsZwq%ImUQt?%Hq8EJF9r
zebiXNp%m?L^T5R;G5d;FegBlmb0j8pf`$TP(tItZ=;N`QWp+(Fp|oSAYUBpSIXgZb
ziCL@FJu%t9=foU?fDNn_hyI*UHHbMLTUL2Sbki}zlLniP9zUeBB4A=qR{I8t!UeKs
z=K=%`uGJXan){P0XHEa3q@yvrgIHFdW~zU()3=X(ZMQ{Jt?p74i@q6+>Wh9QX4~>R
z_Mfcuwm7nPcKQ|52}zk2H#VrBJ!?Ce*YVb|n6ODgIUKHru1^>OkHq{wf9A!8|HotY
zibQTY5>x9bb>@fpDc+;UW6CvU*k|v%$<c2j!#>4OERz33Nln&<`zhar{+?JbD8)KE
zlZjQHJN{_wT$c4w^4u4vv2UCt$t}5EAbX37E1&JW>2l0P-yf{xvE6huMmFiE)#Mv5
zQnZU^{hBPnX;hKIz<xY-^(CI@(_D{_$CRxSo4sN-Pi)s5{+H8)CJW7eA~g5F{v{lt
zVsmHDT@=`Jaow6}`L~b8trVHN`AE!MK6|bE$75EC%-wV>X6j)Tcj5Vyq@HUpJ{G$+
zTl&IE7WoAsEQdQ}*(Zco+^FA>q;VwX>r}zT9x;wTr!4(_Eaund1Dg%!_3qeqH0HJL
zjR2>pV==Q8e%iIFOrKh~r~Leci$9OY?h5C-ecI{t(U`F5vYoqXj>P<3Dbs!Wc+59d
z@0XGd>powST>NE4s^#jM=DM2^o*QcCywqV*$yrkI_sE7gZoc!cwzb?yStxzVZF1E4
zBe8Go_R8%|>drYDb9bfe(e5pLAMdR)dUPyicd$+1^y4va{j7tPn%Bm3de+*8|2`V`
z>yl06)Z;O4y=|jo_Z^8@eI?{&>XDe&T}eM2&v#Uv+@Rh1igV8{)|lwyvDbh8$i1=Y
zNX#m)RKA;gszDCDds1cP(U@=ho!xq7oT-^*_9yn!4xa-jPgZU^9(#Q%@6Bl|RvwLc
zTNf(Z`uj-CuS;_6n@;^d8dJNrcGjjY%@fs=POeK|xn$0R^dqrr--XKW-1JE5Xw288
zvIny^U3uI+;n&Na`ajJjKaR!xx@zN@e>~>*<l0F)iVZg~Z~D;H+qA^SH#_=hT=*^<
z|7Ewtc6~c__~y}=eIc^_Z;!;h_O}nVZd!HwXw3gfpB*-TyVPMb_0P7WvHyiXevi3*
zH0DoThm^&_+8pMXgY7kcj>LT3+1mZ4bMMXLv3AdUZXS!-eI)ll(v+&R+LM}Z9*x`e
zrsML>#lLEHbeb*t^(SV1qs)fB!^VwX$qf5CKg*_f6dq5oe%@s`<NoIbUnQqBE1hRB
zi(#<3aWiJ);^Gs%4DLsg(q_zVjAhuvaMNb@(@j4=ANP8DJk>gtZSPG_6%HMygLXZK
zlb`q`Go<c#yzxNtMy`dKH<v8_bTn~p^tPJAR%Q$D8c$t#R*<VNdiR<niR&?&Z-kls
z+c3et^RrmT@%#yjpID@hrCUBft&sdbJ;sJ*ss2P>vChjUE+nZlc^`H9Zu5SHg<Qv7
z{w2H4@UJj`sXSRmNaFl6rLxZNDL0=swYYQan0LHr3ZIEUY{zEKPhE#Mu=*w2Fzi}6
z`;kz|f{vDthrE9t@J(P$;#hKf<3zbfN0O~g#hA8oFaFYbQ;_K}Q_X@)761KKYMt1@
z;XQE)-#@dYopE-D5BtnN)^W_|?2=!PSC%Kfp8oDn)A||n=DwK_@vtuDB=g2qGd{#F
zzV$KYQ0vBy)glQ2$x|jCpTytyAoby)8NmlH#Ju!*e1cPFwP?-%gPuKaW`8^4?*H(h
z+zJMrf7cHD7TdTs{<tgK59PoZv(pETACq12`UR^}fVup}Y$mgqgU*Lv{uP|`ru(FI
z^39VxiZQ&wN&7gizMacnwnuK;k))apt3L&FbhJJ{?yUWjYwZDNo0E!WdoF)EFJO8!
z?CFL(6PfoOb<j0SIuMjSVYS%W&YO&;M*~jn+N#FT%ct4N6#GB^n9JLv5#DV5M_vkS
zW<OxpCXjSSKQ;ciKWj3>#-ALf>$MbAlK(4+7Hwu=y`XUH$Gu4*eTN#(9+7kVC6T!8
zi0`%|Y84wl*&OluDk|oG#7j9wU!Y0;AlHS?idBr<nij$l1;>3pP1yD9!j_MRd>+J@
z&Iv8N5h%B*M1MkoKwJ!;&`AgV8C(M4F;4`p9_HWRX*7R@(u>5S6IQUP#x#FaTDYy}
zC#O;7=A><DM|@a4I*XJ4Z-|LySaYh=@X&)zJp3PoISwS9iaC*#zVZFxq`5QtCteV}
zf7CPlbJ9`IxyLKc9t=o6>Zv{dME0?c^9O?;9rg5Pb-aDt<J^gCb>|n}XHxea_1wbh
zf7EmB7Pg5;J@wDBItqM!DzQk<e@$p~(}h119&LQu!ucWMpvS{xhEq<T8&p23AM~8~
zT;qdhf6ZafX<ME}3N{xW5qQpjWbWgOUpMbEco_41(}YC!O_LPEm{|Gu%}nY)+h8bN
zbJX|g$;!<~VneqbwN9~Yop`e5Sd_lUQM)q-uE$Aso$TOuNPc5*;e%q*fk?&}(86Ul
z?H`}d#)w%T;Oux4^Twgp<7l|<?c<&&9YQb8X!ePjP$D0FJm%(c%Psu2$HH|dI(+10
znA_Oj&VNXtS7i4>SEsLU&d*r%@%@6r4?Aw$0&P*}jnr^7+fiS`7;`4<L`<Z`z9npZ
zGcsi#zggBY|Ko*ioKcHn|8FX=cye(4MurPVBQNZYVBB%?0b|n58SB|uVxo_PH$}~h
z=}ew+Sv38nal@|jAKXtQK0UBPDdC60f4^9U4S{VpR|Fo9d=%5T^z%XKn};G;0t`4}
zdQxJnT|^IV+IYlz>W`U|gF4cjzn!?vsktiYx=qry6Nfz*I#T@7j3*@j_hpoyDP;b9
z^|qdp!$}LK2z`8VH1_GN((@<o{5%q;CL!x}lfh%#fo(ktWBnez3Cd3?GV-2P<|;LF
zQYLH0ZK<UR*JHNqeEm4~h|}p84>!h~h&{n5cjJgUvux8&7N<8c{|{_DyU3-3BbDjI
z{iDZ=uWdWxCd3=g*?*ue>VXTF??z5<vjY<pk`$8v`!RCMALvW!S)BC4@TB_jju-QU
zLZ0{>Kk2*ih(oAdi~q!s=Y<?ocLoR+-eydSDPWCxAUU~+@lZm4?16-S#>1{>A2GGZ
zG8}Q${S(8rpzh|;<a<Zl!@Ss@W}Ho8df2lt&o|&`664$5GdG_-a4G57)XztvQ;)f<
z=6Mm%I4Oqrqoym@LyvP3(Hw6dF|gh^p)JU<DK>?nkLy>DL~`r_H(@5xy+4Hnj<}_<
zUkKlLBzx--kF`_yFEjX@SjTzsP-EJOMUy%29&R|lK&<b^C0Csr#c~%<2{9~FHR1co
zuxZ-)Nt`E{=FhpQdC=(d&viGB`B_P~9MY|Cw5v%v?pr!ZYG&HyV}5J%Zt{k2u1)Dl
zI_~@XQ=k9SCfVc1{mRzzC?A!`wLdZ?e4@JBm+flLYmfU*SF-n*tHgTO;N}s(Ro6x1
zHz}+>?o;cjJW<Qo@1ct7<ece8pULZn@;=;l+%HHh-c?^Te(Dk5_uftmCkf;ml^?A&
zZriZ!h~K`gqV<)lbslK%J8!%FsBi2_mAmJT_?0bHyL;-GZ~0QyyIQx8_)S&2Yjyvq
z&s3j$Kh@j&kNCayva_Cg%y+%r#;nt?7UXVZ`G5U{LgO*NYkTLfIKF3d`R${=>!yTR
z9`Re7^_N$E-!Wh9RQ=c^ey`(2<M$u+e(zO$Ua2Q^ri1UxKzp&&%}4xVU&^+AKkggr
zIq7kj<Lx7U|E{S%&p+zBJE%_amssPC(7pe(K85wa+cfj>vjk6G`TfUy-+QS(zq{>-
zpY~tT_>gGcJwK27=q;VUUG4FCkmF?=?;r8KK6kNwN<rfhzu$i9&$b=aT9l;57P9v1
zCx++S>~oL#tuA5j-FM8_%k6Xealh9k>}$6j@$qs^oFt$=b-ru-6@L3|NBl1F+y6Y`
zyXuO~*_%iFc9n?pZ#&}abtQI}=-k{ReoNVVKOgfAeI+t?(-FU^{MP4=_=LYS6~7{X
z8?5v6&!fJ(o(QgQICJ)>U)k0Cy*xaVjFY}iJ|2>n+~|DNPucOqg-t9GMTh-<Zp@m@
z$a~yRHzmog`_ciMjfX?i7dUQw*!ts$Z^FBnQwKu(|3>V}IpQ~c!*tX7!=ZH>&1#PL
z)irGEnXG+vR`Q(#$B!Qgz18`<CH8pe)}ATO$Nkqf#WI|^AaMSe|F@jGS0rl~kNExW
zy!3e8F~8G?L+4K@Hr3v^&g`(?Nv;G2`vZP$F-1pZ&K|V-am4r2&eXJv>YtDM`kr8T
zP}ZrdGt+0=ao;yP%WfR?t$UK>$hqZ6xG%@AYpXsoYz6QBpTFVz5uf)zza9!d9OHA;
zXIsNP+t~+e7BY)pYdUm4qU=CM;=zs?$B%~IkN=dAf7Gw`uHAvP^+!VIo)G!5;F#Yl
z?dk*Bbu$ltU`#&Z7kck#=q_=egxT3g!%C$eEV?z9ZS_UB!lW-UzmNExJM$rU+i^ed
zj<anCh3D{FpE?>EUt7f!{gW&9xSt<y?EK??Z&f&BWBz3ycd*>>|Mr=k+l~foy5jj}
zheXYef9G~cpWgBO$k*4oNByRs<=UTfPU)2R++(59^Er3gG_3n~P&`6y#v#KS2mVb=
zo5E*(Jaqs1qY6IENBqjeH=S61ZRNHGlbQ{`KUFN<mh}DOl5;zMPMLP^Sm^EfS0;Y`
z5*69uym`ig<l|v$cgbFmo*RGk=xL+p$3mlPJDsZMoH1H^>bU>6qcPi)PTy;P_UTCI
z_5W3Jdn<#M9}9hL^>M#wQeQ*zb=B2-j)j%ZwTWD}=V)l`e4E%`e~yIyt$nnBcjNKU
zx2vYsOg`%WzFz;D=gs4O`=+flT-<CM*_nRu^y8#sp=;l#)b*b;oP0<Y<m}0Fyw7a*
zpAq@*=$UCk630Tf|C(Oiy@LJC(p`m&v&3r{Cy2-0GqS2a7WQ?m{M1Q17{0JS|9;%>
zujZA4`ZM;i_l|_Fo3!n*P4FZp;Ul5@cgKJHzVDddp5vic-%cstbj&~Y+XKd$#kV#+
z@M$V)jyZQw-S*~#s0F%jHYQE%le+jO%y#|)osFwaAMb2_e?GtdX!v}Fls6mrAIAPa
z;;g?>!EVFieK(Iedn-BbS$NcW>e1-!r*_oyCqH56VYqiBB-#5&$ZXDI*1FY4Vx}tH
z-m~f7%?(#S9*j{}d~+@4fQ?GW8BR6rjaRoFvD$OQCUs|3Z`zJH^X%g`bq{~NdF8ty
z=BQ11*aYF4l|>(3$1GpG=EMJoa*vNEFa31bF1<x)&yi#m4zW+WSPmXfR^pPYKkD%F
zc!<@?Dm@Vfoi%RPj<}qf^Zvk}m?cMDW*@i7wVq&Jw$*vhN5>n-6Hb57;$}>Kaq-}X
zi2^yRRgZ7l(sjhy^rk@LrlyYvg3>>%KfaTLJLcP>BThGzr}%C@;$;5Xy8T&1GUJ1u
z$z1<Fc!^g(Xu5a6E`ssG(Z`I()Xs05-+#=})^Wz0fTYtB>2aO%KV&|bY+QM70%yR5
z!?yi$2W|M1Zr>DKakOsFqh}RA_!5)mh<!T}Rk`9`1^=Gw8&@m+oZ!0gi2e23#{`ql
z9SL4tyK&pULs4&zI&3`_xVKfogE8i~_4-XmgQoHY=Nz%iKNgt#lVj?t^dp?2&o-}7
zOR&0sO#j~z-(4S*lvm9B7`s#E*$yt&|IrgQyxC>e9&|IUKI&b$W5>oL=E*zNAAauF
z_vd;Ocf@ng0mX=;Zl{lW`rl%8Jnnfqa^t!`O_GsE+)f|$@|(pv@jJ`JD*L0JnXHcb
z$2~=pQy4ZIv~Ow@W?6ez{zT!g<jEU1%>H=X^U~HGP2wK03@w_In3?T1-i<3i>X|iB
zVTKQ@-A2db$2|KKHvZVS;af9f(&Hl@@*68cv|ofBUvbRioTt(}NA{Z8D?Z&|OuC@)
zq@w%e=Zza4s)@YuKk5|!_DIgjqqfry#2nrIJN>9<b@owb{ov>EN4?)4v3~#dSn%E>
z)_bF>y6+tii3;IgbMnQ3h~1Zdi0$h5`09<4g7dZ4$HR`WXg)j`Han%~!>OcSE7TGk
zlisknzdRV>%ObnS^=QP?qi)`O$@`9nd_U2B^Qg5FpGR5#;etuY!Q9!$!}q4JT%Tfn
z^gzM91*M|v8zg=lmi%%3XvF0>-fssAPgt_tJ|e;t^CD^f#ru}-6~=#OYw91j_;xfp
z>!-$olVO{WN0)7io^{~u%>!|JjzsTW>1e+(pxgbxpNbQAgaf7qS0AvmJDi|>u>TKZ
zgAp4?%<=w{%mEuyg10Y@JA35c>2EJr<s5MdwY$-leI&V?c~6qX?FX?89Y>SjZa!jH
zwke|Y2h*|SBgwZniS*vN7<n{BTl;{T&7vEbayuSoUl9Dn$a*ySTaMIp-?Bq%PVfmA
zEuDY=-l6y>$zdO?&L6g$e>l!GGC}+h@8<(6ejZd*-kG3Yf7I^ShqXte!oD4`_wy9`
z6diahdYZ-E8|#mUt~nb0_l?Y2mbXp9;`IkDHd#L1T%LB+alP^;#^*OHGmki)zG0Jg
zpnNjZ!6VlCmSL|iB+Nf^=AxZ#{Sn9IJTE6ZT)*UHT7Mzz+tGNf=p**2Gh%(qHH`Q!
ze%Nkzph%Gaq9^0ay(cq%@?5;^Asuu3NK}sezR7YYF05`(Jju!VVC`|v3#+<r?mK$i
zHSGDygT9iJJ~1&L^9-ABaHwML!II5KTua|RsQP%MfBW7wZ?_-uELRt*R^REibLA!{
ziOEM?zaC9`yXsb1OVOSqo>$k3#y?fKc*JA<I~8UB0JoQKr;Eg==^wqj+QYzjy|dq@
z6+64<S5C2*Y?Qp8aqsOTF6HwZ>denEPBS_5be=`<n<JiA=UPRUsNStU;<?(cRPFAy
z<DRc3ryMwzYa450z3rImuap488xF@0+IKZ-9ARdTIp!ICe^ZFV5zp(fpY-C6cz&z>
zB^tl?xJRjOQBVEsykqw^9oZH2{)p$>eP30d-#+g7I!f*N)+3(VcU`x2&p+zAJE*5|
z-?^IeN1V%3Kc`LhaA<q(uJU;A5zp=GCyBQmbA1~wVtezLi&p=~#~hz(S{m;i^;~t0
z-}>h<kKL1;B_xcVOpM$)`|OeCTh&KBUwiW>rXTZs?`87o_R+c8`A0nOzT~&gIpR81
z?xt4u5zpl<l3zq;-#g~{@AHw?)MK9SKQA!f$hdy?w2k5R_4l@(Exs0<ek|ITy*vGw
z$NHiTGbe4z4wPBizjgCYEv08aE8ln@@%&xF?`TtY?NjBGy&sPhZsT*bT2;>HxaNrG
zU&WY8uE@%r=Z|{6{#|>-CBE*VY6aso>+_A))peWd-n`(cZ1j3q*;!U|&^jmPxZCX`
zUfIbD?i_LN-Z8=Euf?CP>Gemv<})~~IqV&|N$5w?0k6qS_FXFRM|R1vOt6u&IN5u2
zhr#MKeFs91@0@VssN1)LUg|8i(Z}7M9`y28G`x1qTd#WMo|<(>+@Cj0^KD@LcEo!U
zm(2m@nu8wqj(JD1s;d}t&0*vfWMw|iB*<$0`qI4y=8e<r;^JO-q!b7r^I2;=GxgrX
zzi*EEbTT$G9f&l)xAln66Nbr0eX6I#Sh1`;=wqwjvA*oVE)U}_7WVz$j(e}l%0B44
zX~FE1XOoV4>))K<eboE+y{R_&S2w*_zc(`SsQ2!gZFi1}E&BXyip^g8PTj^2$uswC
zJUhj<D%A7CM}Eh*(`@$cS~Fwg36qx}*UdWay|-G?TO?`rftKteD*LC~em!@DEAI4x
zXGgr_)lcx{@9eFcA@O<Drk{Hk)V-c+8~g3Jch>CHw|T9t{!Kq3zv{<~Bl6i3xpb>9
z_;A>!a9JMpes6zLdHD^wg?qb1=GJ8&^(mjk9e-ofQSZI0Ld51qPPcs<B6G9yn78#w
z(fLfXk9fz0s@6=&o@#%uEv07Dw@nHR$2_m*u6c2O($^nHy>~}@Ej;#d>J;0m(xrUY
zr)kw6_1^pIh@o!GzsK8NSR6mET~>M2`?Z~<bnisD_p>`rSiF7D6~FC>$G>ZGdry1W
zAN7ulpR_i%^0vqSHNE~z?Ss!B^@%HAX%~L_sQ112mA28Rk9yxO^|Z}=lJ)bZ^Twmz
z<@dGgX6&EBQu_0#_v^e=H>cy?>wisgwU}mmb=~)4-eps3W}W)?`2@$sLwo0+`n7qE
zS@isAwpUkuKH9VWLifj`?tkAuj5t2WI&x{Jr!ecK3lFy4Pe1CjcKugd-}5)tNj$o`
zdHtI%ft#HlkM2n8eju=Mj#*sUG~3@{@>4Az#Kj+V53hTC>dCfe$2@l5KIVNpd{TXn
zhVwD+z59%wI$oP%yW7ut+OZFNrdI!4$#VXPPu$kU3(g-ln|Eqf#k%8Wr$2m;Xepfi
zWZSIcJHnHe{x&`QgR|xb_l*wW-sA<d&);mG_jsmk-?<;6F<oC0ZyYl}-}U?FF~17W
zgD#sFKfZay|4&!dqv?9vbB_j1=Qy{8<u*gfo*mma#2&D`*^sh%!|!K@EPa_e_bl9y
z`E#mn{_P`vKi^b;-ts#AqsGyh_7l!Co!M#Jd-I6-exn~#>fRhrN<L`j&+zBplt&*s
z()d*NPtLq~)a+hImHir~J!&_0F0wfux18;Z%FO?)Kk|<nbu!FYbku0;=VOt<UObPu
zj-H!(V}dz%5+}zqCgJNb*+)&<=3M2OD)m2w(P-C%vnQBOFwc7MEoqn0=LIobOFps)
z9z0@}b~y6<5wp18$HTSOF9`i{)H0ew@y*uGyhleYbt5^pOtACiuv&BYsO8itE?097
zm=$p`->O;@d&KhYCl0g2)#=AAbw5toe|?wK^COnI(MN*Cb{(*PV=cDpK>QKY)T0*b
zGv3G?%irm~?YP0)BNkJScyEnTa@%&?{M1qJX>2oVj+o>h^?IKwF#m}8>NQ8)_fFIK
zzwNl&_0uw~Px@~5eeb<>%z3)*QOW8fwy(77QRn|3pv?d8D4ciP_HAdnn$>~Vy;&R2
z9duDLVT@Bh>f&XhuqOD3>lPE6h%*zE&%QX-<s`j<G3JPyl1YTz!42ovPrUVWLiPET
zeOjLwl_&Udt@vnAwteQIF42!V8@BeX=t-GsR{v?Gr!?c9n8G7B1P(F9iTzamHA6_y
zP~=!#Oas$ogVfCr&VCnqyRg@G|5WoY9WlQRwlJ-zxvq0YZX?sy4Y{WzK2I_C|C}_{
z{QS@3d><!D_DwO5x0-+G!x6=)f2NvGnxa!G#u&)<mUZD&bNScPb#6@5J3rN2`{p6W
z$(Hk3l5|dT{F`pR^Fb`bmWjN!Hyr~e#QC~T{=_(Mn)!JzmU70uVbd&L?wRJP#&~Q0
zbn}=X=N6tEkdO%LM1lM3BPw@2+I-A=^Ca{7nxjE$`8KC=S|9b-v_Iw?cQp9kQLjmp
z0>AD#8vLj3h;7~JV+ps9*+vFg)Ey1U+Zb|E{zzzX<x!_o$FjSQhfMu`T<gd2>YK+x
zPMwS{o=~rSZ(^FFl-C*kV<GR4X|FvQ9&@mFUY^RAn2Q<NC#AY{6Ay=XN54~u{ht@}
z{r{?;krQQ(PbjvYq_sb@H(_;(*76w)w*RL(y*Y8#KSh5}`?07F)<E|Y-{m(Z`y?Hy
zt~?z1XM^0MkAi<zUO&t-&BeO<c+AYC8SJ4h36m^kn8PMUZ$4`DX;MU6cET))&^<?@
zPejZ<^^ZUK$$$UE`W4$`D;fL`@T{33beM5MZq>gP&jpzjCHJrWI^~G=@=Z-0CxR?y
z?45OThUn`V3JQ!d3AgPJ7~9=Rc+{2fi?8#pcwEe(x(eTm=iW@PQqB+vdv`GCNQMO0
zrOVHb2QGa(MJGtCgERm1*|@`jDhXW{*6%0zL>vkHsdG$cYU4Y1hOJ_ERNgl+-rVt8
z#j;t@K>tASC#$&+?0y^#_O#kmcg*b1C4&jOnS_rRs#;GtcjO<3>ienAcBx0qpMN?a
zR`Edn&5_WUx+CURD>)YPKGt~>mD0zccxR8YC-?nHdpYkjm~Tj_{d3~h$Nv*LrT(v<
z5KuAIIdz)+aT8Pii|efqc847a%$lTNp2n3u#W-}|nvQ86(!0}U#2vGjin+dfs_~WF
zo?9DteUP|z!uq&<{U(9JualI2iE1CQuhmtG)PJG8Nn+^{`{L4H;?aAK+tsK#K8!Rv
z*|f{uK)mj2+?ylzcjk&W+P$7)9FwW@Khk0GnSw0sWA^2%RPSy&X8(Dvc(k4UQM;?^
zB{iE~WE3B@FAhH?@_$?U=g29>&rdDin0_SrX_|ZQjs2&l8*5E3Ik_dodWv!Euj{;y
z`A6-a`-#L~Ejw=aZn~zhj`*Ig$BRQ8K^9IIX<xPWxc!y&^~!&yG+s(QX8*h{R3!fQ
z5xbSyCqsB&t~ze-7pkQ)SNn+l*OzMCZ;sf9EakI4b==N-zV-Pf2jr*mZ?!vn#D4BO
zP~~~d{$;Sp-04T{=h}tJ-K;xew`;O?`-O`jP0x>uS-zfP95QX+%f8~WRGTA~fB%2$
zd}6a}+omYx<My9F3LIeVKkQk5!+BNW`NMYoGbaA;X-J-KRKIPb4<FP1Bc28`4;s`R
zvz6Hya^n91&kz3}v>#{O&^mjY$LAI4^V^vwGI1XEtUsU?`@d?=%&KoUKBQQjn3$f-
zc-Twyrq#8tPZjTYnSE1x@MO&qui{OPOvmjvr8vwvAe-d1=9t%I%ZVAUk9lorD3P`Q
zsFQPeRob7>2e%FiCapbYB2@M<;l!ndKj$B|75_bB`3VMriAH)dC5Pi<_aE^*Bed|3
zcHI$A&3~s3YEE=`dCW$%FY`gW%LjA$3Z1082Rz#!9_;&`!r(VCR7FgJ@$35OhG&cq
zb!Z*<o8%nBb;Q%Y?cgS+1x;Tc1SY*-;V)kk<8nlKBjbwLz7HMpKa!RvH7@%+%^><;
z!;Uozt&iQCl-z&7^ZOA2UG`(1`PZvYoc?^&w(RfiBgXfR*xt@<Xl-TbxE<?JwNPE+
z#~#KhM%U*aF@FDlThHTplU9h=AG7^?+Tr}srO&4t?LEE!<?ZLkJhyv!)ZRO;JxOW9
zaog=yp*+@mW=xT}zKL<;g=1+umisg9-gd-jmCA?I(9HcuOs6s}U31*-Drk!Lh;8_M
z&eMAu93LIi*1gH~u!Sdg{S>3QXWp!Tb8O<?qn_VaMR9HT!+6AY?|~IxKP1gL?s@*J
zdPniMIa32Ne_C}Nvt6nGafb5TBep@M-b+si2><Jov^h8Hch7`1$Gkp=zqE-wb=3C!
z*OfN0r;m8*|DW1b^ke;T&*l54xtQ;fmHo-Ud)#yB>(6Vle;#4;l;3;u(%oZret%EL
zd_SY^HT9V7^k36H`E7g{V&nh(sO|GtTjhGU96W!-Q~&$crjWyoF-JY^8Iu`SB>$gR
za@08Cd{W$TujyP#-T52E_jDZb3S&<G?-&!Z|A^O@H!%lwPe>T8w5<Pk)NJRTBi@%I
zkBGm0yUX$MQM2i{j@ky79do^PSgU^$$H!yVAG{`Lbt}m4xySXmBT;_;QPZ4b{!_ga
z^5qVx>L1g!-0=O{rm3nokLY@RVw}1{wEL*;T$|&{?=QSI&xu*O#lP&P>gFR}a}RQg
zu09^}_NdA9)5k-yWjFON&N*UIuT`nB>ah36BPRZvIOkono_EA^?&gE0U5u)4ZtT0c
zX~*Zqfsu1RAKg=%U3tvZl}Y#Cx~t8x|9uZ>$V;y&Uhw~j>5b{iss2Z-A`fYO?8ub<
zr*zFEjK?JI_Y|uG$&9>5%=FZi6th3NoLf}2(YwBHR*2L=vvQePk=L)qOqBk3)Xe+b
ztkk3VlkY9R{%5bmjjk475y7~X-#;G>_5XIv{ADVq_Lk!tCwlcAwO_vHm~6#S^QF2+
zEq?CQ*tk=);<(<*H`7gv>W(@5IikPkm}ls%qx$DJzdy!1{Ybql+uwV~%x@ldv)AVF
z>)tr^h~<7ev7dVkR(C$BIOdq1ebhMesD<YKV-9;aTOHlwG5xsXRlTFE6Tcs^IF-#h
z(f+9UNfG-!IqID5*2gWT9&`Brr|-d|odp|@T1;ljpTe_qXTkBKj(Mu=TQ@Sg{yE~f
z=}>;H2+QWb|GT13D6ZY0r=~Dz|KZ||Y{wmM#T{TOz9co}AB)XV$E%x;=O_sV-}=w%
zzw2B68_oqk9vySA*GOjA%6p;g&VjH$haJ*)gr7ZbwEd{V|Eil>(G!x7Z#?$=B>VZJ
zj#-^r73&W=em$af?cp)Uyd)+*%_CONKW)By<AL-<&6iWA|J`$9`=c#J@{e!Nzaf^<
zFS51n|HbJWk7~sHt($K8_|bv0=a1QE=>|qURWUze_H^%5(@$YX%(5yy{BB8Ecps44
zKgH}$-4U&O$Mn9J9o4$G>+_A{Zkd$~%Z~Uiy?0c3+EJ&ur>070PYp2N!V`MbuXNqs
z<D!vA{gzJ;I<)hr`R-$WF~5)SXuV%C|Hcu^n;)c47`#1Z;h%d{cmAp4etNnbr^^-|
zu>N?&BIx!JnV+AI`gBVu<{V)Uo22&fkWZI{>6;@mKAZxD_YOY%{4k*Fs88v(BWzP2
zr=LH)tpB)AY0(9xKkJU$T&X)IXQzGGYLf!*kw_(liSMUcr5uTx|J29lq_u4H5w4pv
zULT!gthFZjNZFeUeQS>S1l>H!x9>wz^>H)4q(92BCxeu{6!sr6dwMii{`rM>N6hyB
zIUJX~z}VkwRoMaa#^nE52a`mb)-dri##}tGQNE1hz@`UZlei8%5bbYPnOkvx&2bAO
zR_+J$nO;Azf5;K@Ku_EuMkwiRj7!M6HIGj$x_QLnxp$MuwUw9F9Af@)MD*3SBkGs-
zHhA~XJ?bqV`OtET>eA};4;{B<KTc6OA8Pk@-br3tr`RJFdiSSl?VEFBws`%Mp!-KG
zroKMaaY_B_QR@T6K?#{EcXN+g%zquVu;bpTS$mFH6n*#9NdnD9S(L8J|5<fy=Jr2F
zgJa^3SiF4qRrPuGarKu`JuRzTQ{No1_*{C+=iG7i)BE|q>^W)?v{(L62(NY75sRPJ
zPkYYpd?%}a#4&%0>fBSu7`&cNQJFvK;Pqo7wO?4eL56vY&wYMO{i&Dy&GcjHPwk)X
zkv?KE_0<%e>?4*_zaLW%(mXc%{*|J#<7!sbowp{fyxmk2Gv&=u=is;_>RMA(_>cQf
z-*!Yj_>?ODk;HSik6Apod#cO*-EY4A$w`w|PCXc?RmIY~{<uZSxrXj<{#xO2M`nHc
z?&tk<qwDbx;@a0<{Qvyo`}{9{@vkN?viZfoXS&L#&%762$gQ=DIV>FJFhO9?LD7h#
z7RMjS+1DLc-L{~)Z@1KA#skK-?<cEFn8s{*)S~ne^AXYAmFg$wwpU%+Td%?p$Z+TN
zAq$B`SAYI_H$l90>jmAvZ`y@#98sO<eCxRC+@s9mF~^0%Zyr?L`0{lBG2ykghb_ep
zd+h$=e}wPCR{cL~kEx_A%#&AS@QXRmARWmNcGTkd1C#nq466=V^xaC-Il{c}sDuYU
z=M;tuWk*zIG2fB<`{v=7pU0&hX-@Dwenk4&2PS(-rl?6XZwgr)k&@#`y7uRQg&^k+
zrfW$@EesEK*d37GljQdy<oO37`)w&lWj-A-kG}oETF}ErbfSkt*QZmLiofn@HaNk=
zdPL>+-%S>cU;Lv_zhG-9ogW}@<JLF-wfD9POrPW`x>4Zf_Y2wczWHBW)euvi{GV?l
zue(C-f#T$U?~=dy)nDKADZkO~`5v`&`;)H!j+?K3v*mxvSO2Z&Cj~ugTzr1v{rs}q
zX`hdoM~7ZJpz?#MYscqf=5gsWRC<|?h`hI3zvtxK<L39*si=OH@HsZKY1#h#`}dBj
zzWTE#Hub2=`yf8Yr$^1V+b4H9e_CMsxXWYrUE9@r?wz#w{KdcOZ{(fQqesl^zp5Gp
z)NlN9?WoGC&oeKauwXc1o*(P!X>T#<+l=DLQp`tHw*UXan;3c2;;py%-1*1N@Bf=5
zG<C;A`=27qkDIU0KG|{JL-2@bP<`3Kq|L`HZk3+yu<UtzuCA5i)2{sIFBhyiv5ko_
zW@}x{hl<bNvSt}|S4{sb>R({+?VJDWbH`NT{+gY<UFl%DXVvcSN6q8oC9mqd-}zhe
zSM2WN7GG;$*@b^PW*&b(y-E1vikE5+EpM_jfA?R%d+!G8h@%#3e}}s{{X1s<d;gQo
z_g38axOkab?Tq^4D)-Z8Sgu*ROZCQ_-v=h1Ji&60;a2)li@p1<+xptyn7{1aQI);F
zugP@V-`UG|dZoKB6Z60Ce^yuCU6XunLvi|y0}>Br9D4ot+tZ^_x!uzjeD%Nj?{i~e
z(yVWjYkC@rKK6DgTSecL*#7D0*$a>KZ!pGu^?$!_%~1=sSccAo*#9*#x?lY5Kh(wk
zFI(~D#z&*$o&NT9M=c&+*zn?n+atX#*%2?QkA4ZTy0&u}b1cK$*a!bplZ=zT1Z?Gx
z{r@K>xx?Vumw@eul2#s6)nBvfbKv7mS`WX!e`UVrzfi}<V~>uDoIkfByP@q@#O8&Y
zcX;&AdUpJ{s`dQu!S#L(RdL649&I>iD7*f+>Yk%A>;IgTe754^o1=mjlep}U=$@M-
zu+v4q?xXO=BdT83E8gyI%bt8lNWOoQXXm%@o}C^?RKl(LxBd7i7_W0wcRHVt(S+<h
zn~x|5Jz|)=@TkbsH%CpTG8}(%IN&71g+s>k8E*X9>E?d(!(O`uhfX9jbTYi$RNlQ|
zi|OtU5gYxEDxbf7)cMU3fi2SwzH%jfyUF?GL(17#opojVQk7#Eo>mH+Kcbvk$+iAV
zn6JZvKL-yfJE<?6EVtO`{UPNaM_kW;Fty&qxonl=%@sQB*N!Nc=l$fm{aNet5oPbU
zN41wfiaj8;c~4yTG|}>NM^)^e9_2dy;&%48BPuWd9#z~UbmYx+(cqg$lqzrU`5b-J
z?D@8-VyAu|k*$3F&GgMtM(y`I4^?cc*t{hs<(O1{_mZO<bB`a<*Z=#8CFHooq&;)e
z=kHv+=l{}upLZChACX*|YQTR~Z)^UMm=!(ew*EYu)po}?>4@IdQ-?*klIji}(cQiI
zs9@07YYkt|9u+)Qc~l|)|54q&p87R=R!!v2n)op${>_i4KaZ;9-{aYBnBuVdtHa(+
z2lQqgW!e7btHZP-y7%+aS1&x`tiJlF-c?VBOkT@>A8R&FmG!=Ni1mqy(LqOB{i6cw
zO~U^i<6X;oEa&9hxFei(tB;!RJ;pgT)%W#L#+7T2GjBO2IrsbChboR6Zdz6ymE4&c
z@b-xL*4szLwWoS?{rPzJ@e%XAx27`bR~~addBnW>@3(z#)gmLF{_c@Y-e?{Cx9r{#
z^VjG0ee$<_!u~TuXCA}(W9E6A@*@r?&pN1e_K<njrija3f9HLC8Gj_={?p@T)z9~R
zUp_g*VfRh>n~Cy!zfa5vJt}s6%~A0VCOO{2;<A;DS|1zE9umtxvXe>j?O~Jpq>Mh!
zn*krE%isRF=lf2Z9Vg0;N>r^rA`!c(z--Euc}GpYuY0rSSIM7^2c4cBG1+^2@2~Uo
zEBxmCwE6U_IY}jUhRSsoX0E5P3>%gv|1W>~w*Q0I)jbC+)TG*LbYdTLzA-=4cxd&F
zbIB(|l^takbR0}t*R?(C<K5Vf)yyJEjce|IKF~Y+!Su5)tE+1`_q-BiI}(%05b=Eh
zgLY2#uGedXPyBZjPBKiHwQtXB{rsaDl~eijxerJs?_uaaz;|fz!PxpWYrmNsV?U8D
z&A%t+Qir${=l=s$8z-F*;}(C)GV80!R_*^Q%sR97#eDU&*FVDiSABxc?j65QPB7Z@
zv3TlH|G7^!68C@jIY}^fM$DS657UqNFWr7bF{)nOFfMY%y}k1OVvT!`Gf!W)WCxeZ
z-Rj-P6#p(&zkB|uV%6WdS?AaMpZN5<$$o9?y|?!tW1epPR4?|6$^L&|dF^i>RebBM
z6JObP@crlG%tiaH&pwe_`t<R+##O6N7BhV@$-eqh_Tcut?_)fxWka0JCI;sJb-wwv
z`Te#diqW-eRi0<PKCW21-KX{35yiag%Uu#T9XE}NKBD+`-ITuisj>gp9QVFnc0_Tt
zU8vaHYf}WY{~!07dtCABs>u%vSMVR7%>VA^ca!($XJ{XrJB9yU<(`kfUhRE#&ti$y
zKgJ^ptDi9)V`_K2;JR@l`@EwH|Mz@QQ99tUdpdt>j9sVq=LMNRjxsAwXEy(TOyMZg
z5$5w}H9neThaF^D(>afyaetHh^9icopD-tX`z-$dn8MU<$A|9IRIg8Bu|Li<zwgW~
zz3?^1m`(0Jp6YN^VgFC2<IJ7KUC#B%7aF>4_I*^T;xuEhU;oj>@}#o&o{y6SSd{mF
zOx<*XLGoqRygeVDpU`43+xy}3<Rk%xW32MmSUSs(Fw|~on6>-C`=l4K$M=6QI;VY*
z?cQ+($t1(Lm_w|4I(Bp)WEK5sx#Fn8^7_+zKiz+SL}BXvkB#=z_I>(w?wEpp{Aq_D
z``>vT+rT!3&wA=H=K1xJ9!sX09pC#+|NOM?qWaw)cWS45gq}R2U>&+CX5VyCdrdxj
z>!U1RU-HC1jcPouFkdfJWbVBq3NP(8a&C_~9_Ro2h{Dp>x_ds|eY&M``<{6ZlONie
z&ug4+Icv$cg7`m26oTiUX#4o&;Ll?UPycT_Iq`&3{oX^jByYt0p5jy-{oVBG>7$BP
z|F_$O?)q~~p(yrb)y-v7>%L9jyYkNwh0mo2lNrwajA&#@x|r0}ANu)$M8qUD@tZI9
z994Y!A^HCcL9V2_(!I};W2UM7-LpY4;=!G>8|<^nrm4MO{bbRkEf3Tq7cJ_0v-k8g
zwS8B&b|{{md8qOj>rTDOx;cBF>i(3PeOz(<?#Wx;9hvrb)t_UmSGL>uuY3F5^!xMU
zihFmZ)J-{d-hTF<pkr)PU#;1jV)3b|d+)cN>Gg#x4$j;6Eg|;5<40z5?M*Ast++5n
zZM{sa!hg49hW#$x|EH=2mGW(9WlsA4BJX2y=SICXT?^kFztAz~|Hl~%I5vpQh_YUz
zK27jiScmQLk8*Z@dS8UQFJ#b{TX}i)46(F*KQ_;pb|9Hy@7$i<DU;RzALxr^Xgk8`
z#qS&w^uOcfv&QDT5&S#cj=#BMJ#X)i=^r*7;hMT>_eW)h3%kE4M;_yQyJw0<lxk7`
z`$-Iu$N6-BGDz+8I(~$=-kwFfNxkkEuQv0J&^Iy5x@RxxdVKx!QP!!)v}<o2<*oX}
zae10JpU>|JV(L=Uejm}c*ztS)G_mbRwCi{6=`ntGgnPU3jw2O+V~%s5-+y=VG4Ai1
zj`K}E&i&o0j&brKf1c=XAEiDY(e*EzB<9cgvhGE~#}86cjPH+emws!Ud3?_+?@xkF
zlLh8W#I9L+@#hDv^GCU>e|~jQeLqb!y!t4&zW3hGsmIul?tSHTd*AO!t&3&Hc~-C4
z|9i`xdr$xF`=uk%`Mc*|XxyIfb*J~;yLVhVn(=#G&+d;K%{IK>`=xB!7LPysKIQCt
za`feO`?x7`%g=XQnbmXj?wg$lBaVr#H$K^YZ{PPf6Zz*I7yYZ3eUvfsnCSXozPz%d
zqOT`wv`)-2x88H__Wn;h?{#$6mF@pjb<;xTi0E5;|Gf`tbN9S`zHOSG*0ql|pN|RN
zJ7rRHM8Ilq;H4=h*N$-9z4z6i{{0d5?f3S4J)OJf-qYND&-3@5UcKj}%8!k)YmUo*
zPjYy2>Y%*oM+<TBqjK{j`+p=&Q?dV;#P#T~+&l~052uq3$j$PQS~KH(zlXw&SBK?%
zEkf709hIBD_jl;058hpS-o4+}`z9*#!1c)<y_fc#-S|G~h}_;u405+P*kdH0zq&k;
zQ~dvgtmnsN%_AA?=AMx~aW=^^@!pJA`)-_cJ@_)|!_~Ybh8UCHuX{J`zm`5#^?z0*
zhrkip_nUsObe~K$|2<VTob5#fV@#OG0cqtu44XEEytw_@REp&z!vWV1=2FLZcdR+Y
zIJ59|<Rk;p-N!2;I|FUk_k9YvHkJSE2c_z|36<@)8ZZ5sEGyTs`lxj6zCGtQEnJ#(
z@RYsw-UBDOI#11<KUFQ<diIW-BU1nK_V4>!8@Kz{69KWKQv2`kxqI&9B;H-`pB<6f
zufMzZbIr}Y_iygFK5zf^-lV?5@Q*w0A7bp-xBlm>bsrb-Yk!+0%pS9*d&jkpZ@*V;
zxyUz>S!%}v(HpV<lWtTVKa`NZ_xi)j4FMKx4MF)QH?Dd8<67mc9j*!vVo#24i2ZLL
zJE5+w?x5vkj)v3|Qxu|R?v`hreJE%5&7*rBbukLMC@j;}xl;G>#m7C5iqx|!Q$^Mu
zVV&Q~^!|VH&f7;9@Vu_Pb<bx1?KK<|GUfGuPk6WS@`TL#H?B82A9o!8zh{9w*Z*lr
z`|j%1A1VFBu)kwb`Vk$`-v`)lPSzD|>SqwUlC=1sko@a~OwIdZez=9EsM;>jDt*GZ
z?I>rqnd&wEI=<=hZ}&_WyY0z&Jz-MWF}^1n_m6PweeGB9uI{ea3n!82O-DHHm#V7V
zU4517rAXtqBOGzpm#f`9b(ACf`re}1YxYhl`?>GYx;5M6rc4owUmbmb|JX|3qo)2(
zk8|vOw^S#ISN_y@$?EX36W87>$e7<$nzQ#&>F+JiZcj0@-hQ0p?yl+0ziw>*bCfsc
z-Vu)1@4l)&kKXg@r&gtX&Jm8aS-CzodtWWhn#*sy@8x{$$e@az;5A2;rySw<`_He(
zvU2b5O6@(b?!J`2z2_K5xw`&2`=e#4`(8b@*WQzQbKgU|=y%h^rnbL7!f{po#O>Q(
zIPa~I+P44IrI-BHfA+ixnZ#**dn?1zoNHU7_q|$K!hinW6tTDG*C?$jUF%}GX3wjV
zyW93W(tkgb_tE}GpS!;IZ#p2azxP!R<L;YpVvcZx9cyad*`M6|TXgq=$&dFw>E3aK
z<8IBKC-WD6uKhDXZ2r#I;@P`w%MNo)W=fxuW!^p^X>-gGj=O%0s%86MmvuU9Vqo6?
zy7=d;L$bfuDV4?TeSL1mk=-}@f2+>k{q!Km-I@bdWevLLr--le<jT^BHrw$mE~e2b
zXV<e$j0g6;nZ&A|v;X<z;~DQ3s)bMFwwWeg`nmT{{|fo~Cmd(@y;(Y$q4>atkNe*!
zGd6EzWZtsttrCOm{u#@j_y3)qv*T6RaSl%7r2jK(kBPnT%~LU6^_1nwPlg@)UjN+x
zcf!*jdtPh)R0y0RHuv0uEA#fep8tFAS|zW&PaY*QcC?ni>7JG}RXkSj{V|R|-4Q$H
z9^oi`HQjP{->T3nyw+vMI9B>gc>J3beDsTy)z%~Rd;d%kJ3rq`@WdRC;63-O>?gmT
z!W49L%2cLZTRiTrIm&Tq>c=}11Nu8P?tb3$CTKpl<CCD5dtX1d4;7mmHdXw&pZMJQ
z`(Dr2{wXqh1JlN-E><_cxjfz5|GVhl8G%hxExn?Ta4diQchBpR`I3+K>^&cxy64&J
z)B9do>o#0`zg8(|>dnPRSGK)BsWEwt((fx%k8zalnwEahqWsUkH!;(*4$rx8&O>v}
z6mjoT)kyPD+u+D!9Lx7llA3sATll}dYn0B1z6yD{Y0uNrqe(Nj+I?#}b^J?!#ius=
z{jaa`CNrFW|KnrCjP2%sE+w73p!_oJ{|co?``)x}|Kav==82>QTkonw%g_1ze~nU5
zSO?eUl^Y(v;I$8nS*!d!V%jD);g_dxyk4)|6f=Ld^3}p06V{}!Q9k|m-`?nz+mCU2
zf7d@;@-JrYgh@Lz_LogPy+--nm#2HzPkpLWJXO4QUwF-`%Hy0**UwooYiZ=(FY*U(
z?|t_&`=s>l2Ys#KS|_zjPi?rl{?_#Kd*7Y<e%i)=tyuIgd;iEg$22Ond!)+_sTHos
zs9W>x_=>;3e>^<0c}<m``Ca|J?`&h%zj|<EL8tug6%0-@EP~{AEEja~UX!@J|MlAM
z>@k;;Lm1XwowD)XG1u!wOfOajURxo2bj|mDH`jEC&z}7I$D<0FHOh1A-Zwcs+L6{9
zJJ0C+_0w5(3wLhpsI3>9CAoifTzco9tA9Gfl2)l)O-TOl+*KEv!X&@v^~nw98@XoK
z-JIbtXM=j?{ffPNUvFD*mW%cOhT^7oNnMNUk90j}UU6mDjfs5r_XIa-vmZ_R7P?L)
zUw=o|9?P{VQR1TOXA~xH7X7m_fm`uUlI}#-dAri<_pDL5Wqe}AlQsIS7mg>bS6O{E
za@Xh03-(#BDO$K*zEgka=QkI3a2=bm;fsOTPnjFB|2K4*+ijbXw*Lsv%^CA=#Jt#d
zen#i7gBO!xrZQOd#=PDzsdVFphU{+(Zuf=;*shuRv}=WEEXw>p69dEl-*UFFqs9}9
zJ7<(f%;@-KF7%%JFxOhHoS3x>4*wCo@ag#))mVn)|KZ6Dy>DXwH&)K$@LJROA^qY9
z^VMtAjG5QO1g})<YcRd>f8i1H$e{TP*N8_l=q)&E|9)fIVc|9M=_|SJ|JnO}Dr4=Q
zX<S_lZ`bTrQa^cS{SMuTj<x31`|q9R5Zd=c@A>X$qVK112CYfj^JDYAzw^&cV9@>(
zyI|e?L+sc0Oy=n4SiWZOk56@b|M_v;4Lc@gy?+1q69Vj;Z04`#{Q9xu+5FCwJqr)_
zZ*2McaUu7|zdNn_5|n-%+W+nOnyH+Z5|h5}yRRGRz_S0_(h8OR4>nC<o&ANm{E1Nh
zp1bd-3(V_08~$<c-5;G>?8^jqFB4WuyYYYj*Oa|K*Z<iuRh_}>^}Z(+YX#?Sp2}(U
zbgIJFO?z&Czq$AQ>$#`o_CHwqsrOf$65HaJ3eNARb8g=Idg&BC)xCGNe?P)@K6>Bn
zZ%0`D^%=iMZ_(Pe_r;$6lS!Rj^6`70on+d&M<(vt-e<p_a4o#}!v3aY(NqcB>iy3u
z_xyi4&9Zg>v-kV|?5KU#|7rf-C7Ziev)q4tzT<J|pT$?WG<NU(KSx73B4p41=SMYc
z&+h)O^+>~=Y5za@j~bzecK++ui1i5YyfGo>56gyCT#Rusd;di;i0w&TD-~V0@KSrx
z-hZ(v8nb4t_`0X#1>Y%0jb+DU(ivF84qkurX1<d2<@f8P%F_4!onQBVI!oF_Df!)d
z|4x~parMnwey>05`z|I5CavU&f1>hrqMYdJ{eNS=t&sBPXeyg<>(7oq$rEn7>iESS
zWZJw|s{U#h>lL2;FSl-6E0zEMlOxv=@%XU4e_w7s$~0-;%dO}3{+Pb!XK7aap1*PX
zzelrtuiW`*^Zrl%zo&|C-@fnRw$Pbx_I|v2Z{O{#`hB1C_ubC@$@y$*w)yJ`h3Wy_
z|JN%|vshShW~#*2qgI<0j<9J@-~06HscCYnZcdljy1n;)+`VH`C#OlQo9h_;AxU^O
zkJh&%Y%AaFdH6jg!fD|ew(w2u>JfXy_8et>yz}Ab8Gq_FDVV%^-+yAi{7<Jl6Itbd
zW>%i;{XFs5?4F<Hn+~ydDWv@9IKra-k<r!T5KFg#<C<NMPbSQsB)P7W$(OxACSuVB
zA^G)dRHhwasf|hqNwErHILIO%zvt4;Jq;5X79C-U{r6`IL%h01^y<COrW|33-S=nD
z<<hbbs+(77)PI{I_IC5$E8o?HtP|rTl2mVA6pm6(%HMhA-vnva|7G?2uIxGggIO)g
z{$I?lD?b=FytRM7@#}`wpJe-YUbQXTwbK0jiuiRLLK_bzZP*aYFnb4E{j~$x6NL{g
zaHxOGv_Yj@=0{g)MJ&VA6=tTZcStfP|BrvaaPwjIeb@Q!?}<6Q_|?3fU-%tj-!QD+
zpw|7-;A+Z*X?t#ROT;(@F^PYVakvpS<MR2==h|zSE(D)wu$>^Y`k{2p6ubCudv3)>
z?!8rcazRl?*^XOXD<ZEeCNpeVzeXVWqV(|{HoG_Qulkdf<GlOEoTMLOGA5t)-YQxy
z`tRGzzq1>@GA8|xWthL~=3zGWSccpCZ`rUP;Sg1g-*bEaakjZn6z&|764gJ-Dz6^O
zIwfp6=kv+Mf)na&Z_ae?dHY`Lb&F->6k&e@gRuRN{yho!@^I>$omcieoc~+c>cgC$
zBE|RbpPs_`DrT#At=;eapGwt5GT+bP4Ewj<a`~oxk3P*+zian?@29I%JK|Dyc&!w;
zu5#DBqu}*a&P(N|ME>silpU^ab^iI!(mAi=&+VGrwLp2zdf`)Z_fDLDe=6s%J1a#J
zZ|(n79q+xND9rx$o=<Q8O<H{Pz^gqAlloPj?>iBBdhQJNUmN(=&(A&{HSzn&6NM+I
zM@)Eebk(d~H`mPH`bG75^i<CI)~PkBUl`}E`pPR?z30I$=a}ln?<NVic5R+xRQ_q6
z$-G@+-vu|^n)-je(B<5okgaQa4u2O(5<9{d`M~MNva|1}X+7WbaH-wOl0etbd-r@Q
zuMgt*Z-4&wo=@-Bg|xh%wnuO66uHyy_k7yBZ&F2f$I7oy6t=Gys{d!RKlk~bPh0&~
zPyWPkzGq(U7sk22R2<zmnZDnjwQ2vu=l{1KlG8hPh-v5j8~Z=K-P{<J-22vk|Ihi6
z`yXvwuq~@>Q~J^U4>lc>yE~I{->3hNPVTs`_)p=;>z|tqC3`x~9W?zK($(xekwNf?
z++V}a{IH#mDi~+zo4=mXp1k+b@vf^kzA?1N?A*nx9`pOCT=BWiiTfU%{>0+A|5M!a
zUEf5{?|V>rL~g3sjW26g@A*7+!{PfI_kW(pmGFc^Zs*;H#b>_EIU)1&*!4Zf<hnVc
zo-ioxf0%yk<N>D{ZR<}yh~9gfDJeT?{m#!fXDl^;zw`6Mq_87$rCH}(PUQU9Zxy%i
z!J5SzxS1YLP(Kl+v*#M`*`#H!_kP}bz^wLj=eML|a`#tl?|pkeJb%x<%=LReg@zrJ
z@0~M+<Nof`d+vSuZ~ck?^qza^N9C^ny0<gqW6YjA@sbnH{@?p4bZWqYH^-G#k{8@d
z+Vg3*)=#0?`_G>|bnxfCPitSNX7nGGyMAiVy-Trm`yZ|{U-5a(+`U&XAKSoqOzz#^
zxh7}-?AsQ6Y{RGhpRK;mJ#gsbM6>5zLdRF0OFQCyeVWYOuMAgrZ9aM?IDOCEm*@L-
z?0U(wJ;XNj<}tbb?<R8`-n)A0<Sgbl?6GzKr*f3lz6yEyY0tw?Ur$?n_|fxY=fgzC
zJ@;<D*c>r&M#P3y!nWRf@Bf@}>~K=?j4iV|4%AMp_`c`Sbl#3jKf;m@oISqbqg74J
zo(J1kT#N7cWw14K|3ls+!QUsC(vHc;zsrhrve~zDeQJR1arydJ>z>xEI3n-bQT6Y5
z=IS?lo`mG~$9(?~bADG<p3L+eyByc={F*i?GBN4TO3{RvOR)^=j()Dyi{JCO{$KBn
zv#b2LlU~n^b&5&8B)41bW5SKKD-+n08T#b+J-vK$rAov6{+Mgq_pDeU&$=e&%Zlue
zng?37<R+YWljO3YJb6df-wjE|TSR~C{AGK8@AI<~2Tb1|l#Dqz`>Ya2gP81&iTgJx
zr9F6Tze&&Y&<gkCM-TMvis-pBKl1)Q_R|X{<^PE}!x;C7&AY1cuj!{^wy55Zv-iE2
z{>XXZ@A4bHb3WAUe|jWD;4SCgr_X0R+_>-Q*>xT5?>B@UP^c^0HCK>n3rqKmC&v^+
ze?J#HxA*MM$H$cx^xKzxbX823Sbcxb<IN{$Sp3}oc;A{eO43X=N$LwN%yvCB>)v_z
z{f;G%_dQMiwnL|M&W@lR5AF(AW_d*3=ssw(ruXx-9iRU`h-K*B`!aR?j@{`S{Lik)
zO78e>{(mBK(u#e@_dga}w|d9pLt-zU<cA$oS{}(VWBr-~N_{J4iiiDq@jUtCiOAR+
z(rfPg5a|81e(#=_d-mQb*W11SSLnT?9P5=>9^Lx??$OQ86{h>oaX;Glp!CiDXHSoC
z++An0@?zW(j{MjA{ycs2$TWY~iA`B&cl6sS8I<48yt%*cV|u5O{Q4st<<SQs)~sTf
z-}B?|Wai-Ar_3vvxQ}vd-@f;^SKNY=t_E83_V3uf@LzS<3)9ah_vsxwShw`w>&k;1
z=IeVuOzHa)yLs=)>-YBEnP$<}|0DeMpM7_(nsq#Xe_~S7lE44I9n{hb+xI4Ocki7&
z`)}_3)_3Q8-Ts%6oQ%`<Y+bXS@7&Lhw&Pp=_=Qbl&RxCl#*c;f<g@o(nak3@Y3I@T
zQy9L!+`R9x|7pVwdv{FQ_qg0@|K8^*`ybEu=9^l%@A1-L*8Xq%AHV#2s+{Xz|2oP2
zk83v_x3Ap!;QUdcUwinJ_H@on-}`v339IAZ`McyVR(yVu*C(*>&0%eRw$(?3icg6g
zI4Jn)=iW!l@2&Xtc>kmM(F&i!I{h{tN~!GqzxRn!^HIV2b3OmpU;QL`ctX)(#v_93
zOP=r9vGe!eJy-62a-4rAJMy5`@%>kCrS3g)df%1X_x9ZTwC{GDHp|5QoiBgxx%&L=
z-n)DEp4}Q}ar4@w&d+;~UH!M`@V$NezkS<#I4(%x+y1*z49l(^ky(3h@0MwMZ|^<T
zf8IKMl9=iG?>rGlWwvMA@85jwh|F92^*wLD-JY0ZTEFw!u@y}FUTIC=Gkfiyl~XGA
zU-SNuc<s2%TiG?P^M3Dn6|-;e68-FbyKnC3-t*#vQqY9od(WO>+Q0Z%%--EnEdNjb
zN&dhkE5^EW5mQr7*}<5#u?!6JlK<z$GOXM<MeOR14ko4~?%fAgA7ksdo>g~H-PNh}
z-UN~MgA;_y6_a|_uitU*=Zb0Ob!;mXS(#$&_wJq}`Z480Vdw*<n2#GSmA^SLfj?&T
z$^VB$Pdxd(QTIS$Rb0op<5vzJzp>|h_Ww-<v0dN#k1BpYxo@xEy@S0+k0`!pXo<NR
zv-D%*velheXP?+D8j+GTX@!RE@0Fs*ba(CJV-$<ox#aan!;QBNtkydAljBI)|2MtY
z_G;Vj-Iu%TkL;n|3YRNM2ZGmE?D*GG;lD5DB=`9vY`ZEZCd)_fzg)Rz(Yg?s03*)a
zhFeJ!Oq2G%TK;X{vY5!DsgoO5?z;B>%`px6X?tRv4r|Q1P-DBXVaMe?Z<V8J%J%O3
z;@)t!ZbPv<1M9s>+DA_qy}r5cUFJN^qYPbI^B)}F^{cB>uzvF~Y5kK?2lo8SJ82=j
z=SJTBsRzy_&e?Ng>+bm3F>{ips&snyGA?io-F)0qKmRC4{Hu3!A8hD78o%?+5sp)L
z_lJ0`nJRKRb?=(pn~r>l-*&F<e9yO6cPxKwwwv1;a3h(a>B!vqr}o^)i&w8P)ZUwz
zzh}+r5E1LJzHduEH-w$w`Ly?#-_=brho*2>mF>OpI%}@@>^(QG{_<*B{ZjV!-+jko
zK!Z&Cj{SLlMlg5v-Xl|wan$Y%mWeIfdt<eii2cnYLQ}u*ITpOqWci+bM?>z-zy5gw
z(+Qsgdmm<{9^r`p<$Ynf*;k?Wts5hE+8#aj^Sw!R_qCpeO8M7^m6P_I-o9q<jj+^T
zpEpnbZ2xcP!{Y~^?ECTZ{hp3xKPGaE_r!dhRoNKyx0x|Usp0?QD=$JnZ(`Q#>tMZf
zNb~>3odJ6HZnE_*>frl)M_6wv%h^r)Zp78gO+5E}qIAdPHSh0!+sHf7Chgr_^W6tI
zl6KAe-1k-6Y(@0#J!_&b_1Zt)bZF0wttl+JM>*=JF|69N_U$9}iN41VhE|@Ck$rtC
z_4%$F=CfyU?LQ{F{wPP$wtcHVCP^LU(AvaMzyDbA&#tu1`;J|Xo~b5Vx3=%AYW4(G
zu?D+;=YRaq+41w=2D#(MICgh1@x|=A`SnT3jh*Xj_O9Q|KdI<(<J04Nj`?+Su4Caa
z__OnI`o4|bnv*JRUw7P9NOBc+NS<li{AJJk``b_4eweiLhCTPn+g#c=eueG5DJ;mx
zn16ud57P~?*$mP(dpGAE<M{t-`<}IP8z#%HU-Iwy4XM8oD<<%6J`f=}BPzL3Z0GCi
zYwn7~P2GRv{<@z@`)~Bd?7wmU+?Lo$M>t}4drvU>w>NFg5svs$%LUu*JM-iA-FR(T
zzu?;KJ!|fUwSGFj%IfCcf7|DEKkwLlszbl-V&cb@6QnYoSNIt$DE+q5G<ja%hpW>V
zq<dD!KkMmpm4AI=>EpI7|2OsCt$VxY#@2r+T-FV`$M*{OmmL#&|Mmz+)OA&<sr3sN
z)a|+PTkoV+MUcY1lB!Lfzb>{$-WS=bWxc0cH)`h_#-yVh_ewWuJYUH5{20^L`}=QH
z*L#Z2wW^NWf8+JOC({!CuCiReQ|eITuE3keIri_W{yD+2H^ws7Civ!2j=is}4kR;N
zNd8~CK=AP8i@C2)Bt71Hly6NTgQ(4lNoAb}-t0Xplk{nOws_+yxg$3-lolqKuYb7d
z#-3GOXI>>%gwH%~o|5$HP42E^XMeCCVmulBKJr40c?4@x;*X_=S(5IFy#KM`#rZ$>
zXAf;Nx?g!x{QR}O@29j0e!L@MAA0TRmD8(cXKy;;zd!BN)4j*m?@s0WK1X!<67H=v
zTdLwtY-_u<Xr^VO)BigYgX*r`TAF-v^8bmqj$KH(I+2O}lx+Ny8$Au2;y;<h7a6?i
zka>Plp#BEqgW1O$mAh|DjNjO^$Lji;Q`?r#y(=2}Gg!8L>)vDg|4kR%viscii30of
z-zwi_Un#YBipkBR{??&&dvCq$i`h3}`%{ZI8;*QzDDB_dzyFEVqTX-uyNWwrU)$aP
zgyYkN<!5ia|6SQI*_Y#A%JiT&yYAm#v!mxk#J4G@et(GBQCzWevFY!7FAvAuefjSL
z`+{9saW`MKUfxlB{NBsg6?;oQ?K^#Kvv|WLImXu)E<ZdNv$N^^NxgqJ8up)`(ERMC
zW2nN>7@LHN@9(_ayyx_GyVo6e9`DFJzwfm4m6~LRog1#Lh^<K4xjvD-fuWz}LEqnG
zqXnhk-`sdSd-wYDTRtDsdGOPI+urrFB|ENM-MDA{S-A`8S@%v!oZquv?3nb99csJp
zJ$wFgzWMz<>r-2}@Bit#Ict55ef6F*ol@_j1NT2+VBUS^?CuJFkDm;}d(J#|oyG3J
z7|YPiqHeZ->;4M`NfRc&xtYz+xcfm%(!-R}IV<nq5ikF|<N0CcJ!fxSYx3>?Im1l$
z|4pH1JL_7dTHgNsb5A^;Z9@`AQXKb%8Ap51tlPNb1;6xzt?~7jqKj8v3%m91=*k}w
zGh*JaNI1d5dFG;Z*aPOHXP3?1dDi}ia+3D`v)b2A?U>ZcyW_&-N{!Bb`C}huwBM6>
z^|x}@gYyqsS8piXckbAw9j(73cAfitLEA2D-$T!eTXC1Z_Ws$`Rj%xo^iTg)Vcp8r
zCtSH-ZMfOh`}i(H(#u$enXwN4Gn4dV!Z}R$FyEX%>%oDqKNxpCw!bHJaj9!w(h)8W
zZKemjuNc^4_+tP6$xdFdzyFqG?ZKoM4ZHOI%<Wv)A>^KZTk^YO()vcTKT|IocHLNW
z{>01be>>_6p2jRxt=s$L_)V^Lm3z->Mk>#3ICpk|{f({`@y83CcedXDv+qb)<pI_d
zgR4Ey>Tm5o9$a@oZbDs*{=dupe>d*=VzqVOk-OXW9JtYQ*3~MhZ10iPsTPwrmEBEY
zVBd3O`>pqH?9%stDb@4dyGd-r+Wohg9`&A{yJpW9zskL<Pwm>0_le;_{~7P6d-lG!
ze7PdJdjHDmy?b7#a#Zv@3)iaNvon9+k?ni;?%4Zy$LBq(ruBXJe|ycfX-Am;zg)X#
z%g>#c?6dc6nX72LXV0F>murvi-4Zun;KrPdhj%fb-*amA{w-T`R&Ly+S#gxj{@TSK
zNB1lX|NC>tM$zg$n{_oTe((MJ{cOyRGx<pp2evKx=I$+jZ{L~Mhm(HaV_SW9+Wuw#
z*X}s;?8MiJDkb~Z?|rlP+x@V8f7jpM*VnakckP;eXRhDedqH>g-oMwL?o3MIG2eS;
zYu^67cT)DRfBR|gj%mG5>MNu7tiQMaSR~7*$emZu?mJk$b??>h_x2sE%I&$m_xApU
zag$#LIBg8+4GZx4Gu`j}zkLhJ4h8?~yIGxlfotD({hJIXN7%OC-aotS-b#a`x5VGy
zJkGX#`~L2my&tE07bt!^!uIz2z9-s~BRQ1zUcI_%^S&wJpGt&Z3t4Zf(7#h!)l^}5
zJ?3wP{hJ$-vkp$a`scSrA<u)K|5o%US4#eQzhg~j3&)*<(X}6EmZ?|fy>Gb6p3Kl*
z_~!S=3-jc5di`Ts5qA8<_3lrC99&61Qj)TFmjCOxd-OrHb=c{1Yo5KiU$$@8?@v4X
zp6#2xdH=5Xu&y`mR@dY9>^gm$ceQ}0#k)O%l@l9z_zwPwSvMhV9t#gkqYh)tZT_2V
z$qW8RCNmsh5#KxE+w+~v1Rok*$=^Ba+KvS_yBFX4nRdhJ#G{7~PMqM4iTJ&OgE=sU
zbwl$1px75&dT-|6;N@bu5fdKs;Hv2R15(F6UYNhmK|1N>4BkI)ZcO2RRjIPC@7UAY
zn|2>KWBwmF;>J<2cF*?%M>`9`V%A!Q-n{<f_ml=7|7w9vjWK)QXGJdfBK{+pp?k;P
z{^bXG|9{?jcf!7z`l|xJKc4tNam|b8O)=Uh8ccuRTq$^*L)mfl0U<Xo#<oWc%#&{h
zecyA#xa(-@^^YenJleZ|tNu@k15-AiKQ6E@cj1fhHLEM<9})Pg+`^zMcV*|b+j~|_
zSR9kW;IhK$|Hd8vXYaZ3{M(*Yv6}py#~)nYcu?~FhTeUbf^Y9zzH>51^)cbEr*^D-
zc2wkl&7PHI`>q}-oF21h)wMTlJ#WK)?peJqM22+}!>mJ0kM>@B`dEAK#g!F0M+%<4
zx%%&3<l(M~0x$Q!yIS>r&zJIARU?7yr`|uO%1uAN_sjawdd(19?R~%J?>TYzSM|+=
z{YR(xiZ#yPbNv6S?31(CSE%lPmp6aU#^<NjtFgz-NcP^l@&COCS3b}9+s_&NgeP|2
zx~JNilcuOG^xwDf>6*nS-z>KCPwD;p`?Qd(b(6o_jD54D>hAB*|9#A+tZvVjwR);k
z=DQ~D-h1Ne)ER$EL}yp;`LcgkP;2}yk-2a8oVZrow&K*>ef$5O+q3a=?c0v?rq6$O
zH}db<^CfQXjT?LRuB%w^+m|7xZvVPBuMgf>_`>$nQHH#$|Eg{t_%8dn$@kq6`AO56
zrZH&m`*J_}fkoV&^?Ltze0jEa{qdJgzbjtuIlt>f{wBr`cTcA8Sl_O(XZ_Ua++rU@
z&G+v=p~_^hy??#znV%{3`%bJcI{59++CA&1Oo*4g=^!?NY4iTCS#tX}-ix{T*7(@A
zZ!6Cq|H8Gt^7T2>?u~QzZ%j=(!NtJ(DE04}eH&9ANV;;!&fB};){YbPayu`q?tS*C
z)8GkX|Bdv!dsZ`~?b)>S&&5lJ-tGIcKQ?vmrr)pkJ~(mnVVr5)>|Mt`UjLW%bkCQ+
z|0WqrWHj%&`XxSp&!*kK_U!v||NFTa+>J+f|J-+VfBKYt$)a`qSN`Sx?tA)8Kf?6e
z+&g+l_nff5+8J?l4qN}U3A%gklyB>On*a4BPjsrF{QgbV|JO)_-`n^9{od>U?p5AM
zt=aXX?7{0VVzck<`SSkP_KsIcAHvLc_dop<JE^%%>FdAnvz;%y_kXEh{eSuo*FF8=
ztN!jgQ50+0k^OJZ8J<;p_N=cB7i*B0-nr@G>KS`~%y=*R^OMp36UX;$4&(c=C8@A_
z-}*NjK0oW*`0lU5u9zbXuKYW;Byad%k<73`{CLdXt;NkrpJIN@5ct^mdG?-dtVz;)
zVnRN1&)&K1_^oYq_f{VHcqO|kde6>RpN|}kpSN$vYSqQARcGJ#?3z?{chA1l-@{M3
z<o~?bz3WE!<XNfvPygLzeRG%iM6ro-hj;XD{>qabAGv6&=<}IVx<yLgyxk)|Epo^I
zy%mN#|JD69-@LbC@*(9vf6TvEzdgBLTYqnj`Uj=`P02s@SKn+>u2}MJ`<p#AC2@PV
zSA|LJt~qjImcs7pKBnS|8()r0{j;z7%<abC`kOfRzi6(m+qLv)gT}red#WQDJlE9j
zsy@LGG}Bo-ZqN2@UCmu9gk<&iZC`Wc;%xri`*)-q^J=QKu9<n^@{un;3=Q|xr+<0)
z^;X{%eOrkqNg@J8oma%|cjnF8yZhm>7||d3-+wUf)jHXG#eQxie+onF(o<h;F8r(8
znY`vgb=STf(<9dJ$dUcO??U>^lKUsFF}(hfZh!5U5_`<<^$k{!H%>AA|HD1KVYY18
zq=vbQU$eUMJ5}NuXCzAO;D5~DU|m}wazm`+e8v)s7d^Gfj2oK|Cau{pGcW8x-Nz-7
z8}{!z74k;vLesoGr&4doCux8DDF2hA>-%Hzq~i?A>ibTu{QF{?nzEq!!*GEN?R|TX
zCOyi0^&s|thgWOt44b2~a*rqN-^&%Yk>fD??!Aw8mVedR<uzyboRq!Cj!xWewI}QY
ze|OiuP+=(tw$~e$RPNaO@fC0W52>WieH%LqlD`Vn@B1lnuk}yo^+PHz_P(o}+41JZ
zz3vXj`U=h;q4Hr&uUp+EDn1y;9N#cw)=MY*;~VwvUFh2NbN1>RKYvUzjbr=~$Nw%V
zY{{Nk9qJsn9$sDVUb%dez~!CmpDmUCxa*PDCT8(Xuaf_swtfFqpy(vW@*S7!;vQ^F
z{=e(!$32&4tvF)(`}L*DJ(u;nXTILEPjvqWDRri{NanhZFK0hb`+s8rL(KuRe{U{r
z{Q3TQqv!VzT`@23YS(qLRB$b*lV9DLmE3z{`raMc+%bD5#qPLrjO~XP*N3>I2c_q`
zj`6?${Ua*9^N&Bb+>NxPmAQpG53dR9h*p-kw^1nW%>;&L4S#LB7qP6_Fx7Vdp`ZI7
z>^*l#M~!F7{+(NI?m2jR*P_`%<$v}+h*Gz-`@eVV)qi^rY}&UV?DyWS`oH_%meuWl
z@Lp%{rpk?}lNm4cU;Vmr&HhcMFDn(LuD{s+X5aB^_uj6q+`sSW)wp+SZ|*;uciN!-
z>c`&^D|fxwyL!)>)9Szb?r++6A@<M3WBu2E{I#s!w{ks8|C-Lzv-a=%zwhs*z4KIl
zq!?;!;r+OgC34TJ?W>;fRczGQyysQb{gc)yTVft}_KU9G_v*SP>-+4zD`TTqJpI#u
z+e_bK!tOo${-*C;zVhGN>tDP3ZhftMzi02=-+MQ0+PCbwCTsuizAKyetkw75yEJvz
z4)MywiamRu7#i-G_kQi$gWvz{+3@=9p5tL_%o`Y1ty;B;f#LsO#_0?Uy(Q2IW(I~R
zhWAPTV<ci2n3Dd7sSAMat^W6mfq_9<mVqgWDM<u$r8R%j!&pXv7;Og7T^KKu7-N*!
z8JNQun49<+*d>w}S&TV;G8oIqDu6G#W{_7BVq#@u;#T5i61>mI%8|^-$6&*l)TGJC
zn*863k&PkdMGQ;KKSqW|_9T`VMunKaF-A!Yj+~6l4CW`2oNSqSW5gI`g&4Y-q+=Rh
zC%uVLin-08*&rGtm?X_&*zhn(JLWAzqX#=fAw!Z9lk<HBUnNzRz&Fg1EHT^+>8Fxd
zSz>q?7#U;a1sO`;F)%X;B(Ww<V^Pc!WRRWAARgw(AbdIL0`udJ{~8RBWqHK=UULYG
z@QEk62*>bBKjx5Dk>iwRP!$qp(d6WKppe9v%*f9n=b#nCnEc<Hp<U%QXDde{BZq5@
ze$x4*P9AO!M^=`Z6C{!tq<PsHlCCF-#_+Sm++^6Qo+Q=CygP{}NrC0)gflT5oD6S0
zlsOt1-ghJ!v)p~d@UerDW9oATZ3V`pH7w>zNgRTl9IFL6xfgM4PG{tRMh`0k2rwzY
z_Wy(Vimzf=lNP(O{FP_>%Mg`hnDjlSo|D;=ja4P4fid=fVe<b%0b>V-?J*ZQq6DI2
zZgC`RY~*<IE0$rApc{j23`;CSqeDzrEJJIIfDA*7Q0#xNScZ;$G4EO3k{DcA7z8)O
zEMbV@jA2Py6vOHglgeN}i(`(1evC@YJ;r@X90hI+!b*FXP6cy(Q98;X$itw@$Z#XZ
zC59tOse?h)j)h-|kt<A_NxSJ6hX_*)Gn2Ezub62}!b<r9CKEWSI&zaHvAj{|*yN!g
ze2&R<lHe7Nm2BK9O!iF9DvU{t+ybvWnbetP3w&_-CGaJb!Lmh+*)T?r#j;^Xl4s0k
z7FUjHwz-T+oE(d|tddw9lAgpUCS71!<q#dCA2W|jNr~~w1`Z~Ue@Pw;A^(yTIAT<j
zdO2n@N*Km6G{pXQD2ic<W$5D2W?+i_Um0T*!;p~tKR=dXMGVtzM&sE3<*_OZs~BZC
zJen3ur6$CD;0WMgVib=3FW?!=u#-vlouhz0OUzUOLkGU3&oRjyMFQVqW(wrzw!}<e
z;AV~y+|BV^Aeb+vLptU;!zC_>n+%VW_+#ECb!f%hV33tl&~@Q;&=L5|q9~@zm-JE~
zsV?TbB4<*QzC<!-j0_XE0)NsinL;K;hOg2w|C1EdV_qaFGTdi)7sHt}J0>^Am&IR6
z&RLLGV(mjl30?376#_}TF?AC0TAdQX9DIx%N(ziI=OxZ5#c;GvSISV(PZEsTBAL*U
z%+Sp->k5+y$5IA;hLw&SEB7UNDXef|XJDSlvE7A}!BATw%H=A9rK3Qr14qoH7*h@g
zhIdJVNuo)dElC{GBLDVF+{#d9eddt#Jt;g%K%&osKZ#)hgSE<khGGpfmlzHX1||l7
z7gi?z37TR`GErIz3RWsQHbOjFHVPUs9c(el4BZ9{{Q@sz7=P3%C2347W|-H+p|JUU
zOf18ShUqa*Nm~Tm1Q@y*wq9XLTF%I|GG>2Ej2A=Iq$G~D9x;rUH2YbO3(Rti`R@|L
z#US~eK~`XLk|~3rprGE_Ckh)?Wm&I@dvY?!X>q>1qQ*Z{$%t8#@spyW3}=kM14fOk
z=$IKvP7@ir)(bA<l4M{>1`S|0#c)ks9kZWfa>J6Ol%z=viyDNI-pA;2OzgPKpzh2O
zs&GEWQX$f1M~vbXQJ&sKni=Y>+qyJlAG$D93rbF%#MCU2!_e))&BAZALrI}Andy*{
zKGTU8l2ax!?62W!W)fl%v|vm+=Modkq%e)6(`6H9T`!Zqz~!W+j6AC+GTH3q=vVY}
zv6h`6#V(|1$8aZU(q)e6jCTa`6q1Z%Y@-+b;ONz4z1F40-y6knPf1!miKEj~N|~db
z;j;i^%sOfLenF1r#nM`9Jvmz?Z)gOw{8yO3A9H!4gPyCvbxGj{<D~yd;yksBw0Ud0
zbVNSB>fFE?)i3n1V=-syT80x7_Qg0xJFe`Q$Z(B~X`8^M7}hODvtn2_>53X?Rq2U8
z7vwrWTR};eDMsi{l6}lom!v>G7c+$r6>)AGnLmM=n);$!Tn$9GC<%252rlzv;`Fo?
z-Ze?`rSK73Ee{rrv&@1*Mjaflo_89DOSDuQB&#s%3buM0zHsPciguV9;}qi{BPMVu
zCXj`5Pp9C1CV5sC!H#|wNn@7VeTK3Vw6cu&<0mSZ%;k;ty1#%i$(AR+%UD%JYnO>`
z$HbU<5>^_m6Jm5EoHUvRVjKi}V!8sw+f8|X_nETJ)~YfSufNElI7hVB)tpyW)Z(RR
zt)9^|!CZwb7cKo5k4cjh$~1HZ(_IuAE(@jxF|g|>FN`sfv0QA)d$Y?*es2_qwaQ#x
zYlhwxj7fGfyBArrHg?(AKFNy}j}Ecrl?}90xvi^och@Y@_!aiNi<KPI?@m++<x_sB
zoa~VFE-5U5k13LWNs?4dhfR#H(*LhotPC|t%(4INSYl4F8PvvzcrtOP{7^J-VrGsx
z&!X&bC8;j<Uk?8yhZc(`Og0>@77~mxo&}qkymYjiG=!^TUNgijU`b+T@$y*4k{6hv
z<Y+RNrLKb|#yMiSWJIz8bIg^1xg3l!H(6#(jdfs{5c4fYk!iUL<mM5TPb`9w?>auk
zEKG<@jp%cU{A0$TEpR0!Eua;0^N2;YYtA%B?u1De3!^eZ8ZY^;a&a<0l;p4_D9Ag`
zg(XRng*{1aLClO~h9;A}UCyj^T2d~d&yv_YCDSY08Q3pX@P|JWl4E4lRBdF?ogT~3
zq?=&1%9VBA3O9aPRjYfN38B35A@02L7d`ajg&L*@>N9#)oV30gHfgzTyo$v_4#Uoh
zsT}6hILwz=UDHjO@5%c>>z9{E{Bm#Bx-K8F_<t*Xd1V**iN{YDN(^)ek!=k0XKiu~
z5KW8<wQs1LB#>(OwLny*F<-FwYnc6{piYUEO;=PTHY&WI)TAjQu_w%}ZdD-bqZL8&
zN0-{XP<`AL%$un7D@5(_@=#HRt}xZdt5$}KHZF=#dAxdMq^#4TD3SR3Rnf8sJz|WN
zEx3brrQ121#)hgUgv6;l=2qrUnz?d@w`}X8c-7}!3F^<66rEkOPsM+~N0_I_>5xFh
zuR?1Mcue^=F(6YsL~YGJwP)3qo)wBK4mk9)%w$$hdYU98!{%Po9mBcmn#ZoGNgQr5
zKN+;eV}vvg%-4=(>h!8RY50zzo@MhK#w4Z*F?V9FWwLT9C@{smVu=;pxQTVcHdaRl
zLDrc0Y@$MEHXoXu$Z5uUVslcK=dOh-gniF-BuSpIS6H#w<NdzNE0>BSiJjr=WVr0v
znaRNJvM}$PhR&2)|JD;f6=L{e4rDs6sAr6M$6(yDXsPXg?FF_gl3BX9`q;4ccBMG~
zpCWeqinCKpM$_y`vpXWbYn*yf<N8^JV?V=L58fDsrt`B4UEVg`UdYJWwWcnW&)RBL
z8n5-@bRO&LE)rV2wptlsww@cjn0`(bc1agXWZZFF$l@)>=PwtT`IDyeN7<b}bVW%(
zm4`FjlgoE1XO^dufc*kyZ^141G&lHWmh#1iWQopp&*q8OcMw?_bAvb5BPV*cgnX1v
zx#;ZwS91BR1M_&TW%IX+&K8=h(kQ8MPL$Wa;AQIV&`OnEB?5sS-(sFBv_5z_N$Ic9
zN`bi^&lrUj=Bl{VxC?Fc)NKD?dGM2x!pa!kjh>563tZIgRQbqY{&AyYbqQnAl?Atj
zf}R#sD+uZ&U)u0U-Sa>fvv{khkkDy?r};uj`sN=w3my5U3M4($&Q(aNOPXhVp(IH{
zCwoefo5fFO5xwjfrCNrEa~Ym?E(|RFEY9%tie7F=F<bY=5|Oi>9l0EdL5IKSXLiKY
zY$~3!sdCBegC&Wo58iOhy{eNNQp&bIu*|RI6_53@az5*>irS@d7FSjN3HV>&XA}s%
z8xt0@M$z(M(k};JB?+t3uRqLl%#3GF+WLW~N%$~xOeK@50CSA9FS9_>qnJ8@;<=tT
zzGZf6#Vf=xC;fD=Z_JpTbUWrJLu`jFQzUDfyo%v{tNSi7*BPq$K4h@yGC0qP$@XO6
z{dx7~TaWiK20yPxy8M4wQK=RiA}DgaL|o*!NL3tzz)Fd!BFC4Bi6387&DPyjBXay)
z<7y8D*Hg+$KNn;w+IOBZ<g{nJz|Fxpqlqy_sg^^!he_Pi)mz~13qcn~uF8*0hDy@H
zN({E1%BB*X44#veb#Lx$opD)3QHe9MR&TqOkcy&}n2O@5I=;xxZH}BV4~6U#q+$${
z8WlLc2}sXNa(eplebU?)dnd5Rs0j)dT;XsE3jUrXVGwh_V-wSR25F9%_em;CVnS7a
zPF1Qm^X1S=6$;e|{5Z|yq(-Fw>yCGdNk3l9vEWEz?@;&RQ2G7yrbUBngSk+vP8(N1
zvI@hF)xtt=Crpn0ug)%eX+_TjW)}Ul*baUb?ewN>h1mZFF=0t3JeI^nXte#j)im+T
z+8F;IrW1ZAod{Z0#1gsYMNHpBj=IV<O^kvaM+F|_v&5QBmS(!Cki-=G&)`KYqh#+}
zqsU)Pl?=;bcErRd{pnnNqUn<)i{m8e0@ejgbus=)OzO;fvHz=**kUJn1Sd^;&f>^o
zI3cNVbBqPcZ=u^E8jY+L?y(HhCHgwJSN!L05LAB8QqOeO_)-#gjMhY%PwyGoIcgq!
zk6G6u)FJEP*675g(zSwRrvPIz!)e~EqzTN)|E-xXvdStXy-nf>7yMXzhkrusk$;Do
zR6{#dJTyKiEl^mS<e$X(Pk}M%Tg;z?wn=3SqI*<33!0PvmoY@B@RcwaDee6+r;y1i
zaf*5D|3<@t(&Ub}bK0i`boK@Auj@!U&a#As%Z1@`jBM<G|AP`i*-0)9za^&JbxFD_
z(BR5?wu$vo^8Z}62TINiA13{|S<Lu@<Aep%Y<5l!U0yY&Bq1h?-Aug67yhTjxbP@4
zB*iBGFOIQN<uS3y=1b}*N!oBJ=0_us&!YlU!AUbL1UvS(z3*YT5ODiMlTzc1shtk?
zPZ^H&bo}9Puwd-EswlNOMnGd{2V;x{$1DzpZka|=R)LsLNqQV<Z0<9{-%3=va54l>
z{8{MM!<p%<((L)2L##-cW5JAFN=5ol3<4R>#h50YiK&g9@IN^wOF*CT8H>e?<bUr}
zlCl)9OC0xmIpM70ZJ{610(`d=l1h_SBq`n(;^0WhWK|X9$l>G2Vw|X8t0rJzxK`m^
z(hUKQiIU%W7z7*I3p@5tU`)zZuy5EKSZc`9!uDP$=Ak7+(+rkQ&Se5_)eiq%B)2g(
zOcr9?xX}Ikge099zJgNCau1FTrwfgRv|<(V-%g78v8l}5u-Bti_<;bAijYD-i~58l
zftYU<@tQk5SPHHOvL6yDPIBwm&cR$*oviYo&!d5{sHvEPJDFiU^Ar{KE)JFs!x%Y+
zzO@tF6zBbD@zk*R(dU`bH&L9m=$s0}uAdQ|66Q>^k|xLt^SnAMm~gC(?Ux`Y>n{o0
z+N4YK1ora8G<kCIB`HWm@+{<jCla%`R;JyR?}mWDZ<PlE{|%OSYV0zY!7yKdF=j5y
zLUH8=N$YDKj7f_`<TP8hbg*}G8F|!jwn+F22^yV>OR{XNTD+@M^BSiWi&XfW32q!a
zj;QWrTq484BpP#0d4`=f>%{1`mmMY(<0fCe7d6X8K<1smIU&}`na?^;a_{5ZHDQXU
z5ZCQ>O$IUSN&lo68W>~l#>g4TDwrj$H7HT~rSVhJpr+$>%nGI7$qPdiV-!v?)W?`5
ztxjf`%)H<wQ&N?s!MvGU{8}c6N~XB2)Q-?ny45wCNl|Hg!w1=pkck~ZNgZZ08I+R*
z-!N)E)=FBRB-4{r)i{%BHpf<l+-WfmOvwz}lG-&=dekP|kl=nI(ck&F<EzAC0|qM#
zrWrX(j1v}|QJCd)O=9-e*o&1+N=#j`43h*U*1olfX6lq+WLy*>an@qjibRR0Nx2h3
zn^w=5`YFa>#&hM%G8dIpD_FbaEUg5@>|Qo*7q!#u7PEWl_*&F%rG%(mMh|;;Ag5G}
zh@FzgBvvjxAr&T8&%KO`XJpA8T;3~lP??X(U46wdjb}<3Ou_n0xk_JUHqDXg>ttKY
zq`Xk%-J(7oYpYfLJl2aR@E=z-USZ)isY;ufX+MXpiev(t^qe0_6Lx!~dkC!D%qTiZ
z(M%$OBT$8zx3qSmNB3(@X{%Xtwrt#FuRKvU!IMiqqMXg#TWFr5(<Dii1-BXGRXFk)
zREwTjBy5ybvJU!cdBrG3LSUn<0#7nSgGJqhlH`AHG|g6I2x=^6Z)8+VTApO6A*P@e
zvo^5UQO8I)hK)(ug=0a#0u!gqxdpG-1+5BSGreBuXjvPRofKiO5wpPLqS7QjbAIvv
zLP?Uxj7?{`>bXfU@K?#Qdrj7JE8OiR%g(YnP*Rrl;uQ9YlY}ZQ0#=x-Bx-9On8cWw
z%rIM;eMx1nJp1ygGVI1HIae?y$*^BxS=}YiJdM{rio^U;<y4cVDn9E~(|N2H&ET;X
zliFVG$#J~lu+-s622E0@jfz}2?kfv#R7on}W)fH56CThax!iTe6Hft7(eH*4UuK#{
zG>Lp<OuEMs*^$nYs3#-+;tNl-D$`Waxfd-1X0e~2B+xH3S77>z*`l)-&lZ`j^=gjj
z?8S3MXKQ86<F#EWaanZs^7%5?wXzoQSbK_8I<g7P6%v}Ry_m7{`~S$*NjV!>x>mEt
z96hO#>S5Gzbpqqbts2E0pS8-B9GIV6vY*KD<mAm$i5`E7eibg6bdjBjx93{tLeY~d
zEWN&yJSPd)nlMOD(rlHoW>8lVx+&r6>8X`CKeNzC=<dO(EcFs`7BQYIvHu0WCo^;(
z->|f5f@a~Y3ED2K7m`?*&vnEsV8|2LF_DoeX-<r%hqu-;@A)oFK1@>*`ji+YIUH^X
zCg#0=5W&(D!*TG1!}lYc0{>226yQrZ@S1%>Bv;aTo|sD~wrypJk@DnbiRoudxXBrl
z7&F;<LWYnhJKv6vp-D>93Ve(LxE>}1$2?w9dqnc9;IYjefyWlfl|JB$dAZ}^oP$Ca
z^baR(oA6#xDM6n}AW1A{J%cyr@e^qR7nlVlJ9zbkVt83OO|J>X@Nhc?GCzqqQt|Jo
zvrDG?Vln^D#;%7PQXR=1{;&2dn^<(1$=+iohu@lN=>u}gC&D?_2wkmEXL{S<lC<l_
zMz5fRIWta7m~oh;qmVU*UnNOA^KQn&E;iPOOV}Pp8GK}6DG(M*T0ha?x3qdPgRjd<
ze+lNdN(|DsDwbK!IDg{hoFg$8yN+%Vo_TobT$%Hn#R7~;fs$-(Nju{W7!oaB9-3^q
zW$A_h#|FE`q-)GKm7H03GF)u(Y7k;in#u6g<BP?A=9@j7JDGg?7cJx4uFRCAH<fp1
zLxIOl&dm)KB5eF?moJt3%eU6WP&}#N-J}e;#JKIPWfva0UDW5iFy#vWMy<~t(>XV5
z{Z-NDIL@(E`QVAj_kj`<Sw&}mS;4nciDM%(_wI>IzEVuVF8Y%SzAyZ!z?dY?e^gLt
zu}()3`-GSyF}6%+&(0LibXa*(RhB{Wz;RC|-8-=!N!%)i&bAV)Nhdk{7*8%|aQ*Uv
z@4AxF>m35C+i&k=OX9mdZ<X9+KgQ2ma#JTx@Vg;Bk%3c#Rlc`sHQ#+s4bhW~j4_wy
zaA?Z3+pd`^-#$@&3Bz^y&c3zsovU7~({og*e8PD1s$BP#_43`5g!X&9mF!g!JiU02
zrNHB+21$X}w{i>xK2N>zs)M2N6c^*E;)}YTN+LI>Y5GnSd8#Knn<=^H!Yn3s4Hnt?
zSsUc%dooOB`d#sFQd7@^nau1{!loLqsu<3Ud><tyvs!AcU*lPswL(nYvg<V_ez?9-
zyOL$|m7IPRF6%4~*@>a^StNHdMyf1eWbVy+F28polkRru{mQ~2@&`*c$sJt6B9SV0
zP>AX4^-kMRiSsP>!HYJ_9F1af|1w+Vxce6Q<4-$Ye6bG<+-e^vx^1fbap{vGcEQ2h
z<&S%7l-dQ~y|P1o^P(M!j5eYAlXh~Sm2$NY{k3wJedrR$O>$F%?87ha)?5ABHoR+(
zeYhXX%~$r3EB1yz?%HP`>Bn-jDrKQ;*z^5+?V~+BRSuqGVlGO$IpM5s6T2vDjBf5J
zm%K~IS<L-zJ@)8jvZ#)6OtSVgur2jx+-kuXb9$BsW6~v$jmbBREDkM*?MS+LDRhHD
zo<dO4-&zTlq`m@Q)}*hFCg<{#_C?w}h&hlH{%2VS3ky4Qtka?c^6#cGuaW&A!gfYP
zm+j642hU6vJ~2jHr>A}~B9lX!7#4~p9XxSXr9h2Ae$tf#tgl*m{Z!Zvb1EpX$9zn>
z^26Zp$@AI^(kA>}-;~BBp*AC7(!uN`-W-QF9(?>246Q8h4jf$_Bh`~+bB(XjM1?D3
z=>wIdFBMW1vptgkz2MpG!pZj|lHt7b&zRB*YnMwL2|_ILOito4o08TTGKkx}xF)bS
z$(`XtekXf{(#1`g#|4rc86-D4ZViuR*j2%{>END*X@V7u@oTsnj$Ls}>f||iOo_oT
z^n?rtOVSyxbKx<T7n@e3xFiLz%)C)x{)F|X+)4)*uCSm3?*&+rdLH~vcZr$Y!14RU
zvI(L|Zv^->1CJ!kj``cw@lyCuboQJEznZI2p0!G)(&AN@oepZumQUi?(8U{awf?4y
z(^sbY+Y=9~f9;xCQhQs8Lv~h5p!%cB2Hck%Kbh8Db3elNNH>B<b7Dm8HP41URhPtP
zUrDOFvgK&)m8L#r#)WTIMr71p;r(dE=#u1U^un(0y6rJpzMV{(N_>2~9T_Gt#>jE+
zy(G|Dd;9WnzO~+r?)A4lBWf+SD^*;L;aLBYNwNOg;uCC-rfJ$RKT%0E;mB^SxfUZT
z$>qXPFV1t=J8DAhCI6GEmXkQPyVM-?TjJDHb19DJ>LQNs_B@xDIGwGzJpUBm^*-iD
znZa_CJr!c>uKJ$lyQyzrvbOfBOtW6q8NQRA2BC>O@~x(4*UpWSZH)^mxMC~U9(+!|
zJ&=)SBe&2V#+X&IGTkrE^KE>}?74%jr_sfs^$EkNc6M)udy}LlOHFND=f76Yd&;`n
zE9MvW@?DmiuflVcB~iUWjw{o%=87x3hWaTN_U>8A7AlJmZmm71cG3Q+r$%bsIbY@=
zjmp|{>t%ON6OyPspJjDPZ|cO2j*R=%Z36uqWUJ~fuDUF9P*v$w?Zr|X_rNRihdoy&
zG2DroGtJgh{OVWP!xk~xGdLYRaxWysdPgd0Jy_@I%G7Tg9CIm|;nF&bjy<}**Zla^
zXU=~)bGcpkvg>-a)1~Hrym%zwL+m8?XEO=~nMBSB2AIS!a>jhLPS`lnT``v7;_mjH
z6B%P9F6wmDfR?|li8=B`h>K^x6Wfs(&0Q{+XWTu>cxXn3M}p!GH;W|WDYM&t9{7Jl
z{&G~r{~#^~n_@??BvCE*Cd*>QB;B1KCrX|Uc*nBa?P!cmCe!IXZj)}tuJzEiEw)qT
z{~r}8ctW$6?=NU+-wfYP5rq@a{p_4IXJ*EYq75-$CfuLMa*6Yt{<2$g2PaS7EPt3o
zGU1G5Okt#`;*9x|Zhelpzb9Pnm_tvI+Of3@#jo79X|A4qzh*|}r)a(zGc9J${1k1l
zdg950jxn1jB_I2L@lD6dEzKDpQsR{x<dc~@t}8AnVEuV9XyPdg?p4w!1@~pFT_ALb
zxnl=2bCQT)#zl4p=CcnA^evKRt`I!9Z;eh&Oy~Y>Q_iorBmZ~F<S%mnD<x-M=Q*(H
z;Di|EByC~Agnulz<&MV)yTz=$ayD$yO@+JajQ+-FI$}0tdUuPlZ@88`BkUt%L&^uH
z39C9JL*^`y@Bh-F)z8%Nlb<Q3PjtaXjtT4AgCjOca6BkIKZp0rJyCv-B&Au*Gg+C$
z1*Kzzk~{?jEvE~<JJ)sUX7@+V2_GeA9#6Wt!Iz_9f1>^ZhtG}cCaQ5XT#a-Ql)bO6
ztZVa8dFCWlbxCHSr`ak=XBqevbUm4xnnD!hc$gmKGFBw*h@CNCrD^KkJ1sHc3Cq}G
zo@6)2{`a0a<8!lt=YywANA23~I?uSgks<3yK*9~y1v8GbJ<U&yiCi$}2J1pYo<)iA
z+zUkbxn~5b@x*X0IeEbShRy@|@a~E(#*g+ENt>=qelT9eI?<~~qGey#i^)x=CY_kv
zaK%9@A;~XhrIbYa3$K%vtrNFSc&Nr(ID5vOo^qAl`#tWoo!M}WyKy~ljNtPLolTra
zJU;O(Fgnb(MoQom!@`H2sy#jee?H#q7fRvC+L=11)vYH@n8BB&eMK!}%#KUN-7_XJ
zto-tJM?#}#%(EGL`&lMDe#~-`=RxxS!i8bXoyq@e<QK*z&7Toope-Rbq1lpi*5b$9
z3o`PbH{>mptYDt-yMZO<UyLWi-xGf{A{OpZW6%&wZuraCq#pA;nPGNvgZ2UI6HyEu
zjUEM>i&lBOOY&gt{K}AYKKXx1EQ8V^p>?lVl+D-|g$i*9uMludRf(A>IEkTm(qah#
z9;b$|o;Q^O$vhj(V*I#wc5t}ya2$wWabL6J49C5eN4K{mGfsKVA~-4b-!=XT7as~F
zGYTYGtny(?diat(iHVQl#Ko9*F%p3>r#Iv($u?ZN#bKws$(gId$cQ2KVH1zwftl|+
zjSOeAG0w1V<$ob4*t&0Dpg_oDy8yO1y0MJ2SK7|-^A|Xu#4+pDLSf@q4JzRrD;TAO
zBm_K|&oU-AR2`VDGq2F)yuhj_W=tLYG3<L^SDa5^Pl{OKsG@N7<&(!dl-Gs|GMYY}
zo6<N(g)^3MBI7(piw=Q}Itwl<Dak$6Ix55{y<5O7>?D&Yv&O4#g(Oj)ROy*#pUJY!
ze5uJk)8_Q4=WaQ3c5CoSPkP2H&3u#RqRq-_B`;XAQ=T(s@2Tskk+}BK?%=7N0Vf15
zPW_P(%Xmt!X5Au}`wF73*e-O61U^zQ(0J{qqsy{iq+-!Sh4445j?3TjO!O8x=JG&c
z={web|Mz}-R<icL_`rJ6^P}rqrAJyRTis%sWEGwq+p&1j<qpML5r<wYGiX*$<n&?G
z)?M|~U4w6vko|%uCuHqAPdYFr@oCw)b?+0gpZtk0Z$nOFGNS;G`=ZZbv*&CHlkyVb
zQTSrZ>iBi83<E>g69J>9Ruvfr7T=4U|Gu&M27hPi_y57#@BfoGFGyfh--St*S{j>+
zJ3MYnbyye(X&m)5U{r{SPG;C_dq9xo_gw**UzTjy2edl*Z3WGL$K5nKA{xbG%lQ7w
zA6CbbzbbZf*be=BVOxAdXHML#xCtzu7p&=!bGaw5rQ;vlbRnhnB0LHIbyEwqSo%0u
zy!>Ubv1X-G+m5wcodaBW9^a8*nZTgPD8aSjYJcp4pT4FXkCZl-A22&&8^gH3Lz$iX
z*qIvz3+}49eM?Y{*%(uB>%Iz;vf#%PvHx8bRG-r1^pXvZ{qR3JCT>OcL|<>61^4*>
z`w04&|6*XjD3`SInH@)iz9Vb1L(C?J^+%qt=pEegrts^tvljj_o!1^J<}oKtm@jNG
zv9XZBd;0-aB^Eu#2*W}~xu+-X+D^Ru#*o!wbT(<mm8Z;w$^T<2Em7zH9Z=@~f3JMl
zVo(`#h_Q#~#!bea7^{um7o-*28RjK9F)cbcL$@Q2Y0YgdM-^+;!~2UqXa&zWFSg>P
zAmgrlh85q1ugR!SRLEg8GTiu{H8RO;<AT?0EHBiRk}PauR$f@45SJtr^T{FX(ZYf|
z92>s5aESjFTK<4B`G4XZ5n;`Grjvq`9qP8MNm^8`!lA%m%E1_OjKO<ptO}!GV5MHE
zAm;;SwhEz1Nk$J8($^Wf3o@#(2)$$!ef(?T&C(~5dN=kfJdF9pu~~UxRY6YBW^YXq
zt0$~%kuQQ&6cRYUcZx&`u4H3fYj$CW1Y^vHHJ%phMd~EIr?9g*uZwV%bX4JBo+-8I
zq~$@z#jU1~PsQ{+*m5!^S#iRxoE627B+M8eF!rpHUa+<~HkM(7n0r*E%P#?2CXp{n
zl6N@SN`nOWgjx13VpQT{+uQRcmwm5`VLvyUyC>UTMYR$hp72f9Z&z*b)KD_H9mvZ*
zxr>*ZkEK5=i=VB3k^rx-7So0N$&z(JXH=w$0<QHpE%x}V;<%hqxGBPLdMv}HgX+ON
zwoe54Ht$$Cnd`f#lo01{Ay+<Iz6cX9-rtsArU<jS^Zj<=j1m!<n%*Pp$#1)e(OZ;#
zvWl3e=B8C*Ed49R+4?;tcx@y8-4g3=`#9O*w~9^z<5Mk39$i<_r&7Gy$76Q=c=RGI
zCGh-~4V%||^Oa`baC5_77X@Q)jR=?LO4Heu=DLI_E#_xpRgq-mW|?<!BCCwd-%Q^0
ziOlUU6MigQvhk>t$BKt6&%`!5d&#mt3$QWU@kjk+YIl#(i?+ivUBnKE3I@cUk=QBe
zyy*gef~we(TEmP4xf8(*f-y2lk7Fc{KUntqfB>^$%maZKjih4@$s!YCmd2z!yRq^2
z*}b2Fgc2l~cfQul*u=KeDJelPNu=&ypgf;{<uS%hJ4z04#z?;qJ7Fogk=esAHzA&F
zg9T$ulXS)bjhL4o-d0@Lk<_8du$ObeT*a6L`yRiP+~a?9&*t*Uc9ESHdMXLpRwnX$
z*Bv<i;<)GD_0Jlc1TBM-+5R_3#4^s`vA(e8i1eMOM_Jor|8F?zZdGBo=V<c7Q(xDr
zET}55IefvM;ewB+<=hW*j<6I>{B?k<DQUIEhJ^aq{|C4y7WONfve<OQ{&(|;5lmiL
zXL-dYY3X~v72g^>Yz}TxH{1CBoZyc5-QSNhKF|@2op3clkU{<>gYwnGKW41>Q0%xN
zmZ9kW5B8XY;u4-emI@Y}FPiYrHz6iD_J2@AK4W6c@famB&Ku4a`|b2sEKuH2tMRmh
zFXl_dOx_QH6D<yj%urG^OXObuh+ig2YDc}OE8jmQwJnlAE8_QTa(j_da8so?L%71d
za>l})q7BoNoRYS2>T`5vXkAHCTE%I#%;6f#-Xw|U*#Gq>k{LQOoOi5uOVW(iQ9p3(
z!?hUujk6MxPJK9@v!3a)GV2<-z0V_qwsgw(>~s?-WNB!+8KXK;pq{Zot0TZ>$G;<r
zB9GtR;E<U4eqPSrmoHRgo~kfR)}DTT*$=TUo5HG~q8s`y7aBgs>?~FCSg+4;=%#1P
z3AKb+hVB(gf`-;hlUJ(j+o~MX*l~K#D_>Qfxv6_!o&0e8BS%AU;rEZH`#zkV`1V$(
z8qY?n`7?|Uo4I(LO^SHkk<7rjV>##Qj+Ks^V}8t@=(zidyT=43?oRD_d!NlxXFYLl
zef9SJJKlflsj1lY&gQ_kPe~Vd?UMW;An184I_dE=>5j`ACbku@MBSUPE8_CbPZMGp
zBu-5@?y*;L#)R`Ki!=fP8D{U=*I<2g&$pu6dk(Bqk%{GB9mzFu$D{|z481FM3wzv4
zzR9rVfPBxv%0rWSbq~DTxc9k@b|`QEw!M43Wn@n-;GW3hetv<lQ-W{Ibgp70De3+N
zYHn9vh%@mV`?zxNeslJT2~7GKA^YAf&E0b_+>1Z9<0jjQykrLH1ENXnH{K*Otd&0|
z!hU|=v&#KP*Ga_e%#uu8e&cs!)v-Ot|81T!UqJW4Ca211<^9L@Jr&wLb<@WE$NyyV
z$Zr&wXuoA!$h3XOwEd@Clbli$De>$;;*$d$TXTP}v@}&;WMzKu@w`39UPg&Thfdpb
zTsu@OI>erL_C$wl^T~%)7KOyl-k8k!ZvW93Z*9#PaZg^%NZNPo=dJnQkHzdi_G!It
z^qdLRH&|F1W5lL+Y1G7AIU^_U`ZHQ^g09YSzW4i&Y5wJv4RL3!ndl+Q_(I@GkKodU
zDi18BSo;rVztF2-J-I98h1u1t)64aO=IuH5>Z@pcus5$`>fU2JLqy`=?>V;fl5B1M
z{-ZlDh1z;f><tWcd)9r#?UTTUJ;y?pt3JNJ_gK+Vk>;xNdyY*tWvp8juKL(!|Iz8H
zkAK<jITrrQ)_G+#uj8Vat?{Yd$!DimUR8Nqz314vFRqEvdyedy9L8B?{G{URT8)iM
zFMBHeebF&*|55u$|5N*p*3Gq1?D+qA@6kI}?A`wRj-+nS()8bdbUDBE<~>J4Uh!Fb
zr0aP<;F4R=$iHxI9ruDcoZPcMNS<GFIdadDRbOmQ|9ti9NI)ge{-c*)i_g~Cb9AcM
zY^zn-eDN=GbPA{3xp+J~{j13A$~{ND<gdHu{m(qtn9-5(s7W{?=E&|Kx$ExveAZL<
z9$xNU*Pqgp!MeHR<BY2kUG?g3n{?Z~_a6Oj9^1pPV~O?3<q?zjAJv+FVbRPVg4zf6
z8pQa=eC^mg;aigTgcrLHx7VNelyid5A@;vVO#W7Nww3ltrx{}ZCnPgWPpUt@;`f||
z+{~V_4Cj`0)Hh%KpthofficNH=9DUnaqL=7CPl{mNr&WL$oS_s82T+;ZsM-=O45oY
zS1|VR9hO>E=LIV*SvotJjWt*V6MAEI?K#95Bc<PFf8;ES5qAUQe8#k#S;3na-Y!sT
zh*=a9I)&-si47}k_8el3Ww>CI#I)zY`%Q+-u?!Dm`FHP&c@*;E*8UxPKDKV&@O9(z
zhM4lCD;$AyCS>i{%^Fju$jFsc!^nCgrX%Kofk9G9r>osWWAzK)I4Yk%s6Va7!p5qS
zG><3t|B2+7{sXgbG)shRV(5QSyYt%FgR_!%JpR1-&Pl%L{hPPHTz&NCm-LruKmNSj
z{-<HK<i#dCjuYGrj4?q;Cz1@41O7+ExUg37UAUKYj!}TeBI!f&|Bl+EcLL(xEVDPQ
zT$9L@bn)}!dlz+hI_lp$3RtoyH9gRH#F|}tphEfJ0}H>0E6Z79J~A)PmpquUFJ}MV
zRZ$5GQ&@gH+_U%f!<wW8`}VH>r*m*}-;2lpckk_w*|+z-%^9wrd-m=-(vUH4La)Ta
z4<9`Ol1`@l+`n7T|158f<k8yHy?eCdkJbL%yZe<&$Ev^txjAPNIoZ|*Tx3i-w(yM0
z#`foX_E^{QTJPJxJDA5heeWLYZ82x|^`5Gl?R$Ji?T`JtSE+DDbGmPdnav-|6`!+z
z?{d-E{j*oDHJ<Us?y8palcc0b4!aF=pMOZavHA14Eh|K4OU^kYkUxR%+>d$J#MCmJ
z?w?gzEi(K0+*N;4GFxVZP0pAkng4^atN7!Qq`4xq?e6c{^HTQu=l#3qip;hGO*14f
zX4z4*vEfSk60VwkyZ?G?pYswdU`a|^VOFzf-`?sEX*GNHeAO-JtM3&%Hcfu#+JeRv
zvfK9U+Lfw#`Ro4Adw1P^`yyttqiWBaqhIuYPRN<u@w4O2@%_8X_BvZ{NSgDv|3_y^
z&Jn}YMvwRI4x299{_4aUGwvn#!;bAZK6&=@xkpc4m@9EZUw(!FV@;C8kvXz!=h_4x
zowNRmOy~3cyM9mlz!R}~&Z)}Yr{*Vb`K)N)v#YGuDRgeqY}x(#QU~Mr?k-<t>%V!=
zuCL2&0`2ba-!<1RcvsHeU9~T5Lc4dFHQ(xaF>CMcw_c(5OSkRWwfaiPWA}Z0>(#Gp
zn}6`?-d*qIW6G*0Uov`b_ds#mzFoh!&0Kn8&#u064`+Nx{=ajV;6+BRr06}ng(mNu
zuzUUeeS4T=|2rq;^Y7cc>G^5apFB2u_dbsK@?+`d{rm1L)nU-tayxd~o;~kU)V{y0
zu-UukzP4N6>HT}Id$~08TtD_hZtvcr{W8t}bocD3&6IDg%-Xqk&%UslN0Fy4JvkAu
zde38riCHNtRQK#zS-rIOs_gD-wb!2S*}Kv!!9#Asu}#G{{G0AaE?z5qBi2!VV^gdh
zL&H6}q%#v9#9WGHSogMVx6YpZwI`*{UvOnk{$Dh^b5p~+vt5sqPEYy#;$g*#md0d;
zzI~4EmoJO)GRE}pJJ=B+D#kj&-Hc;@EC0s5N!upvZ9Z}B@~RH;zANlYb@v_KEV08X
zV$;4O3Q_Vq>(xIh>Cadxx54q_ty4!Y#QdDPQ)lC@X?qTPSrl&KyxhC_>BNYKjC&3y
zZki~m*vlxV-EmZG_d&TUI}h0H?$|N^#b2g|A2Tjw<xFhcz!+oDvG3-)iL-97y^B)v
z`Lwcsm&FOjTNAp|SBlFlt`YB^!M9Rw<IepXly)|qWZ1mX^f2S1rEKgm$M`pdsQqL-
z{%-A`#)69d`?YS`G|mbBQ8>wX&dRujUu`=*(l`n?%?mr<;m)4S(CmM1iQNIt9Ok51
ziEol7G({}vZ=4jv)5UE%duP$!j$Av=o%6OO?Q7q*_w1K7QY%a%cb~nXw6itk$)7ii
zZ|-P&zGCM^5#4F~&t8`O|9Q*AMFQRT^<%`YE&O4&cR}O)Pi;SHE0`|)`N7!1k<7Ha
z`E$eH=R1!ivh8iruDT<telMxB-)7ID^HK}GDDBwHeL+Q~eno-U%*(TPwCt!me_>j4
zlI{xj))OmEnu;;a*m6TH=2PRni8t=;JN7hKP4*;D_Mv|EWQH#8V_w(qC9lXnu}@&Z
z$J5CRl#kzVa5t6MTGlXaL(I<oJ2%91s6AeE{qw|W6-}2WOWb5#R-wMZUuVzh)V-A-
zr@l#Am7{ajphkDbr=EkGRTWHscujChyggy=(VZ&C-)~SZNZ(lHC2PW%+;hJ@@xD-c
z^~%aaBK}n|Gt-odc5+He{PB-D+rNr=0uOuAR7Z(^$C$qi72i4*DI_y=GHZ43Jl63~
zmP0Bgf?*<SQjs=`=*H=GGqdfaZ`_=}fKO=ioEh(Kyg0#h<IT>H=M(-Ozwy95Q(>0Q
zpC8(FGdXUE?a-dH@y^5LpI1yx>zVea@qOgJ!<%-T{=hfmaN&h*--{I2Y`)U-aPt<%
z?w&0>ou0Bd+`gXlSCE0#kwui}@lMm*8AlY-?#yU<w&uAngQgAZjn!*DbX>SGL-JtK
zk0t5H4{q4)^zgFOCqAV=J6K{Fbx$P!yT<Z+<%aZqdo#H=3B_#SN@iGb_vs0Rm#d!k
zZCL5++1e1x&=LDTo`ExhWrO?1iP;}nRwi@^JmOnnD_-3#^5J64^Ntm2oYOus=6bHm
za9~V2&0VX+;QYC*E>5bG;Rx5%>noJG^-i*Q3a(tJ&-YDw$BKmq1-zM)ay!y0I$0Yz
zlTPs*?%Bh#V-Yh)jA6{|A18Tkcf8?wJfH1-Mg9S{AGas$`S3kvtCq7GhknZ=GX?&b
zjk)4K1vc%UF)!&S^YN~UeVm+6n3?xEd^{){@L25pv7VC~{Q7pE?R{-vR<m!e*^=wp
zEB9~PyYfRUV`uQA{nK7&JbkJ$d(OT+>-B#mGxF{JShHvL`@PG&8TxPW$nKr_E#x`-
z-+lXL=k8tV$@JASRBVlG|Hd18mn>~cX5?L?eRgH)qrHnfnf7nnGgD{p;-?#${8=0W
z--yYdVwt&<<N5x@mYN(1EWTdv#B*8tBlj-$V(kz9z}`P8TZm=T%BM&7F9|*v%dqi<
zOnO7X(G82{8LZVgU^F3_VZOw(iO%wsQu7*}Kkr!-bBiZ$XK?b~#hR7u_ck)y>|JzH
zi0i1><o%1Er|{=(6#uw)(Gs?P-Mx#Kvi948T+`2+H}S4U;r=DdAI0uilE}Q{*Ty*~
zkNw*@H*N0{PmxW(ch0ieyF`_Jv;5Are=5aNc5K<g>ntdzvuEl2jV)*Q3(wlQ>-gTK
zlX!S__9|BHU&a^95VSS&AghTD!>(m^EAr)H_b;my%-OJfV}s5ykrVUSIFj`BC+JSw
zGyjQT_s(gIE=eXaa^ZXDR2p7d$jjlWJ0bbMSn!FbH{xQ1R==DWxoXAEqbH6lF)5fW
z-QcaF5@UEUGTBal#Y(dsJuM%(9!c(*oU_9D^F~*<0_Mm^Odd=VcKb3FP7)R<>WEz9
zVZyHLP}X--^e~HHlX;B#h2I<3dng+=`S0zyJAKDaR>q`=cQLxVdTnm35W6vlf1y2(
z{Y)#l8}qMmOlps~v10;<Y{co=F_Ur}w%3++#@XiYJ(#+GqUG#QH;tTru+OO5yzrFT
zMAiFSS5DhA&5LR8AN?K48;l<8oG8t7dQaijn}R=>CH`-inZL7e4dag5la0YM1?0}j
zZ+NUxX)t}m-#0soA2P;l{d;r&((}4A>+@%H2~CV;O!;RM`~O7#p4c6;PG%iHS#Ugm
z$Iiz$wUgO)eiY15{`@iH4Ew~RO-aWKIuz_;B$&ndcO0Bv*&s7ROW1m0jG63)Wl3)t
zcFQhsd(8J>rQ+3sZDCJms5dajyh$>evD&sty+V#N=G=|#?YS@3Jr>+3$Q!e!CMo9L
z>!16}5+aghSBSH|jJZ*o^kYT`<Eog{q<^0l{OS;F_-t<(o>Cyz;pM;h+oNc~|DALC
zl@8jwX517z6H?#Rw{3ro@WUHh>nC2Z+g<a3F==@W^N0H`5o~)4RN{8X)a<C8RKZeU
zutWRkjv7AZ?n{L?m3l=T9BOVRnC*PfF)1n7Pw_^@{G7dYqDj`PC0wK#3ja7=3fr;e
ziPPbo$^F7J&Wp?MY?$*TCMV|C&V~IdM=Iae?5m%@qFZHUz98qPtE(z51vSJntW4r$
zs$ksld(F<k37kzqi?-SvbQR)Ikkmd_zoXz&<*Gwr&vtaMo5Y;HvUcCz=Fgp{({3hi
zTqT{pzw!5pO`Q98u6JI>dE&`Rro%IqyE3wG+uK-k;QX4H9b0ZJnEa6GhgBlyjNh%3
z0wQlP-CSF9Kx+;6jK3ZX!aV6W_cX5iKIxSE--d(VCLK|U@@+V$ayf=$>rO}hjaT+c
z?`}%UUKsc!B}!n|g0mgcvkz`<Wt_lf-@zYqse{?&#>e9aI@5OUb?p#bv;AQ6$&$pA
z!jJYg|5jelxlVp(^O8!=?Yl01-qqa6xm>s7uXz4Mc@^hq4+XdWvwu#ipWokn{cO?;
z^|YO5Tl+X{He5-X(f54kxw8kV<zxQri`ldA>839odQ1lx7fiGj6wWZ>XcvpD+5eEG
zW^eQN8!;PpH9v@1lDw~J_kOF#i&XYDy;k#k;rLDFg7*)m9pxW4{P`HOw|Va`rJB9X
z(;G}a?`<xvN!r_dG-*$Bc~4TO`oe_7-Uj{tg?n0b(=Rl3Y&pJYVd2>&F*)3OT8@6-
zV)1it^QoPS`B<a(w^$zB|9Hv5y=}AoUbsZaObY+_vGK?5c8j7Vi}o??@03qEH7BNR
z!N<K_OMkv-$oRM-=lPHA$xJ(ni*K+VYpPxJ)v`lh^2Mzqx^;{Vxj8#~e#9g_wD`QM
z=V6lLrObYboo1poF~1f#eVf?5fhDP7by|n?58sBUM)oA-j!MxDca#5D@0r-jl(cg~
z|A`&vOt)$5pHS&@<CfvcWV^jfH>q++pZeLs(#o{UUABJ5BDEHOyQaSSHylYDFG`;H
zSifWLy?u+`)yrR4&yqfae_#9R_xANC7uVP{=*#T+px`duaXSCxTfv8u8@UfV@97ZR
z*gd7cL85i%ftj}X7k8O`_-R%?qp12qeA&LmT}&?+#JOiW%t%QJdh%-TVpgV@YoBH<
z`ysmG&Bon}ohLC>#O+wDGa>km$qkPUZ&rLry6MLHEvfy(-J~U-SKau3a^Ay3>+G%d
z_bm?Jx4+|^eNNKGy&aD)$1adRx+k;CVe_6V?KgJ_?77;$ci-aqv&0)3|JH17S);f&
z?SzNo<@VV-QssX-oZ8axZSUgCH}`eSTjyQ#XF*2f{-qlquqVAxI=dsS%VWunT^o4z
zT<ZQ1vnw^}!H0+cI`$;9Tv*<IrD21po$H=136rFMbKbmfTybM>cUAW<?cM3(+>=hp
z$#>n<>F@e-@Q<zZ-rghfUH7f+uhnkb)2A1<@xi$p414>w?Kt_P*}iudW6_=yDiIfs
zPiErWnJZt%xS=p-_rw%^7TLX%UVpnF{d|SUpOrs9FkZNF{9wcD`JF=Ib=vz^iZQhd
z&tK57(f8<{Ro>J0PkrmTk>OwDCA*FDRyP&h+%xS^($xhU=X1%O*vX}`Be$FDs>H&D
z8hc`r8Y+)-Jvb`IsJ|=ih$zoXrkyc|lUC~SUzojX#?A%(+$S_e>-Y8l-nU89en&yw
z{!Np(mh37N6=dL46pGgRmoz!^0b|UKjREdV!f#kNs7Nlnrhnq>wLQ`|ICcm<=4!|>
zy*=gBzWwvMHVdYo=uVjYCF}WW<+BryvN6W&zR9#?!m$*FH3tPhpOkyPQYPo1c8U>;
z^h3AvE7fi`HlCfysm#c%zp`}OoRzhE7N_pZK6~TgtPFvinfv07uHJUAFnNRZ@fk_S
z5AN8^$7uiH@&xgu+ltkluHq9pY>sBQt+*vS|JBSF6HR53(l*3>*(v#LjZDPdEj{P%
zNZzizVgC8$lJpamt+5UB?^bX&ZElU(kY^vk7~{A$!RFx0B+jj|JCZiukbU%G9j{c3
z*|nYTI#$N)Eim)$h%mRl`FzjU6={((w;y4gvt#dif3ex6WsJKw9Vy6|)Ns@84}W8D
z@{IEzKki7HT9<rehu!CWE1&L+7cMaOtczI@^`^uA<{rg8r+O<_<<{(4bM2toiJQf-
z8=h9|oxG;yjZ#6W-1VK4s!v)yDrw|y*exE$_So}?R_@(j&-tZh?=jmm!Czqc8kQHH
zKR=w_xZ>WimpdkR@0IRX-;v&be;=D}@`|S~dfM3!Wc3?9SZ-R!`#^2a2F4G?6SjT0
z(9k14qkaX?2F92zFGSr%D^m9qHSA+Ek5M=g`@f>1=zz8R&Wg$n?_v(zsDA$E^7$Qe
zl2<NC7VW6&7u;0vdBF>&Sca+gFQ%M$AEP0@NdM8~fPTjXUPl|J<=LEGbxOx}?U|0G
z$qYe-YtOUCoULT$+{E=*{Xn4MhK#l?zjp4Jb#~8w?$|esMmw7#KU&{NJTUtP$L>bs
z4Rh@F)|&b5ubF?hYlq>-D_fISoNwjjy1eEk+sA^2-SazH!;f&THa?;I{KhI*uGBwg
zUKHKjzgLlkr~A_zp2;^?KU>M#oB5*LT#_SqkGKAw{df26JLt`oy@5BzZTF^5juq#R
zFzQS1K6J*CFMaQ)6!93zeVaueUf*!}{LYJR!W>UjwsTKp2-bgCmE$mTsS?Mp$1I}v
zcvd`F(|9atqw>cahtf8jJ3m82iR*C3mzW<cmb?d&#dmk8RlK~k@rBT{gG%k`0-`CV
z2^$qZ?m75XZo_~6nLlExH#l9}sO_Q=&!`mhhoj?S;7^u7v4ywd_Z)cNe9JyZIBCZf
zxmkbhSLV3w+^NHJd+*V@`3JTb=;rLayNB^W(n-nRd(v)g+j}&cY4Ncm<r_2S?K!&k
zI*aKhPw)LlzfL{qVmf>8(Sri=YZ#OEZkcmLF;#zO&$c~Bf1O^jE^W_I@10RK6&FPQ
zFm@OmX6%sBZsB0!){H55`KLo&$yBv^;}#Z^2%U{Faruu7lUT)*cWmrs-+9E<V$m9w
z9TPuTKTu#}iczU<_}EaF#1{KMVaY=KgC^59CZ&H+k^8!liI@9R+Tpn`yrd89?r}bv
zxgwMObdp+SvBhcbk6R~B+Pn4ryKe`sSUjn%-&t3-cfqL>g38Ip+57I=9lTd3yzRlC
z1sa*G?%MkoK0jghao@AFoy*VeUFdg<)qC#(|C3CU_r0HGSo=bpwLel~ciY6E6Z;oV
z{dD4vChJV=y$ds0pVmq3oRCs+V(TMiJ_c_61FWBTbdNGk+dFT&%}K+g3<;kLQ#Nm&
zaw6Eg$G<*${~YT`E{DC#f3Mv;PnE6x(@TaU4>>hr1RuZY*tz6v?{O>rE}PkRe(YVk
zUx-QRboPdhPXW7kMgO>X@W$I6xy?#RA9pmi%WzCO@hGD4CJ+0on72og3^g{~fBEcT
z($SsE&UWV2o89=Qeb=e1bJFL%bEorprWCB*bTcsS^1b~_H|?2z#W9&-lR>!L4iD}d
zjQ_hYh<9&}wb?iQO+m+6Cl&#*HOxCCD^B`6;EMV4V|zQxj&@tQlpWLWef**HL*zza
z1>cS%%`7obAH=sa{c<yp;7GdNx#4)ncD)T8NgsMP_`4=1r2gU9^ZMs6&WQ;dGfZ6s
z`q&sa>wfG?VdC6){_LisO5Eqe{&z0gyl+BFQsj<8`+u@G1b=+ryLO^&a>u2wZ#r)<
z$Nn#fEZEtxi8YqNZo`T7ag3eE1qHr-ZT@i4Jm$d!|DtQxI_nRz#Oz!r6{EeQPo;#>
zN<#9$vY5ZI3~eur4xc|UlP~*bq4Ez=Mf(mDf&ad-3=`v)$lr)gv+vN0_;244r0gr<
zUHwBfNn$BecdGfp%t<jvV>TXlS~g?en`1jxY!Ep+M>TVn{l5O2UED|aEWH=KcZ#0<
zjkTMa_jO$1kF7qi;oioFKel#G=kH9~b2VwlvY#{OGw+M>juC6vac)n{q%O&4`<Fhg
z-Ph&W_>{wb(~8Xp0y-sm7<a6Z)xTMJLnW#I%vwz~wc6}``xRsA6lU)4nR!xB{57NV
zIgT}PJMAJEUum3R^r-7UQ=O!6lCfi^xco)NrP-Z{+xE`*ylYFvo~1kA?(Nxovfoty
z3ZIhDY7P#b`8RG&Ds*9H?-w$x`#SB<Po~P=ZEyVo%Kq&<yl1EB#>)phejGUddEepm
z-t*hf_iU>x-(<9X*Ye~OpU)n+<$S^LL{8GnAR&Rt3SH~0_b+>s+<(6O_ue_{RAd4y
z44?n}-~Y_kQNuTuq1VFW&y}9D>K4J<_U)bZWMkmYQycd#|GaO{Ol@BoOU_k=VFx)+
zIB}%!_|%s4fA^fTeP{FL?w_z<dreYx&ogx|=IWllunUDbC%luqM5i9u@pwb$Q}IZd
z;sbtrJ}mcmm}(sIXV0O;6OvMACA(QQZm{%SeEX(ncbU2}Uwv1t+0U;h1^#%{_Uz97
zs;coIZ0_EiBLVO2_e}Qxe(i(ao0*}NQv)_XF;YJu+5O{igy)<6lkZgiun}GvGv_3)
ztx$9i>imBK%KZQC?9%08(OvdOm+sx%zx3Q6htpOby^k^?>-J857a7yDd;LF^*|7o2
zWwWL(+H+DZ;cC)!_5I)acSl|SB*W6{7M;3p%2O}Bcu$Mf4fF3!`SWI%%L=`e%{{yC
z&kfrBL^Gu~YX7OdQ%;E{U90W)JiYh+`R6^mw_5)djW6rjy*vM7Q#<4IV~bTD-|OF<
z9s64K@%DXFik7NAuKL}x`|e7$$J_Q!DO#%XIBR{+?z=B-owxVwj*34vp>4~<?w(!$
z-%X2m?Y$WPrhoT$eSa(ay-QQ~O<5k~_VMTb$uFmr@OC^6|Fd`Zls!}Cdbz|u-M@S3
z+C5XIOq~3u|9bef-rcXgZCifteXjW_Yy0E9IhR-Q#jn{jWeQKcmi4|VQ$=RKs>r?E
z`}xJhpZl%`ip);mGi7-YpS5c~OYd6w>zjLbN6r6`&CeLKsVm`ehkIZD?yv7uC)!$l
z%U>cG*SGt3sK~`*2i<yi*G*S7Op@qn+WWZVZbrIx^Q(IgC7%=+_wL>rq?dcMfA`v8
zv5QqK>(}?}4qe4(eWG0K;*D4H4?D_-@>r`>OkG_O|GY)x+s0P*8#1EWuO5F&$mrR9
zt39dmgH12f1UdPGH|v>`9{uorzWdGb?i_yR*L}N7y@ihpo%}R~rJ+Nb|9#JF(W+Fv
z*$vvZ*JAo!c<ao5+F)q@f12Igp552IOn=|(Ir9ExjmXJ8J-f?24ICfm+5L2@W9*oc
z@{pl}+4SVEfRmaVLQZtJu0HUiXZKn^+p{0V`gY%cf2yrxZcrn~j9Xv-^z1HsdMx~C
zXPoxG-rc)Zf-5JK-(XCdyl3i5p&v0D^!H8u<Jz_N`R?TpE4%jDemk~rYFf;$h>0Iw
zfABQ@5&Q4O-f54g{k*ql)~Q3w8n#*8>v-^lVO8I*?VEQ`V@pzxt=^Fs*Kwlf;2fsA
z9#)KZ8J@H4En|t%?^y6@+xElBj~nir-sIxkKP8gI=G;+_y-S?_8JuZiw)z{hwB`C^
z*^UQi_e?o8@eG5`zA1Cn@9uT?-?R8$!_kKu_D@xPRLr%3slnp-ieG)5Hnn?pOiM_r
z{23_Bagt3XsfhV2Gwb`Voj-R?-`sF>7L(qdS(_hqJ#uGMPhw&|-uu**LGaIqJu{BR
zG<>+R<JFr^?W8?3&o4avqr1VMCqS$rSSXLp{bvVbeUp*d?xhvXNv?}HdKqsV+Sq(>
ze#id5e<tv=F~;~YA2_X%#8tm%Cja>)^@imCbxH4IyjdcRZoWUjlw=rl@6tB|u?cc}
znpZSztl2TW{ZP{W>03`KFx=j|H0<V<hGc<G2Gjk$yY3!L{`bCjS9Ny;FJr^IyF3Sl
zZsj+4pIcFJDD#BC!~=dw9dpn3?5h6%CaGa|TBo1sPf5Z4U2AW3rq}iGKIVSGFItz}
zw=4U7Pv8;$CL?~v>;wFDeY<`i;mk^!IC0jE>W@DUo#0C7-&H@IW#OmZUALbe<n~vd
z*OPSXvQ*QWjYb<7`*ugi#x*|Pz!cNFJGyPb^Z64B>?4?xw)gK|yXR!}#Hy${Gba>J
zc-W+{hbft%XZP1tmqc8Co?NxDe|Pj!5tlc88`K#7yzaT5)4O}`BtaJrwLb@{PjKkW
za+`ngvG`98i|#Ie&)xSn-c`}yHI%I640v$IutT`6_gT*({odVw^|rFCRq}qb$2_-Z
z_ugPW>(u_;+k<(mQ~P%B-L(0Hl0b(3gPEUXdNw~vdwT2xV+^R+xYzM!W5*{h?%!Rz
z6O;cR*webcYv<K99q~zhySLY6O3cu6``^DS)>EijmNB<y_tt-3c&&f#pKkrX=kQiF
z+fRF^m$}U_^4>g2=)Xq6ML~bfBDW3gT;PJlUv&2T{nPgc^Ta>hJAG}4{@hn0vn%Ir
zS!=z&|H;>Td#3xD+*~eq{rmpu<*RtC_w1WKbzimoPe+!HnZCLUZ}#n8U#;DBd(X_C
z-Pe*LH0Sl~-oI{A!{(=FL?=d?s4A>&;EDayF<-sl%KyGySGP8)t(mCxY^_4f)B>}{
z$D10Xp7-s(RlZub{oI}z(e>vJ7`#;Io^?9(&AxNG1<Tg=e7^gyf7kEHYxpPlUQMZt
znawWxQ^WGs=7}1P{ky;Z^Ro3X{nxwewcb~|;K<(HZ`X%}-hbY^Yyay>x5HnA&RJsd
zI{wMa)D_2VPw8H{ygHcs_)ix3wFQb(CGPL;+Z}2i!+D^Ub4T*OPcPr-_U)ea;C2Pe
z%uN4Ru5X@CtVsLu;>N0ujs2W6ub6L(*|+pV(x!dW4wdX(sD9&N1XIkF84DjU#%z7r
z$UY<KefQ1{s$Dy~8@Aqk@@m1Zxtkj_7#Wi?lV;hUaCnp4ar1c3joNu#JIZrfIKMUC
z`?zP?RrN=g^1tpsDR`l8yH)IZcds}7+k<LPxi}nQh!#JSy{`J(+3s6CGxa|eM0d!|
zdc-3BPwaHpo1V*?EZF}{eRFNg*{!etJeA$uaQ{TX+Wz<2>W`XV_inGzf83;hVf(S)
z56|{?IZ3EQd~uRkzjkNElr8J;_ieW;{TQ=p^P|T4&pordJql`8l$tfvzwe!`^5&WK
z*`BREJ4#ng?${u}uCu{<Q^w!s#}64}ni$MK7VtVYT<mLN_%G2n;brxe3bD?%{XMf)
z0%}*!)!xXyr)2U5!{ZZ{|J)(;d2h+&J@eM;Xa0E1cRR9*sjKpM?@a5{5h?p-P1_I{
zo3nG~zx5Mt@11__cF#=rS!RC}b{3wfYMHO2|K?c#%t<{v%dMQ7=4e)4xYlaMopf$u
z)#K<{OE1)#cW>C*H#2_P%mWLKnLZ4#ijmroAp5^(=9{Kt9TS>Vxi**{?cAv!);sIu
zs)%{ryXq~@EMT<XSKxa?@3THbOZG*tdmUYyrx^Qvl(^s|(Ru%W=k6bUvs{~Ym(4c#
z+%>D8=~&I4S+QCo>K`*oHeYNx)V(ige&_7)BV7)=8&=iZ&35?17Sl8P)9t;zRquOd
zcXVt}-d`eXy|Uv|0nd&e%Nc3<J4zn0@ZWo|xs~ats_wVjjoA}9o^MDzyFciD-71ea
z3wj>SoD!%i8ok1UG3kFSL-K*`n_P}|-nGiPzPn3or$hGA!~bR+PLA2xp=?sHkb7qJ
z&fTld-CQ~Q^Pb{KbEdcN+hHRfy{}zW!hnC~<byUbeg~4xcD3fO)H%1pe&NdeISW@f
z?`S?dXG7A?;DvWx*za%9$$wMVI4gON?#B-CS$i6Ctyg$#+f$z+skTDXw>nR0hD#qC
zXCKF`onkxm+W8ke34g+<yyx)EJ!Rqd8kRl2u;Re+S2FV#Jcy0p+_7%sys(M`LZ4Tw
z-q_<mndQb#-QN5B7vJ>ssyB+3C3o~1Pq_T4L19KGchbGY9dUnpdeb^&Oxu{&EYMdF
z`qOC}F(K(j%!;DP5`P!kUX8dpfhEB`>1#uUX!ghIHHSLfB$}Te%UJRDVCZqim2YmW
zOx(ERemi5*4tdkryLuMbvnTDixxi&%{PC4GABtyu+{iegXks#haAWFKiSi9R9+Q%+
z6df3@zhQhO(a06^J&9vQ&YY!TGYvlWZa<%sc)(h0VrTNsT^kl0pRuUw4`aiX=QHjd
zQ95M1VWpN4EBDre-*(P_!X!WAsxUJ<<F%OG6aE}p<H<FfQF+am9pw|{xi>3({&A<X
z&y|Ju&5s>bH&><$v)VG&Bz>H4>k*^iqy-kT`Fl=Gei$6Fxnd%ZHP75VJv%;4jOCD>
z@$c-0t@)cc)E0VnG2f|a$a0AJm;B$i<KCNp9UFeE_;Y^eq*=Rmm>*vG>PN@>y0*h%
zJUb_tPUJ`wV`gu-{WXR0@2c$|_sY%P+r0lqa_{=HJ7Og^-1yzOII{7WYoy${l^GLd
zv;T0cN=rKEada#5xodlyPHp7t7c_X&GSA72YxTEIN#%b6obT7#P6%TXJ-p0t;^#k%
z4Ik6Cyqj9P*S(W%@k<8pH@ka2N^M=7vcKv5nvS*V0&VkW9PHk9{m<T-vr>DC`u98P
zSF)AwtG>P0HhdD(PsVq9YWA<$+o-}2P{|^-GICAt%Inqpgf@1n_4TdzZ}pUA=Ej#F
z_clysow==NWz<^M{ylpemaslO`Cff)SA5>T{uPs@>-Oo2@9#9fvA5Ba)%|w=%C+SO
zyv!E7((nA<<F(_fV6VjAWB%HE8mf0&*{mqq#B*rlfqA_v?r++&ZrdK~rK>-!P`$Cg
zVddTpUz7})(|3lykl47VzB$QZhRoL+;T;;ROi2|gN%1H8IaZi9#cVkd%g`(vwjx<?
z36sT96Mj{T?*e~2F2<NjFi+Y%Np|(zS5Nkuzx*}5vMs}5&&tVZJ1hj5c-2n^C}x|S
zVCW9~R@1aa?qc1w8@p@v?8rLCxZqs=9>%54(|hNIZrX1%=aQWJC+TY|^(RLh$TE<*
z`JZwBj&jcgr<@|OolM^Qou}@!axV~j^J_=aam9|fI+i;HN~dFNI}0>+K4jeRKwSHT
z{v!t7S39^9Rrh&*+_~uZqZ3!;dGdEHdh_^%`v=C3Ra|eM#Aq{^zua1Lb9UXy$cVEy
zm`<oOc<-~>)H~(=w!MBoc5<8$j#<AmtM1?4EUuWun;DC`&mP@yw|)|1A;a38Gu!zX
zw*HVjp;z(l!>!GIGjH4NSE=4tvv+U&+|&ECrg8X5IKDXcdPmpKo2&Nhh^^RJ%bd)x
zEQxL3i;G?{VGSMgHr`O#z`fwxd=A|;E6biunj$~@-n)G@({uLdh$m&AIQ=MtQTkL}
zD`TU=oQ8moomW#hZr@0sIA`}GkHQaA-*7&7*XcMfD@R{v=eK=(jO{vSESxzpdEyG0
zhDnzzXU&`V?C657sv|2IC6ji}JH~j0d*0)TlNaB+pen2UA!(Jp{+{~zd(*dX-ptY`
zXwcJib^4U6Ia?w&wfx>|`uF4JgX#j^UFMg|l6JJO*)gwj-<*EV2Ae%Q=BTguVZEny
z@1E4X+9!9kwrNi|R==qubH8co-iGwqyUljg%g=t<uw(AkHJuI3Nhv$#eof)rdhlza
z%!B}2`~9`=_nWW&G{c~Kule~M4Scaj7*;F1-?uL_>J8J*m4}k{HlF*v&wSO>-6a<`
zo!`*&d{><-Z|1!j>>nq^SM0CNyuZi%yV=EQ()-L~_AS|GwtDyWjoWYhbTHm)y>E}1
z{wKc|^Y`XI+GlRfz3JxZ_<iPYH#{%gJGF0bKjU8W^*y_<eb}~UukGVK=GyNU%s<KO
zwkOZe`)B=!uiN%n7;TwSb7+tG``sP3|2Op9?3?k_;?8E}pFiXFSv>P=V5pGUmv?fn
zW%>I(1^M24tRjExO!?Wg*ZOA1$?p9HTO(o?d^x%!=-JDn*7-X^&hD|<evo0~hT?e*
zw;!|aDbW4I#?iU+_Po6X`#0~mf4Z}vx2vK5YlZH~==1w>k1<UU>~GPX`gdR6+&va+
z|G#^b^mFC;{>r=?$qXl^o}cve=U($q4Obp@RNAiY;Ho_Q>iDs{TG4ykmT&8+oHyZG
zvO;&IseYq}U5EGXqs?#nD!1OeylmR1ZGF=l0!5>@8~xm2ezvnIsp;wGZAKd}?e=-p
zQ@LNKBTwvQ;QI@vkw3m|-0QPxzt!2jKBvF+&3J7)^QVl+41S6KyB<W${QE;^pNDGO
zky$IP8**&3_vOvsYr8&j{e$Sw3-li!`7NHkxZ&Rpm7I>X_jaqi>8MES*pSTrKt}fP
z%pc4<GOeE&GWG0;v|oRM>&LM_>G^we_AWg4cg@NJ5xYEH8A5C1yPm(%-lP9>zjMb?
zrhmeH<zGMTajC7`Q2u9^#-YC*Zyr4o6`#K-q+yo4^c&$MyQ>{}M`Ct!buxA2A76ap
zd))-aq$H+IlLd}$tb4SxTU>vi`^`!J*X-^+batP|>7V=DPfZC=pZMMM{f?NQd)!Z*
zZpjZjU|+nz_}b1sMJ1-``a8V-HEi0`HSbu`fp?EOUi2Q5y0B)C*OMkQ(|@c@W}jzq
zR(4#enUWgazhM2QJps0xZVKk_52%^2JZz&!^U9b#OfzaGrvBiF+30X~;lATv9!l07
zTl9ISoA$Al7W;g?Ztn>`wa=GJxqg>k*e>5UXB?cRIClII>a0~~VBg58qjh0E_wD~D
zRDNtca)d)AX=N*y*zO0(2h)?jZe;48vP%8-zM#mPvyL}e^&hy&pZs6DL-1PDhK}<b
zQ)4)0c1-7(>$pR~eEvkc35(Bn`t{8Sy1Qqu=Z((3qdOb&_AqXYNM03n^x?JV3ter)
zxG(%qW^9O&eZ8ZoVprVxotv!Qh;4~vW=uNSzoCBNrT7HSB+e}g$;bAG-R`W>`_}NK
z!gHs`#|@p_CfytR1hjKzhSyzGPQKaTA^ZQNO65xa&#Pn;Vyq{ud&qZbgX#R)Y#V>b
zc5htRGd1+v-muVnw^j=?M^=@^Y|h*~`B>zGDaSXfR&?|qpLpu|gwx4WH)Pp<lx*9+
z+x2i#w}>3$`iAKdGcVp??bvyClih*X|0NTyJEb!)%We2y6Z?OMmE6JX>R!XJ4XvLa
z%#_}=CMMuf$1%nSv$FTh-{AUubBioTLd-O#|DrKrPaZ}##(Yf@s7n!Q=$I@1`;fH&
zGmqzv<PCv6i{;<MGCt)$%(%zJKXPw!dMEP<hCtRG>7xIPHvCK!Z-|qPxD;ddAfYv`
zF)scH&+@%{GtPfb+LxEI;pM~s9Y6ZL9@O6b@oq;&;*S#r_Ww7|`LXh*F#l$S`W0^f
z8vjIm*sZ;Bhrt9!!TOHr@fFNF$`v^UYMUl<80N(MUePN5*2Hs9ye9XiSG>D-)^>6v
zpWm^(J#a_7xc}Z|n*<bh?f5ZaZ41Noq&P`6o1-!tAMW>x*%-aYBVwP|x0U~&@A4Fs
zn$DrW$2PTh%6yxBo;UZ{thC+lzO~~_=o5j~J?=NRyuUqdpZm6b)|>XZJ^#MXc2n=<
z@K5{QVy5pr^mor5hr3_DHT>PU$L+};2VbM?d%I&2&u@r4`go)L8;w1VTbVQ~Htcic
zj{TqHW3ij9Ymz7%--*A>F)g2BGPGk3A2{Bs(kXQH&&r6VB-VXS`zvD(96gwnc42e=
zwLQ*{Vm7vka%9CEVsO0~6PLRo>%?gW|3=68fns|mhPY2;;NKIyJs`EWfB*hxN&AZC
zZr(5a?BMy$dyD)c_sh(_d&B4R-XgzQtnNH}JW9_^J~D4tQTUoYGPye+_w()(Hn*R_
z1DYWyvP<17le>TC&dR+->StN|1$GBoO$sa(Sbw2cwvvT&%_Vn%!(yjTyuYc_$t)bP
zvnc${rkg)5JU)IlL5=b2Kfyg3-wu5Fu~+frz9PN3lbcO{@0;{vXW7yHMb^{zn#^6Z
z*JbL7#qK#g*LLQ9-0M8e@rccVut_X(ob&d&Y(2HF$ol<Wch&5@E=yTwuH9F-Z8nn%
z%P!7u`uiQ9ACwl=-tXlq%YUVEulvuvZ_~r;`r1B!Fsa;U5XsX}x6@<KzN}3%8`iw9
z343H9dS-9g^j3{-#iSkXqQCc))$H42VYPn(^Nk&vteP<o{>A?Hi|uXM`t$h?tzMR$
zwxaeYls~Y<MD1WYp0*=`pT+uwrjG1|6K`}*_#YErVWs~@@RWANvFhCW`&8d>bvPy;
zIk9|OZ$s3?m_mIEk0(v#J7Q#C-})bU@ae?PtChPe^e<fOFf!OFRr&RZf;|5&b7_(6
zIs5iVPsl$kyh~5&QDsB+zJ{YaHn?+k^j&+OyK%qX_C0}fg)L0kwRZ+iVq|AvwlGbR
z?z#2X{C!XDo{7Do34dc`50u%zzEOIT!F=Jo>~o(DKb!nqF=O+_uF8YyzE1l0X7)Z{
zOJ?Zw5}&`JZ-0>dn<VoYf(bWcIv1E~?+)UKnc)<_EN0K&<Q;(<8COI|zdsU_t)<}j
z!kuk%GQ*ACF-s>*<4;T8^WWjb$HTr49$9T-ESOp?#aI@zKj79rkIDN2=6>357W8kz
z4Vzc8Vf)N-9^{GL<od>VBYorE>h6s@HY8o%8SrRli6E!so|Yu<O=tht?JV={+%31u
zI4<dA(whB2d-oZrX73I9Wa7EwnkPenpy9tmB7zJxH|FoSzx#FX-wE>nTP7ZV7W3dl
zX$r%%!;+8R?5q+0=yg7OZ;gN1esjIw3!YY<IIy|HMr}q={-#f6H=I7r;N;$LKKbOq
zRz{BZI<IuYIwwsQ)ZY<d>%Ye;Y;WC5o4uC#d&17&2-gbRTUQyTHUDvn7T?Vd+tvNM
zau%f9-n(!>_~DElGsGh~-|jf&oXo(u>)P%*L4i5@)*n0&Iq8UN$Ht`2<1uf`x8Le*
zwl4p~b$4$~pFqFLO2dT@)*tZf5STmt#9q<eduwzUM6O-gQ!|l4ezzU_;uxV62JT27
z_IojVs(0${aSY!in|b1|GIwjGz{UfI&tK^4+E*Q(rM=%e{6tJON6@Y9>2vO#?=#>(
z>&cP5p-8H$Zin@Um<>C>%)4+x{-MmxOEIr1P5t*co@G5?Umdr<K2v*-ZMYZz?8hP}
zlGYwn-&?Og|84Zwb!%qGDr>Hs#CT}kL9<_O?gIbf-bcUQJY(UbeWCJ|8qIs`UwdmE
zE}OY*Pw)4id+cBP@mQZWjCdcNcYl&#tcKo9LEFD#(Q$90cVFU>-z5^gXP^C5b*<D-
zuL6wrW}b@eyyrN}!6ZeJaoXmydou0s>U+e!)rsF@zrOyaipw7R)p2@>FU8_Nzlpvz
zl~=y*|NhK7p2GQAZ@;bOv3|cN^UL0t-k#11^~Y9Wq1%kt?BDVEP1w5aOUf564Rn!R
zd}?2&efcWY$KUtZmoEiv2FcVfpVZYI>Z<nG?*E?5D_d90H4S5a(Enu7>^D*SUq7`^
z+n+f#%DeH?tkd__+z#85wbEaW_vz!z<8N5%w!VqJ>&5T*d{5?lKbh*~{MOs{XL-%n
zj$E>8x9!<&E9NMzy1jo-X2^0|>mdI4=zUpB{Y7S%uF2i6w^n@i=RKMJ%f)7&+hZU9
zQeJb--mFXN8+?8*l#l2T`nX7>Z^bhEpGq6|XD&b2(KUM!_n);Zp6#)JzyI`(EbB=(
z9-S85W4~Yf9^ZrLk9)JY-SjsF{p;>jtYYG>-*10=ht%gCg2@+-*x!rYZ(lL};JmZD
z?WJSxz5B5^`R}Y*qV;?1O*MYR{;&D4>F7i6Y`;UdBg9jzK0W;MRWL&R&hZ21H_b@P
za%+@fVy>GN_?YqFttVXkd$OiZW0H6qWS_s!LH6wY9|ijywtxTA(zVB7Z>7Kl?T7v+
zGWYB<lQ>Y5-&x#ta52-1Zu3nnjB8#;&3jlM&(qk<mXcJ=c<}a_KYQ$tPCukSZ^6mC
zJvVQ%GJoo<@80cXn!n$Eqvj61q??mW^SckM$>=bWyqxin$1M5(9{aU9J6w)Ebl&Im
ztm*dgjqcg!E=2CKm-b)Z(NnqC-n!yklEzN^!|!5N2%KbFc|ddi4(r~BA9{YM?QnX`
zu_N1l|3Uc;Wi#^UH}cqbGVf+(W!{&4H!FLeL;TzWW>+f~OpyP)-@g3X4$X&52V(8r
zswR3Vow~NqVO6&Ef=So*I9UDO;afQGK-ImM>pt$yjt^DxDxSl#e)XQ5zv=<@QFGZ=
zE3NpqKYR7(mV|)W{I->Q9jw!5c<fL#^<>)rB9Mt)C?Jf9UpbOF`d#$<TYD<%^;z2g
z@6W!vQe^h#y$<1Z`=|cCF?VUw?S*0|GBozu-(SgVJ9ou<k=fh!IFyAQ*syW$%J}#D
z?cc9ixl}zlH!!1cru^N=eU93{_vQRe-?0D9%lPCy4sU<`U9mH1f6n$*DO!{7tv|l~
zeALlpi@(o1@LYcVn(sEu)-!akt=*Ey{-Dt|Ozg(;#}99a+E(wna&67`lkdv1CNDqu
z`he`_1tO0xs{HwNF6~X(R+&RuHM{>x&E22#cb^c4<lNo+a_(;RVc)aYyX=#|%e@X^
z)3@Z+o_b|@(&PUg`}<#iZtFk0r|H(o7~{DKlix(&{TF8IKX*^g-E~1Wf%Es}{N3ee
z6B=H&H)prq&r<md3L8)D?K&>?-|GFIoV%fq9=%N7Z~wk^(suTkJq}w}g}L~A+j4%_
znm6H>=We-l{msio6??q=S6P318@}`2o{Xwebr<{9`!Zsu*G!%y*M8{eo4py|rZ@fg
zI_G!r)r*JE#;o|1cW#&OZins%FYfEKv0dBi_-3!iG#=?S?<0!m?&|!mv--1G<J-yv
zky}TP&bVk_!`K`Wx8En4TQ4VOk8kRYzFy6@dVg(Jdx!1yJ-x>-eCl4UzxOuH=kHCQ
zv3jpl|CK#n@AqtYoxEG+_&&$kJ^#*b)Xv(s&s|C)Y5rcfecspS%-FGepSku9)v^;O
zHZOnn?B^%@-@P5NpB}ATRQG660Dsbj%166?zTW)i!mJ&M^8X*a{n2pX&!Xm!$$Pz`
z+GhOSJ>$^Ejj`zq)!LI+d~fI3(0zV(*3G?MZ@2Y!Mdk1DGCzFEP;s|c-LVBO-!E!i
z+wXOIk4IeUjwiM!mGxij@BWwG_T+oYi;J7qZEg{ox1dctf0yfrm}{{e40&~Xye7Z=
z+A*{A&kiqjCeApc4U^YAuzCF8m;CH4GX-qcyoha%31-;x=AF*={dVi0N$wOE|H;9z
z)Ar9k?`fafZ|Lk+-Z*2|h6g8J%YCwBtX*((_8#jgOV9sYZntm0m6w`o?t+hJ8=33s
z_W11H)6?{PkI&w>8}?N0`TK33<<yR%c%7XK_U*A;yUR!YN1vVVZgcxbx9WCzZ$9w8
z|A=sE{XXaCO`F3%)W$ql>D~~3chAPKXS<D+w_Ws(-(&Rccf;q6Cl0f3Fv>TQ6j*8Y
zh<S?CmP3y@7RWA~pd$HapTpCJK+`(Dok~0_t}=2@dBQk*m(IdlpIZ-qym|0I@~v#y
z*^8df`F(ujmGpgf`u}%0Njz$fW89%Ur^)BR|MDjS`k(pbt@o;=?<hB2-65<dbaMU-
zFM;@kGcoQvti|n^52gJ(v?4end&kqqoE!LU;}|zw*nG3Qf2V->Kj9Z9k8eNPxn_r=
z#Ri_ZB@g01zIHq|X#@X8wUvC8OH?cNESRxoZ|0^wa`O)*z5NhgwdVE-u45t{6ROuR
zw!EIU()|36^k)s5bJnQ+oD=bBkMpEG8MV)nRz{w0I9D-=r)CDPLDK7(jSOKMUG{WX
z&eph7v#{@o2ZO@jgHC-H)WRzqcI^Mb@}h$MU(&a;CxjkrZ&-2kfUug$gKyRkjwilf
znQ;C^k@kmIG4eYZf6nQSh<nlZ_*b7;eG2EqeG;>|HU(Zk5zf%{<B5J*SH#AdF%d6k
zxwD)P`zvyKk5F0azJ&R_8y0xI-e@Jzq2Qo(Blf>h)6WRej-J;4sPq2~DD(e&_2l<2
z^56L9Mat~WGdEs3+0Ws0;?0Abvz(qC<k~nRI&wl)Yf`7$*T-TFmVY~pB+@=`z7~l2
zz>%}?Ura~+$3<>G=O0WlT)8iLYVWJ7Q?4D|r|$oLf7JACdo?e8>&uK3QT!OQSMAfa
zJ&`}x?$bQ;?AZR<yR*u5CNG_~Wbc~Zv~SaveEqX8Y|}n2+5Hc9RKB%e`)Oa;sneWO
z*9fJpEc(1J%x^jC%s21t%j5QiRcdl-vrK;f@btv@|1G56yxF7sb6?o=sjQRV+ke-J
zi`^B*sG0oV=U>te?GLdG9n;#A|IaA*UDfq%ua1@e{=iL~2j1G>(q{QPf928l_FwgW
z^0BXcx#peyHBG*W^EdLnwg3C8MPoNp%-*nA7skK8<h;rnl+JfZ)J<YL$0_yJ{;!v~
zgxyB9-Fw4g@;3+{-6J$fFz3zQu%b>5Paj$DKYKzab0i3Cj4_<PeE06qj)V_}yF)|Q
z?9-WgD9>E~&;q$r;gd|5_%+@v*l>T%zy3{oxt728(wzG5z^fm+`$C^@+M^PbzMuc+
z-cP^MC)C@&Obp6>r?XEub<e@i`(%pl9W;E**xzE`ztCzApF5ZH8~r_TNgJ9bas}^*
ztL=GFwUf`?q5Im7xWvCZ^xNOxcz*Wb<DX5>MD<UXmQ4sx7M#O+bVKXo2Die8pXY6D
z{o5P8d9U&GZ~GnYoi4ogI=#AXk4c^Ne(Ab>CRNefKd0`G6#Y5VXv60<dn1)2Z_K}`
zv|IQ4f!P0bv9B!b_b~1*|9Ro_o`W-Qb|&>Q?larIdF$8Ou)R?end)EcxjyGZ=KiSC
zdt1MJPd}Ma_<>g^cE?@2N1Xq6+E+Z>vw45?>HNKt&)-bizq}`C`?hbT_cr{`+5GL>
z?&!iFqT9B9i{v<Av2nw<n|lm?=WPFWKW=}dXTpRxSN23IC(NF`>3hfSyN?;SeK)?y
zBz7~levk3qtv}wM-f-~I<{zK;Mx1`W^~dU4`=Ygl60a3#T-v`cx`RbvPj5`^ty?|a
z`(xs7ZT<1RKW5!4+xiDFOOoH%PCvix`}NwtZL>BqJp3ygzxBJUU-E$mdlk-a`%%uf
zO8az7{I(ydC++9V{=v?=rYG+C_8+%*dRTqB;k@O?(++R(_$@yoJA98{yeY-_dqL&_
z$(V)v-Stm0IW0}k-?ruFrWTRohkD|&^LHwqov`@VjR_`+{~KnxGW~4Z?Zo&(;p?7>
zoBDsruGy<Nb>pvIzXxn<_9^C0m|ttNLucRC-&y(l6(YC(+0?K$y;JmS&&hy2p65Hd
zX6^U*dMq~S!joAi?Ek;%oXgF!x+nf4W5eq#yA6M;8^hXeI56*(-=DK^>I0S}uB5#l
zTOa9d`#<~46S@Cuy5_3!1ikJQe%{w}S1W$Y&+_vAq>bBu=C0qP@^;JGk5f4FD<}Lj
z*WdJ~tmW9p8Jn%_y43%%OjXle-5>vVjp&ANk0vc#({XUtPS&eGboa8HoiwjvhRfy|
z^XGTv{NLE+UO9zx|J$B!uleiVuYcPSug=(^KjU!S9u4h}JSIC9X!PAEj6cG(fpxED
z-qg36*R4J>#fo&s+KV-mS8skAwu5!fY_5g?@!iw7H_x0bzJ9M}?tb;RZ=SyTwrAr`
zrnD{pZ~uDTwegYZrd>>5I`izqb~1ckY;=hE(d(>xGv&|k(9DjT>h^X|U*fgfGuLhI
z)al--YQ9O4vHIb!?hW;4H%_0`z2N(wJ*+oYEX~==7XNKw|C))>UHjN%qxZ1?N!qEF
z*3j(EEmzl-$C|W=dxI_G`aNnt8!pIKZ@Lt>S8ey(eLmrFUHM0M%cVbkW4gZK`?H40
z$9Jh^o2{DuNrB5?m)g9BY4UM5yVQ?P-1;tZ!@bW9Gm`hI?f<@qKlJBrweyoCAMMdP
z+kEHW&4s0f3l}8`doxb9-~3rUa*zK*#*U7!E{uwXQc3^1^jw)Z!}c-V+M^jCxsNGP
zb;qWUn@(2rq`kkvxLZVL0`s4P`_<K%D(CMMT3!G6$c{rB7xp`g2{rR9SgDzml*+{Y
zdE>&fYy7)6YRA6Wr%@aC;Md2Af9uN@{Ccxrb2>xGiOtH@do{D>?)SL<XOG77onnWR
zO!skgEi@?iU=v)*vhUB~6$^gulm4{v*^L8?KRkD9_zIZDb-EZYeD#Ubud|_R=HL4+
zid@=U5&}14?71v>SMLd}T>0YT&+}YNtEWXi-stqPKQsDf=j_(l3AaNxFWCS1z`Eoa
zT^`~$jSN@(NM`CPsJ~IXA$HFWoywhy_cXujZ@B$mP~c)>p`L8tnxADW6(d9&QjTu;
z#GMq@K4aGLjxX;Ha>kTwSdn#amC1n^sici7{N{DUhVSY4Hm@T*JcZ}zPD}CiJy{Q9
z8D~4jzS+aDb;j&g#vQ!&cBgkNlHO@Avwp(mV~i6xetqD&@n$wd-kups(wwgw<Tf(S
z_+T|*Qc@g?^3I#R6OVYD?d<yhvp?(Y-@Qz88EX$DIV64Qc>FtJhv?Cbx92mQ-Lo@U
znX!5G2GvfkKHeFBuYX=0`1}E%Z6`xBci)$e^1Bn6`4_N-I>uy+?7q22<J6>6v-UBY
zO7F@D_!qNLrDlKX8vDI+ogBYR54g6*2{7qQzxsRQfttOV^O<Tn{s;sK#C-B#=HB6S
z_}zh}hwXNlTiNYO+x<dD;2cZ7?CTD;q=_v2H)A&0DcsvBQQ4W)`rt)F`Hwd{a&9p0
zus<QI@a-W}-oMT+=SeZF%QbnX-rl2syRqT?Uj1h)1zJVqzHi#28|oXOmGg%2>E6Vc
zH~Vxy@6hUcp;21Fy`ZgMu%Ys+&W@IQPqjWzEv^2!SEFwCi-;2v9~KwYU+a$BxQFG|
zwmlm6_G#Ju-lJZX%VN57zij*-_3)>iD?-=ok&W55NB!No6@O>#mzn?6gMH&8@v;j$
zWsZ0VYwpw2|DF_aKWBxC{I}El^j_-O@0F;1yH|7m+r4_9?`{2_B)sKW{_4GYe~#V0
zwpT9t+djRQQ&=bO(Tn}1k~t~nsr}Aih|tX5y7-fO9qfPa(OElfw%Z=L?Q8amsWSNQ
z?{B*FM{Zl53tvG=-END?2~V~?vXy(jVSU;E1y43_+&&?UiMyxyYUS>p@V)zFwtnvk
ziQitOdxL57<uzTW`a4t0H*frrw9)DC|H281c1etT|7`f`&&<9hiuL%R{idrs(>^}z
zYSs=aIGJ;H-aby<gUi3|=6kbe-?qIxTR-;&%-g}?T5;uDck1QX_kToR2t0dq-F|m}
z>*Q^}((CugZr}2M>8HK=duA1^*&$!~A$oG>*5bE&CaNc`w6~SMIFIp9(v1b}vtP3+
zOE<0f_PZlx^FdXvN7Y~Eym@}{rCNn%*|`-ZpSv8pXIb8Tb1Gy(Qg*KPn!SuUd#&aQ
z6>VnSz1zxzg?qApT@UBPlG2$TUpMZPIFhvA%6jjN`+t^n-cKp%nA%X{&cGVBL;1}P
zE9aP%$M>AuYn61$WMYN!0@1Gx|9xT^c2{L~EUt)5W90miyg<YL9_vC)R|aRXi)Z?8
zq%ruenH=%_poMMrezn}5;O*P?Sf7sGBfs|a!C0%ZT{aUBIqs6X{${^TaCKjR>hC=^
zd!&wUP)=IjVxPNTRQvtPlN(}gWN%D9+xh%OnEe{Yq>Z~HCTh#S-fN??;^6+K=0}Um
z!%wVSabYh<blI%jvpwy*?q1u=aj)~}>`98X!U7KL{11e`-xShb(lUR0Z>in%y-KCG
zc3WC+64<c3#eRNINcg)HDUn@@NBeWEPVVqo((*5+dXMsRefu5Z*E;ehu1rt}xp8Ta
zjXMk9zP&Qve+H)Svz@<vk4&tljQi{ZfiEguC7k!n*qPsHmABT?`A=6<-)<%O`+Ma7
zaO{x}*|t|vd#kMQZmaqyQo_5fWN&Jm?`>7rpJ07&r&+|M4>|rD&Y8Vf7H71yRdxN6
z!cVGN5!#(mazCy2?+E&sv`78i-J2gmBjz@!$e-l07M!r8@b#7LALgEIRp}Cm&U?R4
z{mxz)hBaFchm`GCe;H*Nxk)Qwi=<r4`XyDxm3!2ezxyhhT)kg?X?CU6foX>~?-BHV
zzn}l<sTI$)!`i)`@9C+Cj{mmBK3eAB_WkO$yQU@EuYF;9mq#&fAOE^PdrO1gFD)#6
z=NIC!$~8SAesA{bh=kAH{<iCmbu`W0l{EQvZOxo_ax*usZtPKJR^Po^<=KpV6)ZC*
z_J^&koya6URrBxWmGaf!mK3VK-=qFV-~ZJ6zKU<RxBXq7l)Qaus{ZDpB$cq4&Hc4+
zdTXOLrd+MswB<tln!c1<Ket_2`er|W$-k`&Pxh4j+q+LaeBBlov89E7UuOO6GhdP5
z&Kv)<uj0PeRgu{@_wZ|mip-ANr@k{tWcJhj>T_4E*<<izkMPvzMaOLQZ(jViyl{Ss
zw(7Z=$B!=L7Oz|(x1@0HtCSVXj^17_DYlAp{qn*hb*4uBV>+w(t@rLx54Pezy?&4S
zpTwBTj$LO@{H?#YSAFNI)KjMpaqroqUaZOxvS)qFUj7fR6XcV3^4sr!E$As}#mF1B
zU*J*FmBOPh{kUUS@6mYkLcsTD;2+Nu%1JvlWN-d4*`u*k_-AL$?g<;yPe%Q@DO_lE
z$EIw*#>34^3a@V4E1<-ps=Z&}G>hFnwcs}~do+S8FSZ};$e6WHed&q2DNhefpLm#Q
zQ%B|X$(a&+1?F#lnd;7Rb86Ub!4!^^J-qB}G5hyw%wf=b!eq2ZWB%raNp@npWd9}Y
z*N9|DPr1jCy-!ngV$eHAj_rFib?x^Gon>64`1(hO?8Xo5$6S|`W>2!v=bX;ukiszW
z1K0VVhxFd~y-<(XtMxSE+YXVBOA4)dV`P)!CNIctpVVl3bs|&nt~y@^&NW7Tt0PVp
z_BO3E6kq*DXv#i~r@uCDV1NEls)A{+MtY^hyuBLF^X<2oKAkD||IhM5|MaG{Kjv)N
z@Nuuk>C|OKq5oWG^v-2lE#1j?FKNGqWi7Auza@psC)sS@x<UT^UYjk;imtBow`jRG
zp>WTBjbeSSx2sq1-1x-&aVE2~>V&^#3r~GsQm7xUE~Wi{Y1-xAdo`YWFW7x=!a{$k
z!)1E}SAANM&Na_|zs+f-#QlP&e$KpayK+UR=<M%%HBQgvj!xMi`$^%=p-m4Nla{uH
zXIbwRoX%q#$50=)C*|8-4L?s!Z*Bi?3)GK)TGX{~_th_Qcgyw*`SD!VWtjYb$*%4D
z1gCCVv?+U%&_W^BzN+gl@9)r^^`h5&^#bGLEEBqaePBOOHT$Aw_zjI2>Y`<g3wEBJ
zV7<FykH+%VPXsxahQ_YCv1Hq~%t#5>i_hNl$9cV9S{T31K2oal=f%x;izm%!o50W*
zF=xpF@%I}f(_8PB>;2!WQ8GVk|DFk~Cpos?y?FX0*WM|{H|KobYWIIx;pO;C9PjSU
zJa%F3{<-?omlf8(T<<dF<}8c&T{e;1mlbK<-MUAkd|zCW(6zUpCMBpW%m4rTpNdp#
z?Tr8XH13>@*~g)E+Q)1~`nwzZI8rNju$*Dt{dP$~bZy+0V})z1;@%wpv)o{oM%bI(
z!Z(%&nJWJ>sM-8~ABRdv;QJ--jah#$3EKY4ck>?ol-{JfpZ4j8Jm2za`t}XGyZ7j(
z{$3ocCS~itN4s(_@AEx-g|2*C61+Y|YVFbwcfalDJ%6nycIA^>$M*7yS2BdGn7n66
zaNN|54|^GBoGSl(<K+AYUrQtSZ@fwrnQ^OveM#`vQ~M<S&PuNOZzr}>@As16>$mqx
zzTC4{_tLiwuQ&cUo!(a~|9U5P#|*|j6MD~X@R>K^(D^07@BYr)D<>x%!@plvX?=fE
zdY8KWjhjh&n?G&t(vZHte?QlgJ$m!~Zv3fh(A&82Smnm1Kf6SKY*;B9$JoI5d1ITv
zG=U1ovY5TxADfm}?3JmwzE}Fho&{W+bGvpiir<SlnDeo-uD>(8_Tyr~`ZYTjtedx!
z^Y|Wa{rr6rJ8vXyI;OKr{Ms%aK`AAj*JnB-8+U(hRF_{cQRqV|qjbv=+xZ(G?H5}9
zY3qfjyLn|L8DbXr@7~X=!%*_OaotDmjla5ACVrlARsY|%Z)@hB^`5tf?bF6@&o&%P
zHoKL#=j7L84;!~7Ej(JfA<6!6*sOV5&Tf1#Ys){?_Z@MMjyV0<_~qn_TNn06JbZez
z&mjBu9-h?5Rj0dc`=yi=Yc^KcP5iRwY|Kuc<Quzd4o$kZ;cG!s_OC_%8Xh0taAALB
zqutRBocUq9c?6l9C+uJF!tE`CZ`{pipSOH{zGs^L?!8)X_i)Y^=2+qIB<429x{W&@
z^FR0`fAeG1!Uytl_ML8WF+1!lnb>z{2@B^<C`)?sKk@&@L!0+&-LGCLGf{wl%lEza
z9*Ec<VdN<M)^KaxM~NA?t^PGK2x=N>&7PFKBlYOk@At}@ZuXis$KB7_c<|eUQsrL)
zRX2a$*`vN~AM;tRtv{llZ~bMxd!N>a*B!TR?h<59`WF+qae~Ib4#w&fMh5N~X`6TQ
z^$CQp*{FT|^@`pbKTb@Z;IYRvd|RK-J-eL+*BCch)@*t4`_WBTp3bnne3`#{yzido
z`qx;Y71t%V=fsVqmpSrl{`<~&!OvK|;dNYB@$sXZtIIkV&hBhI&e&2}wMocvpO9Bw
zk7srL)?aV;O3e)yalf|h&#65U_WAn+=htq(`Fv4Qxe6z{%1P^ulm9&1Htl9dsrm1{
z965W%=6~P%V)`Dj(qB_pW;WjX{-!rO>K5zFt^d6%JETohS!ecUU*EJ>?3})qRsR8V
zo$qTJFFU>C)jj`y%m35aY?EK_75j41Dr(W{^zQ8IZ+4g^btL@Vb5QlhE>~BUBXuv?
zJ}!&1pDSkeY5U(#U;nMRxo7*|EC2S0?0jPJZ$V7xiDucleInZ5Q@33B`D=2@sv2RN
zEj@d;z1;t{$90lV$Df@HKexPGy=Fht-US9JedP<@{;%2G5V!Ec(GNUXau=RF;_JBe
zf5qAOCydG)uRMHz=!t9Pr47O{=U?oX*I2znPJpwcF!yO|z?KV@`}axZY<<7BB4!`M
z>bKjUR_tSVox5elccqSZj!^->H~s#(amAWl%s=-@Ki|A%RqdLjT}<;gxR-x?Xf%<r
zODXf&n?<kt_It(KY?yHFfa6_`N!uT7-_*(TbNl*RcQzeL?kKIkHX&~E#E+Z*ipC}H
zj5@wcarO?W`kozMXFmEf(ObRo`0mXnN;8%y?|N5x_1dw%+_yDbH$Q(R_4(|R`90HB
z%2##GjNY<w`fiExBQq{s*)O5JecQ&jxAscdmEL=D;pgey(pHa_^jz9#bAQjo{mDB5
zeOL5;+xFttei^&B+cv-cw#h?l595BB;Gf$z|NFa3Qdv^^{VvH99O>IOh5x_1>EZbq
z!S-e|FLyp{V_=^A<Vx+YBNz6qzaX5qhjBuV^iAm>yx;aEZQm^XD)#jKZJTvp%<kX1
ze9J!5NQO&`0_62?vVQ9@{kVN|<V7Z5rq6a;Hvj+e?B|xvr*^X2ZDLa0!+!oWlf$+x
zVR75v-aE+ifqC28%hHXtC)OwVJy6=mZvDI4^!V1T<!|@1&rf}@a?7@xJ2<rNP2&C2
zYc_q$`}v<gJd{sT{Na~0|3^d^^9!9nt6p{;dZsAG>iE0U{l|h$XZsW09bijRUhwPJ
z&3zop_iX&|W6O@DyDzklZ{2*1affHpmMyFQufLh}ao^^x&%Na)Jlws^VA9rS@#prs
z?f)9K%;5N>H5=rX80byi<5vH>X3OU7JNV-bCkEY{m-?sQ?D6*J^K-Urjhk8au|wPH
z6QgOz<os`2Uz}WdK55d%HNSk4R;=1Qqdq&X*InOw`{(^TW$u37<bIN=es{;e$R9<U
zAFiG^!|(Hkqg|7BZruFE`3MvDw~dQ8{#^BQ&$0P$_juf&y5Ciwdq(bt*IVA~;c+;z
zooUe`qkSDrYgo6uDc9TIYf+NK6e+yr^YP7Vx;MS4P2oARk9TVA%K2-Ae>|&r+IV!Q
z)cT)W4(xjKpzB#jK>QEJMbhTKx4!w&;qj)&`cTrAH+t_EnC#iO@DS$@ru@2_oB#B=
z-;R5H_u;v1ucv?Rv-R7U^s~5s#;VU9SvU5!ZQZ*tAbG>u;|q!_H~!qX^|jx*1+_1G
z_1CcXctllhSaZB1{Qr+mi{44Si*%#6oSwTw#{Tw})Bm=-+_`DX_n?1UUY?xU{A1I_
z&oj>P$@G8V+-Q7u(@XnftC)7m%-`}Vv`%~5*Yq`}|JUp|JHJ`(|C@#^%N54buRor9
zzQUqu!~ZougwhuJ-aWBJc;6!9{U;ctb_i(S+w#g&$|r1L^P3Kq<OP14H(&TTYeB%x
zpYH99Gd7iO?)RvxyZLX;PLsY~kE@%vygv1NAHRD2mNUN}%<nbX`ab;6j9VL-CT!LI
z!?`H5Zzpg02|I@3Ew8jK7pVX4(ar6*oqp?J`~Ha4H_xv4S-pm_Q(s+U&$sC(Cbw=~
zaLWF5huia67j5OPP1wALG3LR8XE&FcYcI%qq;Y@Arj5;sF_SmVIll7WanXkJ?>?Gs
zS#;>ag#FJRuClL?is@buW?wz?bKTZw8#@*IdTj6iNZ$Hv=_G@|jb-i3J3btLd^{^|
z%d^Vrt#6)ge>Qc~!Fa2h#~;?Nk=`Y!#+m<3dBLnVTb>okP2#F!U3n@oY11=#m89Q-
zkphCRpZt8Y<&tKkRo}K#8#h!|Y<uP|;G1H{ZMyZ@^v~PRhHPRg-*$5H2L8|r`(3tg
zww(O&LYS2^=9*l?-Ve-4I|cb{e+t~+dO}l>VWBDG>!iB4EeAez>i2Cq`*iR2<6E|#
zUiy85aQB9j$9I&^-*Wos#*6%K{%^Q^?Cpjtt1CA=-q>Nr^&ygR>fY}sx19MDx8>Hi
z7fkckyg&YMLjAn$N3%c03MsELc|3F0WCPD0lkYdT{I+`EttW21?PT@VBYD<aE=G4w
zviIL|q<+1aukDs2wfh&$J-_|TExRM<U-zmXI=A_Y{v)e+^(|lKi(6H%U%maS4wLvb
z*3Dl9nUtBzVm5pgWa2#3eIj?m*IE@O(LlHN2g)C9|2p?6qwE32cBTdO-!~t;{ek;Y
zboc6&@d}wShnTFxZfyQGk;(mqet1fSc=vNz?<I*hVxqdH9DNg9|GU?C{d9+>0|%}q
zChlJN@c$l@tN*@jPfOqU*!K5cld!Um+R2ShN7z-bv2UBYK``l}be`OvJHIzR-^ej{
zl3GLQ{yy`~hfc+AUa=!-pUHo&WQOV$Pd`OmI=KG9ucW<Ip>H-ma^_?!ej~8UWd61(
z^7}WwySdL~t6!zoDV7@>UsQkF{>}3JhVws;h_C6K@65|K*_V%#b5lokudV&PDGzK8
z<eg%1-1^Px=GI5)|2s=Q2+ZHD-f{HzmTxux7ABuPaHRi;)7dTG^w#oR__LEKZI8+K
zRg;=`99f{-CB|pZ$FO<N433lh_FKN$z1@0Ub@!HUUo=Jjz27N%bKAG&pSQl6yyaVI
z*8C$Ice2F)+4f}{ul6KC^{p4De_Cdvt@2#)bqDYMe*bOz^!{e+Z@Ip`NBi%(1dW*g
z3#>xdY`t(Kzr*eS&5K8V{M+>6A>)aSA2;6S;$OX>=&;a<Er+EK$nT1nz<T`1-$$LV
z|GwFFE@#Jf+5MNr($27*-1gQ=w=r$~UZyuE=5O33sJG_-PxZ%5r%fyLW6rJkqG(dE
zw7&6Y*iOBTlcl*B`EMxvU*DLPyy;21?2hxbZ#EoBday#&Om5=>?hC?Js}Gp6?~I9#
zEV$bfytj7Sh3YL&D&x0Yc)I=R^3B^0z1_n&|Mu2n<#F4e#5LIO;p{lwHK9wT=xM?G
zk25w3w-i`~?PXf|w^x1hmWNX(OEPX~7hnJIcEqKbHD}L<?PB`4?TTsmN2l^XJDIwi
z8lOzcJu%JSF?A9<vxEPJB3nntMX~?$d_=>p$eiE7Y*pm6>&5Z1J<O4g=WZn3*zn|d
zZ`d!zm>EtpfA41Qa9Yyd7xwj_W75s8!<+UqThD*8_3Evj(EWRR)Z?puG8}oiyZ8Kt
zv#}ExlP0X#V;{SF^R`2i_cN8J7;rW&Ilr6H?%vGPH*W4^ay5{D^Y7$_8~g3IZr-O>
zy??^AKNDvij`?^YKWRaQ{!Q-9JG$)R_AtEsw)N<zu!X^!H#~U0!~5)B`*pT@scr=)
zUE=rbW4QE4pkedD0NK?$d2a5tH~qLOdC$XF^R(FZth(JTu;N7j;jpF^yKn4eT>fqQ
zt5b8Ooc>Jlbt{yaRJPUm^NavBhF3|^GApL;XPJ9M=HR70EOwt{F7)g0FWfz;tn#4p
zny34mSv7u@U9n%jy;t}A{)58TKks8b_q11czV%Om*hfN74l;Ghw4N8pd-JIwZI_Jf
z|6L|01TqhV=iS@OG*O^$>(lga>{}jdX>U0j9=4!i^XHlJN&EL0cW7)`zmKujYW+T)
z@2W<2`w#w4J}ULG$KCpF<QDI<Th2$<-rKrQzx&gVy`r&p>$koSneX)D&4k5|Czv0-
z=ss`G1zVMvWtvS4%)1Y)y|HWY(GAD#HZciaRkgo<d-;oxTlmVVx1QhqY0I^|<J0%(
zy#Bg1=Dx~@Y7hP2v$cQpxX=9u8e-a~vwz>@h?z4C%`3HJx4eJ8J0;=v4Egh)COlf<
zB)WfJ@U_XIn}2>-cy@pA`n_A<*Y2C%ayY~}Oy%+P9{2k@FR4EEkLEof8ngRodCr!S
z^B?YKe-iLqdGq<TZQp}z-1lyIAO0$8meL-k3A5+T%HFf>{pYPJvrlcmZReXTcJkW<
ziSyk;>ngTye7<MfxzKAX&igKTsia{)GivTz_m5lN&s`P5=NOpI=lFDw&h=d(HfJk0
z_)N~?-txYDRWM)d(|tOB*G*}E8`HaOkMj56TrvKqTi(z0<Fggb4;7moxApzY$z1U_
zoA>;vc)tDp-1_>h=R;$!?b7uvxNP_F$o?Mp;-mZr%-%)r)wPdvKPhx{iOu?n)lA&m
z-<PMAoY=(Ea6UGF`?)7G7&W)P7d5n)rLjXWZsN(KTi#onYW|4*Uwv^;@k}ANLydve
zPpnG1w|@Kha0i$A#2*%xTZ9>u_iR0Xl0|dNdwX3cmH2J1KE`Z6U$*Al4f!7nq|f#l
zYODVExc-J@+J4>de^vxbty|siAyiu3abeHJpSzPTXxRV1q;j}=!&kdQjL+GUHhio*
zcKJb%`+AodEVADgTDtGyJ$CWah1`!P|Fq89^1k*&mH<zu`)dcSn7aaEdu~m*z*YPD
zg!%JMee=~l2C3WM&;4oAvGn8icifWmx7>MQWc&Vr?XiF#C#$aYxG#^0nV|S^=?N**
zHH<L}ZPi$(*rU$>FJSopo5vR_#;~*h4bxuT=rtb}XdG|4aOg*8!{?2Wa;3ZX=$60V
zcJ}G&$rG+_d4JbxeMdmrmiOC#@7>ZE9=GM}KJC>P?UEMEH@)}2-~IjD1$Wc7mL1=#
zyZh>V58*v0jMKKf-~a1rz@EMK$M-U4z3p|ses0VAs@l_B(R=f|*v{58EA2_zqkB8l
zGx|cpxssXU^UJoJJGb?H_0?4(^Ve*D|9z|Ar#%n%R%|)DQ+xN;s;e89Y&m;r@3yJm
z;|{F&wq@JywcFl@*Kaa8yY<SwlS%^nb@yK_PtvHJvsZijbgK*7-rwEjXJL9}F7NhL
zwt;{4>Bg0xv<aW0zdy25**+|J$2^W}CvI<z36r|8-Zv`a+nI;&zwgnl4-Gx{>CBe*
zRdXk8zrnWs{o7YhU0k<ZG>`OpyZ!z8Ra0uFZhQat)u)XU<~Q8`eDJ`dIj&#lG(6kG
zTfTaGk4IH)<<@g=b+#DpS@(HK#eUxX=eN8Kl8yOSy|U@!q;&mr9p|ok=f`dPczZ+e
zqwTM5ZaH6fnn!BO%SkshL)UZ}_HBQjn!P&l&Hs%T(zieRSt+)0+Qcg5jZcqmyO_O+
zrN7&F-lmK9dnO<3v%dPKle>G%<K^ccWJ*r_wtws8tuxp+@8i!?w|e()=f=yERm?gB
zu5aGMpZ#ykrd#{@f9>7+X8E=~{CTQQb=F(2-rmo@)pq;K^j?#_&$pa(Z|&AlTC?@b
zvn`kR_Rg4h!z6U$UjFR4+wLq+liJV!*XsS&ud$zY#P1P~I_>prf#U3~7w>AVJ{f;w
z;@m%5Hl+UgeSC}9wrw|-uDN-tuffNDb(eg^mK%Te@XecX_MuP1Ptma3H?|$^^tQjZ
zhtJ>O*e#aGEmwkm?#MQOf4BPWge4#ERL?t*y1_AK^QC!{uGnn3xT)6ra>gOHO_#Fk
zZf3eOFZs89Z3`oRf6SKFt$(+jOX-vpeZTpV<gaVrj5oc?nfb=&;T3+d<~d<Eo>-n;
z8a;W<7t`MxFGp@UeQLv|*^FEFbQty9+<vnlx0C7EeWo)NtXnr%>o?T=+j804Q8FUw
z^oA?G61To>-t?!Ft34*GL+t;W$6fBu5{%bY9sj&(W_E>e(!qA|d;VSe?Mt>_`6`jL
z!pM3<M%km@&7027?-l;Or<3LR){j&7ZrL(*+m-tjf*Ti2c38c!q}^`8xz9WJEf!qV
zKDl?=^u@MY&pw~<-EhGhv#x;W3wLk1vh~)B1N~dCd>51WYPWmqmC%3NE_~a%{(AM6
zQ(D<uHkMA?wrB0OjdQmMZfr4(df%tCeVWjp?U(Ow+Ayi=+=_jd9X2rT)Yn(iNax!a
z{eR<^lN!Y{&hC%g67qTDjvIY)`L9p(sWWJA3TI&6dgA%kBQHPiSF!&&^N?&EV@GUb
z^8ffvM{T<gCO+I2f0!|8!(*wKx_>t_Tp3ueZG60D<`t<|^;>cx`=s-qiY(oBXZxn*
z>UwXt?aST0Tx~OF<DV_HHx@en*?wrw)^mTiZ9Oz;#-s3!TUSln_5ie*v43Ib=BonL
zQ};^W|2f@z+Lk?A-)w(arpc@8_x@&>1b<m?{`sB0&u=tb|FiAE_bxu&kGCp5FIAhp
z#QmzUL^6w|)`^46Cyh85c&`iWOWpj?bp46zAAEMW+HFYwAKTE?b<&~y&$fee8t0YH
z*%2dRw`rM@{ai5<ot121`=y^xinQbr`Lr^(@+$xKPkZIHtGC|Q-#_EWGS<m!_Di38
z+n^!7darcxxBa3vD_!HR^1q+T^KvJ*?d!eLlUSX<UFFZ)Dkwfh<os3sa&NA?r$iD?
z{@WF?UwZ1P6|-h<KREx^gFUY*S6))~=6&#guhb-s_Z1s11)Ga8POz+CN>bS=CBISN
zlfjk;ajHg*^+$TXt+=yh<$X`D9s{SkO-I<1qT-JyY}>Z^SDyaCB)iR<A2#Z#C+$8i
z|9@xGG2sIb<gD}$)o*wmv-45d>|0*GOKN^@-~MXtk^C$7w(bA6<@&dKTlb&qRj_-%
zGs1rVj_9P966HCYc6?&uf5m9GsgP;Ij+<NG=--^t^=Pel{l<A=C%zut@TO|hj5!xr
z^TamQzP`%3ZOg8=fBT$Hf7^N_%Pesp@A@ro{>p8ixPIH4uhX_oKetzD_m$|aGqqlC
zeSOkn?tx#kSJ<!F{`%XzEwl9JKZu$coEZDyq4@7tZ+>pR_HmDr-qmltT-N`$y_~vj
z&eBi&mGn+Bo_q1b`rec^8}Bh|iEW+pe?shrm<@9(dK|Z&-@fPm)DsWh?DUV=GN*3+
z_P461E&tzG@_4UuY|5m>ZF3WoCf3V-N?}pyHOl@}DZAy}?fk7*x7Ke;y0K;3`&&=9
z&wKf8kFtIEysh)9|HbT8e*S&i+>q;gXYTmCb#6?d--Z?UdQHx5n^$~n&)aSDf+c6H
z(cXIG?Us3&?{^C%&sc7=WnSfjotwAbjF#NRv3{?><#RJmZa?aEoO%2FBDsx6yT2@b
zGW|cBVA8szbAAgr`b_@kb}GyM?u@A1vgqB~EjOQSS(JV3WmD6}Me2;q{a?;+KQ#A;
z$%E<rOgjY1KR=m%e+}d2gAp4K@tj(<NqUEb@A@4AY<fvtySLxqjY&E&f5Xvj%hO+X
znjGp}u)1RFqCX2F4#zzB+b`s)vvEV%_M0nr?R_AWKGWa_Qx9K$_l>aa3zn~6^>@>r
z<O$0@KaJ?$_Mv>G&b9?xw|*#pxpt|Hcl!1PnZG|Cu<Qta-SYNrkL=w&Gaa}Y*Ppm}
znB~dN|CL)l%)kHi$NyNl37^(5#%$V={O8XJSw_K)3;UTQ-@KV}pRZ#@lJ)P_7t^2o
zy!%>b%d)+jchuKxDT{mZ^ZaJp{gK;tsz0B2c7vGWG^TmKH|#vY!oT_J|Hv*m+tXWD
zO@Dm5{PThpC%7~=o|v^UQap^Y;ote0to7Hnp4iqSUw3a~<i>@cBe$>J^>*g-?rnQ(
z{dhv|oetQ%>66_fA=U+}+RV$69yBC!ZC$za&xS{ReF~x0-rF|(+_3lP){Oqw+rDmo
z5T<Xpq389MPeq>uC(n3pANRo9oq6TI4R>3QF$u5Pw(rxt?b}Xm`?N0P_mjm--)#Bh
zb?ql(BICoeu{A3i!x~$UZhWEti9_k+GdsBzRlm3FN!f5KQFqcm(>TUgXO3>#V`aCZ
z@AV0%=A^V06Z*ewtBL)z^;Fo;ZM&B1tXeMD*L|(C)B9+z{O-ucR{7NnxsG|SaQP=N
zhe7@8>kZvEw=dtgW#5er-%a(mF8{XDaLrEJA6q|8-?r_M*T1d1B86C=7zuK3IlQr>
z<mSXm(MpE-lkOb-xwVf;_Qs8kyWNG1ZFoB8d=j$#QZxTy;NKJp#w{m)^2~Ts*S-7p
zw%zqQJFLsLemK5$xAxl|&YvHh*D~9*IZm|U(&wMzbvf-j119vx^e`OQnB7{ueRu7i
z4F{MQV{Eb)?mQ85hT+~q^`8yzj<+n=kLwiP{A3wd>^H`bOU`cDt*<Yo<mSJiQFGU~
z$!{M^f08SGv*J)w4EKs7<!`p_QDV}(XR;yx_{POre;6C<PI5e$I76DTENMp5`6;)a
zZ(J*%zxDjqZF|GLxHjyu->~;EV@K$&iI2JVP5S=#&xWd-8|#D_*Wb7nvuU3o*Dapi
zTlVR2z4^$y>+|M)T|x{I{X3MzclX$D-g18V5ut6oy$ZW3X3UY@-zbylAG7hCX!hKj
zrv>!3?zfsG^om3J!OHua`z+@#`f3`+ICqKq{+aF1wqE<0BIUMio9<2KsUKo(>wc`b
zH_;$?<No>-sl3^ay)qJhYj#SdH)@(^?_|BVb^ED~pURB4e}C{zdT_^fck_>mbr-#P
zu2yb&cyIdw``j&8*M8b^f6fYR`|7Q`=WluN{O#7=d$(VEx^2Uh`3DSI`HU*|?5?WZ
z@^HTGmaFSodTrMHEo;1Z^yxh7@Uj`Nj<2YBzU7ML|Hi|Qwp{6q+2a_0d&}j^zqcK^
zz2$Q8|C`%RTKaFfT>kvVTT$!nCr<|`Z$G6U{>aE9d&{Z$*4A4O#%|sE>+9`pfBtRV
z`gZ@G?Wc-wZuzq}>*wU~BbzsE`BQ&alUH~3(<jq;3%6}QSX8%<HP?FU!A#!0`dbe?
z-DU1x*)Z?Ot~F2ZMb~XPw{p#vJy#>Qf3tUt6pv--smeU}fO*6F)jxN!*oOVv)SUS5
zQu1}1v-|&@*_WEVZTDvOUNtSTBOl-IoPA7bi^A#ZmS4K-UlgBc=$kBFZ+78(Wy7o^
zHr^@XmD@Jm-f|-T_LfbnbuL<bs=Rk+P2}VE_NzP2Mr=8iz5asEv&+jqE)1Bp;gtF7
zt``$-uK2g@l&wvY?2X(fzdG*Ruw;yRl_d9*f%oJ$`G4;YKIKokaU^Lc1Ao-E)6x63
z?O40z#^-%ocWmC&zR|paVb!WttC$%6{}pa$U}%5Gz`(%900L1A(_&u5FeY^{#;`H5
zhBC2o@G)^q#59P;yh&nA5@e8M5J}=p;s|3<7m#IC{kI>aM_raFi7AOeB#9%5FX?3x
zM`98e17~<rgFup0l5i3?gKq~13o|=|J;x+w^Zz=G4CWfLOfgI<F^o*v4B>)I#jGs+
z+=49Z_gO>}Ig%MxFeqrn2rwr9_hwLj<;cLyl=PozB@?5we$x3QB_jq$4hCr<Nd`{_
z;Up%0hQOOiqA`k02a}{3BnAG&2*)r+K4MAIU{HUNq`=5fd_IXkNmG!a`YprN*$Ww(
zbCOsVYihFHZsKOUEttf^cw2?Ro!iiZBjzX5L2hf635;i1UMp!ayw+lTJ&{3Qn1Pv-
zogp&jUyN*$f>_e`n0iJ>Ru*QJ7)FQK|An#t3pjKc9>%c8GHi%Zy2v2+nM<zWYm!xr
zdCV;a4+h4V4KXY+48LL-7R6{M|5quAVK8HeV^{`0^e<_bL(KV@_c7T_vlW<P?2{M*
z1$<)}b~Ds3QHc2)la|EcqF^7x8sp0#pk&OV!pqde$XRwY#yN(QA!b=jQp~X!v6dJS
z25ycR9-(F0yh06iN#B#gIh-1#k_3}P#Uzw;xE};ElnL=jx$rP?KVaw)V2ep+m}KC{
z!2B|XS3riLHc317e{l>0XVQh3*rXXTN=^d$TRC_g7&?=#Caq%RU|1HjFUC8j!6BBR
zBW6+(<3<Mc7{R1#G4_g#i<3?<v<8VYHu4@Y(Pdp5<Mf@eGf7{dOMo**hKZZsCFvGN
zor-`0!~Z1pm={Tk0!bV(?-*KUi^Sxze%I6GJ;bz;kz+wpa*|<;(kq7EI)>9qTAWcu
z0?!2nud*?-$0(f@_#VT;-87Zq17nQ7guB3&7=iuCE)3l)DwmifT9z`{GpuAWSJ;!}
z#q8*k#LB|n&mzer;TfYH!|xJvmBY@FdqG2tX^e2vJD!#<eV%n*lCwSdlQ@bv?y&rq
zYi5n%=;V--oyaUVo1;&7ZW5!Q$axKc^9G&Vw?zI52=8~{IK#rm>B7Y(d{0JMfF&lG
zg;P(FMeuoyP}xKU@oMg0$~-C(PFyoP&c?*DSWOk+>DVI3*v;ata4BiIpn$^4nEf$c
z4BJ#DB{Al43MmR4Pdd&}px_wuKZc9xf&xd(<RnvuCMQAG1OJ{d94Im56`LjQY{dUF
zO6a1HqKm@ZLV+aCm<vJ?(H=1~k^~P6aCgM4m00sn;0*(73`;UY3rEb=7_OJAV;J@)
zdBrSAN=agx#8EAj^gc#Om*eVX2@yugrt>kDF}DO_cElKKn2QSP<UeNEWgN=7>xzk7
zlc&T~jV&BW=Ox-0W0a<IRBw{3ZR(X+b0ul1WCzD;iS7Gh1O?{B2zE`JsBwdx;|ar_
z2}zeZ-Z1WQQ7}$Yh*o0R_Jd*DDpS^NFU(}Jr*q^=#;b5<3#2Qpmk#acNK{(P%(a#?
z+0$S)N1}l~i#}KU{}@+B8B^n=|4HJmJkjR7(V`Zf%J;NpnD5sW_$jFHN}oylMW^6b
z4x<{8&ng<s9JUiVoX-iq*AVQOCh&HH;(LyMrs&H_N{bc$tYyj&+AHwhe$fO)rW)25
z#%oTO*sd7Guv`kZ6xDOJ;xE^gKIM`$TO(bUv&cztYm%Kphf5$|nwi235pi=<>rmF#
z5*t%<<(PTG8&zU-4EzPwx5PLIu8Ij{;GH76R72$ge+>KV5L?#S7wyDnDksh5l?^nA
zx95>|wda)<b@1et)(p}Ko8)kho!5ZLBh2EaViCiVB&isi7+*mq&96+XH4RD3vH$I2
zPO#cch^e)>r#M$dF;O7I(=e8q*}<MkaVulYm6!>2vH#w%<mw!7Vc>ODc;dkr<1vRx
zQb^~Rg>N-W%xeZgmLz6Z7O!P2t5sqcv>oG{=CbT&iE*~b6p)Nz(2Y^LVs-W=izLI;
zScVBP-(nO6nPS+O6c{Hmv3_C^)C^?!6tggiIi@2uW-3#Y8AAudm6)`cNiiNVF?$%k
zxNlN2T<gf4FexT@q4OqdW)pV<r-}Rzk`%8v&X{8R%*ljfjsydHl7m{zjAVu;k-wtO
zp{xxiF21Hoo(^stseY!aVt)l0HB}`UW3MnY$ub1Gvd(jL<CoVp;CVHdS9Ya4Yn_ru
zaImgUiLabz)GbZvR1+(m5|x;FS{t+qJtZ|245O`8rg4~R=a<9;>SmRgsN4zh<h8%(
zB_8iB8DbH1Wfre%us3Uqt559A)nduBd|BsdW%<cB{}oLR@#mGD7$BM~#McsbCy7Nz
z#)B~+QcEt#AUEWWx?r)VP*ljxjGaOOA_l9K1-W0vD12<1$ij6=u$D>3V~^!4CbLPk
zq7M8_{4b1cl2!zYGAs&GeVVl@ShVp)h*;zNP+0}7UtuDR%fn^ocSVRcsyLhviTbPl
zG&oW<!8OX>RbTMt${-J0_eIgFkGo>j9{*bztJ}0FP9(8@RlF&qM}lagN|_43mz&a5
zPyf9hAs#0uh4>d9Q+mt7Aj*>TO(iKT!n5#}3S&&wIfWGpJCZzOo+aL4R613i#1b=$
z(Q6K4($gfFPBzyTr5L5(EDV}cliXNhenxB;kJ0E{%%|{)$*am`q1?NGvn<*yu1qm^
zRAR1a%P}f*E?i@!#S|mN%%IB1{7R5PcRri$f7g}`iFUFK{7Da!vXTrZ&Zw#rYVtWH
zkR&<5Ucqs}k@rc9TV5<$xFku9!-Fql{>0rXnGEa`cP{#7&{gZ-$RWX?$`>P~z@Xi^
z>YX5i@uEIE?ZApd$t=Cz=2uv|T~lnfsyBY@jOu2XnPH@-Qk)j?`J#ndjq7_saib_+
zp_r8{Yd^i6$RxawQL^Wa%fdaWENgYSCr{*Zoaz0Jb!%4|uj8u~>3oihGxWkIMI>_B
zy4YS!7m5}Vxy$kO%R*-Uq-y)K%3>^*lXy6znSyN(sAPExI0`Lb_U1{}yx4IilPB5R
z;f2_I|193v(kt0w^8<6l=ZoiV6`dcTrqZ}FV}+>b?7XX@vjg+_Y~2fNKL-gJhLn1r
z5)ts9@Ga)4LhGs(Dgu**mQQ40f5s@RFt@`+yEsN@y^BC?b%nzO#+WXJ?Fy?^8vbf;
z_6+J|u+{B#`N$y8&%n%7UBZ}jC1#Qni@V5Cf#V&QDjd{<cdIazw~BHKofdeSpVX;u
zxlyT5%-KUgzfk4zMG2k!z#_kjON^8C^Cycl?A6PkRm{>IC4GO9Yf}<S|MC)@;>nkS
z8vmE*7JDj4G8nGXDPCF1XS=wJ*Vd}4oX2*l%4{BM^$O98njETOM<-g`|Ho0^nZUpp
zb2la|MrjRK?=OeYCJCYP*D)?a^$N^MTOC3jg-<glRd#$5V2YW^BKgSEAyoLdR=h$C
zbJ9--XF<oqw{P~uDA{TqWR0`rygAG2Va#<$21mY_&pYxQ7?bA2WHb1?yklVII>Es4
zKE~j045O>b-%34h7C~3bq`IU<^GrUAiyU2CC3ZAJz}?hyMvYXbfTPMR0oRu*6MOfI
z352@Y&Z=gcsAW~-Vm;C0Ym$Pm(c%tf4%SQ#PLF3s8-qBNcBnDV=<r~SsTFw6EU40H
zblxd?QVi$Hmj#7aJw6&emlju1GWe`)@5yk~;J>oD5Thz*>Y_{wK^1L5$HZE*d?8sC
zZ82G%)H+o)ZO?20&X|Wug04~>F@{Nj{ogoND=@~)4Qh1Yh+&UWOA?S)YOr$(N^JO^
zWDs*dN%KSn2M6cxq$NTzp~jxS>jmvtIkXBx1ts1xF)(|0GO%lUbBe0WWe`?aERggg
z$!S3xyHrxRV}tAjrdWnn9Um?ig=B^uPNIxEk25Asj{QGLon6*R@8K<#=qM)rj97;4
zED8#-{|#cox;9N(64An_e%pY7H;E}`T?~hR%+~`yz9lKS{+P_dFsI{1OrJwjB@6F@
zV=?(Gor+1PV;-#KbX>$B&lubA??p1`nm-8-j%m#LvHux7V;Pnui6{N>WQaDb`w^|g
zdNRrBN-xWdq(dvJ8T^yflbB*B{I5=m?O0;G*uzTDmP5UX)qo{t%ZH;X><^5)nKW2b
zdt-LRx-d-V(C6O3?7$r(74x3Oj^!+mGk1)lQj%cNzYUU(#>f7~EDc%bBJ1JR=(K0j
z36%+|Osp;+t<EGwvo!=K|DR;dD#|G9F-PHTQdnh_;K#VTthZua{vBqL4cV}Og`dNB
zU6Mab(pP5Rn6F6_ZyqmZ^!t%|f+<s{(Q85(g8@g13WpWf1eK&BukWmNPXAx<CY5Z^
zR!QQBU{P+}Uy^j3qeSK9D}iK%qz|$G0~p>bWeLRjGIT3xaNNEtkfn6%M_&4*4o*fD
z5#{9nTzPC)Op-WalGX|+{Y?^b%xKk46&GYNV_`^T5=hz><7cs+r6Gwc`F})=3yYl?
zgVlMLq@@x<-YlY=mI+Kr9ELIX1l5zo1aEw6j`&i;$l!D+>0ykHr;sCmVZx8151l=N
zlbe!ubqEUYXntxLA=Ihs-gwc2;jxg!B8Hc9Sz-h<_A$m-Ok^nK;NZ9^)W90^DM?S@
zY6lxf?puirnGWliNfU2gOPQ1D<<ZRfHX`MTFo$mA8ke1$pBMx%oQp9{IulcSAohQ9
zOjb;XG$+HEn&b)p-X~?<_<hsC<7EMZ!VR~E#e$j*w_-|@RwQK_sVH0!j6IU18nJ_~
zfmwj@ho~AS2j@ZVcS)0Oa7>nb*YLNvtF^CFB3oeLk{7#6%{W@v-V4P{ddSdFkjLrk
z!Vp}|5H6%(A|=G;m(<BB`O@Kgl1>a?L5-4T3P;B)PYy?pzlIwW7-QbX-1y)5uU1p9
zRrsL`!$KiOcfRiHNdhV{-zqXRmrY_Rco4`Z#e9U}xLd=e4yBwJhFXQ>|GZklmLFDk
zteUi*Wg1%-2b+Rnj2uH>$J(U29on3l#yt(DosSe5CHRzBi>@+qDS0p^y?r=KKuzex
z?Jo<?3MQOx<9j2>$@)j&RBck`&Ptv*Lr-45&O=R~T;Ihku2oiQmps<Vu!r}C0K*B7
z2MlKn7EjXHYA~Jgs0w#TL%JdJa`A?)ChLccNsCGjx@ey4V4v8{Wl>{sT*dG@XPe-u
z^rXg4MnwZb#u&}36BS)pq-3P>R8&7PlzMV3V5pXEQ91XbUYlp4^81$^j)v+gmtSfz
zPe{s+c_(p~b+Sw5$4=E{MH~wne1*79l&Z6HDy9hW-HlO_)B0^DalV5w=9k6~Ndc>b
z*D)(5*3Rjee`6Oz40H1T`WOeZq}9m`la;2iRH>|DifK`wASjt9*r;49z%gG?i*<X$
zUs*|y#tHk~k|r!sc%sC8{tt(5gI3b|B$=eD#O4&CMI8qilBUHlB{OtvOKKNbt2W{F
zWC=loOqY+FzA{{DF%aNlijkP>(!g>?Alv1dLG9K{4Qvx9Bz1MfGE6e)e`^ut!6d=X
zxWFZX!FJaK8G)xsxfT_x9Tk>+iZM`_<8qm2gK7nzOP89yfVfjjl2cpK9D$2lMBExr
zd=Yh<(k<rZ_(??6EhbR>nS`j_#UA!vC8_;2649O#rzH|QpS3U=yC<ng-9F-Zgt24e
zOSzLTdu2{`@F~gOS5iKap`<w>qQijqE6eIAB~OusB5(S(@>(-#&Y4-rV_UbXpT~C5
z1pd>mHr|Xv8I!blnT}2>p1k>m(shF$NfTBmF-QtHzMZIJ&mj>bUGu~;W4gJ=YfV*2
zExoD1lP0=zYp_SOJy9{37OWz)MA64nQtk6Lj>1U{s*!Al3p-qyR)77Ft-`ogB1?cf
znW4e*V?swV!+IuBR*j=95jIK7lO7q)_@veGl|?*IIEGD-Ntt88A_YSx&T}*DTG$=c
zl3p`Kax8S>%T8Jt;SjUH<a);>IYxdN#%Y3u49r_Z{%07xND}?8IhpU^%E(2c|5r+i
z{?C}g-Yv+rzJ_P=>`bn`^2{$CP34(Ar+Tk9J8L=9?+as+9P=c$^+L8X%*&>+Z&YQ7
z*JM&|uvh!=((*B%t>$#G|5y2Jugu`FRT6q%E%bHL9J{7u0iyyJ4qj!RSRn;ZDOn!R
zgc$3i%l-T&@Nk$5m98@ko0%E<*F4No<TYc`J)Z3@=`8Ge%>0uk80mBKL{DO>6`k#3
z={JifHa287Pps=4vDvyT&tJ_HpTA<R==`pEBJ+2xm@hKFYXOgAl!)Ra2QP`~LJL)d
zShW`lG5+U@+?=GOp>&e5Ym*0i%u#_@fy0v)mYqB%klpcFC|{{yI?n{2m`SWz#*u$D
zTRZ+Z-RqP1tHQ?Xv%`I*@xq+b-U^DoQzm7mXcRM9t7N{^tXE&PUErpt&|QYLo;s5f
zwlmEZdT5cfp_!?k;h05?XKd4df#1mt-5&BBRSsQ@Np3SI7;Bt3Ho<J;V+W0x1rkav
z0uk!-Vtjm6q>U<~CVI0?@nB+<^x&w-3AAN-Z?K3ZhQlNFe_`fRP63yH4v+XeKJ&bu
zkig}>VCkg-BY~|kQl9)QEHV87Je)C!GBJ}U<OvxvXguBE#uDn0@h8dX`HF`-bu1pQ
zcwZ>_Q*f`l;3BcNd=fD)V>av))G!T`IJ?bx!Z)Q4N-j(pv)40tGevF_h!IP2lI-Bq
zi{WK;Dx7sKhKHLe$&xwdNRprJzKV;prYsf<+_+isA;<Bg<c@$>n=h+ylsbO(ow8{<
zM|1~6BSXhk1{oHnrwvKF1aIu}(#oFU$?<u{p@?lRtPx@2nRg#CFthF5vS<n0&1r(N
z45kWVN$U+%CrO+Ck65xY$U}npsez-*Er!{;4D%h>V~#-Q`XNJdOb1~5|H1qiTMlu*
zqvjJ+N|wqT=iB*+G3lYDAY0PHOC1IV?JqYy7o77brftT?Q;j=}of%^|_B&bqP1?zD
zQN@cfUBJSFHRg-OPv)EF4)h$AcU{J}UcJ$FF7HN;RF9jSt2uH-*!Y(&Un=*VZ)uB#
zH)E2`T#J~4Sw@T*$5u*DN=T46KBZzJ*JdUyjVt_HCrZ7Y&bgh5lSBVS8-LOy@d=Tc
zzY@RgU_Cnd#R|T?t_=Om-20W7!ljsETVsr4_ztuRXLg8t@}Dfa*$_FYpn>Bw)3P~d
z<qV&%SZVfr&Iv1~{YRo5S$ACY$b1sz{37WE-)&c>?>ji}231G$-@mv@uHTdKv)n{g
z33s6z(!COatgiB%tN9-5OMLZoIKSXlX20Kwn+!Xbs50!8@0`CzzO#!-V*e6`tMc7_
zYkfZZ$##DaStsA^!pM9*M#o-V;j^u5@A|Fsy+V6iyxzvlF?^rGvHVEUnfa`fWTpyz
z*tzVouCJ1nC!>L82W!$77H&P+*+-<5Sr6XsG!SIDn%uoXetwk1!bzOhH4<48RT|QY
z>eJ68&8~CN_{l4|a#7JtF`3n=Qo4;-RJ1CYAFE$mVtI1X#?a|SS93aNaapZY>Ff)g
zKZ!+hCzHO_eisGT=kj}XnRJD=OYfID$SSJ8aFeWEAj?aOXuDt+3-0T-fr}WpS?v8+
zY?eRTy+!`$sf`z3$RAy{RsN`!)iyuIPQk;H$HnB1YVF!?6YMG!KFe_8r4=%^p{6_b
z@*QSqR9V=-b#&z<ZJY2}JIn07?85(s?6MEPbn>oUr2lUF$k>uS_K`s>*TbK?@3oH(
z4cR9@_0sKL-i@9buA#5}_uI$nTLw>LWlp-$r7?AhRXVG<0&9$}aiStSYs_&LOXUwb
zOwQ~N&pRerdq&(X^?l?n^dfj+E~84)C5`vi6BLU#B;C9e-LxTrFDU7+wTeqdUthrw
z7S?A*`APd4Ef>WcND5!T=5jEfg@xUR`K#HN1A3b#u|1Iaz;<Sl2-}^x8zeHhRG4<~
z$v7QnHjNRnKGsxVC6;t>!8Vlw6@ybnS591?$}@@i!_#k^3JvTrACs<}s#dreqy2!%
z@9$LBf`&)2v(#p!dK^@BV#!uvTrlrlpP+@oyAS(TPl%C9vT5XC>Q`wrdc8rt!GuXr
z=u72H?n}uk|6a1(a^V*&c<)-V;^|424^F}_Vh^rLdb7Z#W97|!9xgGC6`K?@4<|V?
z_$ieXyk}%kWn#Q>pDE@_lF@a}^CzY&WjqPJa9N1u#<z><uLN15ZWKtcvcx>+c%7Lv
z%YB7Q@(X8|o*zz=D>jMzUbE(qWc9Qc3%1rw^K^1CogiK{rRAW;I{BoU8A3@pS3B!x
zCDkz1&rv!o&-Jn^s&0;_j)6!5pGA!0&GuhObu(8SVY{O$!8TD?wRR@Mqmxxr#Unm#
z^bqW+neKZumD{>0$B*&Xy4vaa5A8e{KitW@e#NeC*5zY-n<p~;(&gJKRM-+TNsfEF
z!0g(2^N#Z^k1IM8w8y4)o=}8?#ffR249pyxi$49TpZVeh-(FS4V-xH>J0hbjT^to-
zL~AGO@*Mw^Z(BR{q-XYZChsR#jvb9@Y*J@RmaUx{Bi&$B-28EVQmotti}gP{nEHEb
zVlE{!Tw2GpqGpl*DZc0K%u3B#a&uR5Jg-}_^0drEwIqYMIkEpcw|riGkTIr#**!*j
z7R$zt;Qz4<LVYui%(0Gfy4*QqP0T!dmYBKr>@k{-S+6fAxy*O|k!0NRNaE)PWvw&v
ztJS;0JF->hxG#`Z5*1*T;CL#bn54T>fK_Jg(HNV|1+jBnqt4dulwZ4+|FVka771%X
zuIs&g*ROOWGpvya+@*0%Wmedai+wCB5{y3VSD8?zacv$)>(dqI<acW@@?LF{G<Yi+
zb5LUAk;paj4O=@d&4`&F*KthXjau8kqdPBLJkNK%o7p?5>e8Ghv4$J%g)9jzH8+#a
zHl0<DW)Xep6dAL*i81;A&)F`VPnucWi|U_GSm3}M<CV08qg1Tn_)Vc#t+f)IjebT+
z7SCqv$zg7&pTL|XQuDg@GskHLQ;Q^_n+(>GE7!EYXyuIQIW+r%-pM$o9=Dfwm3V`g
zs@qtVHh2niA7B)4J{Y5%r0prJ&vT{vf6noaNWWPb42Ok8Eq9*2=&35r6xqf&$=5`o
zvxU*lR7G>MeB-(9MzO$a$qcJlrk&WeU|Y*2WsAdna~dXoiRm+Gn8M#M?XhTww4gwA
zj8d=$2Zvy~ch9yjmrNBcl9VPaQ*ZpIYUv>zBeWyO^7<2HiMNg0Z*Vp&km=@U<cYaH
zA(_MZ!yf%r4vzx8?k;fAU=l6dby?lBbE~pvmx|}1>#?1}Z83WV(sWw0l_JHz7^E1P
z2vjZj&k?he`9w^pr9fB1s?NfTJWTrzcrUzSuOhp^ma!=5Kz>8<q^ewp3o5=s5;2TQ
zF^b-P2TC8^7PzPTVOL6|^U4hz3k9yG#Wb&3_wUGo>V;RAvR_s6HEZzQP#2>e+u0G(
za6_lFLxs`dW@Vky7ES$5&YvAgTa!*CG<2=;ubg4m>~6=^vEq2=aj^wzJO2D%cFmPj
zx5F`quS2xpLejfML2WaG9|lAy=qBBg>hrKmQVU2s_~#MpiQNb8b6ihflFY~%RVKMR
z`Jd1=iv*vgN!$|+lcYOd`#hg8yEC?MbKL{|q;p9NSzQ<drKLXbO|-e0WN<ddJ!#=n
z7bdMqhZuTg7%xOK=EQ95c;e!0u}z&p`%%Emn4df;5>q<31SNlz9-bl2*zhu`Va~+H
zIX^lWtzy(-9&w6XJ$%AM<A&?^bBP~jHU3I(<nHiv;5n}_@x<GmIUQHkIQIQy=x<u4
zGbwNm^Qs?>A3Sa_$nFeEVPvT7*ssE*zw`q8)WrTI=FS+!kJE0tN@xir#xktn;LuXE
zVEgZ_!O`(L<{yVR(<~w7zZw$lGgx9gI5cnk?UHU`TAO6Y`ItpR;$O_!n@qjUS2{FT
z^TaT&f50E}zVpPv81)I2it;fTCn_abI?i-(o=}K86lcLZVZCJMx!JqtH(ZN(D6=u(
z)>A&y9ilD__Tdc7N*rrrG}YQSER7Lm5Pl*kIa6K5*5s!_)ol~sqauQ@JJgk!9twbV
zs%iZBCq2Q8@gxJcfZ(J}PVX4DZ(vM%J)zg*#3`MK&YyjE_%2S;_`){()5NzYI`(w@
zP-46<#@_KOeP;2*sEUbwUtJ8p-rYNGwM?H1=WMP7{;u7zLjP~DaIimBpJB{7(~t3?
zsOCK(MHPeFe3neyH`^vLa#YLy=-k+Pk8N|&hW)45HZOQ_LS$>_UZ?x~j_xn{990ds
z2)&YF_EdYI%2vxEsLNU_qc7jN_MxZwHlrP1nmmK774J;ay!iPR6R!%#{;w@<EDKIC
zc3tFWiV@-xzW#l?3Pa5Q<o~`g|C7vKOwd+;P|4w`@-K<=FJrQ%MHNe{(Id8v6WAvt
zGYTlX7tZWpFKMs2p|tohpDIt!x7bOHf^!6Jsjx}hzhU9^ge^ctQRdMN*QY0=k4U($
z(m$ayrRPLTEF;H_BPu};Z^T`A#_ApXoNZ#{v=oU4HyWy5u=Z=cObbz7c|@`E;Sr-)
z#tG6QEHhu(vWC8%%crgAa)jmKy&LB*ykVU?`EBwih0+op-HY#7UtWICqwD*DSJ(ez
zZj+H@`@-u&-mLy<F(+3YQ%K$*E-}l+Q1D>H%wU0JhK&kU%nnKF$^U(l#G)sEVk=s3
z@?b3E%HtlkCk6edd={%ZsarV1I3SskUqoZd7dB^6#zkLwJSHnS&1P;+X5<qoS@ey~
zTP1L><}X8$>31Kj3i-}5Irs<L<jX%rtGrcS-Io7kt<|x^L%}U_cLQTgbS%T>8J`dS
zk2#|u_)qZ3FH5%U4LzO`M`fG^|4;cXw~I4=&Wy`ayK?5~EfVbd!|J^JuSl0NTfn0p
z#+Vz6PV_vCI}$#DVbe?{nL{p&q5t?;CkVwn<hsk_opk3#K*oR7s6wkA&J|}}erZ_5
zGBCHDDB3Dis3I!DXkx*j$oL{xdI3*t_YB)59W0C$vH#2EXFL$GiMiPEghhXa<Hnc*
z<(;RqwHO7r$NqOoGGT}bkNqDV6PLtc8sp1*C;2~9aD-c2j8IaCL(HZXek&S0m{pSE
zll+W!++&QNuq~D$E7<D%35g1o3n85X65OX$4G(Cu9f)B{a=UndH=_F3fszHA9xbiz
zWRyB>vvAXg$fS)kxEWZI9>pjXB-zBQJn`NjE=lNsyA9(6S%=sdj%0?w&lbO9R<OGz
z9&yvGS78$LX4o(3*?+{%CFzMnf2_b9mSYU=OJf-?32MFKQAuHDE4fgoqIt`)*_X$a
zMQEXt<4hsn%#9q%Y#oY)4|i~=#BKC$G@P_q`i0JP&N>cOwn)JwPtGeWQkr=xY=U3e
zS1KRaC#2*lv5vD&MB*YltMg<IDa&B?Id|Smiac?W+m-OdG5^N92E`cLn57J&PXx3s
zadEJ4JY0}e5u3~~<4cOf)}0d+ekHN66i<}g&dFB%LUy8rTAGmy<4!KNot~cc0(XK8
z9_xIc!p-KmSwJPNiZMlqhk36+u23pZ=*s>%f0h|M6*2MTHD#O3ca>%G6n^%}d0Z}*
z69jmDCo%PE#I;z|w0IXui2e$2yU^vCn<V%qVxzK0ti&mYz%CwJLAKu+{5)R+EpH03
zEuW+rqaeZiRg5!5*p}5<WG;_+i=wWl#<IM}j<R1wS-q!-@tL#qhl!qDr1(Re)m>eJ
z*E&<dTCDRVm#ISVl7-F5j2V3*Jl7>{Swp3!vdt|!>cXij%{tRxMkIAPpY9@A)~Czm
z_;iCseWu8>xmH>z_{2Qdh)O<Ly88L%C7eDA(mbDRomYr?>aD%Js%Y}jovCi8Y&5ec
zaRo?TldwCY@F3}g(2oV3Jv(wc{^YE9`5~5}XSIODjkPZS3z(|^8Ffzh7ZaiJNOGG?
zrkR*Zw%NjMhZN<u@+EB)I>x^Cwwg-6*slh!Q%Z9GR`xkP{LwCyG^0VzXG+WqnR5+S
zRT#3Ruc+^wtQ!^>c|(~kcM1nv?n?g`Dk>@}l|@#8^Eb#>U2&=8)->E6k^Fz*Q4hw8
zMsr^JU3_?P@(2Bxa|>pj>?mE>;aPR*!b-c%8XFhP`@d0>bCS%zBqdpglfN{@JuMb-
zczJdxRXq9Tu}%F(;eyalRt_&WCKbM3;AF$N>Vn(F_>#O}RkoX(44-XI2^8hYEm7n5
zJa&<9d-JtPC1E;F+Z^BKZtGHInclxLZA<HVZ!X^){S8hkMgqB&Q`BF^PGtKiSb1~9
zW<kCg|Ngtg$S}zMS+FtUeawpgDie|mR!DE~7v%0({BC1M#_Vm3Pqs8y+wpJQ<;rqU
zOMG#9ga1T^sT0;tuF|MfJ0aOPXU+TYNgg&kUOP@=h)9x6nwiA+@<GUtn1=YIRWYea
zpE}MQ-|lc}+V;da4yzW&0L?8i9}*vTZb)ooOj_>n*@0nM(!KzD2CtZgWQL9;k4Z_~
zl3y4ECP`jC;BEDdW#XMlNx>V#cHQW(jM$Q_$C9L^lq;R|*OQ5T!VAeLo|u~{jNLPT
z?hy6&Qj(i?r0CU(BS#jUh{+UrxKr)fmYknLJ_*S!3|-klF_)O8ABlROyfwEuX&2)f
zo2_~2vz9+OZ1}|Bh@``@6*Xx$SF7~g-Z1yA%!;iAmYxeGwP$#j3ppKk*Y7)<EA)To
ziU|hJUs+7AxTKnG42qn6drRJ~6PpEXw&yu5W8@Rtp0|lpqIE-FM2AcV(~5JP8y56W
ziul>U6eAeJ)1cPQy<v$zgYYSy%iHok=^A}9Tu>Tw>516p{F59XFRtH^|7hj2he;dr
zCu%l1R#wPtc<Lf3<{~iZ$vKYt4F!S%d$v!CQE1Vry17il*tBZ??tsltkFHnQw54FV
z_k(nSScYB+k1Na%kH#{rekc*VFJ?=@&5xTZHx~394B1-1ZWJTLWMXJ(Xd248ZQizm
z+b`@n1!|KS#QHXfKK{UQV~ffINzTlh4;W{$TIjtvquhCzBe7CQXXjrN7Z>wT-e?sI
zSzZpFw_)bT6mD)UC~l6~7|XCA$CV@Pz~z`Fu?$=DKgWMPH&J<Oe(`a?39f}Q7kqM<
zPDJRqZcc1#$mp98@OewYlu22SPj4&O`SiuXEd@I#CRshYvg!TASF^ViJg-Qau$O1j
z(%ua*k}(Un6h8UTv~f#eNL!2Vp#z7{ZYc;ZNM<-aOVq5P;`Fw{iFaNKFf-e1FBJAW
z(JS-#(uAF#ZMGHNRY*D&)AoQ%DeD54FGtD!Ek#e#xWbzsP5yNG)r=3PlU5wE_?pPR
zpui+1T9S!(<sH5SCno+)YI8mGj&ahrv(2B<KFW143m9zLP|5C_bnMs#i=F$J?k4{~
zP@T*$D`wTVj*m<m%0HgiAiHtt){3J(CngqjeV8{#x3PgmJIUzlukNN#Ha!da9|WD<
zQW$nMwmE4-GQ;)){>#Zd3~L*!C)}1TW1T4@^I;O({2yN~Tx5?ioWJwr59#C6Qnzm{
zSf3`bAaV1G-<B_S%PDL$e%zS$;jqq?sLe-r%&XjLQnT`x&FQJzqGx%3zHjqnTS0cw
z2IiDc>+SYNayPIu%P+`hY>WL6zx&0*<F}mD7@qB6NotV%(ZSRBFGhQldFz!Q!sk1`
zY+%3b(wJnD^snW``A+L++lp7`-slyZU?L_m!F2w{l2`N3Z?s9CV7c**yDC@1G3yD8
zTg$?auIp@iyuB>>a+ljdhSfjX1b1?MXSPe)T(M~;GjGFGH$xWAecP+<FP)_>z<9W8
zDdUdp^hV>&8?;U^CGXF9en3W;VWM#VkJTdF%g&2&n|GbBVcc44zv=N0<^{Ezo3`A%
zkfF2j$CG9j?=5wQldk?axiMzumg9^as_b4#i{(E=Ft#Km2}dUN3n$k6h~@b`;kM}P
zn_niiecoUYG2_nWpFuZ2$md+C`*9{|V?$1Z|GgRSYqmDXCe3fUJK^xrZ4KWy3CAz6
zwwT4qf3RQaBNL}q1Iuy7l_!;$#M!iG=(ERcI>vQlM*ic5x0^dQL{8Z7dEv>5NjoQV
zeOl9fbVmIAmbdx0w|0qd+9)``ds~;_RpI$7U1IhKwK6J9uHcAywWVeT>z^+(^G|So
zo#>)0v^0BWuh>Sf?k(PPAMcg8G<m}PBaL#~yv>w%%$mJf@wUX#ldlg6&6qObAVVFe
z!ws#5&o^!#XsB7)zlp<aq3+`iVU3Go(i`|?=g(N#W2%08Ti4H-kCKyK?%KFW^?9Sf
z@sFpTulSmnv{3!=^chwM9b-25C`nJwZ>%)iF_(X%FX(>oTaQ*sEZH-|S<zc!&HS6s
ze{4VBAScB*yQ5;EnrVN7M?;plb|Xg(<Aa$t_8TMH7AT!uplixKaSLZo66X~0HOD%l
zE?nW|65ANcyuiXU=E6sYcW*b|zj15gn}xm`nRX;S;EXvaviU*&Ne-UIZTx)wU1r=#
zHXCnlQeFCT$Iq=jT8&&hj4?Ga3sh{)if2}KzgC%$bbR6(CdQa)8<L!2w*D}V*m>Xy
zll+Xf^BWz~cJNQ+;N+O$u~%^w#{#?8H!TulrYv&2$G9Ld;c1N7j1OmL#&TVl->Ic=
z=Yv$z%*$>`T%u<4Q@S^{Z<)aw%h-RzaMrBz4Y_>Rq$kw8lzzd$Q@=1RBF1xp>Wz0D
zw-ZlyY-*N`5ty;hzI&sRp|Ao|j1fzE(<O$&NilJprAK(u_ja^*ZJDP!`&IM|^Ro{Q
zA7kG(d((^`$^RFqw0bSjwzYeA;H=U&hA)pf_6tc1rE!-lRWD3YNaBn6z<l0%rj6aC
zRbpRHtX5)U;+?2*s3IrkcjMh7+%Nxk9_GK1%KLKDl#R2lOyFg>I#aOs{DyVxfl231
zFVx$7;qe0IkFyG#lb9}6B*ksIyhW#FOZU=qv%Wt1u|<%>*W)2Gcg&Ry)rs~K#E;+L
zR1=Dq`@7*@5~qOz&w)n8TSju5Yz&rcEQ*-f+ws7`<IRNDvzua-8GP$5&1jEcT;M;E
zX+2Nlr!8$ZVU-fs7OK_Ev`CUP7um`Caf;g0tt~HeXZUP#@Hu!T@n6giPC-SPqz7+e
zG?Ly<Sg-s}!9hbZ=>xCp`K_(lEYr_#ji|Z1$Vaa8-|01*_Pue}-BNEJI+4qpk1KFf
zeQVMb<Mi`e>a<y=-&Aq!oMW@Kb}ygmH6PC@55$&ku5o8Cy?yYa&9<8Rn>g=&H1ibD
z)^W5u`04zXn!j6D*vtBFsWDs05LeOg#AdsJ&G`xMj_6x0)R=fOeZg=4%*ZB2=Ggyk
z0kSNPe>cR$dBid_vWhc0AMuIMV%t=&ZWt}V9P=rr;9!j1;RS_tDk}~DbY5XdVvYTu
z(0#LHjlO?D3D3q8C25r&Pqs9D`>F4k6>}(}D(B{ciU}Msrz2WE`ky_Le<<dFYjaHW
zDZ%4Qu9`E>-X^-W{rNlH9eVS&wtwECbuy)Nqg49VcFq0`Ti3o(_21fli`8*^Yq8mm
zh0ibTUf?k~<=w_L$G5gmW)0l7wS5ZP<ZoNsm#{k8Zfg@yp1rd&iY;fau-L}ya)R5A
zMerv*+;V*yr~THBr8?WM+Z}B8-r8<=aM4eGu8n;^MAn=+x5Z}i_G_+;zVo)Wo3QnZ
zo!?@U&D!t1wQUkpKj(sN8E1E%P1@SA(&oT~NhQY^UvF(!&t;ptwL>$PS9fc>C%<m=
z_6|Q@-RiCFm)QD4<al(ex3*2<(cRjoAHSt(?he)C4cT()vrpXXUSw;%L7*moQ-j#T
zQ}?&e&r#e~SIBnP+UEbxtNXUr98PA~>J<Itna0~KPG>J3dOm^S>_(S)GnFm=Kk%qu
z@m8p1eq^k2{FYg+Dk3M-x7MG&p!In3HRTsY`PtpyQbLQWx6G}+zb$Rsmbu%urp;w|
z|72FomRYNhb|iCN%z4z%eYWFf_a-;-Yr-oQ{OPdo<dT-HI3B_HVtvHxjx!I>Z_r?W
z7b9l%V)Lh+#$VT5c)Bg;_LfQC_ifGb<1^m3WqMSddGxlT*<9O-Oz#PA>pizMrQA!*
znPKuKA?u0y>7C!(^;bNQS2CLLQmVn<y7OlWKd0x7d&1mzrRz6j)(CG@`4RJIfq3G}
z7nc+-%;1&NygaqO<Mu5V+wDbZyUjOm=?vfG(0Vm}&z8*j(;Flins3P7Nc_P#!9JO>
z<A|^OPrIE3)eW^DlK&T8yc98E|73@!Cm8u-*q$Ua9N4r`ggxf7VVBz17av&64X)md
z*_7SzXwR=rT{j=hW$|oX7W20(c|%tNV@yZP`&p`{{V!rKoZ;P^ti!PTKxxC@q_pJ!
z4h;*%C;57A@yO}4(b<&wBj)J#4(;r1#XoOkM1*gs_`Id#x{cnJ%lTXL&rbL=Yv#Qa
z?awzS2yd!Ct3Bz0*hZrVQ<I#Mwym6~+{vOOc4@<T9meDh8_hON6x>nl&1@Z8^S^fE
zX1mSPCiS!UuY9+?Xa4tX8BezMxCdYP6cF8N`)r58<bX^r6_$X)>oKbLVj6C`a5epz
zn0bh+=V{EW4c!d#Hg|(kUa!cU(Cf>!YD(7Df-S|*yJH@1Y1I<c@hD;_TDdAG=4Fx+
z$94S$PiAj!eWTObz2cv^{6UVT-7mGb71+ncG+6wI*;p`nXUO)+bH8mZ+H-N%`3L^$
zD`whptoWhyeZ@ky6-!S>Oj%O)@Wr$3MQfjkif%Z0_SNL%Erq;E8#@I#8TTv~6lz)V
zG-ltb$2K>2Zd!1E)$OFMolBP)o4VU<DJn0U*^(lldM9eK#Nib;M9UaA{7%V~`N6Sf
zV{zp!hRrvRM{ekzm{A}QJmE?V*Tn7R?4TP+1hNt@u$RSb>B&32rD`gF`y_<|uI7r~
z4Q|RyC8y<YS`fBi{fSANa<_Gyj^0+jE+XbbhDVGHcVVC1W5-YDB(`0y+%Ro{irWUq
zG`a1S)|>ilVz*TtW!o~r&(%^iK-B81j-;0iGe>R8I!*2kOU`cW;!lobShMB2&4cc`
zZ5_9^R-BqT`=P9-2LH{H%0{tYdOtbhwpYCTJmc7*c}>o4GcG7pFeYuUc<E=v{K=Db
zTgB(CJP+0^IJ_}td&Osco#*uv8a8P?=+JA6QI+8RFg<pA#pU(9^7pq@oSv#R(_^le
zw)Pac7jr5VY<q4^<}megi@3b9b@IzY8#Ux_D#W}ieWH-Dwc@$IXuQ4mP3>=6uDt%U
zwLGS5S+AF9vb=97>oOa^^9Reeyo{1<w)YQZt%=)Gu}?)yRG|2#P*m@B>rSC+q1sEU
zuh{<-$lhMD^OEXQ|6txk(U7-upMQS$`P1SXk7mC)Q2g_#wWrF{yCI>XEEmH>8n<t&
zn66!_`ZPF_*WNXX$NqlU){1p&R%>}^+zEC4W#_&kRy1)@9FJoDs(8_R9tpcM+fp}m
z*Idf~(KKsf!Zw395sb6We4bww@yO{($EqzAOD8FQopIg%)2x=`TPlj@`k#8Ut>TZC
zZ=?OTimB|qeJN*Ur|FoUk#&m6aN74o-|5JU^%EV|6?R^6eP6e|!fG!2+AZbNy<B6B
zyh>KSoRoPm^f15Utt}P)FWD!1WN<rrJ*iuF>QkellGh*pyL#!#t8Z++;_mSyY}Lt>
z0EWixuJ&i&uK4Q8<Q=v}ValBTLrS6(8C(}I$4;5@XKTe2{^Y(ay%Z(Bj&&1PF3n_M
z-*QGaR&2gXww>0ESNfapS@D0=-&Qd-uHR2&{{Af$^Dl|dkK9tRvcyz8OMLdKd_G%`
z0@2w*tzz#!p8ga6NymF@#if%~lNVGz-&*nf6<;jFq#aL+BMY}w%(we#`EPrLqT7RO
zpI96OHdi=TvGD#mwyok!(&4<wj!De1|6U}=F>J0_GlA#EM!Uzcn<|d3I4`f6J>kly
z*Rwx5MST5Hv$Y|2L-EHA70C_y#~W3;SKk(${bAm#RGY26Z|>~)_F>bBD{3rWl?I<D
zAOCnETkTb^_4Z0{OEHIgDQB%&I{f<;w^q)LXSCV2y>VK~<1Lj_|4!VsaozSxp;GP-
zjTcT@tg(#Qpfqb^h5Sj5lq|!G3*?JC8P?>u#%`|=&z@**#AI-hFX&@A3$w}z2JQ!I
zZZZgNt2p_S-Tf|uU)hF=ikKC<CbAgy9x?ryv1Lnzru>H5b=4h<?6y_w?+6iM>Sf4h
z(mvD_wz2Ynf6}L<EfxO1FEkY%*yFcgCfnR2;tb1;Q0M<2pv?atkmqN#?r0MDp44>U
zA$$G|)jb;;Vj?C~1+yN{Vg9h_+oqU53$_=&Kl5tOg@!LTw>A8$KfIx6!H;!KOi9}-
zwhNt5Sx~>NqEtNQUsb2spY0WU_b5#Lv!$Ze@<7O^c@8C8Zfx5Yu>bq^in2`|noUaS
zGtR!*t$1T=<?bghf-kOUW!xELD(Jz->ac<_d&^BB2U8CPUh5sVH+eqa8+2V|>ZI(A
z)zy1~s;97ZCT+dB^$ADxj!(yDWZ&HJY2yj*ME;o5`tBQd|Jz>S$7?G;{p3t`hQoVX
z<}JLtdB5l69fBEi*!m|jd|x!@?!y(Ew^o*I+M#~of-;wJ{++sev!z@Z?3JSo{XR@*
zVZXPnV$~Ji=ucdiw^x+^5}mzbHc#xdXvG7iD`xU1pO~}i?n#N`{Cn+ZF>e1NKEHBn
z#qJW3`9HT*Sl9A8-rHW`CwUiiXu-Bh(U`KTopV)0x+U&TOxnnjyj|ewo=tHbEW9ok
zq(897Y^}Jq*XgBB<Bfk?D%Qnc_*{24?a4dMl}}GJ3WzLgz309}ZtuS>lf5*2;|}`2
zS=yR<sFIgIDSvB4>?d2%vo=XvE8pkMZrI?c|7M20#iXj~Q_HzFr*wrcZ+-uHYsIy>
zhEFFX&22h-qrkp;+m_QtueVmj$F7p?{Jf>&+I-`~0ZDUsH=g#$-ty|U?>e>A+#6>T
z8Mjuxm5ZsizV7gRMaTIsu_rB(mRHZ-t7QAprhVED4!18hlkaV>_!T6-_jJ(etrhX*
zt7MOYO)E}!N%EW}b22n!oBYYLg=_X~WBYn<OQlw+U1;Ujir3yY;pHW}^j4ZZTvw^N
zdh1qg$-?y?6souUuUql{&AzL?yX&r7{xF<Bao-Cc`#8e|H7$+RwJ{-&|LxsU5xdkT
zT0477WvpUMnRWWfCpwQ(PZacRuh{-hc+z(E`7c&&uh{>8YKqXditVeX)=uAAx%Yo+
z#k^IMU3WGfdn5c&yzj>wj%hzWIL6H1!2U+)<Aghp4|VXyT=IxzSeMSbA^qEt+`8<o
zRbNk5EnKab{9xIf#!bJ}oRb_iE}VNcXTqM@>%Q90-oQEGm93`Lr<!f^H@6-*A+EhW
zzOB=J($=`?IosRgKS``!c}baJ*YlULU({R~PTX*eS@E}KYs=;<TbgBK%nUa*)gO73
zzpY_)q{Ig2WX2n_S`RLmE`CjCv&j<+=gsf?kFC%<e{tsgBagSf-C9-p$t8QvUFSm1
zo1ZS}e2h)r^vRv;@V$?hBmRBR`#4kSc|+9W=A+Nm_r&a8!18lL&E^f39~eJ09hx-5
zwr{78efLkJt<m#&UK~7sbHk!-QJL9W8*QbsR~#_g-j<_~w7s=@L-@vREmtQs2q`np
znm^|*|Cbq4p9t}<xO+I3VP$91M9nu_>KJ3L#WJjV6SH^5#hW`gQkuDUI5#qZ7N|rR
zZVTPGsj_lMz{bthKMz!Y-V#>yZClN$E#>8k5=l1>{=D^nW7!Xt?U5fF1d~^I3NuM+
z*PpQZbK=8|gG@0GZe;O&`pKkw=;!B^N@|OG=Fj!rQoq%Dt6JcUE4DY1{wL4atb8p%
zU;9MvjhO2*HaW-a(}<GW8F%>L{4c8tk~%d$Z;1<vTfn&IjBC!eB5nH(^TdAMy2toK
z`OV>@8+5l6ZuH%tYjFF3soX~0ocA#s^Y(E5^Nd(|>cr*rb!%R2PG0xtmt<mX%oZ&{
zMyK=g4Qm<Ak~ZhmZQH4kvn9Lobb#<jdv^H^=Xm-zbR3E|-N-OWY76&Ajt!;(6Q1ne
zw<Y=0#+al9+!c&nd2fCfPJF0xbZ5J4-OW!KCsb9guQ|j2Z~jJ^ZDrddk~hXp6lB^E
zEB{l7^T?0ei<0MWiJN{b#<;`u*+TuZ7vA=5vgSV%W9Htup?^d2$;`)xei*c7Z;BPa
zd9i<?@#l@ck2Y|y$L#o#bUS8K3}eian3Tu_=|#N73!MI_ZLG7H6MAjWic^2KR=wGz
zI{Cu;&bQ~c#CEMX&+C}fcl*a@p$!ekPlVjus{Taa?1uT1S-%V1)@eAu=?m*lX6NfX
z$Id6MJgz3e(ck6YIpyrOu=6)>s4F^fZ`I!z_H75N0%wx8bo|DIx3)j5pKl3Ooxjm@
zib!u<$BFh|ZAP~9H`Z^9&(+=<ymxES{Bsk$o^9qkzCF}CcboU^8NX*M*sj@<nX}RR
z^Fk#hN#^5?nQSxm^#5DE_uJO&BD;w*lN-CXhd%$bEqimv5_h(ZKNoB&UNUjb;|-EO
zw*+c^<nw&FH7w}oHs5WV4K#Tyf3h@h^F24=p1Q`Gk7A3TZ%x~DGfrH8<IGbmp4)u4
zbMzjOaE<u+d;XkYUHvU7;dT=rSHEr9z?h`GK~P!qO8Tn)9hv8EEt|zwyKSr2({<NV
z^*0Jnd8}7C@%_;oo%0s7y7O$8oB1nyYtqdX4?g~DO<y=|<MyQTxb4C=3wcwX9bULV
zq?LcoOL^<9vVqT8`g6A?>E^QaZ%taiX+_*JmZxjBCaLmhpS0W{a$qBm)a$D}x>Me;
zJ>=C*diyG=XByk~j;*G=y6^V#>26Kheu;PQwXI2)_;kN*Pty7pyCLZY%Z$o7JD6l1
zKM@Srny9M1-BNC)!l}=8Pq!p?Ex6z=%5-yUq9?24<*z)p6ZyPSE=kGuFKD@=w0X(5
zoUKW!xvYU(6PIpbo4hq~?iSX`+Y_gDC2vR+y{Xx@RZ37W>DNs;`3Ec$*)vz3m(|{u
z82__D<LLH8ueTe**coFs`SAYSmZ<Et?8wF#OQ+=<6Z=;<i$^df89rb+yd!p7qWABW
z$)6j7=kK^7KL5~@9gjpgBaS+}Op1;^E;NVnx910C@%!6s|8761B|Cewo#FQg^&Rgg
zHimA<wzWRs{NY@T)uS8iuVZ%hFFLbwm+b95|L<)J-?q)E*1Ja7V(GrE9NV|KPc2!v
zW@FCJZBAPGTQjfi$i2b&V$<gvD^7NJH*dDi5xKBw57PmO4>FsaCca-`=k@l_2GgHA
zYu+4~%rQ|a=FIzsT|aI<Dm<w8=k*5Dp9`v<Fp00-e0z(>_kY{6w{4I5esBB1nub@O
z7}6&)-MlD~66vxvcWtW2-0it*AL~tL`nYRx+Lp^_w`hKjK6&fofgerD4BNGiZpxL9
zy~fZKB0OQw?w=e+CzFj|c#3V%{ubMCK{zv+F)pTsapH<8JHCs5<eI^FLnGpa>BPBm
z+X|=JY||D``lS4;Ym1IT(yw1LPR^ReajNmR)f(XypEkbTpnYIYOwJyG$=fxTo_hA=
zSl1TK<da!PA3S1TnY4GKP^s5O_QyK~eHRFRI&|_)t@6b3xMSN2&u!H$vU`+r^CII0
z$B!rZJ0}bN->$V>=!SA~;sL#@NgLAGlNru$*LuUTH9cnDcCCEtt(o2#oC*wot~8u~
z{L({MfqUP#m>udrl0GzV+@=%J_~q=*(_LG#rr+DD`$?6nmwTh`l4~(LVs*CbK4Ir*
z-In%TcZ=@b%}Fm-N1nL6W<yTLO6kkDnsZ*QOk(8QyZrRcSNFQN=S|<XRktQNX8i|&
z%?)?CmrP6Es<Zxm(Mh#wTXn3@`Q1p|8qVc5@kS`iiHloxpVq88qjP$j?skUQHCw~C
zY}ZX)Jz=xwf#(<E9<dtTnXq5{*ro&GGdC+SG4oGSV0=CCV8j;PJ=??IoXWVt_|UuX
zlWSPZ3GL@w{%;FkYO^sQZd<q>|7JbE${Wht!e<tJ;1vDGG^uU#R)dh}ZQ&=k7>Ff_
zrJb8m{q#=X%5SGH`l@i<xfp$eDaoYaLGPN421ie*ZeZOKmVDFa3D?Aqyz|WrhZr}^
znZGqmUQTm^=^w77jbREgF03B*8x|ahNjj0Vro&_YcH`bN57N)yeE;}U;$)Vh#vAwF
zOuG5J@woY%nD={5Zr6SP`uB#UjoWn3UY;1;-E;TvoEJA1Hpai{>PX*_vAO?H)a`A$
zb#Y<~j((ge)F7U8Fs5zQ)fA@M<`ed>8&=(1E3;{$f%M7#$rl(Gzxj}LbJF}bOO+na
zbn#^puj@Ui9lcF=^ZM1P50V+$SDS`iYB-YkZ{n%`HCzG}J3Ba!em=m*_9kZ549$;U
zCU_)owN0PDS%0g|{I#v8u6J@(h_tlbKOxU_YQ@h-Yq~e~9zB)BX=dHJcK@60v2(W?
zzrDF}!e4)eA8Q!5#YAqh{<u-hZI=-D9Oe)ASL*i9VCKD%G}HQM<MjC*{Pz1NY`L+;
z{8#Pc#os<YT+@77T~OM7gSFj;3ud>rS<b$({s3>Ua8qz>&Q`1VPXfZ7HnX=|%jP6A
zY_tB5bTxTXoI1Bc9Mh_kKNhVx%gh*)6!UG;3{{Em6Q<Z-+#a^gYSYHkACnsQbZxbm
zzHOWB)P|MU=X9R9cu2he=+zYyWcv>UG_Cw^b1-O<#13K84Q8Sb8Tn&wc4(M>luTgT
zZo2uyYSB2Z4aPYw#nD?*UfW#oFx%}?DLHe}spCJ*er$7A+bkHUzw+6+iR@dQpKmfe
z-mtn(iDlJJrSv$)i55?oyeIupQK%M9UBejD`s<`{=E_OxQg2@`vbwSIV$RA--#%Te
zX-T$w_%VKq$IZ62y=ph~#QRr#`xqd9Q}JPk*JNeerGM@}5vbkj{<&ej)WO#c7b<7I
zcr3nR;$-IXjc#XW>2@&K9-h&>d8KjWhP25{-g94;ubE-g!4&;z-J!jIw#1cIuHbC1
z+cD*AM{ZSF2m8hkk01ZozPW>)YsN&5-;NBIT9|5Y#CAyLZ15`EYVX2Xuvb8~#=Aq{
zTEn4_E0%rWnN>1xWpe9|=0l|$lEfMo&Rd<`&a~oze3<EsyMH#@RdinZv!VY4mqjag
z-MyO@d$uK}ZgEK0UU6NA@z?pXn__Q%`g~woz<-EwmP6Nuc2WKvhi`t1S)nZ`u$I?)
z%UrR>tGg%iZD86G@;9vQ@W~F5JQXLU<pQPLUGBwuVy@53jM(_#&+O@fOC@DvloFX#
zCP--hU+wup^hf2R39bA|?YkGOe3;a;L8-T7!QcOTK3wjU@RFL*X>Mk_QT9X9nIyGs
z@yCud<mBJm68|BYvAg2&7TfiEHz+kS#snnYh%$f3_$^6hhP3@P#svlzTnpw_Z?iL7
z@lT9#!`d5@lHv|<<ZP-oJHWMVVvpFL_RHV*ZHxc2-GP&_KFMMJ4#|yuy^opW-*i+a
zby%A_a@DCMNegZM!@J$llZl_Z!{v)W7$=jw&V&v{o$Q|Kn_FT}trUOUaN9h|(@6Dv
zL!c|e_iL;4ayq@uHqZKIzct2%A=p$y>PZ|!bbrw8g&g|Zw#NH2)&AS&AjH_5RAx8J
z@N?7E{t0@$=U56K$R_bL+4J6v*<`1ovy=PA7DsLU9oA->&;Fa#;Q4W;!2G72u^BNu
zGw*Lnt={gGeSdp${zlI8Er<1QZw%h>CT5%Kw|!euwr`2p_T#+i{3-n#EzWL_DH9GA
z;^d1I;@@TVFzHWh`u#1@+AO`Zr)=72d45||dGtoT%`Ml?UEAXNZA;`{_WErbO`dNJ
zeqXaKQk9`Pep}?djkYygBJ&qLJv-&yvz?9Sw?&q(XRBSiHR$P<$ld!k&3N>4OXQ}F
z?$0*(`x@ka>kN8$;m5I~6Axtn(cI#<l|i{;<2Jw3JLW&{2oX&>Vey=Ag);l6q&Vvd
zPn(%yRA$s(PpC^`+vc0UyW#MM^c|haEyt%bB+ZS<PCE3z>;1=^74Gt<Zw9I_Sax)!
zSKYS;-p_lF3o+i_8u>MSd-3^=ntfZn_iox!ynSPZ?ECiPF`p7s)>u_Eo;lifTz_uc
z-uO2gyDGMNd$V4=-Fkev`Q@Ovw!<3_MopBI`!wN;C}@=4d;JzZ-S*?<daiuBvaJ2*
z<<^;t@l|U0H$Qgg)x9Xs>iAh<u9^CjmhOv5y_#$K3y(9lAFEPd-RxPln#qpm?VDR2
zDUY^!s3$T>Dt5IT-hQt2Vzs}r-t@BOlbg2&o2%r{4pidc6)MVny;%BY!*f?|`LLEl
zn{WJxxOM1+_l$<EA<=K!_H13-a`D=?_C3|rTY|T@?fk#3<zm$D)}3#sReovi`@g6C
zV)-WS8=Vj4HEF(?sF`-6>Ei|_rP+<&uD4w3{@<|SyUXnSADmI2m@PM0bIaXi;oK-)
z@os~Z;OyPkgpwAxHq}PNU+D;IT=aRH_uDNc)&JY~?A;c*_0~4W``5Na=0$I@Pu(8%
zzIH?5ByQ<t*B>l6f0ASVtZ7$k9xVQI{REfg<F%a=*t>TA+FpGB2v7dAPN#WX4{n^@
zVE%E%#ZIm_uMd1$v!b$->qpkyg8Q4cM?`K-us$h$O(A;56#cSAdp2&ih`7R%qEP(e
zp}VrZ-J0vW8`s|07I!Eqa(ik1T!y%cjoT8MWB->(U0c&|Qd!}H{)WU4u?%e!B4-I!
zN1WZ7^l`<*6N23bugw>j%n<wEJC<ShL*~UXi#8nDJb{0fqGUqyf7=O5OqG0DBn*}I
zYo9XDiP`A)ZA)6!`j!J*Hy&>l++P0u)0UXp$P;m&CyVzTIBx%bQza*NbJFJ7D<?HR
z3_r1okz-qB{nTv^d)I7DKOvB?rLz8{NZ!FKUE8X@-rF9Pt!}VI{ziK8zyD{HDq<c^
z|Fhy}#iWY!TPy3j1yZgk?*6k;Gh$2psV<%Dur0B9r?<x4?!0<*Lu}Wnr1LvV-M%w%
zUOUxtB4$I=RUWth3xCG79C*2DbIE**y%kp){+vH?xqn;9*Sh~L2kxA-vbmM@Y~$5-
z&MhTh#U$RZ|2?Vr=S0?amgXC!H<nIZcz#pjqpijJ&u{a%H+9C2uR34ZHYaU~yH~ck
zRM^S%^6xFBolHD?w<IoIGgJG<w&cq5TN0+ucpCm@hjYb*?Pn*fuA0QrsquE>0r`!m
zZP#s#OWvIPaZ~K!<Si*e9J6ms-soYw=`sWR*0QMITRnDvTF^NEVM$8BjLF;Ne|Mhz
zFnwFeJfV+&PE`EdP%O-#xUYMs^sbl358Cpv=^qGQ!#}}MopsCVttD@_B<{B^)UlY|
zz+E=+jiM9BKl|<8cN>$cV_r*KShFqZ`(6+EA9Gul|Jm;S=W$YPdqS%9cJJ_OJEyn=
z*w5jW-@7I0>#I1?`2AbG=WB<G#Q)ynwR6+n2Wkn6U(J$jzUjYq{mMUEylYNsh<5zx
za^l*v)%%LBqe^}M`)^w|y#Bk@J7%Rw>#WeV@AsbC?(Mfy?dkR{NpW{y+qy1~<~`6A
zGk3?g+3bB=r_T>pdAfCr_f&09<)<C(fgW{xBed+dc;7wQ5V|F)>i<dmtnEoz|2GwC
zP0d)u+WTpncW`1-&DNy7d2{)#w|LL@a*0h{|9$D+Es5nqNA(#d&s-Tji}(DWE#4t3
zZO(q$;(ckUO-rcg{54tIuDJ3YnNhdJJBTmYHCr#UY@7F%*c-CCr?w<j-F+!Oe?=az
zbzeS@b)3}QAf0on**~{>zZ43nWtrF^+|?nzJ?ZPeH(Tmbwk2&nze%h9+B&YA2c;vn
zCcVGJ-u-i1;@V9!DwPjk(mr9ei6j5G#HN+Jp+6XB^c~&4v(CTN?d`V-mp*RaJ(<UL
zUYQ#2ryG8cXEMrq#i;P|+0NaP^wo>s_W72?{q`v_l~T?x)HiNTx)-YZhcEr)nJr0s
zwQv43-IA1B`NMUiV{6RTq`xVw{M&ufe;?32X|(9T_Ybk#eUc`~_<B71WMH@1=kcZ_
z+mkPOA70tw<9}9tBlqLYN%re!PyArDnLo0-bK*wL1;Vi&7BBsnnawt5EAPl)T=9o7
zW=qnwb4|({U1oRcecqOIJGIkiPy4aUPwui#-I}!jDCdTCTYOJVJiqJD7T>uiDw$So
z@}GAgr+m%U<nNO{#xbkTd~{ufa}hi9rsG`ttEP5uPrf^i^X(Qt@oz~x7WSOH$dTW3
zQ=n_l<`WOw|L1Y%AK@uwoG@L#uJPE%1G(HuWeYa>|8QK<d3@p?{krC36Pc`<U;a&D
z^4ONLf7`*#`8;P+n36Bf<ym-8c0<zSq|yif9&htYtutyn_Vf3eZLS-bwkDt3B=Kl#
z^11JEYi6E0nAWvDsa{Ke?dr&_$xlD6n-SC_Hfj3$H`CL4cL_dm6kPKrwX*W!7Nh5X
z*2@35@bxk00tfRrwFS)^*S@{<rf=D*A2aTr-Mm_Blfm8Xv+q^$*?#NqkGJ`G;M$=Z
zA3N5xCuJ7g?l7>>{l;T!6*Ymq+jMT-ni;3o%<Q)4{<9_NvUtq7s#!8Syk>9ro%?-D
zt=F|J$v=&kto~G(zoq_E*mgg?RF;ifljr+4ybRmO@^PE*rR6NE%@+Az+mgJpXHoLU
zj>|mO@3;Ft58<)Cxh;9A3b!rO^v8d^w);Mf;)&MhGJU-zIb>33^@QI$V(<2RYT5Wc
z_Rq%ei^S$HpRJbp=jKKY)yz<l`G4lD^Vq<@#dq@#{s_hu-4iuaKb3vlt=PYH;q-Ic
zlC8p5iOqkrHTjde^!-Ye@@>8^;|*HQ?eyN_d+uxX#^0`*?)N^edegY%#<|ZMxA|S#
zzF<!Bys3ZQY!lMiasT($<Pi0StNLfpzFiP`eATUfiCcTN`<ATdyFSfHajWm#U2Arm
z9FDo~>-S5({lpqO?=AaJMR!X6*{)W6dwcRzD@*C#Q;y|xd4#lF7ezC!J{3{1K>lzn
z!?qn-k8IkfZTEdzw^wej)c!YHeM{7>{U=%l&Qhqg34Hf<i{F>!Ho<z<+kMLyB?iaX
zg=Xn*^{str6MkyD@44x=k-y$-O)iR^WSjmt^-1=ct;wISdUvm=oAYg3^3HiNjSi(b
z3-bS5*y^g}Ww(EWi^YS~-P@RdY;<+6T{d-lO6k9z1(HjCo^5=6<0?xp;|BTgCye}?
z{f};S(>m95cT0->wQX*mTwGz>13s|DY|~Bq=(FaaoYIWbt9Qg#Y}GrxwIpc%2F@*f
zzcaLdY;4RszP&Jcqlajukl^-$PYvPnEb}+%Z^}E`BAd2y;^(b?!J8!){F}FdU-V<d
z8pX}-{X(^GT25}-U}QgkLR-s<@^9O-=2V~gv&~&OnRESx2@##4e?BpHCP+JOcQ2i^
zV%qiv<$t!gZ5Ht9m{{P#eIoXI&PM)gTMg5gCOnqC#<bD*<0jROj33t?PTKCKRlO~A
z<wwb+twle!xwsdcs@uHi;|9ZL+Y4TX^86N3dCT>``~Oxal?umudp0@=R(P`i-VybY
zX@l6!El$g~ZB70XAsw?N>6zjdr|C}_$~PoUJi)R-+jM^8lnY{^IUAL4i3o3rza)7>
z?H^;ytHOyhiwYF)XkOc(I$24&$#>7DcZXwU^vWkq_#eyA#jMe4`yuA~M&`=qdp3=m
zzRl^|v(@^>4l|wYao;X%|9GK;k8%A5mlG?m{*domRe$%-g8z?uo^Iag(!V`s>82wN
zeH&BQ560}?<l4b=K>O8F@k*w}8}1+7RnZ(XU+H87<AR&MO#Eh3*jCR}t=tm!>xf`r
z>{buG(-loC)>}{85|YwUvxzmCv5Ce0ANO{5P5&*PKexEIn_a9GHPm?g@Tb_djaLpQ
z+0X7zpEmL1Mj7|Z|4Slb8F%oxbKTv;xW)bRNoKv12J^XW{xo!{GZgBt3{$$va9zOY
z_eKwinU?Ffc>Gv#?nE}T@lOw_r1uA2pX4f;p-}(rmHnEPUg|fkD*BVkHhQqfEKi9^
z6lmG@;CAc5{M%cMwO1c(ZH#e<x!rQFf`3LpJJW{#zfTOGZD^aww0T3DI}`h{%?sb`
z=1=;+<kiilGdWw_r>@J?uij>seyGp<f8*kfj17nRBvxOzBY0M)NUM64<|fAJ+uXd9
zI_8*eHb1+OlRb$qX40>Ybq_<f6y)FD=5ueW>&x1$&d;})u07Ybx4L?Tg#UzsvX#C)
zJ7rqee&4gj^d#f$1wXB>9o#aJ>2aU_*^OJZ!nP*tOyzpC-TdvRww<@)esE4<+q=c|
z{ikiF*Kcl%Ot0T&`nF~J-Z+Lm_qKJf*>1kKZQEZpHcf+XN!!%b?yKAWZ+$#<LtE^g
zEqZg?wqE@-D=}hL^qMWfW%|Z5l~?~`Wc8m@9oDw#_ME1)Mrr#~kJ+xZ@JFt>ce~N4
zzHEEI?k9@vbLMYcd3p9$yX}A0Kfl?ia(=5_R3=-0^;Ww~R>$321HK;HGHuPfd-t{l
zyv~`b@aJD+Xxdgg{j;q1-@e*o**Mew(*C$Ddp2%OzSqU4`>uKa#?2|qd39I5=h?e`
ztKD?o{B7Iq!ftK|@b%%n#bdk0E-F5M!P}$T{H;Ti1lDceX8V3khu`DQrS|K$`Cr-J
zy7v06`&;C$-_76Paej;Zwp~hd9wjwQebUXkDa!Wnn)=gQ729H7t?1aeA$s2G?vIyd
zo~Teg`Y8YAHsy6|kLjEYe%&zNF6`Eu$!xrL4bHE*eSO<Dy-iylS8Vk8yj`<2=}?9K
zjW_lu7segD^LO366Alx*a(D6jR-D~)eS3@=%Sri-n(y~FOu2b$+W8$Ts_Poge!g&&
z@1699y4m};#XQ}jT%Eto_x3jNPj~Ng#oSA5yT5sh&-du<`X9H&M89AAb*FfTjN`_X
zUz;{s&E6h=wa$O-_ot^Gh?+6pklpl`sp+EOyvE8kudaC<Qd;P|=gr1AHHJmE@5oGB
z^H=Nrmd_O@*e5gmTmAR=CbzCt|5%gu++6seWl`$QE|1fX&Ypc#7q;E~-d6qFk*7*F
z-rb$tqP2a^7LSTG|JLo<9HBOYsqD%|uOmAj?LWaV@8+%YH(Mjhs@MHnw{~kpSo#*m
zmFG7{);-#E;qsP9(~X<%?%iTowub2;)3Xzd{Y;OnV&B~ScF1UJR956R##bkkewa00
zvD?#k<9^b%sJ-X5F@Ac#DZ;!`@aLuo{|&6uwleJAw{4=|%?)n8UmV3IE;_x1LEi7?
zft2lT=T}ZS#<HO|VjH6u<KzuVr<1lbhJ0eUy4CIeX@<rvjFVo!JG<fP&n-5aFMN{P
zJS}EB<DZRg>RihIx47-)va{L7sQP8q|7~t_f3n(aXUyH|zIF3vCIi16mUY|AwlyxF
zy_Nat{q62`k`IMuZ)M)#etk_(Q~DNWZ;l6X+uTpzc#wbW^0!UQkG5E(|2|P)*?A_B
zaYL5)`;G3LjIK;c_FF7|Z*yP&bIpWfXSW(0zWbnY)^_7|rVnbp94$(lKW0R>Eq}ZF
z+cw5K_2x<WFTLa1JCc(Z+L-EpaH!j$S^S}G5Bqk_@M&8aZ*9@6ZhQ1WezWHNIUlxf
z;r#l{X6;wqeaELAT+_!l>7~fVgJO}(e&@`3(AN0u+=s^>FTa@Gw&H5e!pypcj>$~M
zTN#gU5%1oj_4U)DqK`8kh)RE%y%1&opP2z={=f8q)89Q?#h+|rKDkxm^tbkvt95Ti
z-)pRY$oPTH_WwhTh@0_yTDsE~P2arP_Slg{v)rti4t-l|`FXo-S>?jd8<PLudw%=R
zhKoH(+ilKo)ydk#R5#&%_m7F2H|We`>e;iwTa9Uo81stmO@=I-cTPt|x2{?*%=Aq7
z|D7d~ua+Eb?#lke^lz)rExE?bFyXfD(_8p1J#AQRuDw<I_m9rP2|i+5`2TO!nko>d
zvr%vj=Lf?_oqTJyNx#`F`0(5|>8ESj)`x{{)Y^PtV)rI#@%L{Oa&Ig*?Z5eY<_6R1
zjZP^_g6b>!Hm>}dzQL(K>0$ie3id71n*W#^1pAp^X|Ya<x!odi?asT`lZ0HiOB~-M
z-PI^^wn<oBqWyPcXXL{C;}50MwyN#Fx5YmG<`y;m=M$g(6xrMx+P_Whx=zdYYcEee
z)N1as{r}<JN5+kIX`2}2<HSDbN2IU#zj?y{uAT!Yx5*TTy*Ot$QR@$5$MwohGHYgN
zTh58<f4XeKfx=0Oau0(KO;xCIjM=W9e{4=c!-njzEgGk1SKXYIqwLMXUA@&#?m@@;
z#}%Cn%A8w-=i59y7`ILMN75FJ_iwk^J>90U|Lta(A3q;{-mWoQA@|M71C?7e^j9C)
zS+S+__7>Cl>6|WqHm*CN*uFtwp2CwK=RXFYoe|W%Mf1Lp!mn%Z7w%V#xhCLrQ-giM
zX<r6bn<Y)JZzRUN{CfT)oAw*g3zO2eYwrIL)7`+GeQ)8}8_YfFe;OyIZIL~k%=qA|
zwcP5@b+I>2ZM^vYL*j*UDUSIoR&Ce(I*Fn5q4xh9j58*$*{1n@+ZL6YwIWB~-kha>
zZJXxlhi%p$m|`AxWZvAO>C2G6MtQ5|^>4R*Ha}>P=BzZhuv7O#PSS?LSq67(9*VtT
z+!pz0=Hatjq{2Tjeb}OTzp}&rhTUet&s#Nb-`p;$70Fb-Me}{{!h^T&mEVZjs;S%G
zkv#L1ef?H}rwt2D#Y{VL>Nhx-bwtU`owfIU%lfICMb5AJb*rp#+UIqq_x5cSy0?)#
z@7tOe+Zt!j-_E=B+ZN%yTY0x`-^v?Wy^X*6{uZ9wTNF=Eu}Ev2X8!yB-90UH|6k+N
zPx;5<xpANU{ViPC?4{WoS@&({y0?Y@_U|oR_tyQtzi$iI{cY^G_HE_bm1VtP+r8~#
z-+JT@F>Y9sXEyiAwX+)~j<AWVZ85HYKfCZ?%)0F+RoyI-ESpXKwy^Lr#%wV8euPEx
z;0d|oDz^f%&m9#1a5(EzRepn^^`3+o$2^(KnQF^c#0Xb)rp(?Te&<^4wxyS(GmgX@
zVhOyy*{o_4OMDYcx5w?AxnHjB-SwxHO*-=bqv{*m%-^fW1aed`CI7#B^XapsyT43V
zH{RR8Je%{`j|28)LU*>@Y`kCn@6L^vZyL@kC7<}|qIds>djF30yR)x8Vw+xayh%C#
z--C^tZ~mUQUHtl+n>!!hy)pgGLx;2<D<``2H~tP^bN^=1#@HJ-yLQjBee)~nuDmki
zhfkb*+!J_~vzEGY@^i86ym_$g&w}jq?aH?6H(Ca8vpLtTd+_Jxv12@IR@|G&7p2>z
zSNrkajdRkMd?WceZ$3GD@21vV*2$ml-tgbcwOM7NMTl!8r~Nkb^`F<io3!2hs@_wU
z{+su0yTrsg`54wu;XS|6@b8*!=G(V2O#ic0DYR~zS@l!Co1L2CueX_(mTeW;wB7vH
zQa;@kay+`<wkl1N`MGUl_Pi}h`>lRIV2F58*#7@^=f+iU)jHgNKb-mDUqhu7`|g+Q
zHy^0?N1a&H|Np_y`z;K@F|rSS-Tm>pV^ROhl-5sM)jvm{bXzisLFD|OZK|qS`tqk(
zRjjwGzF!ye@$Rd0x3?+X+-i9K-ZtaR%8J@;1~1>-JH7eN%i3F8mHgAUI!`~}HrFnC
zi*e@aZF*Z23-@d>D7n{hVB=P2ZzUHY!H#v68hu-xmww)+cm7|?rpouNbFTcm^J3-S
zZBCol{Ycl}qO*PN-=}Z3Ywvl$bF^ub)h7?JgBKs46mmT|X^qg$f65xaUT<~2@~w5&
z?8F;)dpR0**M4qXYyYq9u)Bdz*vjKNS7P69XL#Eg=JVyZg20-k?~|5(5<gYr^JZgn
z@``Dq?>D$`1gpmW|CAfnv?#2bp?w2Oa>G&my2fV$j4>DMKUYqAyUEo(II`}=w$EE#
z{X0d{+P1ruu9*?7##r=i<94^7Z?>piD%+xVZ;Ptd-WiA1bUJ#jSUBU%p6T0Ml^J-x
zUD@I)$na)wSki{Q4`Tn<Z*Y-6$)I{d;6N8Q<LV7|H`<SG-_|xO>f3ggi5yQGm)U=k
zUbN%ly$?4xI`?t>zVYuR<M{(uq!dpIvI}rGZqNU=@=IdQ-j(~`Y-Nx6H!D5o(yVV=
z95jCl6l@Uuko13|&a6Y1)@)XPw2kBVRtKxOTLs^*-J*OlA^O7GwwUh;$tTzn(tCeo
zN8dlTe$9-4h{yjTl3v%IaN^jwA&e{eb<@_Lo9*3i9WL83Z{p3<Z`|WgOkm=kD53Rj
ztHa8*ZS%_2g;;m@yuJCN-B*cel4a|QuL^u`Ixjuju~zo~2H~5NBaUuTn_U!nL_z!V
z7DxL}LLOTsHZGbdGM_<a%_hIfhF7+`TaJ`fZ`FE!zG26%n<w6W-sa@>cB|HWYflb$
zX;}u2Ym@4Q83bY`GKfs)DsH&<ee(v#J_h9tS7Nq0b}<;N*~)i@{e_nPwe706w(y;w
zD#JNz{l<du8~qy#)@&?1wzh5W|BdY16w6cW^Nv5PlKsCeZ%@nCyT8w!xH8Ll)t(Kv
zA2n`@S1ERRG;x2ISf|0J7=vwZHh%VOx?pf<4@>LTTcu&kwQj_07yP|_iE-JU>DvWk
zU-HU+-==qJuGRZJ6a6da+<&ezt6!n#{T96|TQz3?`R_SNG(InXi(vRK(fGaF1!6t5
zg@ck)X65|s^?R=(RL!LIev4koR*}|Kd$$P2zEpj>y=`lL__c+PmUslJKGk}^P4CWn
zm8V%y1O9FiC{+*T_Kdi$^j(AT-Zs6TUN(xU>tC&{OkThD_I7i-^lgG`gVZ@I+qZ7@
zV_%=XMR5J6HC^TRwh3y@W$)f1uvhD(?P;sC3+H(3TxNUr?H0Yc??S}pS8fs1mgRq+
zQ@>^Z^X(B+FU9r06q&y~SI+;`7QwisV)M^!5sZE*ukBI5ZGA-cUxVhv!|L1hYU@rO
z$-fx1Rq*!JtsC^ppXfYXm~?iFV6?oz?uN|t)~)|7Ja)bK-MlreBQ-oFV99oY+<&Dj
zufN&Ce{|-`4bQgf%1<=1Qr{7NGAeY<7QLWmt=RunUtF*MS#|s8uH)^D6BfiidHDL?
zIoXt$JBE`t2#daMSv>WW<3vW?EqdWmOlERh<l~ZBww^z+Q0os%%d*EUTfb_}-oSCg
z`E%RWtlSwnw$)qs4+|x&-Od;Pru>0u<-~R8x9IBY)Vw->?Lp?77e|tt?%asouG>D7
zMf%Nlp0lT#4s>nN4YkOe@x94+hn{xKodmBNH#?<7H}0@`vw7V-E}b_k<SMpt%{JbZ
zXY27d$t9tdJGV#b#Lfk)?LQ=KjR|U)+r802zKpAVOa8U!jw!5`Ngv)P|F7%NXl9JL
z#4&Nt%9Sf?KQJXd+;{liqiuX@|2t+L=GdUCcke+*%8t_uANQ6vY!6jFxa0bthcVlA
zgTrrc;j4aq;Lp!3y30Q|gysL+qPz3<7QTD+XOlLr*1xu5`iJEI+jRZ!Cwa8aJ;3v4
ztJ35PEB|bf+}yhLtLFP{x_`P?Br;AoAzHmT@7^}$7Dmyeg>TBm+jJgWn%2EQ^mP|=
zQp?BKlz{B*dHLDfOy6(Oo$keBJ$0*Y`K#jA%by~qZddU;_eXuZo>l28p5#AUd8cmE
zE&o?4GXLi`-KG9K)>F6Zmhb!4;u*0q=;IdM^PgolJbL_7UWJLdVBH#~r1q_+@7HbD
zU3stJ%E!4^&ph7B`?hNR7TuD)n)Cmh-Se~k@cgGtvfFg${tA-moW5N*e3f7D!gDhg
z-Q(}yc5rL$6x)B>bYEJP+5}f_(_Q+y#3uatR^7R;gF~K9Z`peF<*Dtu+TZo9Kdx@r
zl-9B}cGa!cG^_UuG-Z=-&AmTG{7o#wHlfD{yx;T~dF8fjt>3kItH8O=O-k!e9<G10
zU0_p##l~Z-Nm~S_p4+bQ`RR83`P()N^q<uJxJ{q`%K5D_i7^HZt2g!;Tfg0=KYzY>
z)6Dvhn<X|V-QC#7)nT(){N5(PiA>A3h%Y_8!Lst;iO*Yw{G>Z{(toyZ6`C)^G-KEL
zjY1tx+ZH+OsYq@xJbQC)UETW6&p229JHGDoxtm*!mTuc3)bF7ep|n-*)OI1e>g}S|
zdu6|_Xkm?I_|%<YwnZ#rtDySvj(xLFe9N<IRJmuoRlu;r;oqH08@C9Yi`*uV^-rq7
z?(Ak`tFUeSCoi;Z)Ls2anW1$52EK?1r&LZ{&v^X1eQC%hhTtuM{@o@jo0LUwbhRy$
z6}!HXcl}nLEiLon|Fq1#f2^y0t;6<hJWGGXOn$7hg=e$wM&7Q8)q)WQ-n+N)=1h40
zd8O7#)_))K=WpRyzPWK>-|8J_PioIP6K?u{!U?C?8s3(v9GQ+WoB6_1ScEnh$-ck2
z^2Y`~R~CVPXJaE+ZyavrX_;59z5dpP2Qhsc)m`tT*EK%)*f!7qU+cW{6VC7IWb9<z
zP$OE$yh-=OLzYi-d;7W=$^{dQuWPTk_=#C_zTT6by$|=Uh}pQ6StR)$W5e_Fn*_C$
zSgvrj$**rIeLkW2%@(1e&ZPZ}6Mo)RaF6+saQgX9^M(a~pCn$rQGR|yRF}Z&Kh2eg
zon}NvZV^`BePg%9%%Cv8RiADw*)GhQWH~WQP$f&esW!Z(-Qg6E{Z^4vacjPw+9sl1
z*U-Fi<zwXzM(IvlyU2|E4gM(%tOx&{Z*10%+bVK%D`#<CTky$spH5e95pflq6t<D2
z;@@@=cMfK`m7msc5xFyO8|UA(AHGc6!g^}WC$G6}Q|q@iuyk{zu37tOis1Pt3i~Il
zjQ_LplLiZKr6BLb+&y!CZ`sN<_4CDZeG{wBum5!V>Ageq_pbl6rEOwq)%vZXSFUZ-
zytz$O`|a94mg~2Q*34V?=O^2m&z9b6em~tNe01j1{)H<(Z<9P7wvBO;mgJ--N7w$p
z=gIX-&~o0CuQuyGT)nqdo;&7e_Z-oiw&8o)+BdJgw13aqzf-m{g?`&6d;S#5y~gy_
zk=x~-ep>rv`Zn2mtDmxKmK1N=BIiAyb?&Eavi{5YbpNdXJ7=3*XdJKZ#`jxHKmXe%
zTY1xZ)ttGTw#%LCP5LX6`)RAJf87?9NI}ghpV`)LSQ`4LbK!jP+&wol4t?1s-F@Mu
z{r?Rb8hm%<7q0l)-xcJ^^Ja^h51&;}%6&%G?MCA7Z|2@;TfSdatdMc?>aB89SSNqm
zD(gRqX)>Gh!jI>-%UMlrS$=o(x`3PQ%lB?!&E4o0`(~?Lun_x3&a6K-`CKOmneN_V
z`(~x=;=erh$!x!h&z{&^Uv{H8F|K*}{hEbtVJo^mE<BdJCb|0`-@*+-F*gh5tGwFO
zzP!3UnPG>WsP~$<H4IJ5=U;g9|4mE#<cn-;T9?N^-*nQvdtvadT=PiDf-Q3DmBQ~k
z%FLC*SvZ$6oa0c5iDwp1(qBJ8;Lwika`vh$PGu~+x^))jie2RFUYNhYLpG8nZpvZN
z)eTpk@4K72zqRwry!8*>S-;+{b9LJKr&aYwn76KbwKS!KZ6nwDbx(tTZZdwX-w<bd
z&!}U!vXqDW|IUvUGxEhBE>4aS+NQnzsYm9ll)rxtUiz_O;|9T`nT^$QD?-DPZqE3;
zHuTM!=au!_*sI$rmVe*I9Tt6m>d|f7(fR8RyneG?TWyEd>xSZp#*L<RvA4E<+$h%9
zaN_UB6$dxQHf*$uJG5}kjNJKKw60b~uRY|NwCTW`n8sDkf2v%<wrf`3Uvqf+j(vZR
zWNwW;@S=b9k+WOW@@xOCeRFP`TK-Y9eM_dz?7F|P;bzviZ63GpHR??|@bEy<>Z4~j
zt7RuKo{3p=H2eKVzMHLUr{CJfpIyGGanXtC&vq<682f+S(Xz0uT+^~PZCJUcVeahi
z?(d&>ZvMD;_4~G@g$leUQkWuEAA9~#^5_lA^a;B6|1=~iZ@BlmZT;tcYmeLi{NS+q
zc*K&en(x1@KVJHKo4W4-=|5L?-A{ix$@J#|jkU)&ol=~=UH$8=wa3f*V>WD6ziJo1
z_SpZw){{Q|o|&h1u=?Xk)1)=W?i%`?u>01!;OM&J<#J6jWv3fLH?F9vY^l_bUvoUQ
zLHpxYk+|P$j^EoTQt!4Zl-0~`)$xcVwV17<>z^=bw=4*~wYofVtLXVPC$4>Z^Sy0>
zR_cUA`RMg0ZmxbmXIJ^UQ@O|d4)|{ush2)DWgqjJGurxVK1^G4_W6qio^K`>&th6A
zbHViV>TM!Njc4r6{=k&9x?!$X+04B2Colcjto5h!nH<aE8+?qbesFKHUh(sTU(&G&
zmiBv8R{h$*wO!=apXiRHk9zAb^7<q-%x_rTX(eC%^W+@W12+yioNeClykYbIJ@*#`
zh2CCwYTd3=tA1LG9gv#6^vs%{;btEa?ADx|zanV%lkXo_=N)F7`{ezTxp}p9W#SFR
z$8V?Fy-}PK==F4NUai&rbti8(RGppV{Cvf8^Vcg@M>J^nOTE~7w5e-<<c;RzAFfx<
z3!MLFhHUcs?{mMmR>!5k>R7Yt`{P%vZx*yYTfw?<)%WfnVw>j_*i|pwwr1^jqvO%j
zx;OoZd%|_^6O(^KO8vD))r~VXA2suc?_Q?F`J>-4X8r2#Cm1X5Ew;b6=6hx&(~=ka
z&;LmHz<e{g|3}Klm3&=mzSr~oYG%91#Jb=-&+nE0%XC(-zG<%szrFC&pP9z<R$n<k
zae^G<>zk!NSNObXj_Thc75ao}=87NUCmG*}HE)-)o6q=u&(fu7_dDj#`SEDsk)y5M
zub-~_IrS-*!Rn{>?^pcnVDek|!R-9npZb4(TvD!F`}6s?dn>#vSNuFaxA@<iCNtZ*
zn7M(j+;-O*x_pI%H!#NBSoyP`=}86anx9KIuYV94xB5q*ihtSK9}_v0E~<Z>)9E)i
zD0E||`z%KOH5*+f7;!nxlrgUq+`U@De)rn%Tkfn0Keg`KycG&(KmAXhJE`_tW2SZ4
zf~y;61=*)4bF5i$jX&vje?$7p$Nr4@*IsgcT<MoIQ`q$Ihbu`lg5KY_G5Pq5SN38p
zJ*yY)tGK&%`KEQB{Us+>L<;COH2Vvd+*|wkWzCxBb?ZNGT>HFohQIhX{)varuXvhu
zZ-dgBX|v^z<gX~NTlag@nycGaSi5s)#clSz!T8Jfh~%}KYfl)*>|eB_Q%dRj$}i<D
z%(5}uOBL=;=5U)H9Bwm<<r9PbnlH777`E5NEMBPfZAE!&?8>j@M>wW$`N5Vnqp7?i
zX6@IdM>%#)Jd{=chq0kk{@L$UF;4A*um8<x=Z)#<6#An4w`fIG=*BhQxMJS_Sk%E$
zVZEqHcGDRq<==MwOqxD(1nk!Qh)8BMT(I)&`kSZBe)4Y=TJ3jXj<V3|)s0-8N{&*q
zHLnUY)L++Lao=ns^Z%9>B_5+V<EDCdR^#YNy#5RupY(H<bgL`=S3Ix1jcM<?U!`6=
zS5)jY&ogkJU`l$-BDmH6xWwjM`y?&y>nk$lXEz>XzF)z;=67l(i~IDV{!gp_gdSmu
z?_0pq)v_k^=9)iYo0O)kd-iyn-(kO)4Ln+#ls0Vl&0Y6b{G^N>hiAjo|35az{Q0`G
zV%dIA9`CY+cQ<Zjul>Z-y6*L)trh1R&ab}Nn0fr;aqhp}9i^<zY0@kI%Wh;@e0|;j
z^QT!<8`oFeTk(G)i!sY-KM~QJTg2|I|LA>tn@FDhx=&lTh`wFBmEqIA?Hsd1rMge>
zF|l^`%Q1dSnsPn=_7;xn^$%~Z{Lr_Z{q6T{5}Vh2nxDIcZ9RME-<6+kY-7ucWT;-a
z*uE~NwLkUt7PjB(exyckW6NFlcWUldw%WU4D=wYg!l_kzIyiE{llKA~5rS&=JK3)_
z{ArC@`+n~4Q(HLnw`UjW?BV*i^7EV4>HgQY^4@Hp{%+2kjJvNtZB?DtI{oj-tzuTu
zTRE40U;Eo@jpVZGime>Wi*8w8+phoTp>k5|^!iJzbIaPNKR4mimEFradF{LTpEd~g
zCq_Nt*}HSX#%-KlQwyvjm{)wVuk0wCkuLwUV&kfJA7^aXz|xV_xAH`Jg-}OQyUgmh
z@*hRQ9&h8irz<jTb*JjhZJg>qg*L2tv-9toZ(Fvpl}}>#-_H8~-rBFHtLMdU-MjW{
z&iwf6S-V^3hyPyp{N|jZ-&<QJ{I_1+I{#bby`KEtTST_D6n?L&6g;&>`2C~_JuAax
z`!BXU?D}|c$;X>ph3+dgJoq(X)9!`gY_F2!J~q5N*Em0q?cwvJ8@p!}9gBLhxxR9v
z;Q4jW&;7fzuKM=cCnx#L*M0NPU3Wj#e$BV->u$aOwEowpH%T4mk|((wo!~v|pz@ws
zYY*StE>yK?g5Aubyn8GEBtF{2^LdL?{?^ux(3@+1pFcS#YMaykz1vhnJCnBa|F4P+
zkz9HGc=LjFHy6tIuP*(!ng8>GbJ_p4I=w&DQdF0_g>Ucoc@f!r8(OaZxUj`(f8F}F
zf+wx>!=J3!zSZe}p8xu{l?(5kZEX2hv4PuPNlflmwoA;p4GJ-{-2R=c`LoUG)}#fO
zA8D-IXPbZcm<{8aueqHI?eE2G;n{7w_RITiJhmIfHh-VUGn-*<%8~fHr{q)j7|e|c
zU00d3)zS1Lhy3O3eD`wi^i9=Xy?Voq&02f5^G#nR^kdzug|_>*@Lm1A{@eOfn|bS!
zI7+W<bG%=-ev8g`RawjZTX<A=eCXfc|I)eiM*lX)?YGzexx}B$Fy}<<|B8c~|F5vB
zKII~O@Zr(b8xkLH)vedt^h{!7M{C`;joN3MrabTR;!XbldSi}&JyR~@`-!b5AGodP
zdfmyw&+@{&JjG((4;k^-EB^l65H1_X^2%rPR=w)#g$-wKAFKMr;IdUO%XaGS2dW!m
zCS>vZRv(bu6SZTZn`_R@J&#Ywd~{q}=YP~`f64r<df&5uUOcwp++)rOEAHP=Tk~}F
zsWrdr{&qg-nY)$uZq@!RdSUmIUYwh=RdN5l)(O93Zm#*g-u|y>{I~T_*KJ6u{(j)}
z?KMwcpWbMm{pj<iq^*KmPp|)77yDZE>GpL`%Ts5x*suSqFlSri_WLapUdR007Q1%)
z`rq-_f7!aeUGuc``3?rt_gnSCr}~`wwnZ=d`}-MIDK5*_-r2rs&C~G6bx+rCTJ!t<
z$?%f3PqUt`{k4CeN{(x0#J8E-IIO#}(l+ol9J6_`HEzwW+iQN;-L>LRu3q<as@cj@
z>z~9w-+Fld+pTP2-`4%!eTm=t=Gv#Pg#zkXDkt1n^JKLj-{hO?e!mYEIbXT(&*!ar
z+4FfOZ`#6guX>B#_IE0s;R3Gfx60q#!vFT|n&06o`D{0>`5hg()m3*dOZS@JfB#h^
zZPDAmYYOY{ZF=#)Lqv`~5!=wTRqv`^ZvL7F$?g1Gl>TeS)m&BIwq?!yhYWwV=tZeY
z6jnDso71`J;e`tMpF4CG_<mkgo87nZ;>S&IAM<VcuxEiy|CWI7pSSDPP2JMHw?b^2
z-u8G7**)_%G)dR3-@x>Ii{9N`#XDOzotn4i_bpN8{54MsT`zcE>onc7Rd4ns^~W*m
zf9?Gdvq@P#(qPt#{hzlmyG~=ajccB8bd%ohjm(?2Sx<U!dCi>@GPNqKoNR*k4sFpp
zd*Ogo)%%{Rq=&r6SKQ71v`KI)e*w#l)7LiMIlgw&vt1wFto)_E$^7@4Ut2c?tXco0
zbkCVn;b|B4_FHdXd*qhv+wFS0WtpqjJWXww6?=ps;QWfK${gnT2OjPDzgcf~hqL*|
zQ`6X*`)Bh$UbE)8Dn~?qBlo8rifewxe`anuZ@-6WtKRIjFHGw+R(v?W=Eb}QkNVFq
zmri8aS-Lx8&vw1re~fQUImWTUX5R#t3zydZ{Q891Y<G#h#nu@A8P7JUGq|jISzhyf
z<^PKJG3#H<Hr%Wi|8a+j)t=@J8=r60tKG#OQ<MDf{WiVHi{9PsSTEImYe8oDM8&Ho
zjpO%B3_Y%T;#TEWz4=<ZJ2)TxSSEV&)r@UgrurwQ-P@+Olsoyq5uZlb%}dvsHn1oE
z`|~Dw1IxUt#UD57B%Q1{up-GYW|Q9f6Ph+Rbmpzpl>Nm2>i5a;u5EhpKmRO0k+dSR
zbj_)l$Fq*uN^)l0JhOkR%(pr1?|UA7u-$$0T;$rC<$t&8oqGBz>FB`&{Y`0qKhMeN
zzR2)#tDbfJ&lS~ziZhw^Z_~T<d(pPmDzilrTW=)XoA$Ej@wO#LkKgp;<9L4K;mx&w
zw&|Ule`DF^PI0SSGRxQh%APLrEJ<RAXZ)I`;;tJvKeyy*eeP-glD1;G{qA+|Pf8u`
z=zo7g>U3wz>WY?%xzjw)Zx@TN+`sl$Y!=J<n@WLe+HSA;Ri~a|zIw%K%Lnd%d2Hj>
z|FRC{vAr^Z$99tO0f7~YMSB+YbZd#eS-s%dbNfAOeqEow!65X{7CpbemM`)xIrhIO
z%AV}}ZN;AA7Zu+oyFRI$x<xPi*Xic@79RxHcUUO9h`WEbW|2|!`;p<KKdC^PiM?$?
z{K_De#CuzWCNZ^c)%(BGOML$Pb-(VeTf(r~?C#!eR<`q1{bVW||8M2JTen?r=@X&a
zL%T$e>MUgJH+}bGo8H{_dsezXTJvn}zax(BG1t|judVy_I_vQ&;j2yW|ExKnZqT&8
zeZu9vYg+EFJFzP4_7?ATTV}qF-MIFdzB<?J-&bdxwf$=qJ!Q4t?P)FQKOHP5?6nV-
zZI4|0YyIz@)l*mWf4;t~EN=a;-Sx{i%(4lrf4%ls>2$l$Uwc|7_{Ep4`}I0Xw!gG)
ztKQRJDPGYN4PBq4e!8vIK0&YcZ9{VdN6f02%Ab$L-7nrVu?U!xf8Dp~$e%5G@dso7
z=WKi@y(9gB!&d#fQnCLh*d{ZqW%1goAG`b9Hlg2NqqlJHGVbWDTl2><e*=5moyyx=
zg<i*gYpq%Rcg>yTS0y(Twg~M%w}mmda^qUjn+q<#oObqr?&=?JthkfT{Z#t)#%i(#
z)B3xy(>}efe>3OC^qUp;-~7yXN|sVQGQsH<*A}5wTO}B5HnXOjk>F~pF2A*n>(gh6
zm9bfy7;fF1Gi!_Bb?*o%vCSO6SKT|obZE_cujwL+UJN;5D^?zzFlRRE{J#Rj|KB3J
z8K75mZW9dkW@uTndx7)KmD~T^a7|x(fBvbpzk(i1$7~h2@5R9+x8mpPwf8%?mfYJe
z5P!O*rhck``JdZy${cTBH(FI5R6F~VyK-Z*gk#5<>Q_P6ZfLF9mi^)S7J=-oll3;Q
zxqI$*OVwJNb)VmF(60TnRUj&N?Yoyp6a{0q39Qfk<-BLbj_x~o*FUYf+10s0P(rN#
zCky)~Ez$igm7C}KT|d3%anQdtHxn%uW}e-#Y2QZ9hBmHS8_!&|y|S-s)r|8~1P|?M
zu+%DRDLK93&@7{^a~iI17x@3XcP3lo-=k{|$S3VSa5r+|blLu<RVxmd@BewD;sf*N
zrb_F3t18=f2o@eu(k<C0xbAHilVRiSq>rvM*K9q#_WAv~HMcAsc|7f6ZnJROtu5!@
zY0X%@^3W!ql^Zmw%WkxMUfchCgYrZ+@u~O9-yGoYV-v3ETYEtM+y9Gp8`oWry|?yc
z*6UTTPjKws@M3qw$~VapEANTtvR-WX{;{#Fi}SwDhOn~M+SK<Oh17&f?-^g18o@bX
zr}?KiX6q03ZogPu<NnUww1qEq-JAUUwdeP?mxtclF7*FuSX;@>b?5G#lnDPi@qTqn
z@$%_XJJz4setO-OZR^i$-?skC`RKK$R_CtUaJ#K`?zX%2e$UsQdAoVt?d@yMZ2#V#
zbL#fm)7k6WvQwt_Zf?nn)|VFbo)f)o?UMT|?)T5hK05Je+Pat1^(qZ)8$F*Cwa)4K
zc=7oBbuUA$Dm8oO%zm>n?&lVnsjH^&PMukLbj?e<+Pbw*Hm!T<wVY3P&H9(cnymd{
z@7BFsIaU1N+Ly7vjzr93UZR>j^VY^SSFT_Gy&!AznnkJ?ckJFO6RuyBAGWov<>{Q2
zCJUa2Zr&o3fA>vHYkYA0&o%S@z5lc7lX`?&Whc|Y(9Ju(=f`bRTfb@D;@IkSAN?1o
z)a}^x=h(YjH`lE?wMXFj%4_G>EdBm`?X9yLnb!YU^nT96hZlK2H(sB;O|5=+?V82!
z*WJwjee_1~zjYs8|5?8$V%@dZw(A@AZeZ?kJn&`9ns?t{*{*4t-udS1lNAkH)b39z
zaN4lhcf$m;4g5FHXm+pZeZBJCeV0=^vqZeF-CVtSP1l#oErp`%J1y0J`t`5wYU+&L
zxTaenY4@fTvv2;}@jiSq6Zd70o=2Kn)k=52S$lBqX0_7EJNoajysv%OJ#THd_3N#w
z>I|L#F0cQ%+jd>|_pr5}R)4-9<u!GS>ebcT*7b!%Z&%%Wetln%c<ht^>1W@p>wWnk
z_J8R@&-xU_Ics}YzSl~gxNpThbLGVfr(#a8>7Bmj_<Y^<eb1W=Hg6EBKDW~C0!zf&
zKE1~mWY^x^yM1lno0WHMXRoqYbI$(t3CF`*7o2)0`Rv8&+i^EG3)wziux`!Wz28@P
zMBIrxzV5i+`_4t}jO&h1pU1yqo&AZmNq!eLt~-8y+S&>A_UlgGTr)u}{nmoVCu~|G
zwys@&SIl_-&dbj)eEGBEetXjV6YhT-|0KEGs9JMBPKn80d&TKFEH~KJpOWuiIc4pg
z{~j?6212@<IP5wO>i;;IwCdEyHB)(fk{Vw>5Zj=;Q!0NFiw2|Co!I(c-`DqrT;2ZQ
z^h3^uTeeUB=l+~_^g;c-3thWz%u<!z_{-?V434ZdtTTQbVtjB;FYL&}<@eTnTQ%ji
ztIY(du;UNYza3roO?CB=hnH`z|2Dm9_pwJo(F?aGi@ccrrZK*6^@i(v=Bb^MIr7pw
zru$_>i{#aBM<3~l$DCd><>UH;YE|FXAGkT8=5ce#-8XB$dF8i8ZTDlka&6Utjk69L
z%D>vTM|;it`Rl)xW}aR%d-{uL`T4JIp4=J!XZ_c1fxmCoJbAqKYq=l8F0s|~>Nr+b
zZd~zI+wNBH`q^raR(`FuSvPOb*(UGDYrmG>S~oAK?aMM2iLN~}F7<P%>{<D0{t~vY
z6S?&oWB*R%aA|D(uc*Z3wtL-IC61`o2Y#ksI4}R{n2>V=_w0__ru$F)uK4lmXy=3O
z7o}fwCVUS&wR7`|uUcte4wjw#&7Z<GrIFwErr^@GPR5OElmxflXOazLJ?ZAoap>Br
zkAF@lcZ+9#njtV@lVIlBL*=h*)~tNG=Fr?dot?89(rY)LvCNNK_vQKbw)oqXYro8`
zw_p3}js0!&<h2Lpep<ICW!-_fxvPrBK3>n?pc1#{OZrc#hLsOQ->>~LNpjksZJ9TJ
zb{swWV*QtEs{>9{E0xc_{dh`s1Y={MJG0=Qm=&S3)_nONsl@r`$|UOz*M4XAt(<xG
z!pC17EP9KWJSq||?2vuG_JB;1Qn!|FBA5E=S4$&XR@SUsQMTr3b1b7C)14HJs`Urw
z3bU+W+dpad2crvLtaQXbh?TAR`t<GEC*f<7T2oI&HpGh8IiCD_bj?AlZkBw-bzkr9
z%vrNZl`CZT+ONS%Mv*qmSO2d)FlWuux87V&uB|y#+5NL_)eO__9V~0Ue)lx~ze&KQ
zHB~=#?V;(P*UZ_we$xJLYiE5eTX$$>?V8#7tts{Q)=a(je%++^wQHv2w`A6C>Zz$%
zdGPq!$=7eMn~;5f&7^Gh()TM5{#Y|<*WWdh_O6-q{_VQ1w`(T--n(w%+qIK+|K2v?
ze#QD((Lqbfnl0MTuRaxiq+-6ty8pZGq_3I#yZ(1W)z7uZp6*#69ocxGpKIN;@=t4y
zb*;7AyLM&SM(%Zy&p%ugJ<ICM(=@Zr?BMg?Exwz(qwU|{5=>tckpH@|;{q?McQ7AY
z|H*~Y>&{iJxx1nA+1h{guTlyGH_SJmzeJKT^@JeX+7<GvE7vVc{lD&C(aD+ddtOXi
z^Y8!4Q)?gV-&{Ly^`CXeKGm&VxM|%nfAzJ?x|h_gTqs|?eqQ=kj`(eB=S^F8DE!;H
zV}8qd_O5B%`fSay>H5|k`D?s(9G!hy|NrKd$N2y5iHX{f&Gq*EhU}!3$3)9s-3`(G
zzwT&B+}bOf*3S$7y`tjtk<O=U=f2&(X4&<)W5-mx7yVha!S;1)=C#OmJ&&JXJo4l~
z=ys0(+twX8^>6KpZ)?6)Z(qA?@2zz+ZkHXov;Obe-9f*%aO}Umu5x=z$<^%LZ4O&k
zO|H2W@U6Xg{hoE_HXm^NAw1);uK`zF!=s1)S8R|!^n1p1t(ff`|F^E&Rr+m?L*Dy!
z^}FA!JAZTCiq*O6if^x3vAcF{`SbNl-oD*1b>7<Z<&y+X&vd94w#<{>y_GZUXRCec
z@3rUugso|gYrOL0FUR#x^VV+{Og!pVma{PbTz&TV%J2JcY~kFx@6R2xOV`#cy4PZF
zJ^g0Lp5|X4&h1j;VtjvL%VDQ&oUb|^LN0IP3>D^(-}5bLhsEZG{JJ0i>o#$i-*hN`
zB;502_nNb%S+Cn1Qr4f9{kiDHp^A+&gX%ZkII>af`GI?9H*>u1ym964rrGx&Gu}`t
zeRHE=){Q4ePIbiGNXYuPLD=2%z@O}`yZz>^Z#%sz?bXfIbB}IeU%&N1@|&)k$sMok
zU*FLGdGP+jrHwjA*PYkfySDx5+coEdHd}3T_xjf!oB8q5l1*FK^Wy)tI`5n;B-yj<
z?uo(|cRLT~-}_-K_~Lo=M+WBU>(6>UVvxA9h5hcTwXLp2?>7ry-?NQ9`uX~v_p|1n
zX!qAr2|GFU{7=0TY|QI=<d6M7A)0h|Vr|y@m5bP7|HrPrxol(mN-^tA8qKW<_MeR(
z7X7?*ZO@us(UWhI3U4ep#Id?pJ~rpS?~5NbED!4cFt6=9qI!4Yf7@h*|BkT?N436x
z`*gyumHET13YHD0%>PedKViUqbJDMaRx!&CJmi1zCuz;i+w+><mVR7OYyZJ9>HO~E
z2meKOgvx8L=@0)mL-EZPu0!lQy0gnpXnsigwC<)?`rXN;>;6orQvdki4`a+k#fr{9
z$6v~6KT(zJTC;oVyXgvR)~*fD-hF3s(B8EVYwOCq*ExJ#|Nq=&pWd{8?N&=w1rs?8
zSD%o3KIx*rSp58!q^~<K@yfqnzk90nN#T62;Ir9%O((BU7HFRw`+oyt%$>=4wXb;-
zzqcmZhfh*|95nfRXz8b|oaf)J-#ztLsOr=FbziUU3sZTz6>N~|)Awt3Pu<7TkjR{*
zeltw}&g8Afl8!wV`gOA6)t$-jCMIR@EeO27@y_IW(PWnYnGK3LF@crM>NAojc63g1
zN@QBld4iRR`QGH+DHk-`1p?+oNW5A4XXBNhcP3BYw0^<4k4fw8KU?`u|G#F>mF0e{
zpVsX04!zXW=vI7h{e?{ZHB+9h+cUrFWpJR~!!=v~Ji1d``Xr$FuXN&@IdeP~Ftewe
zD!XY=k-6^f@<mx9v!hu`?`>sx`z(9iv-zji?XjOLGXK?|wR<it7n^^sC29W@@%eoP
zlVZhBRuv>{U$e(P+$e)}Vbr}fdsd!naGBS*uVT)ccOTbG`4s<t)z_~oCo3n+-+yOv
z@ln17$E4TZi&?uT(XC0@K8~>^slAGYchCAMXNsGq-&{Lo=5%K3J!`+ly<vJJJ%7!V
z>9=ll{@M_`YH#<%)%9x@sLs1_ch9P?^KLx-)9!Uxqs7bm?aDo}m7KyYNmD0XT5;g?
z#1y7=d&;fK&UUe`SvPghmYDCGTaxrQ3rsjYZ~flVXC)VoRa|It_T8bpCwbMB6C5cM
z&)O$FILo$?q5KH%!`PL3^&65IC6oV0KX8pzOyY>qWKrFJ=Kl<>=W9P*z42kk64`J6
z588iRyN^q=dIb~9odp+eCVl@sBdv07_1TmB3IEd<&H3MQT!=Ag<@ElwtETolt=d_;
zXZ2NQi3N;*Seufix4wx9c+ktIy1OYQZO#6v$CEUgyzC@me7cuE?poEDSpLIk^;gs1
zYxY$fEO}hk@x=VkqnNdOFKt~r=k5P*D>lwsv$x*r_X@_1OgC;<J*hHVwQlOtUC(0H
zef|HgXu{E+IUW1f?kinYJVScRT(;Fw!E4s<3sU#hd_E&>{j2}C)_i;QcdE#It@W)*
z!T;8OeOn)x6+K)2?$0$}_rLqLQYwA@zPaxw83k|I{Ab<Q+y8SfzE7DExpwaS$ummt
zZ1}!=?YeKb{;Teg548#Yz3%Jw*Iq%-%g!vg68`+=ntjWw|4-&mdt$U{5!c3;yHm8^
zzX|`^^T7M=l)IWgV*jUX{GB-Aam<<v4N0pm{a>?icEt*|#K05J<v-r|ee>=Vy?FLy
zhI2oX|CisGB6{uO&Df(&OwVsjDc{p2F2$a`V#c1T3C6Q0Jgir~_(E|FW6Zi4t+D?P
zB*!02D!o^}JMzX<`JXQity**=Z|7a})eU~fW7f|&{~`8&$<I^s7An+#{;4|e;<=4$
z7k_=e_Q2lls~6AaaQky-Dp$<wHH&o@z1V$dRdbBt>P7$GoH#jeP2*RYE0=YCU)XSC
z?VP&(Yi}0cZuNURsp{Mxzs>F1ai3->PYkxMTYGb<I*UNo`?WWVtJlt5xp&>o?uPCk
zimMl#|1j(4{psTG*DuIz@!zZUZOhIX7TrzB`|o-sT#H?EGu-UMoi(!-+)caXCBOPW
z=V7J=^Nuq$u-es~RIXX@ev?G)$|kws!woGQA7{^7(qg)PVt(?v$-i$(u9@^{{S7<G
zhMnJZ)-1Yx^Kr#0gU@UFXRg_qv%Y`onj4`nx390BzCqRW^_sPB*7a(ypCSHyZSU<>
zi|QXuSn0cN(cV;!sx_PD=dSO3zLC*XdxPyH&aE8x&ush3(~;)*d(A@2j_gPU-ZcyT
znN`b9Tsywz<NwrkH$qRXo90#4Xu5Ij4XwyeEpHweaWPt-T2yy)=lQiaR_(brqx58x
z_wN%kSFX9SD&(}_!BthWUMwkX&W_o5A?1V%2ltve`IRpw$i8p%c)Y6o{Q4WOrrdS<
zyRF6B?&-SOrtdpz(hnRk|9^Dv<D2tq_gwhWw=w(t!ygfi+-ug}IK6Fc@#l53<DRZG
zJuDHkW_Grxr8UQYvz2lC*UY~AZQYb_YiCF2w_ESOeLr{q)q8Dbx7W|jQr#e6JwGUS
zZHD#gHFHWM@0q4nug`wFrf%(~bv^Ue&i<Qqe_iso3j&jO=&jlCeUi@Cb;<AdtUG-4
z`2iQLvRln(54?RpF>*)EOo{Wy=lnjw=-H;3e|ydB_iyLPdA(novv%#eRnw+Ro?o}Z
zKKIDiw0}P(kFGC`%bj4qd5V8(oAUm1YbRO#T({)y`G=SGpI|w-GBx|(x+T$$nWtFf
zS_A)2S$p~V&9x6#-_hpXm;F=w-kOI?t#9(3Sy^>_-O{YNqI^kjcVC&v(b^jLUvvHX
zhnG(A?EhIN_ul&WntM%YXD5`Vt(~=Z?N5!!wWX)m%*%^jdHm@5hp+#f;#F!3{9h6z
zc~E4A*vuc-zFZFbbE7!&LfGf_NWE(-FMV9U<bLIWYWq~)ZEKfq-NNd<_F;IXD__PB
z*8cN9k9vN(w$aen@Wdvo7aQ7?H8M8EFuqt>pl_s6vLWo>hHW+zAAV9P{<-1t+J~i|
ze#~3UBk|{F-_LbR-fQjtaqUKnvhK~sfVHKs*W4(dz4qbXl~OUkZ(5|?sQ7qC>iqZH
z>jKujezX2{)Y~;7tM9i&?mF4PaaT<5{kll)$Qq-S4V%}6hJIUHvuDlgIQ<m{|6lD+
zI-Glc!Pj>i1$$mRZ}j|{Gc)f7W6aVs+tx(xU01vPZM)c~xOJ;m|7~}izi!p_D)04H
zscT-}ot6>Papn4&HLKMU8+JGOKi|0I`jHntbt_iqO-h>bB0Hky2j`4yXCJPfwR7&-
z&c3{Fo$EHAOrC$Qz4Yc<n@>O2uJ&iD7uzVi{-)B+!<XduUp&51_=Vx|w+Bo=%vt-Y
zs&cLMzde2H($~DY`tQx!<hkqCem%9$_R`50rfb&bojwqFbp5+GYhV7)JH6g^`});?
zCoP!2=H>t0pVr#f{9N~P|NV9L#iGe`{>L8dnCVpe@e$LrhjN=+#ZT+RtaHr2G5!1+
zhvWxJS9=bvTkE*=^T$r@O*ax(IYdn2Kfcak@=TS#8&^6;JWi7R{h|7p>y*ut*V@08
ziursm`9>%2)tEO+lGnUHmA=Mq_q$sUwyfHa^2NH&H1@{-teCa7zgC$~n*E01z}#7<
z?)BW<e`Id#?j)ugJfgeT%*t7BXDz+T=Jd9hb#~qir{&ff&c1y7q1xwW$^P|rx%Rgz
zH!-TMv%7gC{Nvi|+UW<juUV73_0s=04VNsIxct7uGv&#nKkKfas$9rqzq?Ip&)QkF
zr&im$`)#;ixBhx+_rZj<j&JWh`2F9Y?S%8ZHI9dp(mw`kf7(^H#&JW+y4m+{B*m?m
zeYQcW`r6JcSAphp2Vbqu;bvU@pg!r~AJK1&vv`iK&*__ycl8LfdeXYt`Onw5cAn{}
zi0@gMck`d&Lx!+5H=bAfueA^Vy3xFWVb!WttC(i||I01Oz_92I0|Ns)0|+EA%uJGs
zQHo(;Okz%AOkzsv5KCImz%9+d%)!CH&K9G<7{i|=#vs@r5%VxfFGid}y5kmuur330
z1AEMo7+VHohDl-S0<w$@s{geZ7#Oz7DlsuQGcq{32qiJF#xU3`F(~pda<e2oU=U?X
zN)}|0Heg_WDUigQRL3MfharhGi9JazW=2C2V=_Zy(lv%ShOIGD$qWsQ44OM*Sd+XM
z8S>eaX2!4z#C%CIWMJiQNfKu;<Va#I=g?s&-zLkz6vGrFlEe|yz!&o}rY`9_gLOw3
zL*+Kc+b#?fnV4@g#H^2ziQ$ZCV2=UaE-T4=k%ejb%@{pLmi0%HY}q1Rv>76|GhS3;
z=oDsZ<zP(WW$1mF#K0bt9AlXDDu$DRm%+RyMrj^{A|pe%5+j2vD-$=93KQ$JB*xel
zhDJt#q$>=^SQxIyGBmIx?P56UmBb;)^mjVL!!Lqd?E1{NV+0vjvoW!<y0Sjr!S+~}
zL)nvaFC#O@K_w=CDW*^*cBW`nu3jNNj=P+*#XI>=Gl}bPCaQ3rWm+M~8?MO_zO$42
zJkxwZj*}{!ml^I0GF{+i=1*$6&$O2{DVh1G3)ATroOc&-n9k+BEygr^2PfxU#-%ZA
zqWt%F&E>l<xN`~9PoDeYOo=x*IUh1*v&Q)HJ>JdtSf9bvqc&z9gEi+y7d8fGRR(vJ
z>0CDj?s#40zb>GwGK2G~fT@rH_hkuT_CN`7Hs14B_OM-^#9%GBndkfx22Z((v-iqQ
z%nRh2Ia_A3=)S4)lO?oO6r>dj_wsC&`1(b5>XrTSQzt1H3$A8mW+;o%j{RTE$|k~?
z#JVI#SMUf6vtZ0|7S*#ZF|jQAQ#syEa7?o1xWw^?g<U`Se=0{T!vyBwq)Uu)cVif1
z{|9j-Gi>7cdoxB!i$QFI0JlO=(%&Q&7OSQ{1$EY>ugts-G5In3B)>Pr97qa}VP>on
zNMd1OXLc3UJs_s5&$1;`M4#nCAQQI;yPyYalICGvc_$|Jq~k1;1w@lXSscYCBpr-V
zWYE<3J|X5Rhn~iH71m6K`<$$Y8K1JpD11!1nxxOQl|eh{VT@YR-<U}(++Gacs=*y<
z3c&|egIRbO8I$-p+1GQpy^CoGOIjTxm1JWy(M3@8DBF3LT9%t%7@}FKm}8Uwy`0GM
zjzzJFF@zzR;au|na)lU{q>D+cKZKquPtaJjiXo;d`F~LoXH3V%Bx450d>(DK7{=uP
z9JWcNhFwXHjtp@nNk=)3HEFDf{huRwSRt8VgFza{m89zo(pzI)7@7<=hjECyun0yo
zF~}$+J&)1k_}=u2r~XFFLx$xFZ(@Ek2zO*A&Ej~TEWpaplOz!n9-}mw<N0qJOF_f*
zL(04{drb}sR&<ETsEaEdZ4gP4j5#PUgF{|wy-tiLgDCG;h8-$bmEZCv3NmatB6Z!m
zQ>~G)_^|oyB?cGGZ>uPMmo(>O;4oZsK1L{(K}g|841;6L#w4a#hF(sNgDU@GE;DLI
z$1<#r*`=tJ!W?7pz>~w#;tB(+0CUn825tjR7D>wkOi8}_0!R3mbUDI24UQNbaGB@H
z_=O|S$zoQLgwTpbjuqN&OH>4G7}`CAW7GxmTvlB^sw<(A#F50Y%hqj`rX%CQ<o|^R
zS6wb}l}8I}DH(-tU=Zw-I4JO)QQ{(tLt!#Qv!m<Tq^rpsQZ9@<GE9z_6_OSuF>xp~
zB*jdM;Y#|hB<mr{d_f?|h2Mcylqr?LBI#xlPtrGsB<`d&F)<FiTR4P`|LwP!`{Ed{
zfnbu7!&jD0i9}ITNu%E$LV~82dpTkhnD%QWIZEDSjJfG?yNT0=E#{b&GK<CQ815LR
zZw$;FF`P*YxRNHt<gk>#I4)-H$`oItQ>etD#?DkQ>71rNhlz)fi-7%RL3@>MMhRaD
zPK9`d-dF~ql}x(Au8fmnJpOQG1i1;s%w(FyC=?^$%~4}0$imCdq|c}l!zGX#u&GnR
z!K))CL~hv$@yJ$%6vm_gxd}<Cfj<KHI@WUuNO6g^c$vgVC@gRZJ|->@>!Qq%uO(3E
za+|4KCm>5nFqhH6r-Ly`kYTeJlO@LjO}V7hiA>y|9scmFQ#+~pqm$vGk57`|<t2>n
zem=@E5n7xaq6R*(|CNe3`Y%XCbi^dhkce~SVDZ?j>26TU!O$6LowQXj;M29hvXw3|
zdnFpY8CI~&(OS(DqtVI0&$wzw#A}www_-8&oPvQI9!xO`lafr6_=C<*;uM@N!6z`+
zh3E6(08W!ryiPG3-(&bR_It)CO0XxrWe8U?);P<=<ux&I_f3u?oIM=cLa8@nl<c|I
z@QU1@$Q{Qfuux{gveRn4?lE=NhXpueE(mzeXo+!R{uZ-I!i__e;YM*x$Mu-=3=gge
zI(6(9uvluevLlg2t>0)ni^TjG(U^`GF~$j3+b#AAB=s0@lsGa33dZno$T&zPZH%$y
z5^^|`q?W`f^2y~0!@o1k{{&(g^?7ptHC;=}N{r!&IHAB7<7trH^o}DgAn7#oFNY+V
zBmsewDmNwWC~yhH7{%}_Oy?AIQa9zuiR)xhm6V=n!nWwF`c&q`WQG+CN!p-08s8={
z{Z~+9Px_zaz@OxmbRmhMULnaL>5G+_(_@|nsU*o$42(%PV^or)8>K$+#(aojdaEKZ
zSFlo0_%-N&H$f$#2?h_dJ6V1zrkvybp_Hf~CRixJD4^x(DAjmA<{bn3gn*dS47`S_
z9y$!{93PyR-^W~H5OrdB9}~yGF2pD+897lUiIL@Fp;6U&lNOGo#8`&sj4@7H8IJ$G
z9keFAj`=6FLfMz&4@0;>Op}L__Mez5jLj30>@?gsvsn}`{f#-t$xzAIFPp@|6H{<2
z=4Q+!JAr=+x?C?A9>j<yy@_d3=UDhUMpi=1g>kuwgxr=%tSfnDF1o<SF2EJT7#lW`
zA(fvYQzOnriB&}I^TdnpZv=Qf1O$7yQe9ZsIJww_RqhE#IVcJ8KYq>mIHpmdKj~jg
z1cSW5zZgZ!e?l=Fr<wBKw8Usg)X5}qHY-RbeNZfPO-;GPwl$EGeXCHx4W74;10|ka
z=Iia$nxV<aIwAId#T16G9Zx;l{xWT4DgMG36Y9x$S5ZnKOGv|Bf>G3!CH4wi??n!_
zUL}^!9|{GluF7(*c*&>Q@sB0=m%<T^Yi#ve?5y>Reky#pE;7CQIcv3;LImTa7y(|E
zQbtdW8*E>h*ceNb!jl9<lB8H>-}DsKR4_G{)fJbY^jslXP?pVi*)878R;F~tE{)?a
zZu31*;e5n0dC`51FLzj7m)%ua=Eri^<&lBiJ=T8T`+RBYJo1Yk8Z<m$y{Pt3KFL!m
zN}^9LZBnyjL8ie^6-5@SJWr_>sT>CV2`n++7)%3iC@{rvX+(JnT%5SWax%xy7?tJ!
z9tc`6JQCZ@KOyE}ETiTf7P)1Q*^YbgY5bY+5p)SC59^dCY|gqI9sd{~Jmm@Q<7K+c
z(v_Ud$mhxv_>8^Z)8a0}OC^z@dls8lJ!hG`@&()El`qAns6=RS<vXfuOJ?Ndc^~+S
zeXbDOYfhinS6OCWdBdk$^43PfidR?b9gl7&U#;M)_dMEJSs&OY3VmdrsKv+VDRHOi
zz5|nz-m4g|CyoNO-;$mhPI3}zk4SQuJRz20W5qWOPZb_V!F;AqY<~q}9ww_W3h=~8
za(R80Gmd0(63C8aG`uSmG?l0G?nRFsUs&BQf8}wW$S370R5&4)k%5P0@}h67-pjwU
zO%CGC`0|6bf5}f?M|~#M4rR%sid_vcw>=_+7&CYo1(!5Ro{fo4W{6;O$uRk^vRCkz
z7<B$0(nw}@VEF$>{t2wnY%i!Qw^35o>$h5^<V7#pjgnud{9$uuJ+<hsNV77VhZhH9
z%mangUr9o7NiiFkH+g!5dahLsbh#4|^iS;m79pO8niU!UbwxQH86s9bjA{C%x;mL*
ztC|gq<SPb6M#YFFX0jDig<2HZnVDlb{#V2VY={w_$Z_dHh9o05gC^)+ye+&e%5E_x
zjvSvh#>8z}HHlR+AaTQmjuRd``7E9)d`hz3@lJ>-z;)*~wh6KS6Ji_w>1eV9Jl~j<
zE*R1Nl_`vyvCsm1YN5-aq=Py+2Jcl)NBT!-22CnHk~>$0QR>u=JpxIQNgFLrvM?k)
zicw0kiCM{#^n=4eDCQHRz=VZKu`wJi$qW^LVtxzEcVJ5XpXf8&rG~?kNl|l>z&6I`
zmb@;7g-;@Cm1Z71#^AYNX)L2)l86*1Gh2~RlBVVv5mO-+rBcBtp-!Q+;%c^n_!f@3
zn7Em9R1U7lDeaWW;5^65c5H%RlH>+6TNg`DHr9ocV*)q@m6k|slKG^;&gQ5o*`af8
z5(o24rl|#mDopHqdOQUbB4sm+CftotOgNnIrz1%~C#hp2OJh{bf+UyNSdOnu5qDe|
z86=ow)C4*C>I8IoxGjslxY)J^zMLd*!{7?bEFnh?Zd+Dop1C~!mU0S=G4~lyYZ_d$
z&8XsK?bqUC>-SV*myJ{W@r9q&T}6O-vbBNd1s<W}PCP=aoQ1rMo*fK<4;f`uA~ZLw
zzS>zYt{ErDmYTuOV;kuBS%__|3LnRLZre_`2*(@3td8?UM7sShmjv-zvwmO1*)7UC
zak&`F#ChVZm*+|FS}qZoE!M2cWh}6H(mn2Q2LX`-LY_{Nwyfb&UwO2@NV7Vs$S}{8
zFkUOOonKp2E=1Orb+X)7p8a3sS+A-n@al34dR7PvWl9~K)Yv7G>&T??QcG(}Czq|l
z?qACLCnPZ)@K)q#F}#zcr7_jT!G`643Jc2y7l9Zlml$E0Q%^M5Srpm2_>x`<C21>0
zeN|Ew>+(=n+_9KFrZS~dg)4HYGOPV873LFD_+mIds3<H_5b$K!Q@Ba=TA(Uxcd!~u
zx4$~8y|0E?lPh;L^Caa62jeP_B~kxWKCIAWow-trZ6?b_2cMYdoEnqz@{+_mbzCbg
zv{^%@&9I;ItK+}n0v#TEy{4oK2Fd@uE#`JSvslPfa82c8AtU>wFvmLr4vgFyk}fX-
z3s>o~RWD)x5M3tlQjh(%#|xtf<98~dOx)T_CP^@go9I{0(9mbg_2OX5Wt^yDApV2H
z(9<K5XZkh4Nvqbac2Ln%Y4GA++i9_VPr8@Tca?%i4AMgXxVdl0GPcC%cij1(<haFy
z@qnA8i(g~Fg<PQ-94n{XaBpDwKVzN?qm;3%l&w<6nWPEcjt<{nCdn}gvYhSkdY5F?
z$jF^^J!vPCut(%dewNpZb|xC~MRsuVMkcjfTV^C~zW5bybhBv8n}U9qjSeE)^a739
zs$IC)s)Y~msxWq0%?Wb-8g3$*J)dE&icl=)Z^na@^^?vg8F_Mv@8R(95@HbNjZK;)
z${AhZx+uWq!d>A7A`Xl(kCHUF*SjPgjy}hr?{vx_=?%k8m)T7I*?$=WP5EM7xcOoQ
z4}6})@Gy8OL#PL9z#fi+LR_w{pZd?1y#L=A<{9uWfk}li=v}9XLS)Rp7}*O(9^Yf?
z!`}z}<ZCz=`(L0i_J2VG_rs1D_ShTOFGdJ)d}d1cnq*)VV;<94%(78n%CA@kr9~fY
zOBn7jDKj-Ji}@SN!q9f<#krXGDlyqn-U}-@7bGlP>l4euu$xI^am-(qm^4PC<o|Yp
z2?u<cY>hnG^sMx`tOSn6IPd6K#t@Sfb1X(IMnrSxl%xAknTxh{<a120<xlEddHbRY
zhsXvc3)zZHj;=7}Ts@i2qbia<nQlxkcKu>Zs!3A(rNNoBBSr8)q7&nXB*z5ZScc9Q
z_gq#TOk4J0V}o~0Lo7qbhT}Y)?dpOO9@ma|oeC6C5ntZA#gcXZ0UMW$T}cVON;_(0
zn36d7lWqywC?_#U$NW!Hk9m=#q<A9n-G-?evtx44p02XeS;E|5Zy58+x#N7DK#P*Z
zi{ulUN{pwLobPx)DJJ3l!yO9NE-TEoXnG4IGjwOLT$w1eX=&ue3l$S$_T8GfYl;ek
z%uWF%ZH?lq0@s}!xu?XK3dAmXw<CnFVx=|DB9$2rB#adsCv(PR{c)clz`^iGY2y-B
zp_P*+2nJ|JPny5jMt-+)vP81rOOKZqL>N_)YPr@)lucCNNP08>grp1ChHi;ofh$SN
zIXA6ziP?X{ljA_S3Kzp?#!G^M&c_+J9R(R?a1}^?cVu9moMg%%8?+(bC9uSn_1Y}{
zr*`}^qoiynZE|?!eBy+QAY1ec=OTgh4ki|sU5{8}SR9fWT4Juoa7`6h9kZWf@)DM$
zln2cmF+u`K?_+c=STA!bWJ(&Hk8!e;Sg|AKV(l!QiT149w4xk*mPkFAG-G!y>jG_s
zcS&IqdkPIxm&{P}u!)g4#^J(L{Hi92IaW~O_K8}Pn2y?Hh8wxD4R(_m4H&1XFg{{q
zK6z>3L-Pi0PJ;z?u}A*BVX<PFp~0C{6XWW6VN#Y9Q;5oQ$r)C*3e~ay<6|oB3$<q+
zW+-4$Z|m=1SBU+e7UNK}t5fieC&yeCeg&2!YXcntju^=p2BX*`|NRP>nP+%O&Pw&X
zv4Y9;1uH|$=h*)xu?$LUnOxZzwH29DVm>hnIwb#hie)&$Bt79%%))?;g<c)Z75r0~
z^d-z1=Q>ozG8~Lq${43~BPmAOfb+q_DZBm)Ppnw!sLW}wM<sBf^2tnTHF19<CvpEq
z|4Bk0G}g$xJT=ouG3Mlo>mD&NGZ@x+=nEt>^b0sHo+$ldt)R0(bjKtM<Npq}7W@ri
z9sgroWiA@ua4_*X=^V<sXp4*N`evnx54|inmqd%pt~Z}iRlt~ZqGe?hM{+DfzlFWR
zm88Xz8=cl#c<qbvWKjCpJ<%dUiH&K;CAMuQMlm6EuA#i)SKRo+lf2g?&12$7?s#=I
zNhy%e)$H+^Oa-$)DXZLhZ+Up+N;nu!GFkSQ%gI}1=If#dFD=YWmfcypV{+`o=?q~C
zmtz90)=t{1@N<!s)$SIpf*2E)1AU&Nv$d+c#Ao*;&0Si@V_&t(o3-wRk7#+>@_26!
zb-_H3m_Uvu(ag)HJof^9S?9U>i6`oxXn8e<SAL~Gue?$~eZ@(E#I*XZO`b)3DfQ1c
z__}yK&`Vh>q*HxCzxt$LzSo~w4JR3bXXSb_L<a~d1&K@*DxVl6e@U=1NYi7FU^Nrx
zsjw}L4i=5<u5wO+qD-Ped-Y{S8}n8Li#EOp5o??uDyyKiDoo{ZE9c^*S)#2~tHNcM
zdPMa86KGzg^0YrvHBIYRlt}XOXx6%}7_sDkD`R<O7saVQ%~}=D+VCP_X`E`fw8Cl5
zPd`I=7-Kq@BzQdd7I0H$_J2m97^Tk@&v#5n@{D;FUfki9s4>%uC1_UC(<CLC7%s-Y
z>MBXcZ+0-nC`?Uqi~0E@#_;0n<QZl~jb1Y+`YrgX5ug;P|E_{nNIQ7yg6sdATm#Qd
zjk#vIvc;*GDW<V2g~@nBQwJ*(^Q#I=kNK>|32R+gcdVCm{VkZtx0#<I>0we<l3~(!
zp_l?z+xjFW$%Y*Y4N)BLlNKl6{I3%j(3xbmScT6(!*kQ5Oap_=ga+=!r2W6kIx~Ct
z7R~dVF4MVdQTnvBl`B^yvvhCu^=IwxO3?}M%UtesMm;9OC|E_`C^DhWxgoj6mo5F|
z6`6?_6;7INv0$5Vxx<2IA){al5BtfRD^mGv7pL*sT2-a<*eW;NaM2d!J)JRCFXPx$
zr<io^-bunA9As9g33DnZ?X`cjAf<)NmnViJbc*fOtRpTA-V2zcjYLvUF1V5@Hajqj
zH&!-#FHfvYv;t$&OlwY2-sGH8@%e$da(CVHMCX^5<crOpSfIZ`pzumapU|Nl605(d
z#5@(4tYg_JyjsKh8Kba^z-kw5Yrl;RnuSUNn^^=78g&UAotR{#d+O*VqdhqX&hRj<
zC}-sSAg+D%N{r`>Yg!vcPAVxVU)td3r#`J#==>yxM4|Hvjsp2f`qml~HLMHyCVwzu
z(JoA?OIkEf#9l%te{oTo@SKIS^zxlzYL(Wi%#oP7@rL`(6RHvmmxxO&)hk|B%+}jg
zqLX`W;;k3Dxf5b)6m&a+pC}mb&gi+(!ob~g`^&?LfjasArF_<+WnX!$CuzOjnZawD
zwW^%0|3yXU4cCs9{{&|H?f5CM(BW=OSj-xsl`6j+vMzWi3T%BHBYd=vc}Iw+$jJoe
zn93QaTpSf=I>}C8PI}~!DSW)=k@<w_i9dJ6J1{2QjycHTV#^fD+GaB8;x?<tJD8Li
zPyAx~yg-dHX-<sg&N&-TD=vKeKE~j8=hMZj@+$eddm3)KSaykvob0PAyIa)q^h=DJ
zrQbn*v7^4#EZw?#voFn(iDsENxrV!6V5Z8a-&5Mu5B4bdOFA*`teKRl=;_3NI7Zo(
zqc`cBgM4ilmjYu<r<3+Xr^p!2)tzd>irybjIyp;Ae-!ahwr4m!$st<VT(QuFGj)+e
z?kyGVkDLOvV(*1CednrZTZ!=`dew=&pUK?A`65ctRYK~;9>c&T-wtr7%nO*PP;OY^
z%6`MbNI-JITOOy2sWA=TlMG_+Cuwfbli6SxWAHv{Nledwm;au>>*boCaA@UkjB?pI
z#Y4x_rB>qcTn1*gm8w6Il$>s4v0u32#i7H>=ql4Naa-$2?nOdRKEzMl!C*NfM39Mf
za!>649R@7&(_+JIixgrRwzJzEekfyb!n;H9+meWxDv?Faxr{&8GR3To@n?zodg1=J
zBv(sGomn~_FJk&U9!S~r%`!RmKz*{-6RDC0#-!6pMS%<~O!|zm{|>xJW|*E-zra>-
z8mnXMga4kf49h;O=-IL2z!JfOa*|J3F24Gz!p<MybKp?So*4h6i_03AV*gi7&=-!p
z&NwONg&X6~6xpWDF%~QylZ+=nP+(zF?Ty)WB9>t~L;5UHiH0Ph_Y4aex1TNKk>q}0
z)i!a@zYT3H+{}MtmI}t~k&W2X=*e|}D}&Lpi7_OW;S9qLmWRujlK)S#W)@|YJ}|ZL
z#$_dD)w`_sI%5AFW-1Li@zikPoCc<(wMqU-PcsjHO`5o(pya{Pwv$>+Cqji8<|nKC
zFXQl1S?$Plk}al)iQS3kbL@W($A)A^spS7rGro8vaiu+)w1n}{B9;v<9FvZ7P2*uX
z(aglY<Ic6%|HmI@WS&T$;L9MvsinXabB7^?>1M*YJIM?^l3XV?B{*^~NdBM4_SDfh
zCWax1?M7nKe8(4_f0CS-wocOSvT$L@JHa}O@rJKr(pHY6Zl^EQF>*I>CI63zabfXc
zONvhV?vebzj{6#mgb}0TLKO#D&_T&3di{P#u^KHjN_x_9vPo&u&l83m9T66cNsStU
zoO3(`V!s_psuM__JEN)N<z)*7R|}4h6AT$1u+I3_qj%!Pk9y~rw;TzFe&okYY%df1
zpPAHrlJhqQ&)*ZBjQ5`yWH6kIF-<xXbD%c%e{xLL%RL+%^J|hP{Cl62wZmxUXOEW!
zVmA%vFn%x>v~;+YB%74!X4hhQBuSMcfp5{>i5v<(4LZUS?C+i=-H_njsiDFdx?!bD
z(rk{lY=wZJ3!SBYEG=yBg<>9hGBgylaLOu4cvpK!6mC4v*um+x^Qz!;hwn)`F?=81
zw%t_ZFgW6&AmYQQKH+W5V$N4Ni<DZ0-`->pZ3%rQup_49sZs_@!55W4B`N+w25Ywm
z>P(EDj4{>8D*yR3av6)%Kh!;Vs_^ekGQ)c28I0_GNub?7N^%U7)@lYT-{92nmE7^D
zQP1$D6YCSPN0Jj5FIc%S%}aU_r1V7nY=y5<8{0oY)_<N1M>O17vX{(a;N{6^_0;D}
z%5-Nrc>i6@V)bjBoR3bm^F6w_L~_TnNl69=HLlM*tz372;SuKxKeY?1Jop*rYs_B6
zkiV$rentoT#ED!wH4M`=Z})V`KKV7dVJm~^oQLm@$|<r)@mfl1{1o!!+$3nku}sKu
zIoFMIo)<i{<(i+XyzD4?d4uzIDU)zgcKd~1rX<#>E`|J^sym7pT2DR^66Dz`&CaP<
zBqVY-MoCU-shPs06O1vx3`8E@Kkzzc#VjGk<fI4T3^B~f|LbGSk_1*KGfciw$ixy;
zCH00Wrp3J>@+re(p+-y2`uU2CvPs)JL?_95%+^@ju-5&Tgh~?UdChNfS`B|ZBljxQ
z@vLRp%Aq_hhUq~v!?vXMjtv%SJJXJLm@_r)IQI3%Z9xMD8zxK1g$-{aHOd;^8Zae&
z>=5FRl+@_5h-H}6a75{?MH!Psk0IlNh#Qw>cKyhGdL${=V#?|X{RcnA7+fe7xXiOj
zRj^}Hmz=Xeqqs{<ZPGk3n@Np#L~Z7Ei`f{x6z~$YkrYtU+A~|^m5{`R^T9TZoqTI7
zAM~*Ii)nqS@szyCp>%VWScBk1p)eID*4Ipmybd~@l24@!V&u;+>y<gL%*W&|-F!Ji
zk}0@h!bAo8uR6NI?^M`UGbwg06#3A{WBW>@U#@B{kFCPh6?6ElS4`lsR#oN^ST$*$
zagIRR=@Z3gPCsG@|1t5Bi$#>cOK&9=8;%8MPfAyDhR#=<_*zrb@?6g|jlww|6Wyf+
zj=A02xopE6#)-NNiE|Df>fX!Cm~@gOU*gJ)otCd^4|>KkCVgFWTA+%do9RdLf9|fu
z3d#QyH0{)1C?!;|u`j%xwA>}hP(x13W3H0=B;gmgHZm%6G!zLcFsYt9+)>89QBfi3
zHPcU{g^b)gCOAbz#Vp`CtYmn5k{qM*1tViuPlm&ReTio#$!{}UJ@fQsS=QjmdQL%+
z`*fXxB}M;VoWkB4Bvh*FG>Q8#N2WAmO68i4lfm+g7pIzB+<B!`g>xduUQHQBlWCf4
ztHu9kP2;sbDVALj^YONa(hq(||LJBeEBPFk&ERnqliFGBDKOihjfuPObQa^|xh?{m
zm2FJierPgjtMG98GMcJ)J2TiDy%GNTZpk9UsF|iw;vydz&m~>Cdf-}zlYG(yTVJN|
zFJE{PCn>dx&es%NF-v5A|7@PvRV(I*&hMY=Q|Ko?-*(<!(fJ&omjs4~bbgh)8#15A
z)^&l*U0sn%Pe(4Hxkl}NPiJ|H6gAqcTsA4|jDT(DIR*BZqaGrX9wrl{JEJT;ls<c@
zStu<yk^bP&gq@QaSu^LkXzu@`xph*}ZZ=+%lP(M67UtYNEy4ff#nbL%leIr<Mb5d!
z;W1&()90Q#F3GPMh41z)JW((3gux=lGxmS_;hA5$JNqAX+?=@KBf~ll)(IO~k}R1n
zoS3A1<IV-^N%K5>6P1{lRSH9W*d|SJIAS26;8N46e}u7tpRMCHGe_)yp~B3!oC5#&
zJKpmNdMLc+;NWtfapIE4Y_l20j-LE1G5rh@4xBNGF_Sq|TZBBhV`M(eXq*=FXT`SS
z)fFm_H994~ZWEl^bz%|U$~orOa#&~PZkxJq8u$4dNgjIItWs}pFvrZZT((%Qw`bw&
zIhMMe46a7aG3#b+n||=`l_hMqCuwk3%FSQ0e)-ZI+sPT{H8NWgL)elUehVjtCC#6)
zg!4~ND~F(V&Ai3p%jWWJY&>~>#;vqD+SNY=Zcp7iZ#m!cI0I|96%Qq3v$ih#*)U<w
z;$JUT@a;TmaGZIuu)1NQ@`kX5C8{xo1^@ZGeZ+6iS?s<t*XI4sjufW!xr?>8@?B?|
zUo&Ts{i?Zgy^NnrcyDjA%AU8#boEu4b|sn1vn+P1@BDvO?XP_Imo<DBlQ_;YE${ff
zVy%2{*E;#$E=H!CHB+zWurF;FUB8!aee&#<8_O7Dn#7)XWY3j9w@6r>#bDB9i9<%F
zOS<$oO1Vy3+}$Y^s29L%GvU&v-Fs#zdM^AG=$yCYWYz}x#hwAVJeNa?CpBb9t+xtS
z(W;;9X~(;;@1oR6F`4yBLAKfog|k;Nv+T=RIzj27)<*l&D;a!qdUbmo-tH(;Q8G2!
zTFGEOBmaxsK_#W+?GCBRD`o~=+{U_m%A!qjN0nJD%%{p7jWYgmeQtz{Mm~#uVBlu^
zK>00G<&QQVIlr#5e7Q}q-u?9zvPqr=pCu1x=tjN&V-tFP+uF)~B{tzt*H`>5I<fSU
z^2>h?+tyXR&Y2lpko>=RWo_GmIk5}(ob41YOg`W!wk1I!=29}lI*m=|w<P%Pvrkda
z44kVQqTyn*rn>e-Qkg;4inznEHyJ&PGIt#~WHgsGW^GOJlca~WH$_|+b*FZS3pmDX
z+{x16S+J)xtw_`$`G4AhYe~!zN$cvo3lpAfG<dnDZgtLzstMUK`z(*HsOJ?<vU6Ee
zyN_i}{eR|IhV#iS|I1FWUrKtgL1orICkOT&+g8O?MhbmfAZYRYg{b?-E%}S(A1zbe
zw7%Xk=BUHwb1ybt=;?6S^XmlvkrP>0*4Iy7FyZ{FI;NOuF?K5#{1jyIzj1?ur7z}V
zobZaxo7dIfT=A!7U7fw8{0<9A`Bk-wo(~*fUv6EpZ+%VVu^BsmsBN2*^3r;JjrB=Q
zR*&VYtdttANhT+KV4o-{6um&J;zQ1g`jpKa(H~E+JUSQ4&|Jykxcy*HgTTuA-X$Di
zE|n1zJ>)F7lQweCU-76zTbX%7&I#rukpiie4Q^*SYUEa0d}7Qxu-?MwNb)4V1Cv{R
zsRjhxU*A$8nY1ITW>xbC<s|KOO|8dc);GSNq-VBZufLW_N0MU@_a@12Kf3p>I}md%
znc>CM6C50?s-8Xg)iLMBqAwS$6`6KqnlUX{VEN(tgY-$kLa_|oPj-A<TXOe<bd1ot
z;*UxVd?#*xdS!AlVB(4rwGFR@PCQuhu<L%{hl@<2ocq=kcsYI+YgFOXa&!HWbj~y2
z-HYD>+?yP}ZD88CwNcF>mZ2-+ZVltQBAx3CA1WnU>|xOT<i#j;m}x@Hf@f>;`;8gg
zTQ0=J?7THgF_a;+@<+$c3o>u)_Fa4+aDxBuzV*58N!nbK>{jO3`K`}Z{xw}v%ehgT
zapp^<guc{7v-CG*D=inEY){Btld*lRy88TeX%jM!ew_33fJx2DRJ$Gj=C6sq`67{f
z#aH2#l49u{^{dzoud!V`#rnr=Wz6FnsSAG^KG<etsJx@>180)%#E1t;_LIXmwmq1z
z=Y{W@V11of#tpv@t?FY;UZIzC%rH4=`K*nrggrRxIPQJWPdc~hm}PUL9pi>aoSnNl
z*Dxj>x?!Q}p42$o@?%ot%g#eF?~;BuPF`{K!`+FNwl_R=R)z>kc4d5>@Y7<#%8s6&
z1?!)u_OA((d8D&SLP$a6WUl8#2J7<zd7PUR9Aa)O_sm|Ax6wbRX{p$PuSWKQ<v-$%
z?XK7?rTn@)XF}2x%VV>?t_cg;7`s+xio!RYg*%U}yggGu;crsqyiNf(j?!;k8y-tE
zNKRHb(U{^Zp}&b!e6>Tt`=6p>8|Fp4ijiOK^dafpirF7#Pq^}9hfU3-Pi~LiZTWew
zGk0C|rUlW08YSV98Wk(2cUI1pG!o_EtZB5!dvf5@`SRi|pV#s{wOJ!99H~+D&wq`u
z*v25acbiU{y>9UB-VmrUYYR*4I)_v<&ZY&qEVCyp-PpYBB-i8x*AK-su90|?kjnCO
zo$F2&j`>_~{MRc?3^Q1^f^i}f>nergjm_#RA<~^$myXJYC*C-Cqw(Svmg5t2f?4$E
zt##SF?oq`W`D=WkN{$V$R4(<e6kX?#-oILb$90m*zcmiegTyvZTce!H(7C~K^BQFx
z#^Ceo9K=>DPm5Tith3HOeVhD5hwGhj{Yh+=%Wm+-K4m&PN#WyK^U_HS*Cu=O@Yc+f
zxN?g%GUT?{w@*C2D-{Cnu+B8TJ9WMF6i(kG3W;24n|NlfR1Ubu>K%NarQ81jYj^G%
z<DHYZoF!O$j;bw{Yroa8Mfnk1eR_lO^67${YL8XbT)uppJ!92cZC(GhMz>fU*Bced
zEtu-bc~^6%$XmIE235~kC%<~mGTC;mQ6}qTt(SH;l^4!1e31A@CYEvHT%Dy^ax3eq
zUh(R_dd)KP<s07omv2RCeOg*4@#yl^N^;w*HO}O%^<Ha~$+sV5KJWhZMnQ_n428dy
z7;P*6ab8dQB=%ZhjnT_r5$0=+CRHBn2su7s;X#p@ixc)9*FSNUePc|4`pVZw3Yk|F
z#Qt|_NHU2DkNqF*5r4z9CzGW@I{AOFS<DK<j~QAH2e=Bu7c6vON>WLR|54<!!XRmT
z!CoQN?gA60lYuuG#8w$RUR-hH!QqP=bT~6?lVavi*mzeq{}ZFso;7+`?jB{l;W$CI
z>Da}|h8J(y-7w&s;Ws<xTZ|C*!Mii!&Ty|bj5)kh_w^bhrz8gRThrv$85h01uz7Mv
z#6@f4PI(p9jughjoXp${eHddl^?R0GW3oKi>%GqAWQp2Fi|6ajU)ro>Z%ERQIUi%R
z$Smi!n%~RPg=fre3yIyh(9y)VW8ME4nMF|?zYiF{kD0w-$)kIU!VK1Sf*odJ?=GC%
zHhbB!6Q*H2o8r}GaM^Jzd3j2hW&b+ONs9`P&)E8GQ_{wVD<AsA{Me_$@%2IB{F@p#
zgg>5`9JzF^SA^QJ85?(=I~H*xjbUce%a~Gzq#rTyNvmQ~bCN!FB;*LbxGZ=1$G%fb
zo+?{3wcX_I-#2C3TJFC}0;?QX_SPhA?kuh8T)|zyn6&&taNi64eJ7qVc*Qg%Gjtdv
zO-kZ^;b3<2@$5#1i|>5*d^fvrG%2_(>Ba^2oHe}iNsknTyrpm5Q)JxK^l{=m#+6G?
zT;hEwxZ%x(9TVpW6kpkvAHPOu>Ye!B<dY0HVpa>MT>SZgwPWRmpL-<t)vOiqT#$X?
zlh`96&zW;C{FBtOId;=n?2_}zRhKVlF4=5mohll!#MkrEJ-J)EPYN0cty?Q{O_l4C
z^lFi1(w%QtO0N^)ym{f)3K5$dA8VK%v~JoUa>HEE<ib0_**Dx27OSj?V-Sw)dG=6u
zg)QqD+b^ZAb~DwCG#Fo6yItJYAu6|1cmDb+rcXI*Mc$u!pmSlxxzop2i>h(V{gI41
z|DS*||Ie^ykrB_sloZb!?VQDe|K`Y?XuiqR>LQ?Z;+(>~6=Lcdbv4^#HaMMHb8wwl
zxp-XVPxXcKJldE!szmp#m6#B-tXgwY&C#_ILNVu@Ppn=ep8PRx&P((466yU1f~8jU
zJV;uh=dbZ^6C>+_eJ2b!e)C7H5_35f$avxYgt(ZgOwAYHZm>#P(cHnn%e+QRb&~56
z>z$X^iawW5`ow72nat1^bM;u#i?9{e$|5c|8w8qUD)b(R%;{tmuwfDSJSoX2@WKx<
zmPPZ{i)Qt&C|NDa8nc8WmSL@f*S;A4H6q{d%5@r?UL&f?pk))wuvT>YOK0Pm?qU=7
zo!%2RZLRpaSFI~2B{QrQf7<7_<4U5;jujP59lQ@+Pn_D*yfb2*gx5y3Y3n8Ko%kEM
zMk4Rz!$+^U)~Gz|SaNoaM3C7-g^jNadN1t$uyBpUlZN?<@3&m@Une2C#%<c6H4^Q~
z45v5s+pL%Hzq3buQ)0&&NfEz~FD}b;SS5E)-@H!hPjyG3V%v_$+iRqL{|Nh#%y6Tx
zqTyZ58YxeX=NrBVaeaJwo@w?1g^ots=a+7-k~$dU(C|z7rE~Yf$C;}<#2D>wJQr*1
zsbEanbYO9UU(&G|e-)LP)#W$jvOY+<oBY3ejcg~=3R%{ZN%Qp9%HF)&@O5L?!%m@G
zrjAob*GXRb{{2JJr{pyfEtiv<&dT$zlZeS*wdY2R;pS~Q?a`HMCF;xs7dTv#?3jE*
zck$7Q5AGdsJb%jk<Ixq|>1*en{rE0vt=HR6Yb3t>UU01Nw9cE(hIbWfB{!|$cKh(y
zbJ{w|8-YK{bzVe@bw_uvmg<;lx+f`Ut<MpTh99%mn21Wh@cbtelXKw)%i#+J2NuM!
z|4VW`y<R#Z$*AY?nwd{G9+=EgapH8%l34Yp54!jMcq;kiiQ-y4-}^i3*7@FA*m|6C
zL5mu*uJuYkxm$<37w%yAH|N&M6-nZ=A5U1xym98@8|(aMUVG81EX|>F<7SNZgom5g
z`(J*)MsBAor=&N>ooVajpB;HH+2)3X*a~_11A#w(#4@xmI2EC{U{b`DH44wdSG4xC
zEVv>5?b)i{2h(Gg-^^89^=9R_8#8%&F3o<}emFB`o#LYz3mem7Ca>s~wO$vncW&tM
z)k@0TYv(VxCC>UpVB31-nMXgRCJVpdzbI2NZ;guH>;(@Nx%B^)FnrUsx^k`Rp`@!T
zgZXwJ{<MtgLgU88Hx?gcOiEgy<~~s(@djto46n}<q@F!EF<Byi(Tnpd7R)+X(ayBM
z>Fhd#sOoj<T}dxb9bd1$d2y1s^~5V>E5js|KEAJ?5M=i7dHsegvjxTS59c0x{OQ*N
z_Ky>^(jPC3V7~G12lJwagOg(3B%C=qrN3z3S+m12+H3YdnvvN%V?|uA<1f9<D=O80
zewx3vw)3ue@~ik)adSGjHiXL7#Y{4n=@9s0-MRLY(v&rN;n8bCH0#&tiCx@zj^#(*
z(b(jb`n^IOQ*7G~zDid7QT=&^Zd=Ul6*EPvSN11y2qitd*dUg)C?@?z+VQo%o7NdW
zU9)0;<-+wf4{PsDTCMXc=ilTuot4IB9bcsvZlC7JQ05r3MetDH&9|LDcW+)9{CMHp
z!!g$;G0*>XbmK(pXA3SKe;g_K;~e*cZ87@IhJU!$Xs2FO{k%?_E$L)Z_FC<-wOluj
zJ+RhUXCApm>-Gbl<0cIivo89cf9Z7W<_eS98%u1(ZZ$CLFT62_@8$0oYd$W__&Gtf
zW=a3Qjq7imJnyk>jlmZsE|pqg`S}n2G|2XzpV@Ak6EppTeacn|ofSH~NyYLFO4pA6
zsApWc{rJiTwMP?F&o-z#FEL!4lXTIv@8K=RAM+cZ#+dcwi1u8F`M_*&I6*3=d&9D`
zA6Op5Fh9AKvr@xsjm4T|#tyv>?uyv;TJsrW-o*sQoId>Fp6r3A>YR+vKQ34)w|R|L
zbIc`%+&>GxMNHY=y?3pBZv4_iKi8?BTw!?3@y4I@m8Op$uSn{Z7RqbkEL2W1i1?7i
zw_Z(IV8P>`k&oFQvvz*%O;U9Ikdw6XPx{NnlU46M{Bv}Td05}4%e*VxWRD-rJio$>
zKQOst{hFfBD@2mky0)6FbdjHbL23G&vyDAn>kZcz{o)sU@JEot-GiAs#_Y-pm3#Ip
zPTycW@v4JSDD<zw#|NA-aue3~Gtc@iUS#Xgc(6NeW_87bwQ4ta*d<SNW1W;#6vw#q
z&9P0K)oTp*E_`}^tzp_quEioOraeD-*6OeQzD_ad_8NmYmg%>b{`<C8^X~0+s+G6b
zXlnB~KmSs<lJoOgv!ZQlG(NBM*t|w%YVI2K_3Wi<SE^jNYF@oDaov^F^{UTv*Qmc=
zC!K1&);N8=`ssB_=eMm>UsuIH!8bO0Mu^)>PbTI+u`6{tqF5ON-m@g;Fvgtg?%3C{
zRd%CSvHBXl%a2&}*RRu4?}+{H!(`05O84l_gKmr!p9DU|STlJZ+F0>VW#XcW8LTXc
z7Z{URtk)U-KC#B7vn(}YOWhj7PgmACX2u+1nrL%l!n!9M5urEBHg`)rntW*Eo^zc{
zTcc(x+y6|7{pp;u)_QLQU(Jsdb!$xaeP8h-y=UU*jg=GGCVrU_b9Aco<25EnSIG9S
zwcPt>t;rHr$G7WEVmy)=3L0k3eDW(#W8<-hoYQ=g1=f0}&)&Fvt;zIF>%EhA>MZ1D
zbF`T`^%Kj_mFCaan><~!;^0Xhjh*(%YfaR<H}tPH-@j+A$rRT9Z)=SwZJ2-jux`Y%
z6B}1*9}}3Xt|aBG-?z-}f1&lJZ*h%|e>P6A_{p!o%ETg;;ec5xtH)Xsbxo#h*7aRN
zd|&xy+&SJcxr=?h&d&ZL!hH7pYpYM2?QH%e!n|_Es~c<DZtfCHTYS-%-&SnrBJH&%
zo-Dsb69UCpCi;rAO*|_xuPi8Rn$o8o)+c0`cAiXHYoh*^Uwf^|@-4jDYfYv<VQ^l{
zq;9|7WI3<4rYv9iQ!(|Oy`R^b1kYlfEYG+9)*2K4xdP>HW;`?ef6?*Uf*&>)OpiQU
zvFd+Q9^d~pF%c7{3kWhWOWQA~p7L@}+FG-z@rjS@8k}5JwknIwl3OHOIf-|b-9i<S
zX`d$~stZ4;Zs=T+n6ZH8&k9vm&$nyM<}mc`S!?%XjoH#T)~UgotW$%v<kZaOw8zD)
zu{*cUIDQkq>KfzVn+Jpb98mb^v(7%aY@NX~wqC`ftL*vprn0ScP*hno?I5pqLqOds
zn?0SWmFw*??bjJpuK`oj+1{Uc;k2)LM*oG3vn!O;ohNjky5G|9X`RaEHBNJv8Syc1
zT50(q>6T1l^8c9{2N`1)<UhZW|9QRLqy=uRoHqpg9lj;qkl<hV#b2|eY=yJU1@5p_
zDHXB@a|KUuz0PiUvF7|rn~09d%r|3p#&|_9k(-zkw@!Q8#V0p*X(T5tiMzQ*(@#}Q
z+IpSM)^$9&Wn61)^zN?}I4RY?zN34M_0&T#MmzrTNks-s>lB&H<9Nfzm;3q}=@nuX
zYb*sfoOxN_VRz)hhFzMMb(@oN|1MRXzg}*Qb?!ZZRYE#H!|OV;Y!)ul;ke59be&xG
zqwpp3WLGGj{V1P2S?K(P=Gm|LR9IL8cGNF8(G-~&`#&$nZ_g69h=W@{e$<_K{)PQI
zD{+=2^T^($8!>X59<bT3wyImun!>2I!m2lUg_Y?>M#J{CR%tiNHs92Kuqk<kCF|0d
z1Amj!lK(qAXgcJ(;F)^lkI0q6t0Zb3a9mq>anD-wJ?jkBt2>Hy!dE26tueo~UOD(*
zhnULA{Ru&yoY6bxC&W1In4h%m(1xUh%quJEHck+DzA)2qZ_<O<4YB|0SHyTGG+lUd
zZ^wfhj4L+gtZ#X{PU_@Z(^*N!R8F?<<dS2&B)Z{djB3n5u4Df$_9#oNzj<=w!l}w{
z1>!ee)Xh0sFm0{$)YBby-FyDaCKU;+yRgA=<AUAKHaR8gUtE(MvtmYb(*M{M7N#37
zt%+H|`s}FP*%dO~2lQnBFvhGgyE|{K^7oSoKUSCtXSj24uQ2?c-+Ac~1NX#Z;zwuJ
z_OI7is=~8z!;4QBZWT^=m%ifo#}#cGyBAEHxSVs_3AYs2O9!5x{j^@`G^h5Q9h;L5
zzE%1qD_P+8u_1e{#n$!O&!y(Y_CI)7skCOTqRsLC4Wa84=1-DbwW9odQ}c~=ijFbW
zRbfw_XK1cfP_;i$6?Y=Pqew+AX3c`5>lFB7`c5`1d$-ct+^wNuwZ+1^hL=A?R>>q^
zS*xgTy`ZvY!dfl<*#A!X2Up7+ZaVX6L(IyUA00MrsWI!d_^-tLTe?z#VMWZVq;;|U
zFD~qve?m!cYR`w|k`_nqtQG2*JAaMhbnS`and}ep9xiMDqA1$YE0DfaQSQ&Gj2kNz
zHg+l`t;{%nYa@tU*(7b8y{%mCrtIytT2p1NZ`xsYLNQ?DPUjPn_nR1)r^kGHJh`=>
zL7?JFNA*Mx<~6$OZ?bQ2e5}8!;p|$A+tF*3c3$F-zPG}(XF{^X;hYTuJ;@UiS4@$$
zU*-LVeVxhNbt+S}<zjwnds;FW9O8(X_ebZ`qN~ar<@W1U%ERU}eu$YdVX3-?i2iyX
zU+-idu?vjzcSfvh-@n|acG}+c#ao1C@9B`M*z_=GXS|B4;3N+9pF%zBRaRc&mG$@M
zl@$#**{Y=FJ?B!~?TxIH7oXW<Wjgyq$crz&TGeIiRVL|7-WlO5SpIL)-zO5+I<4IW
z+n*>edACModH!GC<d9(A<iHT|#;t2q=4=1um91N+yiP^=u@h(h#M5$n59ZJLba|TE
z)Awsso_q1S?q91iUHhua)8A`Uc3u)q&R?Ul+&WVEdq?cY3*PCUPE9;@eU0i=vl)qH
zYgGCE$81We$XQaC+>v&+^TOkqtB$TwJ<S;VKPx6jc|ymf@}CK_)~K%T{C{D}{|_@+
z|HpiiIP&J@!H6|xr{;W$e<Qi1LN@8=_8GhOoZ!=VxV$3nlEzm%SGkuDvzJx8U8A<P
zguS~YMJM{yo|5&d_a`YE8cg*Sy|rE~dO1IM{fyqEbhC*EX0ML7pUZ!8joMbNl{u~U
zA5V%sd9hyY_EPcLo7bp?zf}2sMSS+XHELe+>gnrL*H1D%TXb{H#H%Yz&hK4Q^%pDw
zUMUkBk}ozta;@6yNg|tnu50fKn7e1KTI^NlScV-d&wtwTeT~|+^p$6hu2++DkNh!l
zX29mvYHrmmynl{8(D#h;a$X|0VCScjA3GO3PG6&{zi9@u_G;B<2i$Hju8`bwa&1Sa
zoaC15ITL@bRo%O-L-Tm-g5P|;oe2^*g*hgciKfI@`G~I7X}yzR)}el3hUJz$Ju{Wo
zsM+3R(Oj<<&Ehm|ok>#6dbRf_&Lyo|Aj4(dz4ZISpROEz5pR>$sck;d`|Jkm<~2r7
z8f(t4SG`wL{^8jg#tHu{8~pM+^4l63syqH4vCL##FipDT<jrLh_#Y&9uTn9!pV0Gd
zjY<kf+**~l5+@rL$Fa@e`0?SP5DTXZV^X$Q%uR_+S`(sHD6=Q|#n$|fyYNJ8m0JCb
zZXw2m%=*|h|1(z~_GG=na6!Q(=}*j!Gn*z&n6gUq#)eM8lR?b&i+#*)be#LpaC41f
z)Xy7PlP4U%8DJ`Ts<Gis^G#u<q>V>xAKhbmr?#TEx2fUB$D7}NOkDP`bVW=5!48Il
z4`Uv@?&1miw&7FKq1#7q6v=V$#Jrzlb3uE-{5MU_lPVSne_W^f>3jDKi3Km#B{Hp7
zUA{4?=EcN>c}WXZ&dySvwPK~|9>%0~sv%!LNs6p!d$vY(>81?~hvu#E=vt#XUH|8X
z`|Db7&J2rOuex&27SSK8^FOZRSN2fKUvpM?irqo}`nC5rIrXe<{e0|~*$3a7>;6~P
zO`W|w#bd%3t<?M;=E$|Gkt+`RbqRZMq|D?=T&wo{60c+Wdex^_cpPu8Rki#RQ@wJn
z2jfMbRp(~>+sJ$(V98I#&PYu$7XCHsR6{&D6L&n?GKW8!Y2lvrs&jZ_?X=gcF6W8u
zn<F}Z*P6AeF+#%gPbkfvD?WRT>io;1v)$*vT>B~E+}74d>nl`Cd2FvN;IaKA+`Q&y
z&?J%hLj0?^>>uxm+PS@#eXZ)$eLs>`-k+RtwLtL3%oB$`u2C)e`X}aVYe@7O)tBCq
z=Ks!nzgepqqyK>4dSmyy7MV3KR~R;Smi~KtdCj-)f5O(P?waiCIDzTSo^wBEE=)}R
zYpfb+^m47*N^jZj(y%qEzk+3Y<=6e3);VXb_Q{!d7?_t?#QCqc>y*6Fuf3u^>`!LV
zEbXu}Z?#U!%#@nFM%62vJ9uHz9Q(C5bYrab*Q(xKDSPzX8db0QS29OG|9g13^pi~a
zwO7mhj7x1pZ~lMubNe6X$-5qHz9V^<aV2NhElKfjNq-&}#9TViT-M4zS>xH?$J>8D
zVc0!q!p27Jz7y&n4=AWz+^>8n`eJ+aTJ@C6kpd^>&#p6@yu)9<PIJ|_2bYsvChq^(
zk$B9wMIq^<-}$u~_wv_TygVFJ_W#*Af13isf15a-+-12j#Wzv2X5Ne-v8HqS>(n;}
zuQ928^kUoo9d1AP|2%uyC$`o=-%e?be%Q4iziQU%Rj%-y{4i^ymi$ctp9f5hACs=F
z(O;`~BAMY_#>tiHeLtSd#xbr@7n|~p?M=+8gIymB7epMsbfKkx@oo9|bp{(^|J$rM
ze)7e&pD*~5zHPpF=)^IJFRP4>m3I1YO|Rc!u!-~K364Vn9f9d<jjNTWDQE|aSV!E5
zdDL*M=OcILsUKe!NLMi3uzcLJR+Bfz@TZL1$2}YWy!`(Ah5E-oFODm%WbJ;xX3mO7
z>oxcPUBMo)RzFWOp-x9F`-#b+4133z1+xyvKDwo(tFq@>><YFIdsmcKHYB>wQryGz
z>)A%*6*U|ASJWDcK5#k09?{6Qmffpztx}zhRMJ|-KPn6UR5Y&cc~rjWlxUdlj;DK8
zuuD9slXwuLcH#8N4Sy#b{r^0Esg70W1q0@o)j8&tn^rt}_9L00Yn}Sx>&ZV3@b5cm
zpvlR#Q&R3r{e!$?FRVAL72L_e#1dK1u!imAgA0a?@66&3uCZAsdo<>ROU2EG&kxo-
zZf>xZ(_JTX&!^+1%?fF|MOSU!$E=VHo4^*q`0VxPgWHqVslB&ZE%~V-XjOz|<9+>I
zvOi+ZExPFPP>E4OAZ1&p=34QS2fVvBS-#n=e1h}t&%gcan5W7vjOY)GS#s;!8vZFO
zndKjM1)T6byMZ(PK!E#!?oW#8lVhH5*y7G%@#n@vmy;Y0y?-a0H0vxpH*bx=p=8E}
zAiJ;!Hb4HYaD2kF`rp-D`?VbDKi0Bx#%z*dyx;Nq@d|yx6`ZH%#JD$1XPmlc6??_c
zY0WWNNh_bpaxc8<{Jg8VL3VRj`2*pk2|RyJ%&S<*p15Sk<UMQH3z9k}y-7NlVDZzi
zdSb=3zbkB3I@GOIYfFsbUi3+RexvRhPI>zuR|OoCZfD2}KJE%z@n2`rt`s|gkQHGQ
z1)@F{e}1B;W<A^flwaN7%Unr`_G{U;o=`Urb2!k=(>+;2Am(4vS%!A)NQtW}{@X12
zSGI;t$U?^K_@6y-6UwE3*`Le)#JTj(#pmnPj;>|(dcQ_->8E8uH`cSQ)LqAPdmWqQ
z;X`v655)8}@c6QE_f2eOoN{#UrnTI2md-QRpXBr>_V1Lr3%49!$KqZ6Z|`i5Rqgin
zOTJXDJX3sRS>-w|J?nKGbJww@Td(7IyOzx|Rcz~e_NTV%*rM04S9-5uO<n%-<U0P-
zW$Q#X^>6vH^zXSftp3q!1Xq6VxP2mK{zUg<x0dbbpDFw2i?-|S>36nml~z@fiFr7C
z;<@z#)1z3sZ?6^jsVXCtlHWg*Z=JyWto}}wPHpjpQ6FM{@^J52E08iHY4blRB~Fb~
zkNE<Ryq`TI$v;Q`6KDTgfl0qM*`8E(VNqJiHG93_^w0lReLKZEd98qdChKJVwE{o?
zAGy6&&@1)ds<%^FXRZ~Pe~EQw+**N2Ji2SSw!c{~IEPnz+rL%YStov4D-e8(b>dn9
z?{EKKrG4CU{Qcf1J5rm9<s)Cj{aIB#CF!qB<ywLHTg0_z&N=&cRlFy^tK`8WU(}cd
zes#^}Yj=?h_{zNTQ^Vsf{rPJ;WjFD8nH&mM6VDA)<o(9xJ!#`*k$W?r_I{UR-ZXPc
z(t&Bdto^_LuJmTOeu}mK|Ej2?u|HNxG562V{hKB)sHp!-?Z064pG)10Qoq?&f12?+
zveW+TjK67X!&U45u8dqCK9Moz&&uuRo4)FI$-cOAfnEI3KepLIe^#zN-SJMTVBSf`
zY0k4wa!Qr!uMyavxG9C>$HAYIosO;(6x|rpu;IfGrAW58Wiu`*sKmq{I$vk7Liomx
z1M8kJmo!M9kjVJB<m2XDa+4WCKd{T+Jjt;0u?hokp|W6P;Dl2mQ70!yhU{MQ?o#aB
z6|%B6F|v!^v3)$Np7e7u!^M3+gfC=7Sl#k{{CdI1hbb5DP1(|M)5P3=`KP@Jl{ps^
z{BEgD*l>t>dd$S7?9-NgU!D^4v{72zd)eowYbB0faFgfE-*Mb*M@RAs!@n8r!awet
z#xecKh>%(I`N#Vu4>xXH+<DUS+>d+aNg6Y<-u}vNXY3F@x~JpTv?X7+|Lk=ZX4&|2
zE!)bcE9E-3i`%c2+PeJA*>zI>@0VSC{ba}72TH6=$tet-5iSdU9$hKk_b^%Z1Y^?P
zi2M~x(-vHQ9J@lipON#)h9s^v66HUaUe>Zpnsj3R!YdC#CU@$t^s~LWQ+?suhr$b9
z_;YNkh?&z{p?Z7C)#*1b_!q9+6MMk&$KtDNR!RQs2=V7)dc*j;qvMn)<LcLE*GSxY
zzVzSIHC=NS?<x4c>{{rx5BxbdHrXw>=9v+E!RhX~qz#d~I)Y4}AM06q&DxCRL3s6A
z$*oJTz1P>iHD}w>>)T%RsTZXGWBS=J<&D^auCvoD(>~ZAefhe3*>(N@&2J1FU#zI%
zSa$vCjYYhlWAfKBvc~>*bf5Y0NEOSEPe~iUd|ZCx?TQ@N50U>?bl+h7;FcV7U~Rka
z3SZM>lNu~mEmte~JxzG&&HT8}4^?y)-b`7-$g%w9L-q$RKmNF)e&WzQrY6~qKi@kW
z-QB12Z~4vd*H%j^#4_|XxP7|<X02%m)?dq9d2`9f4^2+|7h2aaz9_4_`R>hnsobSE
zcOHxRyyymV%#vj9B{#l)-}JG34SUe^<*9FLmR>LYdwS)rrPs@!uVG8Szx)R0CXdre
zn@TnW{0KN6`+ws6i(;Q&9Dcs+M)l7PZA))t?e3_toU~j2v)Z{GdJAr(A8_v9)Ztt@
zXSFM%Y|UxSNjC*fX0BnIZ??yq=j@b|+}ZypThF;+zmDzc!6Oljjs0hr-3&8Z$q>mh
z{m<mvi*FtGoA7gk%sRHo7kBovEWPvo^lH|=<#$u%VsFm5*L`T^lary#?sX>Zd}4Z%
z>-EKb6FjYC`Y%Lpo|E+FLFM5G$&4r79=~P${KMj-3*RRHoOE<0i*#W13YM^$mC0P|
zne!`DlGZ#>+`t&K{E>rCQUgP>*wVY3R5=u1-SHGk=E#}0?DntMhmt-my=8n^yCH2&
z%o;bP3%mH2+=^MhR^!TNff){cCr+<f{6^x!?Aa4Hu4JvvIbb;>#^%oDHw%S7>}@^v
zrc=kX^2EM3Z*I3#*!|qJ*=EVD;~!TCGAA?iO3&-QWWV&`z0P9~cP*H+`NO$KGsH~m
zW;KXbe3|ew;a`k)gE%XHQpJ^|e;t$0cBE#N-MH|<Bx%mPZU3gvJ=OT-*@`s#dy);=
zlOMV*`u;!Vf##nX=^r1)S^w3awqE=0t2s9n?(B5sv^vCT7yEz0Vd>-v40B^XKDqLL
z#@-`0?`^ozT={2)Fw<LR?R7krv$t0;&obt@8Ju7JZ)V&Yo!3#Et=~Qt{OMHxv0BHM
zQE-j+qKwEzb3b=T&R_aGU4<n{D4F9S|I+8zyKlT%{NwAIg^GeV1^n5qAMpP9Fh$6j
znT0*+>+D|(y3a4Y!=<*n!}sSpz4hmoz75{^w8!|Qr0QD!^FJ5AwK)2qD7#_dwUq*E
zW+)$<`XKai)57B`9JT5?_CNH0$k5-sMsV-R2oCR+f^tt+ujanlmD4&y!1PU5_>Yct
z$`7SX?=j6tdbsx0*94BF#0N#JEJ<ZO9lzGBHN5t71@GCFQ`1|kO}igV7C7<dSK>*D
z=?jnZF;*{pWwxusz9;QpNA8{_JtvgyJF29QRZ1OR@<y67Y5h9B-1SCVPp#(5+N7{{
ztK*MFuZ$lqi(rgdEok^)g%CrNq}!}Yrmhvdz6_I|7_o3ap4iJcYg2g6LN~L8?%fa9
zefc-FcFCWo%U<`ZEZ%v1%^v&P3s;_B_~-cwUeiAZV-~!>xx($C_yiIA9LAW1zt6oi
zSz$73;T!YStM(f#e9_#K_M%nO>CKUMXOB*?D7Ml${(Bww)UNeM-<emg<zBmKW$i>p
zh6Rsj$#?#Eu5f75j04~Itl>_7u;=KFy+5Y}oH!y;yN+r4TJFEogc!LBQn++hZa3d_
zlTmoi(b+E~eVKSC+=#I^E?uznXxEjxPa0;MOiyaq&8n%}wubY5=iJ$=JHEeJ$D^*%
z9Ji(8%$jvP*EeY_>$oucXPs^Sg4Z?ARyY~($Fx73IH5nLhhahIC;Mx~>v^1GHZlnB
zv6)pq;S7UpW6#Ep@6V=8{rGcE+8VyY$&9Nawcf-of0xs=C4#Z*k*lWNC#@GNm}f8a
z{<`Kz!-kl}|0CD&`bu5dW3uS#33lCw*QFVIk|z9XUn@NQ_BxSiD@^5gujAw7T40~V
z_GPkC(&L{@{HvpWNUr4bW#Zp+V?oxl6@2y^nYekDe^-|Ivypet=Ld(<XUr4d$Yj|6
zW7XlTDfb^Oym)+#V7S?&80}@>&DKQK`OjJL<~8TfwGlV$A9N~;b2NKeL^AYq$i^*5
zF8=tmZvGk}=EDE|E2poOc)NL0L*%kwCs)^}uXrQA>4%rb#74Ql`ZF#*Vy~82DPX^m
z$@0cJ!CR-9Vqbj!7_(A)5zFpLEIT=G=B!bEzvP&Dq*Caze>c}Dmfc<_uzuSbrM)Z*
zzx}P-v|jwZTBy;hPkc<Qza~7IdP@KH8u8!D-`xBta$}9yy0z<6wk`QTH+PL_{*wRC
zbJvM(WvKr1Z(_<yG4tDNM593liiTygIp1C<8oGT(jd=7LQM&_&U$2+?+wHVE`^Re0
zveRp%UVmQtKj?b(2KSVlhdYZmFGZdIZ(#V3wEzEqP~8e!l|rWyF8+<S!u87*#Vz=6
z!s;xN-BfNi@ul_B|4(;_Z(O=WOmr`+_tJm!Co%Q2O#HOc)Loo)qQ3<5M57|<6LOo1
zl&3D6H8K16Op#|(ex6?;m34}B_BzS>xqRB2)=S-5%B#I+>Hj6XyZ0{rH-$%g3eWz=
z)axJ-QRcTx{$GjQyyOP+f|P#Em6HG0EcpLp(#H1i4R1x?NX2|{c)MP5zy4FcRZIUq
z-+sVYQ^sC@$=|PKi>Boq@%Xv)@6WBQQ<wjpdTW(-H;?Kh$*g6+x28-|TxDvjzw}r7
zvP139ISQVvxVQSB?EbY%NA=h0-V*z<?dO`LRji5zvWISVJ=hVHvU}sa@3NhnMP|-o
zoc-ti5sn)ytK|A82<}<OS@LZi-|aP=-qA~cSpH;tzlL>Z?y@)0YgE?0UBh$j^sJq~
zG`rWcPyN)8*tcVr{7nw`i5VMT)TZy)barE)-W!n>s?RR4ZCtpBKWURJgY3%i?vq~?
zUM0yfXv;4=^^W<Wa?*{YO*<K^Hz_S!qExf&`{{?#>p4!xE&Y0KJ-grYW$)APajg@6
zEWA!}`{$+aO6%5h&P)1uN51=FUdKYy`4=B@hp$<$q{dNL_NOEK<4@LSD-`WFa@4<=
zDc`+9_5TO{q@QMo-(E;eUMSL@vsUr%rlrr!673)Gg#BFkW-YhMqF?JbnEaTzz*XT|
zSdaYVl>$qqeOmTXJn3KLdWF|6vo3D9@uh4v`+?;DB{7#TJYTI~zk7%6#`Ejg)h|5$
zJ+b|x?+S%k3}!c$tVrreySdPQ!k*AS9n*g-oFcqpH<R^(`R87`{M77QuW<F&I>G7d
zIHqq}$Kv<?$Ks=F<g=1~#BaU%@^R;Sx&5d9)x_Of%W>q#F3#VtX8*Wo-rgvxlC)m#
z{;lP2ylzfVY-QZoRQe(L{{bttulG*wc_J|L_05y4tb2Lu!*+;0KG~qOXJ*m!mEnq0
zxo-T^Ut!U}l;ob|&T3rtqPXIJ=E3C2j}CX)+}*&K)KM)T`|baN&7apx+?dFiym97i
z+p?rd>x&x#uT9;oJbA~GO}~Fvtok#1jnMwvYsEhQTO;&lqW$wPo_l_#Zsb_0I-m7@
ziqNX1EaLquC(LM$S7DcyQJna3wu5;lqqT~_BTe4NU-}!iM7&#&boTSk$~FA&r+tv<
zxPCuHBV*~m=QocYxN(>_W*vXEYd%l&?}@wnZGU9V`E*g^z@BvsiAmKrKTl-Wl9=Cd
zKw>fL^8E4?iH#2g19?Q&ELtn{d;^Pl9pj9U;@`}FSXLa~$g(1K)(pn)EQZXCF<0^r
z*uG&r;VSuC*nS0L&cvIG%Vtd4xZ&`ujsxX63r@HFVPjdrcVB@eX+`yUxhpqjMH)tS
zhV;K|P>$cTHU7}EC4X=2?f89NXCrIe|9gK9MBjM1=mBF=^eTSw-D^y~{9enj`_o!)
zFS!+6>(>kXSRwXtmueSB%bFG96$ide<~p*GNxc8glUe6Q<yVGX-pFyodAG>(oi?T?
z@9a8hv_?R`{FBDF3BGEI3G-k4nIQDNf^kOw+4X9A`_~D@zgx4qR{c4XWdYxWMPbMH
zNhW@JnX26X$oJpnHR^(oqV~RDBP_ydy-vp6Gx++ohF_Z-1NxSIp8woy-b3}*9r{1o
zWK(Z4wyxE9zRa7o>C{@5TThoP*#Btydgk|DhJUUt{kL<aKd<bjH7ws$HP%m>r`he{
zzLt6Wu2k*pcFp56{#J#p`2J>n$kw$iTeU+)8gH)=d46uev5j-r`uXRt5t+Jcd(YH|
zj7e>8T}6`jt{17@bxkxme~rlWe?K)RgdCkBkhX?-z2b?O=#XV^rY5ZwImEK#LG}ig
zn8@|a-y>q~tQA?F%(h^PXr1_q0~=eG-MaT@j-OTSlR46NmwunRX^l=u*&30T)6_H9
ziiED3X8dC9meMzCxr4*jFloJBrxBu(ZujWG+W%_|YQokqtqQSuFj35fVZBJHU8u<H
zvUMUmFNx3oxkh-Y^}>3Aq?vMeH?Ls|S}8XF(;CL$sF0Jg+NtYB=B}E;%eqb^)^4hb
z;Y?oH)oVp!ZiW7wk$sqdfoxXUg0hWE`{T;iiLCsPRI~Q?El&mM6-hUEV-_^JCM#`P
zQLrZaoN#H{5rNlBpRVAs-n2%<dnupwsWrm(s)?o(mFCa3vF15Fuj2iRZP&IQXP?lT
zB{%0(S<D)dp9M*kCsN-q-B?k%(0t8$k>aOo&a19oCsGr)<>R>-HvJp+-rcisllnyN
z{p+XuRF~aZA}A`(-u-#Ku;23u-mHu<>lfX;ahqZD#!1Qt)b=k}S8?g{=7sOOFKnE+
zI`n|upEbgl>OV=D-n4nZn6yTCx_19Vku}2c-xj{_U(YCeud=aW%^`>9>lszwFZ_G5
z;K2!_8w*s9t`XKh&EmL5Sikb2?wU1BcmAk1_T5~;wDFeCqm^ulR);d*G{$pmov<aQ
z`atL>yXEVIyJt;Zvz}3h#m;}7#>bd7!rrNiPs_ev&=<K*v+J&_^`EuEn|>~mty{ZZ
zxU=}igoo#6os{o>SbAV;xkp)pE?1t|O1_T{t!yhbX9o)&xfv6?T3G*qbn^em)xzQ@
z8O@liHqEW~yRF2^>T;ZG8%sm|8|DN2%-3W8f2v%tX*Kg9jiz1lcRt=&zpj(((MqQC
zYnbD{trzA@W>_;J>0rq5KQm;iudQ_9xwwgO{*9%~<|kN~(pS8*uUlb1k<oJv%ht#5
z*Qj<fp5Uz4d6-gR7_*wWSvILT!>OZ&!S2uw^U^izm~<7+Jbs|JR(R^FKNDU^zU279
zm~?A}JnM?2_3MQHv?f)r6Au4#Yu=3+!pGMMYrmgy;`q`iE`IB#qvsmU)(LCRUt%zK
zlBeu?;ZQC6xpy{-cl6C)^6vkvj&%X@{Xf31Fp0fkxKhPGK{swm#HT42yVnVat`g2*
zXPy(&`r^@xj?Wt}bXR15U`$#g<lmlDzd<#A%^HT-{JCf3*RS&Wagycp$?~+$)bksU
z&s#4XtF?N`p(ksF=6gqc+QYcP;`xR<pP%R@&8W8hc_D7`BX`eBWhX*6t`R=9mt}R7
zRZ`Ew9=?k+#lQ8fTlc4-!c+Log)ea{=YL-Q?OYX~_3QP*b9k(^q9(BSI#qt+G+na8
zTe?L*QsB@U;idZ3D;VNdcz#|lbbjxHJ%1L2Jzn~6Zr9}YwW9iu*2MMj3M6H|U^A%X
zNM3d9{IY$wHoZ_<!w{yJRKtCIqEP)zCf3)>FUzogTg&)r&62;LFTJ=S!@6eLHvXUM
z#3oC!@;chBn$F|+X`PVwNiN$3$MuW2T;JULw^nFpJa4T0>`;;U7w7Q5oFp_^X#S6P
zYaabssk89Unvk#m)-vdoip&mME38?|YYW-c#AB<gxiGn5%Hk*PLUWCzo=*KcDREBm
znY9et_y1iX@Ob4+P)P0%^tusq-t+Xwt!|A!46mI#{PWLRp-Z7jzNs-QUzyH6rEamZ
zXVQc<@06!;ZB3upzMgTd{_17d_skI3xK`M&_L9dznQr?vk!FHRo@zVKn9np}!L<cX
zK3+HRf4*L5`s*i=nhi1jh5FZawm)HJ@4P90)6%;sWpae~hFc$3`E*H5=eQiPXlu+h
zskJ4OqVm@Z)xYw~X#XX1bo&~H>+{zM#jg^NmW;{2+P~Z;SbOza;V;KyK5yvWdE4-S
zv)PS(^$VYVYlz*mOulmAcUPr-|E?CU_FrXr?14?g;XaM>KUaQL9N_I|+_*CI&4-Re
z#+WO=Tl>QQuDPiE?BJ4`^;$nalx|%9<oV|ht!&Gl?B8>(`19?xI=}v$e3`sXbn4s-
ztZOv$Z(K=cSfjxeV>Ek}`tjn}ZEHnOoflfA()KuMy>jmQ<qzKeo%?>WRlqFmzdg%R
zH$I!p%zPmrcF)z4vTqAy=A7KTydaTr=@V1^o0sRUQ)5W}p8>h!F}pIl?d0>O3$E4=
zjXWYB-WOY~c5hQh!JeP<4CilfF6%h#5_P(7Uj81A6M9JtpW8-6#2mQM`k4PlEAQT<
z-Pg-}PTZ)!wz2#3^5^%y{adiEQhXiP*^A{?dz2Sm>{w8Kz2U{iwd~v1sxOsfe3@bY
z<MzGZ^KI6sePxbixRCt+P^rMf%Sjg=W_{wCwO)d6O<T-`-6#JB$^Jj_y&&nrA0gg-
zUI#YiFFx{VMbjQ3uH*>}yJC(<#Lg_dpVMHxA^CsGi?s9wPkOJcSJZzJb4GC9flZ=i
zPZxF0dGWl%%f5fneIbPhH)0;Y_!VKl;FrJPoNqgiZ(OguG5`1C<`~0!i{$%fYOUki
z{%M_Z>XM)K8v~k@m%mPWc3O}z|LXb;;Tt&?X!7eHWfi@>{8wJ(pT#SeaPa6<uHB%n
zu3!4cF=pvcwf52@G1s|RVlF!BAG^BxrkV7Y$OyxW0%t$|?Oyit>#a3B@juteL@D&t
zFs_omx9ErP4sYGxi!Oa&T(r-9p~Pyt6%voH++Fy3<6803YgZjlUJj_=l*qqY`|-5^
z^W96ncQQ#%V3PmAwNm5wfos}2QcE(wJ#d@zMe5}p^XN4^H<x_xH~)3U^xVS@9Sgqa
zB_$=;o#~aYOjxtTCUN(QKcCmIOkeO^wzJ79;cW7cXxsnym_H^h`yO>t;lRQ#k1t!v
z=bwnMdr`aQY|O&%&tG|NoK-J(sFKre$2)e$7_p5De(5iG7Wd;-1>>V<9~*w$Sn1Ci
zv*Y!J+JlTqr9V2Q822PCJ+$<BV|K)(6aEL)Vy^mXPg}$MXW92_hq#RGV@_|+lUuyg
ze&NewjjwjqT5Zb6TH(<tmCLx&!<U8g*x66L@=g6of9h?&{a>m1XARGkzf-qvUi#)T
zC(}p9q-%D21U@f#b10^x=R^VDq8E>TSn+g*F+Pf6+{pjodWWz;hx&$(r9b3<bO^6p
zWAb|GFHWv)yfL#IS&G_J3IYUdr~lDg$2;}y(hpzi)^eVES=067i~Js+q~*WDe_zRR
z=eruV?7bhC^tY)qZvE+v*Ov<WJ!{6s*k$iNS1x<8ZTY*)|Ngh$yW(hfd->1xZ~ryt
zF8jIv+rOsor#Z4-s3pYy|7f^*`Mb~G{xy7C^6Oso(#L*cp7)mi%JyD%|C1K;siyuv
z)pO&dpT{k^oikB&-qIWQm)<&eZw>S7&CC3f7rr~b^xNt6tW)1E|8^y8mGGKn2|p%I
z`m^-gpIf}j%f9(-?ND=75ElET`&0Au8u5p>c5G69benDWjJqd3=q-I-7rpeGru~Py
zNrwEE<!cn=-!J?Zx%9gytNZUY%xlAV?|*s5Iyr3VH!r5ir+BBXVa~2S#X55xbKP9|
z&`;}`?=5AW_~IR}_S?10-%s)Ep6UE-E%R2ppBXz^9eo9r!<Ky8Ro~rla>+IIn~m&i
znb$@|{-}uAw(N^#^^0S3*f;pEVg9>o?cdC+U#Be%(p`2rw7hP?Pn)Hel{P(4-?7np
z=l4B4mmWS_aN+olIC-my3)J46SiOS#s>=d{<e3XTo!GfW=lr~7*R$=H{QR^@?ndPN
zWmBKqEW4Mw<?q>rzxtOxnSSnX+5PTA3i=)5cWo}NG`m=3{gCh6&E*fJ`ER~o&vmk5
z!@^sS)^KgTx8%mBx@CX9{S6F`TgUafX8HQEWxuMjm)@Oz#V|Z)=~ktNvyKnMzHM2<
zxqADO?Xf*cFTP(``DPub+Oz3Jj2Egla$j3;<>R)K^Cy-WKME3hxL1Pz#r~CCoX<`&
z#(YcP<B@Z5*}i2*v_BnT?ptzn>!k-rH|9^clqs<INPob~>YSxV6_eI8$?Ctz*^|_v
zlD6!)^4%9p_OI|c@oLqA6KNfZ;-8kj-nNLT@@ILn-j0RlyEh6jNXD#9a-QF@V8ON8
zH|qqaEj{t}Pgmfx#bt&Y_fML3{M7HWrsX}e^$%=~UDBD~Q~dM)(xbbSY+i8woVjet
zv8+e?IhL_4JEnc;5jRIt+>)c>JAN|9FFEFvboomL6L<X7WQIu$$^VmM879V@$zRFo
zkhDCOp;cg?-GW2<tGM4dtq?o@c7nk%mWGXWk1ozUwqj2&|Ic6I)_WG!oL_dV{NF0s
zKWh{|=Pp0F`tu6D^ra^^ui#Hxax(jOXLIB_f$G~!PwrnY{M9ac$;s>YR*49Ivb(&0
zl}P6&&%Mh}to?uP;J2zbEEDb1mY!Vw>7vhspMfhIkJbN(S$b;MuCj~yZ<7C}UHQ!W
zOOkzUjNkJU8`d+}<}chVw$SbUT85LX{D0ED`!4M~z5Mk0vJ1EFt!1d5zo37~Y5o73
z&z(3>x`uJ~j+5t?oDRRYlA-v}w|i?Csvn<XS^jJ3=L30ahs<v;JM(+#FU{<mRcjb8
zxI6isuvsIs?DvypXLqwDeU531<ltI%?%CRfzt7(e>F4=7XI9lolZw;Jf8SjA?Ad{Z
z{7K6W-`M+S`Je8C*0$Yi!VhMCZ@GMLQ_|8u;%2NHtgqc&!N8czFe_&DT<r~v3%+ht
zS@1V)$rVYTq;riYcicR!bXj>LLn_mW;#o`2KK=iG@A4;`4lR6hWzXd0PvTGgO~3zk
z>65uBr+EJ?o%?s$6XV^{$8yRJ2>)r}+jc@@&qKfG%g$J4FS+~eZ`jQxcjq-ukhR;r
zpx65I(YVIS&kbqMC+wB4UV1lr`S~9vOYffkzdU>E$=S*xH*Bs+EWiKchF9ayql^D@
z9r?*6bui}hpRm($OaEK>FS+#j(}DD~CI8gxe`H@f7+|sb!jz<)T;0qE!^Ho;n0n(>
zM9zY$KVN>_OrCiwk$Fbn`6bta-!5!9{_?2y8_5^vk}t}xS#aWn5cB_4R!5Es2@5Yf
zn}2gH>+{Kik+TEJZI;};`t1Ve=A{><laDa8Kl;pccdEA09iN4Z)^Pt!d(=@PJA0w>
zw9De%OYgp1%AWlHURe9R54U4p-s}3|UMq7Wm}yN+zzm^BhvwRDWQd*L)o?iR_8H{|
zJoi4_*v%N5#FzAG3aj9arel9zJhtBxv5F)8ft9IF%z-)g;yMLumfe1Od+D4j0lPwd
zpK-}OWqrMt{cBjm<H;PnOP3t~xy~*{iL3HQp{O87<25F3rv10B-Rx%Byf8Q7A-e_l
ztAtHYd8`+<FtNwH+yC@i#f%db8WY@pFkR9+d+^`0qgUHM9BBW(=e)BL<7@r3O#inn
zzqjdu>%&-vrT4lumfp&*<hb_G>FmYVhqym{?0!&aJO4rIYL3_+!YgL#T>PkWb;;e&
z(JMAqEW8uJ^fL8qBm2z@#b+=6dNwgvRJ-HdpV%3}&mL&EHaBv|PGpi@IK`ZQ;UTyA
z3sx-DJKm|@cQNW={EuU;EDM;w|Cqes`s3gKtCs&=@?;ug_L{X!6Qno}FM9G_h2u2m
z_4P}h{H<HZVZHyw(~pc>ts*-5CQJCuiU@a+2#aB0J~Eww-SKBtzoNvS#~wEp{QtP*
zaeUi@*LxVW_spD^)G2v>!|_8gD|PJNaQ~^CzHqW=nb_aT%}XE0M=pD?Y00f^3m^Y=
zkvPmEaH#L%|C<XAh`;VwabwP;;|up>hy9T0d7-zOQ}%J=>$MW1`9Gt>mj2e-$i>0<
zV)xHE8RzfRTYdW(tF!3Fn`uiPZ(DSy{pzyEe{&W+ShM%g#^a24*5nl=#!T7t=!0m?
z)8&tEy-9lc>u}P-oBa<(<)fF}v|I9WPb}k|+{Yc)jxK+kugg?DyYtz}r^lB)uFwBl
zyS`@G+vw$&1r^vFfB)DOdGgPR#|&$iJg#qIdaINq&G2>2k|$x07{0PCe{5g>;J(><
zCF`G&Wq)gqCC2=S?BlZCv*dC4Bcnds#pjOS_}0DT@%?*C?uMyz9ZdS8p(gOZLiG2N
zCnp7iU-+eeNO-gK$#kz-JukuzZ2cd>_^bNigC$S4o?dd}`@bb8PA@rq>gUpHRrbqH
znx2_IFYp(m=trTsjE8>pU$y%CXX(kDB^O_BUv~P_z9kp7FFE~b-_rB<mL2!|z2w}z
zC6DvoFFE&nI>XE*r>A~ea&GUed!<iQoEw!)?=LyGp3Sm$*}3@pVjVZ^mtQ&^wR&+h
z*LnFBfAl{_?bcZG=5}|5*@k~DrJokOnU~c0=c2hYUqzS=^NGf57Zz-YIo#08r}F>f
z>YEGY*ppaSFMp$3pztZJA#CaE_$CJXte8U#t`#>IzxHSFFWVCRXO>pv2mQ?*E7Dgk
zGhKb<;@hj1`|mAzomGD}Y1!+n)a7}{8x5?={<c=`UdB<maNWkGucL0UI{s<3+S+;W
ziO-9jY8(HxdM{_~ui)?I+qghPPT*S7pT#E|ZT0>LEH|C|@`?TLm)swop7?dL@yp*9
z`%jbImx<3__`Y_{-_}VRjvZfmJi2V@wNuMpRj=pa+OzQH&E?lDS1-MhyY!msTekjX
zueSPi{S#s2nImDn<l6paukPPl`Zns`vRALC@M-^VjXk&QRet``|GK}Iy(&E=rm*p7
z`jTtQL4simuhkr47n>m)7QX1(pCj+LExk7N{-PCGn|N+F=5s0ElruZ^(Ut3~-64tn
z%U)j9<~#p?$;+$DMJ4wve_4J~#<Q_d>xwRKZrHLH*-z#BmtEG4<lnsP^3FBO=1zES
zv1Q4lso(#Vo%+MJ^z-y(kH1GP@Go0-&q{yUh1*N+W$G`vFm>tu>F2L0<y9}apZR~;
z-K~da)!a%fTYm4<jMI;oe%gD)!$5fX-Tpth@_V#mmVdeyw(RV-gBg#O-$}Lp=d}02
zU3ZUT|JJZ(|Nc91&$7eQ*Dkq#r*7$0P5bp~l{cC8tQ1dQ$#1@U=FcBLCLF!-;xOmW
zoc;?h-*jpuZHSOxlO)n{@yE}y3h7@t9~a$zJY(m^WuI@|{_A*q$*uDz1Fqai)Cybr
zS^NHy1Kkt<{pC1&LM%dH=9+q)x}_#!OU!mJ{#3c};^tf75t|nFvMu=d|44-Wg$1)7
zE%~_phywe~r5~$xBXaL`EV~`y_~6@(&C5T&|9nNk>-L}Cr@G7cJnhJ|?SFKow`Ho!
zfixpN&W()A4<v1<lzkLy9hJ1epzuM%ELN@L1!8yKEVz~Spn-)+<x)~;{R7#G{~-_M
z3Lh4JV$7HsFTH{PfiPqC(tRId|JOb+KFJcYV9W8OCHrO{=rreFnWMh{$FZCLCOxfP
zwz+cocX!rfrxU&(5Szua=LyTFn@0qhR9U)Lv^4U`uHG2Uc{Aou%>RZB%h|NoEXX;@
z6nL>kf6bK@jLJ7#vR8B+%J9Dx^PtcvMnG^AQ{mHG_SZ2hHfCfeye#fu>dadDH(bdf
z{P#)5<rz~~X3l30PT^EvdgAT22b<($S9f#>Fc-)j{mJlS>5**v14%Vo9-Q6dw`|ML
zB@a~-4bHEM>1emF<ZM~kcF-`k;r#m_6K}VlJaA8R6H9@4lTCfy<m^2k9MgXmn*KBI
zc&pGC6LDeoHH!vg_Wv2yKcYV|X)L_M*TVfEdE5GqH5+F<<ln&eB8BVoy_gOU=cMT;
z*2z73$9Q4arKFEBf&VY09^4iawBzaQzl%z5UDeWizlLq@ET_bjCk0;)-aLA<=<%{t
z^-5Wmu9HV!O@02sR(4M^!+JI)0oVP1*QRdlw!as%QgF}1w28+BlK(F#zqRzCUHPU*
zqIU!)6jt0?_OSfPBfUrJrt@D+__rwZP3Pjr3%>BjEVXXbN?F~&^*fw@am?yQC5}ce
z_L$W#epUQgwEXjuqeV;kn^PsSUo?DPA=kb1N37lXW&5Un>J7KKrFw6L@I(&pFTMBG
zj&nqR{CJ1)f$zMfKjV+QI(Yd-N7lc^KPSGv@Tcr<7h41G^^MDa`A07~w)2ua<G%&B
z9wamLuP~GSz4TYf6YuI54_0nme&f4}PxViM2K!C>uPuL8c~bMVz`B(|T9ZT{O+32%
z#Qf}y3YPPhR$LDD=T%Hy@@&3+rNo=}BJcMs_;i9N<4;BP)t9`9+n1gwPMs;{uVE1P
zzUK5=$y0CtRBYG&E86&N*|VLK4dOaa%ImirR_8FisKXbi%l`AvvA1iNJuBKDy#5J?
z>B(8{>QCqXskp!Hi|W(rB`03;#Z+(XSjzn3XXJ_ZiiJE0yO%t(VX|Iw^6iS#_Hyh$
zX6i3FId|!^(!Bb`C*Qo?q3R}+P<!OXn(Mze$j!cD{N2ku=i0JUbyHOj9*{Uc@9=HG
zWV5aO`Ew4ZE_pw7`?6==%hmKZ{jc<2&TqYW$uqCzu8l$bw$)2cY0u?9z2wwhtxCb~
z8&~@WrO5p{RH@P1$9Qvr`z$8;HA|ia&t+P<#V(dV_ST<D>!tFVi*rJ%Cug2*nH9fr
zjeyr`k=f^#KGm<C)cVq*aN<(gwK0nPj@y<z^Df`yU^w%nTbG07rkRr#{O<2M{HE2?
zLuh};)pG|voLba(+wkrU#tseB^?xfvDwmx4{r}UCc%{ACl@88JPQ6y<du8%}`O^t*
zhkP}^tXcdlX$F)3i?B_GEX$vrds2L;a^aTE|0}tsOCIVyd202qN{b7cahe^C$7iz7
zUh=Fq@BOdR$c2~seJ`4A+;z0_#*HVhZvRc|y>lVy?4Njl%aitzpO}|CTmJNu%(9c(
z*J74Gv+Q1abJ@xFds|dyFFCdUq(IQB<)`kiDenlFb@Bc2#tB_ZPMKG7<t;ts98(<p
z_*2F9<<I&VYAYfao|?>+!J_$Qd!|^@rW2P$cAiLH_*_>1#+-Z0PrX_2N4bF`f6=K4
zu?*cmj6c@g{WHt@1Y_>UrPgH!_wHGC#o~n9`xjS!?yx!jBaYvxdg0Z3KaD2`9KGq}
z%V-%k_eTWlg2RO;kHjr`Uab=2b7kqpqSrrbHW;mlKEycTXV!=BNllyHF_$H6xRLZI
zX6du{`qfKM$4^}_HTDKe1B?9spXC+*E0#a3Nm{Vv>Gs`kmYn{3|3^&KgOsz&p637c
zw0-EX<;~1xXTENFap1?)t{F;e9-IDLqkVhnv%J_OhShVIU)Y*DW6AQ<@Bb`)8m4<<
zK^$YpN8`qif7E8wJpS`w|M#V*%RcRh`n95eLDHHfr$e7EIkDe-@x?t!|0{~`E&cs_
z&GOT8JG6y^pUj>rK6~lu{g=dNr!RfB)n8=x++|Pi|GFYFyK>oS?fri)i7;kA{Jlv;
zu;Jd#+x)H%mi%i>IQwG#tQF4{SsrYvWMDpY{^*>54>5l#PJR94^zvrm&m~XS{wiC1
zZqtcx#}+3of3|m**o|{@?a#7(TVAlcVpVg}o*#dcX3n(TKh55l*?tF0+&>%5pAN^T
z8BG25zv8@S!%ojiwW&|@*W1ira(e4O6-VY54Ow$|H{N_PXNvFC+3Wb$p6gt4m}!S~
z*W2HsW$aUZmwsCM^nR@8j~=<bsw-6gFeWW~daZO(itB8d<62tV<d3hEJ}GxRZTm96
z>cd?uHB<ah=l>U=%>Vy8A&}Lw=3UP9s9wf}t88B{I3d|7y?UDRp-W3n<aIJwGVXZz
zko6<S@ebXe)3W!jUVH1{grY;L%THbR<K!!waqP{6>TV{^3bxA<M;k2nMJ(UAxuM4T
z-m(pwmS3o?Tsk%V|I0}mPV`vm|6q)1P`16l^lZ+RC1=`W44168wO@9o@3Ytcg$(w$
zmz_K>c!NKp!>>JN(MHpo78c8oulZs5tf}dH&9Y<jZMtOc{4YGoeOKtrh5xe|*ekv)
zIJvR2)B4b*?qr5(E~|bth04E)**$~%*^lI-uY9!rB!95l$kPz6_vrFQriG_%?LU05
zTX1^)4Bp6(rpo6d8rT1`+`9Dg{Q1HkKGYwOjCqpuL%&-nzh?QlxaC`K{!jH2wVS<Q
z|EFfrgLjK!|7WaRTeoM{qK@PpNBkf4mHkP5v+^->?EmoH7g;SYmEK6Yoix+*?84ms
zB}Y<E2G%_mT6pL%*MdFPHi1hIJLbn6WDpixc<2OE%s!FoWrseWl~}fU*2MhU&&v<+
z-RxfQZRz>xKbcSL?`L9odF1gEt%j&~6TdSmPkb7B<dgmF7n8E%e#RBvbeOk%U-pe;
zhI12so?m#5KS}Q53KQ)m=YJkjNMv8|M!an8lJhAV@>2@JZ){rr`sjgF`?#KE8=E!t
z<rZwzzH#P9EJNcJ@!v1{9b^B;DENLmY5L|sjNA1Dr@F@WvkR_vEjV!Yq(k<x2g0+G
ze|C6@{`?jC(6Z)n*S(v~H;ztRY&!8*?&gG=#ru!mymGU-?FYw;+ed$GZA<D^F!x}2
zc_U%bwm<Xtf|`Q|kN&XgTX^T_-y~_>^$X8-DoFV*JNtK<g2|MO*qh7u6o1mpSnx$j
z;l%2NyKWw7_gA>K!7*mr%KP%2kqQr&?AkMVZTCSw^MC9=as?G*SvD%n7MqdPcj|5I
zng#nEnPMjBBvmfibZX(+zJ|JF#zWKOcR&1D@w3hF<kTZN3Quo7P^+837^AB2{^Y!e
z>@5>EE<8Q^U(oh7i%zR)is>&{_eo2(VM^X6jgDq}`?@8kb|2B$ac04(>T@qvHue2j
zbn5Jf*V5TGF&k(6-ZV4&BcmMSK_waCm>msIepfD9TlkRoQ$gtBwTCPhe6Fs0e}41N
z$nK@5r+-Y0S$gvJ?^UWBC#6(<yC{E<F=oQ<cNHh%C+<AY^!tg%=ecdub(gMr{-DR*
zK%s7#-<oM&^9@Y*yttS=G4!rLj0Y3<<%0&R-X=LTw6CvNT=-|{$>~}fZ5B=sTXJf*
z?y~jKOHZx+zVz_r$Yrq~|NHvCT{i3HvSsH^a%e6*)V=7`^%M^GKk1?;8AWxDEPVfX
z=|W5Ghx^aHytI7Tsnlf)XL2k*_4U-!`*~ZJE}whrpZ2|L|9mq~FP*C?wp4%l;{0WE
zZ~pPoe*a(n?Y5=MFV9;x-KPCS*+1o5lhPx5_HA3fA?Wtf<v0IHM?YVhq5gl#rK{@l
zu5$k-YOh{?xmJ@``Tw#@e{b#<vYFx)xpc$HMVqruiH0mpo4@Sxb*og?XUi||_2xTp
zbJ^v!!MtVHmRx=vEZ?x^^|Ec#mOQ(AZrSBJZBe1u%P+^C;?rKb!TaXYJNfVbMn9Pm
z7A(5x+Vab<-)@*V;V+lUnzZXT{>@Zb^DpMoNjdYGGKarx^G;rH+17sfU%QFztCwG%
z`zycxiCpVSpW{m}=Vh|`hJ9ahxqA1K6K`iaEd0URzkPa0)n54?rC)46txv4_xAffY
zWtX$UBWEaYUNTYT=Aqwzqf5d%_*fZZCi!I96eKOV^j|1o)y8WvKQDd0!7H8ge!->A
z2Qr3BE?w99={0ks$i|Z{Tqeq!_#=$>cZjJ^I$c?lca`_)JKN=*<?-K^-(0P`yzhS4
zl3S~FmrZ`UMSb(aTeFt+E&sG@FPnbM`PIvA>1M?AFYH@-^S{yT(nqTj9(T!{omr85
zOXWyL*QtfK>bqDJU;gl^&6&`DY;OOLkC80_TmLR!6Y(!&`QP(DK3&_Hw`Znj-_qN6
zv+S2IDqD8@b?(y2?_oQ$lv|Ud4zjZ*|Ib`FN6T(`Wd&nQ$c`NL|3MpKm}40(iu9kH
zxn|;Dt_?SL=$yP*v&7AALDl;O4?0+UC-3m!_?@!lV^W;>l7~BUmR5(#?MS$J(Q{c%
z)Q(3=75CHyQddttmHyMlJWjr$rj_Hy@~aP4#w09zI=^Ol+22n$q!*p+URM78MEBzb
zxAs`uEvz__l+dti#f0crA5Y$xHLt@je)6s*ORC=A?7e9Cu;Zojo{o(9D`R>OANc)e
zrl-YA?J$eZz@rnq_x-qN`mklf@vAr3Z?4FBVEKpXz_gF-x0Z0TcP}{k(;@ao%A&>y
z!;N=&W3JdQy7FdQ$D~IsIi=s0*34b@KK#_us&5;=E<7>&qH5`z#qX6lSZ^<_O5ylW
zzVz+<TUQyh$~T>|T3)qv>DH~P4Z#=Itho}i=)U`!3){^WRj<GC;3MO*>c~#pUO|q3
z5i);jmVaJabNA+g&7T+7L@s^!{_~QWukV*Vvi-=l_44ohS+AIUAK2FHU0!p0MuvD9
z;{>7O%O2;wUs|(!+p@>ePnT8yUbpFB`Qg70{Ovw5vM;T<TDg4j=Y_R5Uu@4=S{GY;
zvyne%X<hucB}=v~sV_BaGATR#Qsi@k+0AA3R*?+mOD`<VU0#2?%lrJ9BWLei2)MbV
z-itwW)8*_x!EvWot~nt5bNQ0jYxb=Bx$OM%=K@O_9{U{#`?GAx*`kgg|0-@8++h64
zxbfnPA3wP_FKsIQxpc|t<xP*5EIEJibHz_)!&|Io3+i5XJU*VXbjkS*$qW;J1V}UP
zN&53IXj{|c@`ucm1j=ic_LVJOaznF8FM@Hx%i{}|aK~(Nd8)c($+34!+Jw)nZkbVe
z@zZ2hmxVLVUuDz38@aS`-EOX=Pv@64)GgU~`_zsP(<f(X9XlYiwBhr4{tr*}`VQ)g
z|JDr6nGkI@xy*Xz7P}p}_hK&YtYBQ~qAs`d)f(-_s;~u{&(Gpb>QNGxyx@2tzkA}2
zWxxMho?p@sxopeZBU~aocih)2`{?}V<-MCrw!HnmwE51zMJ^jZT}xZkXs`XjHEfpX
z`2!Ctx2BjKJh{etSy<Z4>exNYSI;@S{qDD=Z8-}%&n~&T{_oHKf~%i0-kZ^Sbisq&
z6CQD$U$nA(&8g0`#jB1k>6+j5C;sEo-Y+*N?6uwhSNQFczRslOSMOVIyj;w*pgQTV
z$kw$Bn52{T{}bN&PiE_;53ABPHcw=XoKo}k_H6P0l@ry?e=m;zvFz&pd&{Q>Jzc2p
zDtKbrvKb%JlQvA8{W$Lao@F<tPfRa=^3ZdUi|Du5Nk;CH9N!kK@3|Gfc)fWAV@$w;
zDSMWzzqxfCf6|BjGbPlePE;@^#ZPdt<zBoZKmUiq2hpUxT_?2nNWHC`{zqp2r)62`
z3-_K~Ves1HSq=BAJ&f1*)L8lNF?Ou}xRy!l{Y>NdKVSaeSa93)-od5IonvnN<h-|Z
zjoHQDVaso9ocPvWi0M7!Z^l1KFS;X?v^cvL++pCgS(x&P>Hds$i5W>fdh9Vj>SC6j
z?LY8C@%XYETBXyL%=x_duJ*kJ{Jg7TUeC;U{II-{;biOrk@(MRne*3ZEW0PY>F0+t
zO^Zzb#MCc1S-|qp=|NF4!;BZ~YZk0O82dlAJ2d@5p^AYEgY1LY|3OJnGhT0Act1ZU
zYR0EMET86VU|LX>wTVGy;f?+qt%)yx)SK2Zb``a<tdKjpKyFvX3{&~A?~^9n$XM`A
z`3IBf?g?%c3uZkKk9l=U<gwTS<@-Nw&M+6R(_41^{Kq4G|Kz8xS<?4r+5Pf03-@kh
z>xkHRb4lk!ZKcyo?#I8}`(I|t*FW3WOnB$)WVHC-f~$oaENd7aRIR!GTl4Yq`?W?7
z*y=v~T{CIj2hkT#=FfP4{cuwI()+Kkg#D4NNM3e-Zj_W-UB|IKe`Ss{F4$f5?T66k
z#TS13(&(SqGvD3t{I%ul@BL$q{<ma9BtvkH!-kDX+PaUIy!^P(!1|G*-pvKQp?j9y
z_s?IlO7r<G#wF|LKjC<^?0#+C`K7C$-*VWqtncXy&yC;ystFXxf4up3^#$=Ci#=@r
z$R;hh@3nWyrl)V0WIbK7cKYYP($^!uZQZ>5zWw$k8=r1vS-o`9e%s|Mwl7;(dvD2#
zPxqEgytiz5(eGskQuqJS$z8In^7WFndzLL*x@}4Sw`oh3ZC}>EZOO8wx0lVjHEsE_
zS5?1fJn_hODABgt`Evivr1Y7~{zqI`I9oVjo#y_fFFs9QI(_@A`+rz&y<MuPx_|k$
zm`#o4OS&H~oO^WX^ypix{Y$6E{rnS`tGzJd-jZ!EC-2_BWZwKg%eGyPT<U&u`HO!w
z*OtEfv}~LIa@PJm%eDoj&b9lv<aqK<n~lr2-k#1oanq8makX7??3(Mu&Fz<MxcS%Q
z>7NCiYCOs7FK+w!-+1}9WrsE|oiaV1wfpyy$v?L>7R{geO#Jqdw13McZd$$|dHIIz
z>dzPa+P!4|-ev3mK4V)tNA>;EyWfBRbz1&yS>NU*`>x(vzA8KakK@$a%j&j+xL==~
z(%lr;eaG(c+&4eDZp=LN@c*V3aqf%U`afs<UG%|J{n|9H8@m^-@;qhc^G|7o@o^T#
zYb+mvbv|5qw8Hh-&UfxwORi14dA9LfR@kQ<BCO^&UN89KCUKy}?b0^}?LWLLKFKq7
zUzpG^H}(mWf2V2d-HAW1e_ra;7IS7vzJC4^r<)6SKTp-L`@L+|&7B&Lm#<0JUpnLY
zvJ1yMc(OO`s5-r5Lg*^vTU?)Sl-*dms`B=--m)dD^5U0FO#SaUb^F54*?#wO`Pb^d
z)H-=mop0@mzF$8YU!0x!>757P-J41gNjER}tLzrNxJ8b8N4=fY0(QF(3poBgn)u=f
z-}>8~Tvq=k$hk9X|6!T@@A-nSU;q4&Y)?v@G3lxcvv~H`#+!c`ZZ18Qr7CK<@dOuB
z!{k|am!(`fb)!jGyqob~%mO}Fc3rVO%jVx~T(-V`)ABR7R2h<9H%vSp^V{D#ZkC$A
z_?=BB)-U+bbz<wD9|6x7Ot9S&^NMG()2@yaObgF8eExbOn`!mum$RPSc(QZuECc!f
z4H@dpCqGK?cTRArU-Nh2k6EmOKVrJGCqH#zU{6T?pS|#+Z6*7k8NbX=W-exqS@d=F
z&kHARF#ZpFy5|q$mL)$!tF@O*yMM3Kc=L<3(q;cUQXP1cj&L1~TQ;q0>w-1cD}0wv
zlT51qkhD5xOGj7w1CGrH`sOv3)HlcePr2|Ye9waWvp#&Z4`biZy!l4|hu9x%eFrC8
zjbJ*|+y3%kZ+JrNfBzLvLVp-8oW5~}u=a!4|J5-!=O;SPaJ{<bfWXZa9=#k7p8dDE
zIrokEhV%ohG0PVQ9aCN5sjsvBkn@J$F>XH;`(ADfjbK}_WzCIgO-U11c!%#fptm9B
z&ndb8_XL-(zWq1RY7@u(g%i6rWY@BAyyTDhUzfD}@6xr)XH1zm#s2-0J2!66T65|}
zTIZ(L7><xX9osrMqV#{1#jp9H_<Z54;|q7?JrdMd`tZ2yr<ELK%l=yLU%LDG-euR6
zADpdUX;kz5(1dH7>J?)iM9W`g`!9X*w~O^s$GuB8T)nqo+x)IGqO(_s{75Q&v-a5R
zWq<2euKn`o?#WyA)1%-0=sfLyb5c#x3)yQ+HgB68t@nv*>axGqdzWmyzU%Yi6SJ4>
zKl&p?+wRft$`7}e?7KDb_v~dS|K3}&uV|@^Zq3VvZ%a<T{=9U4(NfWm-xnXB-yre%
zf<}KwWirFm(Cc~Ee3x$1lJmQ$^ti!qt;X^-3+Jl|T@#!AclY}l9Y21|I=Xy*m9IZ*
zlW4%|wYwr$g`N<wm1#O~(u-k@$No8g&9C<*Enga6{dxt@geJq84W~XXd!m0`)x-AH
z9MQ(NOSXo8UldR~m)HK@@+a#{^p$ycFFk(u-;yU!wf?V6j99iPGqU3Ol0}x+{;l}+
zamm(b_SpaKzo$BtMK4^`%o-y!Bcc4U^o+naE%x`nO?6tUbyK}^$<wL7ue(@k@!I}g
zy6vl~zWY>B-ephk?z}8Mdt#1v)C#+gYd&t@7-L$!bW!@U&aI#RoB2OqI%oTzKP8|4
zE!(<#Qtt~6$2H6LfB&|8+v-zm0^-V+ZTtPEh4FOcN895|x7AH&?>@I|Th-JTXKPhf
zx=)yqyy4K2r{(L6cko^Nw<wa|I(Ny_+E+re?lZq$vhC@@%k_U2|EfHFvY&ZD(A|%x
zkMu8n8v8Ry<Y?KFZLjyK9Qt`WbM=y^#p;SBua`dEbh2X2%EXB2PUe-Yg3A}(?$G&t
zf@#LmV`kGNS=OAKyL-vg&594!ELnUvu7~a859RqglI$lwRJCFbxyBeC!P2mAp~V@l
zKdVb_E_y2d_(bxOZTqL468Oiy{ZY(+^QoI}sw!V%j9-3q;$7D1%bxzdd2!XTwad3x
z8J3@Fo5U#1;lA`pe<jQN6eghshf3G9M9w;Ob<JPPLsAzlA{Q;0%u}><$==EDEEnvp
zfBfM^w(RR4wiU~lOy)INw8WnO;?j=eC;XXjr*v^A?&;LpdADiKjiQy)<3BH2lXvYm
zhliubbH>$ijVtzCIO*x}mC^ADiwM`^9k$;X7w!DKWZAWQ%bqT+uV1?O`utBz?^ZA`
z-JXB=fdumk-PI9GmaP7eB=g@qI($<=!BX9{B~NSr6+irWBWBK$h|No$YKN}mvEH<7
zdwk^5r}N*jCeBFy&r?6yhNZ;f%)i&kyI1f(>pXdM!S+>_pH{tDp0w<#-&~)VKMQwB
zGrnH3<7)1UNqZVy<~<OxPygh-<i*yve=WkFFX`RiH?4V*c_oMP((U@{pXOBV*}vp>
z?h2p(YbLngSSz{z+tTf~r*qrR`SjvQ@%5C)t3TMT`EL>Sbjjkq<-g3!mONcv{LANF
z;|zbE`29<_|9|&Ie0Jrs?e9<hdbLt?cKZBxiMs3G)Ko0}y8iRB?YB>g&TW!OdvMM-
zNo`V!4oCEi$=^3GUGi=2lI`F3d6_o8i8=W7`JRr{^chMMcKH^#-`i*Vbn_pJ==i!N
zPOp`gnRQk#$#{Ek(#K`Jb+*g%-#yU3rMJ8B=(D4%pRZYTS?yNnrYkEd7c<P-7x{nZ
zo4*#<<}Ta*{nhu*#*Z;;814SoE#3aw?&pCA=d&$4Wu|_5ds$}ssjh$N%b%9N@|HSY
zcmCR@^}4s7FWJ6-^^ce(GuKax*s^!Z{nh6-Osl_lV#4Q(OKx1ZKD~i={m%t6&v!;n
zh&hpZA=~_S7q?rVO>XqES^77Hn3tXDnXq8ltiOL2`97NX|MSeo#7Rkic=!HYzVrKM
z{uwqW4!&J>HvIXQ^Gn~ZaGSMk&fjTVT+2`Dzh63M?$TrLzb%~;^@*!&&6LdxI?R7B
zn4dmHD)!qS-r$o(-)_yXShml8{qF52xUODWGmGQ?pQY`oQ>98jEnKR<X3v5t?OsVU
zpZzc1;MS2e@dpq0XF1u&H#(Q?yPtJ`+1c|#i}&xUT--TX;PCP_va6Tuzh3vB->&{c
z$+rtH|18-Tzjf)J_s2zIPM*!)^K?newg#u>n@M_~f0fGIQn|l=d2{Mt{-={UTz&`2
zpL|-t%D!xGwLXKc`pNSTPi>m2Ait=6R+qA^{a>Ew8(GP*3yeSasHgwqKE7ei@5)6h
zlvgpA+(^0|Gvl4O_NPO80vCp>F?H?kjobL4V_Vg=iAO#!+wveLYe)C@ibE5Q_U>vh
zh)?{#;&b_%xt~wXTe{VL_v)!?8X~WE&P-c$xZGyhrpW28;?@hz{O)`&sO7u2Hs<#K
z1q*Nf4x7j%yK~po;#*s%E!&X)eu8WA!+?(qcXeG*_`YZPuE!k{+v^_0Hm-_Uw{WTb
zn&YvzG8-<$JWpzzR=aum`U#s^e=J^Ve~sm!v~r0-NeaVM0iPF1wie0%qi@D)Z@wP4
zf#K+<N8QWTx(gM2yP1E2#UNqjqkk1Y*Ke2>b8-qF%fFb8G*_XHHBS%C`Y62OYTl+7
z|5p{|ulX~<jf=VaLCAt#cb^EXT5;iE%*!9`S{uY-0@s?SEZe<(&C*lR{}yhPcz9y|
z>ZPZ?*ZpDKwBS_Lqt@``C3CjkUd1li*wo3ytgy^THpE3P<~WP;AC?JH^L}1hvq+`8
zVPV3RqzjAY+umfcUBqwNCC_d<dx68*2gf>_KBzMU|G2VHT->th-$$1>w~}W3p3lVl
zqklpBL(2uzTUT~O$o9#<aDDe-nrX!BnB11YH#1d}mhPP&nYeuN%~f~)O>_|0xH!C*
zf6K<dZ#FP?If%Vkczk~2$%nj4M6TXidgj;DCA(I?S>~9sY}(U3uO7PDo3z9&IJR-g
zne5tq%l5DRD|YGj((UJ#RF%aoJD9(F`I&2F%T|9|HurY^(v`3OJlt`D^T{IVe;;H2
ziJnXU_AB3h`Lwr7S3FPQ^Ike{|E*=qPRA{qdTXlnyT8|#&#l&9w)FRsX_eLAKj$x<
zyLa2SkJF!jx7Ggke!nBfpJfR*7S21rbpGl0f4S$rUB0~h=F*~*OO{uCJ*j!TXX&Gt
zYtH^vvflq!w*K4ldBL|Az1-Y$;>OE@%}bZZ#R>%%oLO`I_ew_(ODpRi_Z9@Tgaj}p
zT@d|l)9~Qm!K8;wJH>1x8Mr@MefXC2cX9HHeE))#-&PyFS)1~9+3NXzqIs3eS3eCB
zQ@OWn^~))u|CU_8zB7nrcHP1|AD3L$3%$9t<MguC)^k<0J}q7CH9t=?XR5%SyEo!1
zmMnYyYwwIRj~bp%7I(?Cy-~jO`t<)cOBR>^johT-x}E9s^+lE&m=1IG&v+C5m}$w9
zk2fp2F0;CB<PuxFbpDNfhvIY=Ed94LY|W0MS<H$NZx)=jzsI=qfcqw^KgkDt4sqTu
zu#Q}|V%^_mJJjMutam)Ww@Y^ltKgD=<=d8RpRz^Z{7(zLdCNDQTe`RV2aCNY+eF6Y
zKlIDKZH+p(>DbNUiMRfDFq*&lWgWie`?fPTPF}8RV*0Kgb9u>=+Q+;dYPQ-R%Ij7%
zwSHM}@cW*{Po!3?iAntM`9tpAvZqT|?cIC!OY%S4sc+9-3yWL!Wc}vlGyDHp$5-87
zp1${n=h;R6^Ok0;-@D`6fmnu~1&!Sot#elV{I(#dBJP0I<CQz=u6OL}xWl~g#{J1R
zv;~&Fxqa~Pt44XPh}i!#Opi|Zx~tJVrZ0KL%GktHjSAv5Nlptg>}LLyV_m`aPkck+
z@eL*Ke%$)BVNL9cs45$d8wY-{CNH?4oFef4+rI+~A9@{FC|kySptybdfrPscSv%GV
zo_r&^`@nMNmnYslF`p*5@a5e%%TD;sTax`eY4e`5%T88rk_g?%)IG^9{Nt*f_5XHW
zuXwZM<<?D03a?B&qSW$3NAy#d#MvKVeG-@VEO~iv{?ZwHKQDP%HFZhR^79KecX2*i
zbMk50g3ZU56m4GmvV6DgvZB9bOE<0Dyri_``Qpvukt@44yg0vjv;8L~o+UHCzhT<&
zY1YD-_F+uB)}H@wqaD4p^xxm*kI$tqFJ1X<`D1HYU+-llcjqsfXS(r4EZeL2q%)VN
zbH)BY<~BQEZ}I-C|30QC{%pD<TfOqEQlzs~LH|9`6)LWGf0lK&Zd_V<rT+cSe}5J%
zeBA8txyveYY2~UT8_p*!xwtQ9;T4_bRnHH3Z#bQ_yej70fxN#8dAZA~ZZ5vK+u}jg
z`sIr%mR_7*{2=$<(u+%bA5?$6VY~mIeCem_OP4J=_w+LFgSAP1C;I;^TVz!3y}V}X
zvc<7RrwZ1@e0+R!!D9ED^Y2Y~R(Qg(Zps_?+Z+CUcr3G`Hd%k!qUaY)M;Be%^<z=(
znkARKe@=WS|9)ee`r~D<|0>;Bx_INVB~g(xltkr%yBRlf7#}`Skheyx!|3t3BRm`A
zjehq@C2tIsm1~-ow0erprMXM%mj2$qW_jE86Ly=IY}Gg47Qa2@<i<-|Lbom1diQ7L
z_GPW_dpG7RseQhEdE0CzbDJ%ZaSz@-WInO^>J7$)@kf`m)or@y_23v6OZJy%DeMe#
zU%u|wS=R8;<m=b?dCME-^IbY&vru9U<Aparm$eEryt;LhY5L+7g$J~c9ne^$x$ftZ
z6)(Rn?TAz5Qdqre?c<MYe7W>~u*EFtSigPg@?v2@rdZ+MC*CD3Yde2<<&6`*$M?*9
z{bK>A;!zgWGWFK$pO$oexw*XU^wQO<OLKnA()_l(?c0*od0UsQo&P9l;p)3jF6-{l
z*?8Tp`XradWcJ?`A3hsjxc2Siqt7$ED;M<tS-SdO`tqqiZ+tnR^pIhHVC<eHtM5-;
zvU=*LB}GwUbC;AcoiOfSe?xb3<K+1V{o*$+ES7pwDX@LX>izeZtvppZkwf(4-6j_H
zJvG07zPHkqp0S2?_Yc+?cju)j9J@PfbBD{Ug=<TNKiN;csc>&u+1W)M_V*5&xIRw#
z^sxGd$#KT7m%2Jt?|)uYE?>vrcqKf$@o3%B6TR0K<jPksoPF<w-^T@A^1p8~FK=x$
z-IMfsL7~734fkmM1w|>-n4?c@N=~{UUtV!=hn?)B9U6&jC!A^{0#7VIK0|JI<P^cr
z8>eQ4oqK$GS*7*wNsY%Bq|9FM<ZB}5r`_&~d*YU@d;0gU@~8Jp>oV6b*>H^G)6?z^
zHGe-}Jk5Q)dvfZ>38G@>7dP(SG%a%BM)CZQH}7?AIeK$>Ut>-D#z#3DOEyld{xjv~
znjatD9$&$r#F2iuQF!eS2RY{7CuUZ3+I-$=sb%)4T&#Fu&*}-{>8nf{XT+>(JZXCE
z$q)DBk1OVW>=12_dGbTuypqx2qVz-78HdfUxpc;`#!StLDR>|*@Fix0#?M!~yYfHk
z{?Ivgc*7d2x<hFPV;(-3@j+zA_xd-CGh`keN%{Zn%$?Z_Hs7Dj^ZP)>|BvVYS^fO5
z_v7--)u%tdTef-s-la=wPc3iGf4Y|Y@#E#q_CF>1mTlfYiFMbDXxUAi7T;DriCD13
zJd#!P^vfq!+n3%Bee=`%=8`HeN26;G_&YqAw9lWoS^gj}>Gaa0pO<gRN?%^G`Uz9{
zl9sLCm+XBy!CSoU+=N%jaz9+n<CvFidH;Dy@!loLTi-5x{ZXXh<suQ)y<cC=SyHyu
z?*5XZ=%r=V^-H_vF3EZO>D#N*zn5g+ThdzfereY0x68`6F3EiVc1ii(<(cchFRA#p
zZ%O9&WzF~ZEy=vUtUdep(#(BYN+AXvOhK&jOEZO|TVnPtNqSwCKjHAcB}p|Web-b>
zxg@#z(Ec5Z7L{Z-FvgrtQr^M**LbtXp34on$IU))R{eRkJGr~j;rp8v`;;b3+*Fex
zd}3nWlZx`C5AEJu4DRplZudK}{`$s_z7Me*t~kWSB(bQzxq>?Xe}LitZwY4x1_pKp
z1_p)+f)h@$8}8V1e0@AeQd8{zBzqP)mZb;3A7SBlnKie%VoB^qQ_(fC|1WG+XHu^_
z*pR+?!Svb8#vfuCUha^)BghiZoME@&{Vbgm46+vmyXQvRS0=pP_TF49`oMqdouYO>
zs*|c%rn6jNzP@M1jE)M`cb|ky|6Fc3%+k<SXV(4f(*nkvh1()KH`@Ps5%Y87A(j`u
zvv->Jvpksfkava>@5h*?`3p9%#H4=Uj_)vK(6egjti2KQ`tRA<fB62dKPjBFY|j48
z4Sw>kH)g0W{P8{VqM+==2F72E<uh!=KW3~-UXYu2?Zoz@54!D`7*DGvrN>-bbYjif
z9shoBOq!_0#GlmldPUJerlh|I*BrjkP-(v=WJU4g9sgqmVnP|(4F5M&RUENTy~p%3
zA|+$O!5gt_X6}h-u;Wj9BDf+qmLd1pfh3!lrOAvhxo@oHvfux4@dxI~PLC(N=@nYK
z@%zo6Te(=)f4+4x<I$JL)wiz+Z(TFNrhl28Z2r&PM_Abc1s82ucOv)A5=Hqtzh{VF
zU}Ifgc13vo_hnw{jN7hpe0!pOa>b8=DHD6&9lRT(cvX1a+((=5-e5iv($4hw{?Sh}
z?Kc(7Xw2jP_a^55hJ=b4d(JOdvHL^Rj+53k|0kGiVh~=yBPaYP=Jko=^Ovj)oyp1e
z&O?Ch9WVdSrAyYnS$g>Pzh%dB*Dqamgy-{Tty6q$7uRKlT@gLHysj#2VeZGJOQP=m
z=zp?Pd`X}y=SLMjMqhE2*T2?BEZ~&=zwG$8F4lel-Wr!GSpj9HO$@1x;c5#vzHUzc
zz<8phZ|RczpB8Se|98cBrl6RH2ET|#D$Cl9nhS;4)_U-9u(Ga=oS1F?|1W>avXxuc
z{ud~!TehV7DNFytmA3zvEh!CKTJUMflDPSut}1-fB~R~Pay)#hsQRZR$LqdEf0)Y3
z7?Z2=ZQ0`aep9zyTe4e8S?$gK<%_RqiblL&viQn!Iio+zkDYqD<i+`kUHg76Tf8(_
zw&vQB&HMf?-Iu#`)BEj9c3-Re$9{78?paIc#b<4LuCRT{p6~ybt=YD8&-P_=!=qko
zFI#qD>E~~6wlCSe_1m(U{_mIUeY<Vh%ya+#$YlRtHhqgB%bz9Z&!1;M(NcYF(Y7}`
ze5K#5UbZ&m-m<yh8Wp;iuMOSy^+|a2g2nCz$HflM5el{1>TD~Ky<^IgHwxEwZu8w1
zdHd{<)VjnQHE(tncK_u2`sbhY_jyaRCJVe4aoo0ajsIr$1rsJP-c)IjsZD&pY|Zpj
zXSe6wUb^P#_vQJY|HLhf>Wnxr=Z5b6$2JR=onLzV@b87|^pbJ}4(#~T?)hPZc=h5H
z-xf`G&C{xT!S`{|1v@1sfu{*q_r(66TmI-zko-mlEtZp$=N)(c|7P*ViN_h7mu&bi
z#FBmc|G^7QF<Tbovi^#7Vc6?6<#EC(l^;x;8Ll@uel1$|xM@-S=PtQft0vVe?-39V
zJFp>Gu+Sxa^+I;_i*LW3o+x#G!NKmCim@MmaL?*uzJEie!?B(5LGhYJt2zo<!Wacy
zF040VdKlLbes;m;T^p@d6wf{&Bg&Bc-#Lagnc=|t2{#Ul?Rd}4x+X?r&B7avTH>$w
zL|nUeMe+IDFK51NVtDvgMe2lg$MO%1Nz->U<$qhaQJCS^6}zMxZ{m`Fsk~Ws`QF=a
zXVh0OUw=Zv=Wp(_jmu<zFTVWz(($iv4rq71__T5P<^1%eh3_{ly`2A<eW7O3f(4>N
zGa|Js{v2K3ko@8Qg2IXgXJrK&nU}ugSowR!sY70qlvh{$a277O&Oeb!#<6&NL(Kop
zH&SQ6sIAoyz2;lLv@rjVtnE#S=?#C5PWbV^Vne&T#Nx1CxtVV1k!uce{M<WHbpKWL
zM-yh9eafm`xA5%e4v+cE7KBb>I=3M_JcaSvn;3cJ7yG#%|71Ee@ySF<rt1pVvrjK8
zo;ss9baG?UqCWZmn>Kh_mP=LKTlnM#<HGa)8kP5MJQZYMowj<}A{D9m*DfzvG*N2J
z(lYb7MGLBZ8N=!hIJYp^vc)V}RGxQ!N!3*Twcmd5cP(2~u2;RZEZj>*k|X_-?35K2
z&mPN)a)0IR|HvS7<%EdUx8-Noe_B!#lgS^we%XRA-<DUcn-EiN_=2P8Ps0^Yp<PA?
zCvh}2v2b*~c{V|P&elkdxl5{7pPR2O7qfoJxw6Woeb4;_w!|$z_xAJhKCi!@v{V{@
zKU>l__jRyH{MIFXv9F?*yty~$%;)8OTy^VrsNCqSby>@PY}UeW&r>&k`#gVR(34FG
zOB!G9Sy&yptbchMYmCr}w_iW5jMMwJu>au8n5&omS1j#+>Zfx4>GIUM+m`kF&sVcO
zw?y;Y`P6md_M0|rzs#2(Hs{-?B}eMsFX^A^$7eltd4KG$C6eqme@`vx*S@<_Z1$pT
zzSmtjXV-08W8Sx{X71)0@9TmRT}^NPUS9LEM0|GKk{T`jC7$Wa7tdXmFQ<O$>leLP
zm6)Kl0-HDWc;v4<G4G?u-8EN@J$tLK?E7|=Wo`D<5Zfg+b9ZO`UbHK{ReI02FPDVl
zI?jaObZD8F)4q4coY05gCagC7xxlaC|3m$Y%vb*$=$0z5+|$|Y(RXIzl(@z{rpyl{
z8)E<cc^2F7`SC^Rzk7Di`O&DsUlp@`O4`S5_I7J7?5;eacQxMjCPQAM_W7;6`uQEa
z{=5FYiCnRZ`~1fv9M=~l&tpvX*m*>PF@Mr7_Zc6i9JKwvVnS0a!-C#WK?du$KMzK2
z^p{=T@aF`h*A(9kNf!bm58Rd2-n~iX&!IZgwQ;N;&VAmU%e*8eZuNyPhkqRDlRxo)
zh3|yMpp~cC3(qM=FkHO&J=yy3nVzHPXUs33%n@+tTBCH5|DM&dn+_YqPN<z{ko;%D
zPc=uzn+fMWUOl^GtKFx>8<!7chkeNSc+=c2Dd|=6gtem2Puh0*cqB2{8O)12C^6Zp
zfJ5TOiiV|+c}_kkf3u_N=E={t`XA5!*>qu>oL_y@6&1-W-BTQUlK*clo_(?UaZJYr
zd*_pxXBqs;CU!qInDFiTlZ#sxOf`-3+hOU#kix_eaPH#NMcemP?ufPhe^X81Jwpw9
zc9)}&XC$M0>iPve8ya^k;Aad=I;7ysFlQ5E?8GOt7ntqoViZtiXnJVhA=Y+!!Ec_0
zKbYT`_Y2Nwwf*#-Cw9q>s`$#KDf_hkFW6DBr0e~oq$OQ@f4^Pg79RHf!|pYIMJ8Wi
zy#CYw*4saGmZX46lXrU-cJ?{4{NR}_aJFNCd~)@&!l!?nr@mRbBkHebfUYd#8p*%@
z%97$`Nz1!d3kU3;`+xb|sY|=Qz7h`dVVwP9_Oj_;CozjJ@A~^HlqWuNN!NP2rMh!P
zXK!ED6+NHFTB~|lLF%%uz5kEooSXgP-Jzrg+q!!jOg>&=)Vh7Z>CfuA<Yu<dGwS4$
z-e2}SHl^d?Nk++z?e*U_9zK7a@n1>midfU%O9KB!FWIs6{izw^8|Ji>b}wLln7n-Z
z{ayNzQIF46e4JQY@nq5bry+}%ZvX%5nf8o)*A*X+ZS7g^SN-op<(uWRZ>N9xF#q44
zMc2AcwQpRKb4xYi@9w3uuYcaQJU#aJg3qRwoaZ-ry<fm|V#mpgb+b378-Gw!+Hrl_
zj@$VQ{K7s=?b#T!tmo>FD@&8lP2gYkfu&=WeU13i#O}ju{x8hA|7Ur@pEUxDk{&ON
z-@Gh$e$uf&pRZRe%JpSh^KY--(dQfg*M7=W*;<hIrf_kOI+M`)<#}_nVk>qn%=2f`
zf3oO=#^OBllP9z!{w~d{-v9OX+}XlQ@~%(IdcCB4{@>+!+m@%iU$a3-O;CTX%>M;E
z=K4)OaWn4UU|gVnP%38mq;)admW9t3UJ`b8NoZWygs(M=!|Fb*D?X6<=E2fQ{*h-7
zt-Y)&%l%+Q(trJiHDyaCJ)f4f>A=>B58HocPL}MsBkkJYe{HYp`I)|tmxXA*?iWcv
zaBI!kj`WMCz8;xaDt=;N-XFai_8%BOv~e#=iAkTp*fMGJvZp5*W0wY%9gHc9IrPng
zc^^CLwq=2bV$L=u|Lc6zGWAB)2gjHX`%k_%*}(L>a-sX04X4$Z6n8J^t2}vC^km=<
zZp8)O$CEx(&9kyzbMX=PE9(UYJS-QkO#9uT#l)VJ^#6zCN46VBvo}b^*mQ^-+kbm@
z_oj@}ioT5*t}1PHHx<t=@dyiB93T8<f70uA-CN8W581`{?|W~ec9TW-LAmKkrWbs2
z4Re)V-&MaT_n=aeDfHys`3;5llpnIbX}z)c%dyut;yO4@<D_P=oxOXIZ!aTjVJyR^
zBo>b3e=k`0S(3joe!MF9!p|#_@pRJt7hVam{}VeH`hRWMQ2vnR(y3Av#@R3VBVY7?
z*s3hTH|rzg%B!DZ7V*BA!YcnV(L|K(>i!i=(!YPyuZuZi_NLQvHq*+AeGO;V{OCK$
z6@Po<2kuAnwc<8bB;L94I=(_{>CBst3hdr6E?B25WoW->%bx`|7C%~0!<+O`|HkY$
z9G|~ME|~RDd%*<Lu!7SUKCkd{FYwCU^P$0uKkNAoxtWJL@_ABs>~IQQ^YyE7;<cC=
z6;C|oemp8Z$x~p_kH<F(H!hu9y@|PehgScRxwWr#mL{anTI|osawGQt#~DYL6y$H^
ziT$(S;<0}}bgErACD@smn~%M4SW=L`eOYYk{-p(9=PijiwM3;TY^isi{<nS6%e*Z+
z<!2f^WD;Orv*E3$>{=$lNz#Q3tPH#vJuJE>RrobSmv!gmZqn$v@>jw-g&}z1Ch^w`
zyDNV^n7qpQWN-PKbN9V(FYCy?#<6_f`aj>ceTn;eY~|W>Yd0?on7VCQOVnwGe_xMS
zPhA!_|L>BbZ(&QDR=@pv^wP9teslh>UshiprTMgMsn4e+5ue{Ko%#LSvg+vPON+L@
zSsroa+R}OX@29bppJeMj_}8jz$@2BPr-+8`<oddK+4A~Wu@(2+Pb4H8CfH4w`Xl*&
z?4}=Q8(RC9M9huTi}2KQ)8hAH;br2_(v9$68Xopy;}p@o%bH59PBPZn>^`YtSGK%q
z=M-7rWvk+MPGOli@%GUrO?I`XWM3|8vH~3fx4h}}Qr7-8%bG5G+E3>>_~-79n}<Ix
zk3Y$AyCK5<lh^7kuF-2^n$pxgCjMWt>atjak@^Ay7Qgi;<$iv<m4B1Z^`!gJWvkwo
zoZ`E`eAWJ#Q>^_<o3x)UpC-DI@#2qba<dn7*Zx_uO-W38qN4v#UPlROn*(B-n&uu7
zP*bS<*?r+~>gU<hx*yE2k962PDfV&9iiiE1PcAY~R9N&kY3b@xKbh^-Gbda8zS#Ry
zqP;Q6zi{Of0g1^sEdD-W-+D6Ee$yYetqa=wJ{ZWJ%ytyJJhS83)2ZQlH!D?^?vj<B
zx%J;ow!{UiWp!B=a|+-3&$_s4`tApuF%LSwr+BPCaNYL*$;PA|cPGjv33enfC^Ei&
zV6VRNxXOd}RgM44&%VF@xgn8JD`sO%!TPiBzu%jX;j1g%sqx2^_1B-JtNnz+JDKEG
z_0RZMsxysYMJJOlqwfZmn1u_P`xmXL7iRL-uZaDhaA0Q&Yxv5^qWfQoIWs2g3cE0A
z^Nb@MjLk>c{gos#u2r(#xVX)gan-jK8m>$pTeMhY7zF2Ri4Xec(aX9vL)<33adOyG
zFV*u)U8mn{3oqUwAHmSEBb=2f=93P`fyFu5M-(_ZnHG3Bzn#)AFgqrPVcsO^9XFV!
z#Vql8|7*|k-F~^t+UDCX3-}tgWbR7aWuZ@()auqRjZI$~Soy!n_OSlqxmso$)>khn
zululJ<HEoj%XZJd{q>Ah_0r;O|D`_sF0l;R3j)n{t_ySTkQdGoiVb6&a5d$?$DNz{
zyPq_d&&)_@-1GC_p)V(YRh8WcV-1U4!*F)zmcEZq<h47i>>7RRe(Z=mdUI|4y_2nt
z+hZab4Uhb4XZ&!$+Gg6!pr#9(nUbV${Cu->Ld3-%8!vL^$9??rL+(QT<{iDwNwXPb
zBZ4MeG@WKP=jf*8x;K{XpMGzd(Pu@*qz^j3m+b%ibg9Y9H#@F-a?X3WCgo2N$0k+L
z$_llm`=@^Y+EWy{%xvC~?)Nuhm+YTke|o9a&1L)Ne_Co@Q?{)CbeC)867yRVKK%O_
zvvi-{)+Of8>vk`nsCrBB+vOz_C*RUrV$q&7;cxxsrIt@FCY_%dHgCzq|5c}#TFqTH
z@qeB7a;yEP|JQ$Bva_mm+ET0RxR|9o-)~=Pd8hnmV~qIEPXS9}ci)@uT2{7f`C6@;
z0R{7?)ZJcU{q_5?NkShlSfA^j)ZuhvS?uearPj9>M<?G}YEyl4mDy68s+$4YOKsNK
zoap+r>-k>us%s6|9~LGrx3Nj8zuGCc$fo<&$DP+6vM#gP__Dcr+H#vuCtv9C%_vO%
zKgoKd4=eKu(SvW37BoMeQ9WmB{1nD;#w6(^zl{+VG96O$H>MoFF{ztbVMFr&1-y|v
z`}`w9_bqY$yd(A5$5W<eF$-Px@0j`^nPFG`$K@`2XPP!A#jNgPyQ{NQ>A;_u4@n1C
zq;If)k#$)8(_}f}-z(~pPd!<4`A6l4o2=|fb-OzMpS`&K-Oq-vqIJm+6nmK;bk#rR
z-!Vz{@aZ4NH_c1hF;9N=jhbWcKhKs=e&2B8WA=}Khq+$y%0H+`{$KOq?C}Xb8&*wX
zvf9IRVnNcu)Ab6BNj@CwzrF2{XcwC>>*0=v*>7%GF|o(+RiC)E;eX7(4z3N%OI=F;
zPG1yX+w;O>>#z8YOX5!-+flxx<Mow&%bag7?O1)KXX|gdhS1}0^me~J!!>p9N1YD_
z4Y4<VS=#nD#4mAv|7TfD@h66N%R02SE_HkVZAr|zlML10C+45qVcO7t+<K{C)W0PW
zTJcLf&wg5HQg!ab<PVM&e_B3vezLyz^8Ag}e^{p1F48(Oor#rsam2h&9rrihOwNA8
zzhidVPM==3uCrH@S-)=lwA}Y^*hj_3j9q)azF8Q4b7`Dv{1Sh^ACeqv{s=$Zq0Vq3
zep$fu<?*$CTzV-xxlDG*e{bQmNm_U*ch7Iu{-qYvzkM*Yk6RXR`F>f`lm+qODW?;b
zEYAPEBz_LpsfrsvLd54k{I<aTzemgkL4o&n4T*>U9OJmrzq)c+-PGLWQF9lViQZls
zRd#CU@$OsyChQi9+~9e2vOwa@sYjR9y}t8pN%W~Db+4Z+)lL-qAA9%H$2EO3zFggS
zVou)@3)$)qnRQF+mTv!gD=u}hul?_jsfS`0`RK<Txa_ZxxhAITPT!|E@#>HE_m<Wz
z{k$ac=aRaqs*eK{4%SV2;d*{)-Ty7iQg!V=T7Obt|HEQ;>&c{3rIn2aHm!+uANM|H
zTH94Rg++5&!1BKno~yBF#$8|7aD3hgF{a(q?*BM()chuE;DY)!6JH-^pTP3&=i*s=
z7DlKuHk*A|(6oPH_(|DU3umM+-xw-Y7c+ZR@6yKmKliMh$uIhQhwbx^eAhkN4TO1^
zH!*TfSS%eAKSkgZlg#pnH<S0<mKFWyJlfEp#_1tvy<l_Kb^bKX3GeTwaHchGJ$^YS
za>tkRv$pU5Ib)uAb>EFOhaNbkElo@PmiUJ&m6bizGwF0LoA9#s>ECY5e$=z&;{*ol
z4}39(3zGFkKJjgkj7vHo#&fAj>-~w&iakE!l@j|uZ%WSpdPn}}zp#&Q+OuEPl}}VG
zT4I#CdinbA^Okg5PgMH#%Oc>$y`vrK$3;$b*~u+-|Hjz$)c<pu+!EbNZsR3Ab8Z+v
z?5hprbKhblD#Q@Jrr*w8ji>n9f%)z{wSOc|U7mfqJoYj7(w>j6SZ0fa2{Fvx=n|98
zvHmvq=CU6Vy&MmMjz8e5DtqD4`=FsR^a*e7jmt-OeYN`bBd3My?zE&Q>Z~zS{FiXn
zP36w-Uewj)etbzrekR-DfEdr1)9k|2*6_~~>*%uY-ps}0xM{+f^wzWr?FG9}e9?Hi
zM$mXlN=&iF_YQY|rowA$xAsnESAD{AdZok1rORqQF}+-#A0@?hJIVb22kwZUEI0lx
z?3d4F{rMyGtIT5q#tkvjNj#@M)kTTQN=^U7p}SzqvyN3~C-+D__<iDh+8+sDp2PRv
zY&iNt?e~wK3%092e5~lm-TXqIFD{ZNvp09++P!s4`nelf{^~3_l)ofv>)xKFTbCVp
zx^0=yw$6>F|K9x2e)x8WOYEPQf4L?1&k%fmNmukI%fgckN0;?yM=w6OW@*XydCNE4
zUY375ddY@39(~C#*}E4`OkTeJ=h|gOTbHbRx|O|iVc++S%hp}l#8CZZPkiK(b@#sQ
zsjEJ_cKNp6ANlvb9k{)0-KDEHmsYLTnbY=rA-m3!xz|h0I{3Yp%>C4`?XPCm?FWXo
zc8oiA?b#UnU-MRL4BMi<)~5JrCz3Mc8cOmfMOS3IZI~__m;B;aJNt_>u{RD~NIAaY
zJ@=xI1=CJ1n^U#@!k+szKMKn}tnH8er5SI$L+Ht`$llHXaq9)`*AAZh{Nj_|wI6#o
zACUQ&e87m2DJDDS&HID1ZyqS9a9J!R|9XLI`V+RRX-p^2Os<ey62JfVq>g`^n%I+`
zhM6}ogocKOGBEuA&mi;v|Nkcp3=ABgDTOG8#+ZLeY%we`|6(Fz{>2Ez{ELx^5srBs
zBWC{pFMBEj!-O{s3=EtgwG3hE0<w&%{~m)loU%+wObkgPNgPQ6Ne>y483mFUlNe)^
z7+DnVF(@c-$uh+-F$gOOGcdC=uru*9a6d?5jCEn;XK{VV;5vny&6Ulu;{k&V52Is8
z3}d(u6Ke+>6E`1|Ajf@1@mR(#J{E6Y_K8AlzV`zeviMl~efin?eFd2NRbm*PGh7!E
zU}R^S%Oc3e$-~6?jU(o146|@V3==cMM}|!?%&t5Vf^44~_>&&SG77Lrbv)wW6JlGe
z#K&!{lFZ0q!<o>@;m4bFm&5jjFstWF5guy}UViIjMokV69@{EWR&OmawyCbX8^l@r
z{Uvy<l>`I@c^oIP@_2HY3TSfN<Bm*b)MQx3GP6pOHB?KAZKf)ZHs`-8Y1Y|RG9u}s
zeA=?Mtk31{@@RjN=haqG5KkBSE5t7xFU83`k)1n<ky}Dog-MXhT2Mm!mxO+-A_Fs1
zvOuX4Ba_lUk!nFn7DcvFK7pi{Nr^&J6&H0$bSUw8a_|b|J&bW+k4cPWSRt}|k>CPl
zR{LctJkFCjZVDe{h+&IKOlI&}A$C|%?TRYv#3^cgw^=84sk7S8(vV#nB2uWrEpu4O
zRV9X%X||e9pyG5**3e)rmZ|>QtW$k;c+{uMhA+}(eY{MMrFWh_uktJd@ysP0%T+F_
zaS7*pavYVJt8g=j^CYkC6+_<UD@HuJ!N#oimrX=Mm+QV-VanRS(u{8}LyUIpf1enk
z=K|{u)+RND8(fo064%-0V)((F^+|IQTM~!%6@mXX1}7|D@_LHoGAC)pFm@#W_m(*S
zOyaTvQ__D4<t9c8!~Zd1OspOJOx%);f-WXDKP?PIEZJJwJuMoTR+}Wa{IUqKVt?xX
zLMdFy+CP+ud!luy1f#ggs*WEP^Q_r&7jdxVx-d?(5xFRzB&5k9!|>Tlk&!Ltxx&c~
zmY9Fc-;*r$8T4b$CH+rQGGUm_!7$%b@uo#GqohlcCgWQMO(BC#ocu|XWHne7UvfA(
z#Joz9(-3Aj7bBSTF3HM~;rflFof^stQ8An`Jo8=t?RUtqWjiUz$!ne@Bx*B@^Rb<*
zxcn<wUQ1Dxm^TXd3~qaRh%m(3d|1R-ZO>NC!NpcBoTS7ppwGd=EGSq$NhDZjBEx0}
z`ME0VRT%#})OITGWq2Q_u$zUEe@>E=LX1l+!!AY%h1mb@F$WkG6&Y2N83h~|lK*?f
zFvT)-bHx68!y?WSBb3geY-s<LQ)4QJ9(#<Eis0XO%%TcOKVza|7-R*KR>dSSv43=l
zQDx+hvE0eP9rG=QJL#lJj6KWNBp34}-)qk}a#<Xe1!6doI*rm8o^r?pDhMX=Fn;7@
zK4>7y5!3Zkq<eyRy}*Ahj;&6L>i3h_1vWE)3JKXHu_TZ0G4+g&EX<55F^sYQCltp1
zFW_i4*sc)Eu)$!D(kTIs&qA-7z6wa0$Mh)dVfYoxuqZ}*Lh}ET7zQJTE{0_>e`6Wi
zSdw-z#Gi<H?-G;EG?R((ZbR&U-&ls-3~L#d#Qcp(OA-{=VQ--2JCR|ZF^h_Wk_rQ-
zp-;!r7-xZkWgL19$6~}{L<DOxVt7t*Ir9qCB{6;H;Nwq{O1dDJB$_0uv&BW$Pa$my
z=LZ{)&SVY;0}dB~mob(?wMt0}+lvL>GO=?^j!l}8#PoxMlc6)|DkH}p##n}BF%0`+
zyki<-89F**CM7YhSC`OGxE5nCDd&)MDv3WyoUz^{iDk8GFYD@<?}|DCN%|ZSoPr!G
zqD;K}0x|!Sq#2mi8Dd@}DJF5mymN@*Oqv~&%li4E8}A+=rnMZik~or+3?;Vk#wgV>
z9M<9tb1Gsu!<Z!SS4m+iD<ii{%yEvRF-)8+)0kNGV~#p%vL`ciGl{rdW|CUUF^Pj=
zB@@@)Bu=GZZk?nFoJ?|(q1rJW{4rM<bR4-SIK-I72rH=0;xBRMnb#%2F>eBY5=Rn8
zw8H-wCLKc|4h}wMZkCBG!u>)#DL)1NYbs=Wc-n?CER!@;N;sIra6OZ0i(92qvR0?Q
zR)5Qjn4sdM8tzLfi9ZCt2r)`N5x91oB_>vD+q9%_f}D@KwGLlqNm|bNer3%52{B$V
z92}1=H>favWAtJ=F0kA&=6{S57lY(?24;@QNu~lj1(O7Gmp##t@SMx~OI&i97ym^u
zqcBZJ!<gj%g#r-*>@g>W^r8h~X2g7Qu>8l!v4b^+C7D5>CFW`j*Z$QEG5eFeVwNPO
zup~`lSR$14UL{7CV>9OiM#+HlF_tm6CdBNBF_yThvwWF1>y|Db)A`qA0#pSO1qB`0
z6#P!G#Ka2ZPfz+H$eGbAP<6#6X(=PuYJpadeKCR+g1b~EGH5C+Qe@nhbXh^(lW`ws
zzk;?<&Tq>A-%#G%FMcxi-I6m-GOY55;YxS#7D#63=SW;UQQBlJXR?F33P+-abCW(-
z{QnqN8DS4&i(;4O!TzEGt^pzT{YfmbFH{7ojMjT{Xyx1$U=<Sh>{PGkDQ)S%spX->
zkyS17)6;dH(=taXB}u1ag8v<zc1%tB#M#XxQwg2_hg7&M4h;YQ%0FOWSbUO!fq@BB
z@3P1+Ffee5N;2$_WeR8DjcyQ-Wnf}t5aLi$WE78QW@S)dx}m^uQ-RTxmGvfr0>cdj
z#+wREoeav%+&5T_`dHY!;+T`XSOS<MvshU>84Fowv$8GevtXG$jWwPzhJ|704F&d<
zOoc4Zmau(gG5X5J9mRTxW&e5}e^;g|cBUV**c&)mG{tyj*i2bO_+N6Hu;>T#bS%87
z!0X(6Q-Ou$YgQ-gLXNGREc$i6yu5;J7UtZlEVTl?YsD;iB&Ufn2;5%6$i!0R!6Dqj
z@9)i;$|F$4s*uIZ?kL5~W*yGpAt*Rks$RO%<-AnudD;3XehFU7*DN1HdHg&XI%My>
zWXTBUEz;%@^^^!@iDB9A$zI5M#nn)ZRi8=LQz4)GfbyJ4+=rRD*;pq@$FV%N3S}t|
z5{VI&S}oJ0Dx4v)V<oe-O6C+EPvzbakwaB{!drQ}`8_9zlyfC|a47s|`Lv%$CaadE
z%}QqRQr>7$=4;}muXwtbs!V3nxh_`mn&-=ODJkJUA^fLyvGoVZDQC(rnaU!ldTEP0
zw~qc6mCt<p{ABk0V$q+%>nxTW$K$WBAEU(Jbmu=4tBbv&<2xrN!6nYjtgbJ@St2gk
z*r_bl;yt!f{gsMAkhzc+OOLM1K50E$_N1FC3c_9t67MeX-BjR{aZp#>=*r{ADmPJ|
zw_>TC7tgLqtPK+HR2Xy=KB(%R7IkqH2o}1b;K4kJS&e~@A<~1*YqGAgC)WoC@u)Bz
z5e{#re+)CUT&8bjQRC1HVet*-jT4I!=hur_?*Cz{UO)4#DSAtU9xMrX&mW=6vp|}$
zld($ttG&=;kM+!~?m=tir>U|BMZVe^H%+Q;i`XQ7wreZ_QS321yR_LgH4`(~jjp-`
zWs4lrw2)$9TI%Y|dhQzgi7LC8wd~K>eoVHOm7B6f+)gHIN$N!%lc<noQ6kG(kE(`U
zSgQM)#Uh?vb2X1%7;Dc;mfusv)uS&h38@ti+{&&mwPuMzeu&FuTRu%09nXYj=8}@_
z>sgz_SRZ@xoeg|&N!5e(N2aXNQdVoW@@P&6FPY9<;Y{@#Yh{n>T)ZTzwVHkH5^Wcr
z`_p3I+1#BcXLZ-b`nrqN<0UStdwGSXv1Vz^D&eV%N-0dszGT@T&mP5|#1<39a)D>g
zT9(>imQ-EgPm{Q!t}3fbv#3~_T3I;pC||v)Ah;@uKb%ESn`OUd+D=)PwXy~B@<HPI
ztNUK6GGz$YP8IpbQ#r{+jD5x=;S(&ZS44a#IfPEjN>!W0tHkO!DbIwD(dni_?H5m0
zYhSaKvai3YF=xr<W_rlUcWh-9<X=C@z@LT5Pxrxcxi8a2qgcZHYSvb<t<@C`l)R&=
zlIEkkRHcGtc@WFv)htyZyj)Ss^7z)Qmr+nLl2LC_l1mEcV`lYSDWPtrW1h|CpsPAZ
zv}!3IoBFh`DiW{QGNy{p^Us;WW6V|<#=h!O&wlYYUOW}N=RHNO=S*5Hb9M{Au&jTS
z9LswC%B#HHmzWCscX~!_cQ>)JlUnKH%6n%kZwf<jNXwQgw)nLyw?uQU@wjF2IxOXh
zn3ws5<z<jjvFMyA@q6sESN2Q_X1(ZXcyP+T<!t5Qyz;AgghRtqCyDlkNXeYbS}XsL
z#bpbNdw+lz>rw4ekF_d^ELXy84!`6Pp3Z6OpW~wZ@SoE2FaK1ZN3ni!yb~o_GFM@(
z`i*e5$S8F=76or58}{1QDrcs$M5>)xvaN9Y{;8r&tkz4M`(inRWh=GWH;2lGO7TS8
zP`JCLu!^no(v7o!RkgC`@V{(4%pUMXt@P3}2VSn#`tDamIfIzX*;!YsP77jRI7J{#
zmaQ<3{egG%?y2j4sYY$#iD2ShB2cFq9xSiF+TU^u>u;H(UZMu;Ym%m^hp$<rmX~!z
zFngb?SD@?O+#{?Prm_DLcMs#AR+S&Olr4ts&U7Xn&gv=r$t)RJo(t+NyjHK9wBTb$
z)r0&ix@uAScI&pUw4b_#UxrmMN@e4Io}ZI+SY;}s-lnR5=w8cnip6^Ar)6u8ZDEgO
zv-7Hw^3t8e8uR+?^(DN*sudwT8Y{OHe&LJrV(I_Svy@k9dh!W_`XvrQxs_hLT;BP-
zPgK{sEC^z;)8^IN%Cj=Ncna$hHZ?Cc$8PuTJSAz5d(5ov-D^eWg<Ox+V%5CsGV2#h
z#!}IE_XWKT!S0JgSo?qdF}S4bo>jJYtLSN+LanJLw`IOe`mrUN|4Z+um8_FOdS+L#
zX=*Apel?lP_J%dyPn3CoTl<tc<-+7G?9C!VVLGYVWiwZ@DsO!mB+nYO(4CieHB0j~
z@q{Set$Xh;XMOP1^k$~~In7C1_kEnY<)tdSmx8TU%>BKrI{kaY{#0c0$gJhN;G?4M
zx9O#zx15`oirZFy72f<Mf%5W(Tlo)jZ47bQoju28spx)|)Tu1je3tsDeaJSS>1Z^W
z`=)}jx{$_E<F~vMjaKt~dUvKZj{S{io@z`^>Z0r|Z0@4map%6T<xQC;#Z{^mBqQS`
zyO`<CtSRXNqMD}`t7o?OZJz&j%cm*)FSf4Do9fdg;-?iQ#G))4y_S9U5{_&9-Pif%
zzIHtozGt7N$ll3Id)PMwvCAsS@AFGK9kh>`)qQ=ozTRFwWB$fVy<WQJnQM9c*zc=M
z-pCNM)NH29`YKJ<ytDf>52bFETFWxkbaI&Jq}Qza*LZm^^~al^_hQl9dSbb}#u6S+
zl>{%IV(WgXt1K2-wpFpBfl)E$uFK~0mBq=rt<HDYVl%^j$)&BzFWDMO`ObQ!8W_lX
z$$#nDyxjI#us^4_dC=YXAhQ&gW#LhN=UtZh>p3k`a$exxb^4Wi&*{`f0!st^MD)Fb
zB68-gXIERYFTag{lI2CA{wq1tGue|i&)w@dcZ>c`QAaPg>7F)+WUYE{DCoC^iLILC
zJeNU4z@j83p-3QL#*PVGHx(?dY}nIs<?2m^ZvtKnNs~C-7>rzg#d)=V5tV&c#9+6;
zLvQK3wd@agLZ|s<h9_Oy(lDvam0|gOQIDHDCGT$#;+z;Ct~YT}%3NmFvnkOmv$nFX
ziDEsnG~~r~Ugo7Lg_GlNh!kF8&kHmZou|z*Nqt$ggu_xcrtnJNtvX9Z)xu=uSMHIX
z!ef5?x1WyfQd_A>HrcW}qF72it*6EdEmda-X`i`@rF@INl+7eBmH)aDVpHp#^<#|o
zE}p9XD#*S+lO=mCJ2&s#AlCg>_WdtSSF~Dw5o@`{BHLZA$s-?pMJkHjSNGp5U*jpF
zuSAxH@z2#_y>8oL#@pFsX5Hzb^v%L!uf<yS6qZw4ZTd~mN3l41zE3jwqb(l!lJBJG
zo+&KN3N2gsMb}M>5<M|VWsAX+sS$-6mrmk6!_Yk8`ecSqMka|HvnG48sznq<l=|J6
z!(OiKI%}znVO!KzUgw;YIG*&?tlV4qi`g{&R(c0<U6>Q5$uepC{#ASv@61h1yrD2B
z|C;L0Ojb2jzmp#AQ}_HAZS;9!`%<jvE04qMx%2&G1ihtX-@jbNQaF{}&eBH3q2t}l
zy=>c9K83MzYs#9wWXkj1zJ=dm$_qbk&ny<5xxO2DXGO&<_GaW(pWwplm0!!uns?Mk
zm(4_d&P(?@tc#b%?Yet;3yVH`{4_o`9>u76cW*7<^tOL4)1fJ6=j!Tvv0wCSs1rGK
zT4`yo>JnC2-+4BxMQ4<<-Svv?Wzm%EN!QKEWpbZdv%pl?>nYDl-W^MsX3u$fiB10{
zYvdNzmm=G|O!qDIw-07HoyniOlqKdXyBGicnP0m6c>KMYudv>l#8GAuWf%L!CT*9f
zmMUwK^0B?`li4RtlAGt(<yAZ5CF_H!=GWZcxhRLf&AR;MZSL79@eO=umb)-cV$z?l
zdYQK|s^`ePBWu~)ri$!${5VD4guT%3tK?MPgs@n}*=tU}x-&O-Eq}yR{`;zPF0tun
zuB%=vdaIXX+6Cz~TT1wzYNgCrE8ZnC$EW4}OV;>nGP7>6a(|gwqQ&}eYW{24vr())
zYk63%O*xX;dtKAfNOtX7_BXt7*Lao%C26rmuJVmN_od7?HtU^I_6yHRPpdq0->ns0
z;+H?we36czS!Z9AxCn2>`n7c>JkO`~Bu!&ab@99=+LJeD@#2@)SYoa%*|Br}g75up
zwcZ={wB#>;bA3`mYTC<7vYV_}jDqL1xXs#Iwndxgns;yKT>d+5Hx>N&r{Ah7?pe5=
zC3P!1x9Hnz;VxQByq1c;O=-DxM}Cf4X^^S2kYsTGt=Ng1cAjNsb$=Zt-DefHX{l+K
z{KqYFPuT;)OeI$O+HCa+>AyRLWuZ!V9M8JS-rjXn*#Gg$`0)p2vs*6}mz^3k?b!al
zoq9{zdt9G-v7A`B{o)p$#q4jc$wzy!Pn{;9sW)kD`wbTTOCsmJ<ZF22bOnmOfBmj0
zV-Y^5X+5v}^*c*ey4>Hw;>h|tiZxX~yF*p9QAy_S8HGzLcGw<XsgnLxEkdiMel6dH
zE$7s2H=Pr7zUg)AsMl8a`%DozJ;h6T124JU)MZ+~Hbsp;b}4s0tFnqzf%=oZyjOQ<
z#LTU+o>9MjoscZAm;0mWd95i7voFZ1M0@O;VtMc73h9%^EuS?yywopzNWW0p?Yv}L
z_7lmwf6SWL#cwKz?9-Wi)`v0GrfWsilZd!W+3NP5N~`7c7*<XC%yJ-5+fn5}6!UvU
zW<iFV=LM8(U%g+$%)0pPH8=k2>e*VX6IE5Oi;66r8_${%&03|)G%0hTGt2Buu>h8N
zPuchEk+sTX{=~C%CGW--{x5v;UhE5b1eUUISjyTU#%*-3TwC5@aa%@z|7G9KOdijZ
zym1l*dxht)zVVX(uC1!Lm3O`Pv@n|`YDd4U<<aA1UwR{T&BIH4aWl_vzr>#H#ZuV9
zHkWnbwfciqDwkfmF0fGxV}1NuY~5B_YsL9fc%oaFy;yzM_piDns--0(vX%F;xac%S
z%{QOs$-Me>#9G$7t7N11)RMI<DO*`jMNTdeYlsp#WOyu!$5LeHYL?@rqI)i}eqpJ7
z$)0+5`u;3gXI-9HuFSRX_VCSrqV)gBi8v9X)w)$**_1c&zFOP1^~~b3E#JJ<r8W4X
zT(~T+oMM?VWzyPxT~k;sR1O6Bs-IH1u~%=2>Z0kt@5!)uu^62eeLPoVt{ls`z1mCo
zE4PZC_fxl7+qaze<I>|B*u}S`FYINtUdm$KlN5YTOz*1JT9$HF?xmVn#16=||CE_1
z^`eKF)%{VF412bD{#t$^@dsDrer55zdKtc+tx8oY)cw&?9v+pPaF#u8{~nroEG<p#
z>E+Fnei9ILbk!yKM^Ws1RN2<53vK1wwdMBOsVu(hC%s+b@`82JH6E)h))T7yzmiwY
zJt`>9R@D70DCGg~&1{|Ewfros!9ngXJXv>23TKJtPi4(M`rhs-%l;na)t5{YZ>i_6
zxiv@pQdp>06#Gl|RBis(na)pH+@~IS!{UC8$N$}&gIi>{S#`pCerK}!uHCnQ?c&_|
zxylba{wO{Fqxw9KwcFeMn(TTlpQ@KkQtCIRvPH7;T~n*R#FWQgo27Q<C)2_n<v7{n
z+H8lO&sfuXFNo*EYnFLec)3=ICOr|7+IBc*kF};s<5t&G%Xorao4nZ-RL)DjDbQtq
z!}@P7tFP#}DQ3dvwqdIGs#r5J&CA60tkr$VGu?L8zN#FqwK4};xj%I(zF^6|uEH>L
zzTZ{WfGpM@OIgC~wuku0d5cv)m0!vF`ziCGXTP_oPUtg@=1tEo&9}`l&rx1AHQR8J
zkC%r}uK9Idze(<!SQo2Z^vXA1&la<V{RMxo(fny%JT^HCwidqma8qH)<SRxueoc5c
ztyj}u)MBl8#!~Je7S<>kf!A!NQ+asj<i6e_8vK^qI&;B3_O-Dr)@EJ*r@GyLui^Rk
z!0lxVzOek5Ip<ywi?uG7m4A==a@9*)W9laycXwF1t|xvA%O08IRk`NNTF!Z?3%%yi
zyTYROtasBEom!XgUh3X1IaxJ#m|3&jXXUbnwg-G+5r65jGK@9gweE%9{kuhyF7>@R
z#lE~x{qj;<?n|ymR*Gh9Rj*T-FirRFk<ah1u}ocF`#M<u?4+Dfqx8NLUh-nuEEY@O
zmWXqHW!d&Jr|(it)oZ@2-o9vdwJ5)Y(^idh9KL4Sp5Hu0BIKNxh|Ss@&#A23JU6e2
zse3QWvypzO@}%hT?_DZ~d)^7TE%DOLd&zU~>y}y-A1_yL`$fK!`h5Ler|~N8`w`7=
zsV$R!*T?k|TMWw)E!N1nKF3sk#>x83p6}!r;`MXu#7izWm)lE)^6Wjul6w5H8f#Uj
zz=qei1k)xNec+j-dV5>;k!QZDpC?(&V6dCR^KuHyOV=rWDoanZCLMVd?!{x`B5B0h
zzksPKg?lfbzo<}{OYTaR8B1Ayvr1jp={d=5xYbR^-AypLYWJ#>yU!o}7{$^gekhw?
zXsx&-yW<i`H+jiWmW<WBjZ<aPS^rLA>S50dVO_iH`^u}Vr>pp^wzA5qs9$4yugxyI
zylrpHt00v+FIgY9w&ku?UMlxAV^y~5GO_4ia$T^J-yx_cDU;oIFPos)BELT~m$JSL
zV|QOS?fqA=hfyNZyb<eNY@<c&U$gDm%2LkSeC^AQY@Quc*_N}ssax9Dz`k=Uza*RB
zwJ$p+Yj-s`o77D9`{Fm(I*QjIWwZL0J4@Mbs(s$dugD%VY5o#kb+25L<zhQ0ch}Cf
zcwX|QK8ois&-t1A({t|_JnxmTOz-_V;qQ}mLR0?5@!UJj8{It9li@^okaY9%ixvSQ
ztQMy&@^0uTvuO5ja<@4Yr{3Zz7suf_>GL~_o%7kF|Eeq1uhD*`88n-j)joB#YVB5i
zVHUwK7TKWiHLMDrF8S;kujQuAeWlE5JxS;dd)~QzhT?2l`MEhWuS9O)|I8{FC3=17
zm4#c`gX<Ugnfza>e{CtR3Cjs@?<?!xcTN$PzHg_w*DjuQvzKY?OWr9<1I~&h1o0Ml
zbMd}Wn=5l6Oon^ieD*JVFSJ;Lmx?{H51Yix#roBcU;DM|eO2WH=az+UnHj}y&C464
z=QZ!4H?NiGtL5tTUs?9Qo5OyR+bV3a@*)2&fdGH|FmGPD<y={;kCyU$Q!CxdBg&%~
zCAw$12vZ45!>-zisv3VAbfeq9E|=HdD&i=z`5GH{wz%e6c5Bw`rRnah&C{41*E8ow
znu)Dvo5n81Qd=}%EQ+Opd7fRvH(hmI)}1RIj)XjY!fNqHQFE`X-kmF!o-Auw1MZx9
z-RgRUMdy^?;p2tNc=ugn{}d&9iNnq8UhG`cckFMjvCqCF#H_A0ZHn|I5$PyV%PWCZ
zY@1h#=9u$t(Qjr86FdHu+j*8{H8bnJIYHOhCz>@qSZa5j_lep31xtDPzt&}4Voq9~
zvhGRd6rMCcEtTNo&Ppd4_AFo1^10{|ljGf|nevQl)!FPg!ddmTSt4tnewf5Mm1EYQ
zDcZWcSq#eO*S^wZ*PP4htNJHQ?u93R8Ecz&x*BiLwAQ!wsUp&?l4~bvKV#i9?T4TD
zOqEO}R)wi9hAh@$tlZwB8}o9F+zQqQFXc18!XKxllBUZtiMLhNTtMBRP+9Bss*o?Q
zm);Lz^)wU8W>KEX%AL>Um%4m8ON>`Uombd&k7rf+HGAb=bNQ91SZ0Nuow~$XRyB&H
zYAS2$ttFyscuk&Y>0Caz#A3E9*JL3z*JXbE!k&99*-vD%Yo^+89I??<Wsg)&o68z6
z5;BcBlE>#7yQ~*WZR*tJx~H$PM^>rci$7AdoOM-D&cvy#kzRV6welyfWVI7Lyj9-a
zgx8C=FG{@2SL<qI%PY0$MXw99`vP{|iV0XIJiBMrQuj)cFt3+tS-iWp%0wSq8)vcb
z5@W4S`6aH&Y^hl?=AI@qXWgB%YSOHi+0$8Mw>8Z4aNuHL>bWt?@AW*_MUs9u4(pU?
z$==Lmkv;bz%GB12)tCL&O7`q4too<;qkA2^PN{^iNtpXCd&?TOUWch$RAx5)cb(rd
zdrhtJxhG3wv$p7)itBzle|D;P>@?njIa(Katkmrmg`as;#hSXe#Cu!J6ce%YPb|yN
zKeD=S>S>b8|2^NqC0gq5DQ4DfUxl{1-{&)qGf&g@SKQlgt@9#GgmtM-_fk)O{;OO3
z`2DvO&6TOI;u8y1an*A9wU$Sf->`O`-d<UOD4|taDc7gUI*4SOJ!h=qyOznE#C~O_
z_=Y!%ar{1-wM={c*m##k@n*f9D`WLk{OTN;UteVPclii?WqlB(cO~URw&>NlzC!#H
zFQsq1WMRO&Y^4Z8sIAaTmRon{$V|3+_0OB9BT!2u@UQptsXXU<O}Aba*>j0WU*(TB
zyP77qx9;VwtjhcoE~&k}#ct7W8nu?C?TPh?XG|*o_OI+JJ(zcBEwOmxlbOly<2`#8
zPn+%&|CUEjFZ=Xfaxi4!3-X-lKlv7meuq^TtA3Eru1g<Yuq@B|7osY%tt`-z;qmMs
z)lePg_o^WZ#*b84CW+@MSy^STVrJcHpDki{jh#D6{j>=8YO%a2>}u^!VQ;K8*<)TV
ztQW7H!aixr`kmLz1FKZ$ZEu^;V|$IA+lzf0zrgD^Kc>oj-1|y*tqLc5-jvo%7EP_C
zM@!gOZDo&V55C546~)8C5<Imv^Ac;kx8xe$xanW7X)R@6ZWHXs@^ULLW1Ma9Uf#+)
zUDnx(PD@$ViU(d2{o{4Ek9UDCFW1tgF08+=@e5yKFSH3LmYTF&$=WL@DK#s|KH#P3
zza=-XsIJ&$6SkBsMowV+;dz?!9amXWjVgXkTeepA&NY>jm$;6#nEk#IZ}<89b1#uF
zp3v&k;!{K)Ki!$`-OJ=9687QIvDtw=<!f7`wy^84G*1)S<Lhy&M|!sC1GDKDR)>pC
zVb8Nz81jC<72o>HcYIf}ZuXfIk}0!zsW`uR{nqo#rkYqkmHB(;trx%8$~!t&c&v)N
z+n0EEsxwP91$uNbv$h28H9Z!^Z?Da-zE@_tz4$erkSLxU_B+}#GMesBb>h~t6|zsN
z>0$a>#kOQE`#GMIS6R8Ecv#rD*NcdsnP2ppFM29Z_xnyS7R}R4^Lm)Vqxi+xO*MVj
ztz~~E?li^pKYM(Ty_ctKoTNY$dn9j)uGEy<y;d*TOjCk;dW6^e%WA%DxnZp<6UO#q
zub2nBQ5b)sQQp37#mkn=E@4@|k|pNumU&tt(relK)rD2%b=+SIDnI<S%Kq2hwU(?t
z)821p6%R4leo1PU%eB|Mex-k<yjU6_qq96hYG;<P#jF3HYFEX;7xTBw%RIRxVX5lI
zYdmv)iDc*=$=}aje$CX%s{iiomLJD%C(Tn@%NB5jwJ=Dxf61my)=5*RNUCqSRC{Qp
z{F<vOlUK4HTGJzZr;3+xrhv!1%&Vr$qWIn1Pn}c_KR(yf=%bJNR<ZL_c)LX;uJIS_
z``7%1O{dDH+BU~6OZk*{w!@>~Q)_~!TP#myt(hsbg}p;m$7}iA^{ii}hBmRh3uE~e
z#Mr>EwTdO<Z?AAnCeN;|yfWeo!+1W-UMC*L61lDQ-!zL8(^S1uzN)gWefh#=I!g(w
zo!hDWHo2v5+9ri+YCH?M!WPA^EyA#K{e+<O+9;o{4f;Wn2YI_+vspi3wrKyay;lB$
zywp-wL9<6G6-me6p1P#`BG+dMPmpS|AB(a#tG?<PrT)UKSNnr*F|)e2W~(3C%ldJj
z+%&G$E>rUMvSqNy-kO=F!EU<t*g5vFlDB)7>b?tOz4cnncq#80v)(P?eRES@UzK$@
zB@;0xWhIMdm`q<*@1C{t?5y#@ybh~bI)kP)RZY2|%hSO<Wh*PI$dhgJY(q}TD9=gx
z`jWS=^v$+x)+>9joZ(lxrdt?v<OYxJw(k;`WOu$>d+drzs8?;OnDly{v)8wz7JI(B
z^~hTyq${-|<m#L-mTvFWitclxczStfs=ka|E0W54U^-jO^2J;D+qsICFgECOFJaFR
zRW6(DHgAq^+{4dVtanq{#b<_Zk#)|vnWARj_D}z0bZm6;ifT`ZO_DNZO>aC4_+PfM
za{JA0Qob>XL28>0i(}c8wa4adnX|)HSae<#OQH3HZ=HruSdHd6gqfs7PuVriEz7fg
z3QHb0iwbl6_Zt0)PMgFVPBR$poHRFQ=id*jS<AMvh_flji3u*1m)OdGo3$ZIm&H3z
zl<(dpK54O+UhEg&9K9aJGL?PdYmuFkZQMoogxO_#Gi5KosUF<7_2}1d;j$@{R`I;v
z!c+FQRCg`QCsFT9{F}U3|FJo)7o8sz-kQn3Y%Tv9Rm-Vic1vGtrLymza`Sf(%jw-y
zrdF-hu@>ERO=Vq>Rr%7_QS7sM*K4QhY-QIGpMNbp&ui;%zJsrA3V&)gojhWl9lA;`
zFx0-#+cn|kbuV?l+$c?!cXw?Hy;x!dOT5JGSRTD*Y4~Da_^tho-cz6Wsk60aKTw=p
z5^U#g_o&s=BFQE|IG}EB<(h?`HEpaTt<^3%PGJ{WeOh*<O|x#*RQ@$_GgWL%S$ouX
zmsn3#o_Lc*T+n~BrjX{$mCUU6MqA}QWTT>3G_}R7*P8o@S6!9an4Pm_Ww;4z-nZ6!
zm)5GtZe_o#+8o6zxt8B&DtqvAo;VS&`7V`P_?y^ECOI#jQlmMS?VoK=5PR??8zXgH
zE4JX%ts$ALouyBCR{JX2EMK^kCvht~w_j--Z=zQD)R(@y%>33&Ikv@KZCWamo5P-#
zdsBGN#_=x5Wcl%y|HZrO!J^Wy*~FI$R+mgl=bSW2&{`@i&`Ke!WYYVVdrzlx<<IZA
zB69b&=$<J&()J6d$VAWi8pj)WTHw>vNtY%W@LQa2zct^F=j2+MgF(I}*)ryP*_2r{
zClzjB3JB?$=*5yzE9S}CU=g<LN@<Ff$da2k--<6~x1MYjJXJ1;C2!uDUr~I9)7Ko>
z>n)S}cIqT8UD3nU_vg27=e-#vzxe(95O@7gyW5RF&6`y*&%{$DT=$s5(%4e<#;q*R
zbY{d&f9}E=6<f*7x^L;DtG0)xwQTp6($G5dYA##Yl=E>aHrhM}k+WG_xBD?QOl#Zj
zEj=YlM36run?-gn8@FirwfmP-x1aNi$k)0q@-vEofq@M+*U!NIfZ_jt(F6tt5M}_)
z|1+Y_{|7Go0-pb86jBsq6#vh}DyO8#DCoo_$mGJz3Yz(604)FjEeP1k!pbarg_ZRZ
zvnh*m7rQ$%Lre*q!X=g}wxn$Kc^8?sF|kKpViII8@n*JQn(e~Hw2+O}tD8fNjk&~y
zON@s_KahoWl{Sm`R@NE3xls(+UaV5A^Dc2t;kV7=)z)PfXFc?i^AVfrR2EIPo)t{I
zWiHG|*;$vYWtkVnGDDQvmE{Kq%cs{YSFW>}u4Z))Wr=?+a&-&;bk<dQt}ObT{7?7G
z_gs>F=E=02<J}~Q9+5>N$#s8OzV2e}FJbA)=1I}zFHpO)lxMD}-xb+xFBWmxb1PZ&
zgLs@*igJGC5q1b?ICD8hfB(c-t^L|NJ3O_Hdg^Z8!g7Qq=o<T-DmhzK_CC%1TUi6x
zGU9l`x3aIA#$?J~vW3NkUuzm`5=Xv@jGorTELN5c7da-gvQAng@P=DNe<_o-O6gTu
zu1gXcdNZu_Cau)G%j0}ad{33$%d0H<UhL&;J(pOHvd;+O+M#<_i&fcE_!*BOi~DNU
z6CwH+U$LcL<uzHw(m#dwIIDgXPs&#DrPGA|=pXW8*{RLG_NBl~y`JlAk)CREL>QLx
zvn&mh7VWymel&_*(@xiuOUCBXE|yhWWOs&ajALDB<?Fdt{1yL~rILI)wd%8@Yz4K;
z{i2-xw(8XKs9$3%$Y$46Wq%rPyO%GGJ>wdmfj5(^$Ujvk)32;09yb*t9JKko;+$DT
zqIe(1c`jl$V&VC}m3=e&@@wKTUhIN#KC49+Ze_1xi;3eo`<jh=D$9~MpC}$lPn|q|
zMs4;bo*4n=HLSOExjfghXu5yA#GV=@bA(;?W$xw@wtg?we4f{@+2X(QWqGm8c4G<>
z?B!jsn)P~!e%>#hcOg8ILA<v5d7h$wN|n~Ki(g{B9>wmWo41@tAk5{#OHt;fB0M|=
zTV+m&+C=d$sM5(3y4AEsJ0m!-X;W~^n$^7Lr^Gh0HO0xSS=na(l_g`ftlp9qVR@e|
zdXL%G##!|%G2G=4ec<V=s^c#D)tg1iw%?mWl0p2E&Sq8@uhy;hN4=Q@S&yz2VV%U(
zqt_Fq@;00O>r~bTS$RMCkJ&8oFPVHpeuwckX|qpStCPe!V+*?`%ef$zUzt2ye_2vj
zu`J&z?#F$6i~M@_=4&k0Q7roG+}iBcyEs|(xA55N^sKtVFR7LCXDiDFefMc9Z+Wz@
z@g!!kl&{rS2>o5czAB3~d#i{s%LXrzu59*IYx$Oi{8pFPWGSfK7P-kjGE=vQJ#MOK
zq5dQ<_GOvtj(lay(BfUORP~jN(iOH6?YtLLTk2WwPHAnDRhrV;%FBMGr6ZGHcq^}}
z65EzINj4onTPIER$)UVwFELLN$#|vP^M&WTX78@0?0GJNrL7%WtgE)NTD$)8<JWu5
z)?ebAU!@kcm3In%R#0olQhvq|{hnQ-5?6WHcj@$8Rg1{hou{h1UrJ}HeJ^WCn9DzP
z+2*CXJ+d>VNpFymohGl@(lIaW)-f0Dwm7YxFLAMwS)z_xdA;SAP2x6)myBb{*w3yR
zR3hQYCEE6PHG9(4_KuaZK2v2*<%oH)nJ#bXn9hH1s@*jfuT>&1Ls|5-d8WL)f0fN5
zgPnceRN1nS-ytmLR<8N}g*`Q!eQGUBuvh9~*8OXFo5EPcm+IzyVbk|w->jQAl{HfD
zrP&dkyldjOqu77*^6D~MPhIz&RpCp|>LAvt(urC8_os#=@Z70;$$4$fcgv|wvjnv>
zlC|=Zx3^AVIdzSNJ4!^8Wp_4DxGuXmljc{|pH*TUOWEi3-kri?&0Z46+vt68{;hn!
zh7L8JlNPBhlUJ}BP4QjbVI$1UbmNi&b90QUr^U`G)3+{3_2OTkEpltC-VzpzFy55a
zri@$F!&wERSbEm_3Qd-p(y~``El;}4jw!s;+0Oa3oSNObJ(x8$n<a8D8#k+Ll=$J5
zqD!~>AMm{t#G9hcFaI(|m`y*F_4Kcn1(#TUU*csKWyoaJnOgIn-!q6+*-Ipc%{q$R
z<?fu7Ec0aNOWu5?z_W4*>(s5fUqrOL#qZsjbN=$I+z#z|8BxMBGFp4Di!Y4g5#_UA
z&l9^uQ(wO9Dyv`?YtmF!$8EY%JP)(k?Rxni-c)E?U^JWkl1{3qpjYc|*4dZtY+==W
zrPFhTH!F(eD-Yw&mMPcy=U(DA5kH;9Dmaxj@8~W+rfqJ`w{<&p_cg4%p3C}XirMRB
z+apD)JvaNQ&RotKe~tZamgxDdteX7(K`dWa^0Z!KkJn-?EY+#iVqIIRUt7hez0#>u
zziP?pM%I^Mq93y5Ij*nSwQP>h6&c4%8eiQMyyIRQ$ZLr_St-oMdtob|l1||~!A)v{
z+Sz%5O|5z5=hw4L*~-2^$>U5*dp7&=wJbMzCitghFJ&q5W=*=x#aigr(#2bJZU*c6
zR}ME6cv<JIzTzLox_q@O*V(rAC>{ZM-nZMNqj=V5^B1h;Y2vwAq8l8<r0-IeDbkb5
z5<J(+kA14Ac5Th1rEI^A=VvWt|Gkynm%Z~+YgwkqqP6UiJkkC(Po8i26UY90<@%?W
z+4o2BwyLeu<!xPA=)?MQE6-)|jn`OZt=8VZ%CaPiCregJUo-eBi)I$<s+Wu#LXJuX
z9J(N=?OUuBT)g#8nri+ucYk*AFq!G9^<V$;)MfF0SjxY`)olt(4_iqTkD0Eptmlnz
zK`)WKwPD+y2>TwpGRc~|VfvjlxhwbIe8N=H)9uBV_<WwrHGcD5yqm7_J@PD8(XE=#
zax0AIrk0M>TsBSJDnHiv?IIG_*k@<yPSRx33_Z7%)tcS$8cS*vJGaQ&mwHu`n2z2_
zSoMYVcU8FN+K_Xs@2~MxX7RR8<<)NW^iy5qZK$NO?={=Skd`l5qWpVVcD6Xr>$}x2
zs9j!hG3a8&-S8^5l(q7wL<7Qj)<x-Y@Sa@DU%Hh=lU;V2lzi@yD4p8%tZS#qX03Qv
zynx#)R+UNWSBqP!=Kez$mc$72YzdKBaEkrYQm>_=pUUr*=51%r6tUnx?y1`r=giJA
zd!5!b{<)X9Omqu9xt_K-Eaktvl&gkseVB-W+ibVx*O!_XOxda^T(^atReshr7ELeq
zV9|=TC0&=~f>*P4mbBS=O2xF=E@#gUWfAn2m95MOTF1p=;eAGzHFzyA`!yc<D0Xg^
zg)iH!Lf98hV$EPa(Qe|!FS&lrvgc<$Ik;%Y)M_PdsSSO=9P!1BGm1r)S7<GZ;}`X}
zQ7mV9^VhP@Fk1g=J^R|LEP0cDlm&10i7lI>9K<@Q)zjmKf`*ICYi->bYgyLv_{8+Y
zEf)!%61Ly>=eb+EJQrV=_?6Y#F;zU6tI}t!^y{^`Q{>H`S_iSqYUwLa74_3m_TmZk
zGz(R~x0JtQD~pS&MBZFBeLV#|O{V^}aa&oZvaGGse0N7r)|2(Op17#la$eOi{$E+5
z6*Da|r~X!vl~sAQl5GY{Kq{N^e3@&a=U?)i`g&zY4R=$Dk~Vj9P|3RHcD=1E%h)%C
z$^OdZVaRm%XIZ&cq=zLbUOjW=l&4FWBHfOBWvi;<ak_qIyXU=Wx^^pB0>XG0-KI3N
z3TpFy*easa9_h~0I#pznm)5qb7L%>KFOK#u5$MscI^EW?Mdacoolbo-_Nnn@JY|!j
z7bNqPgr0~JpJVbay2sd$J!z#$cbM66FVlUp3Ts(1Z2Ll&ivQ_3Fx^(pPnLVFc;i<&
zQ!f^G%cWj6TT*Us=SjcD`f^pC>=aQ^wjMA3-K$xvLRlwO>HM3@8~XLCA5Zjrk+)ua
zE8n)H&-3hh<!&tC($Uo2aVK^y?}RY<AS>1>Pi3rH(&JdY){1e5i_2!sZOmjZ5kIFZ
z@_@B%euJvS^d$;!|AfZ2rd*1UG>yJg(KcuPuhy(B>}~8bCRM+bW0~^zquQKFtS90$
zer;uKcvd@w&qV&<60WiqpLJXK>&2_DiGNrnvwkVl%XXhxJZjswi0@S~sMFOA6TJ7e
z)iYcF&|A|@Kb|a!)DwTWgn26a>`A;VEIMD<vZL6O^pa*z`I_E7%TQQbr1#>gWxcvm
z+59a_+28rLhp4t(3g5$iIZHHStEeFR_aM`v>^Q@<JS*6bs`4INP_nbKxlb>JNp^Nb
zh|BR6HxyKOJZ>_I=wy3^q^hZ})VV$-{-$m4CGiF?cEPnfma|xIW##r;y@j2lefvf6
z!YGkumKiVC?s&qLvZk+YuZS7HyirTwQl9&vysxcHQ`X8v@a2Z{uGD51FO4~v#T&Pj
z=i^;<FP5*Tg>K(<xpJ~~?wajWWCK((BAJ=Cih1yOUK4+4B~}rB-#&<KA-{mv-1MHb
zn|;UcEo0iuwzF)_`t!#Y^(kxTEt;fNGU<+V7!TW8ez~pk*SMUP$lJ32DrL>kX4k*n
zlDw9ubSwMvqtS*3B7!O#Sah_P^;ZV(>D~LOwd4eYNQ=+ZX><Mf&a8Xl9n53$uH9!V
zPokbhoXFj5{ySSme~YkP(#!L1xXB~7lyzRw)Z5F&OMBXqkCbW76^otA`|~oNf400`
zC{N<MHvdUdsdIT(`ip12R?Au{G?V}DB+<PNVIgM{vSgGqB_D`<4rOURbzb5~p)E`Q
zs`uKp9W#P{%(!b4C$lLwO0QYJbG3?enCNCr-oT|Skt`+QtQn7QD6HA>gmreSUQj!)
z-<ln)CoX;Y$G34M>+-2fuZwVB(uv7tPx>o!D$0gIq+~TK_f(d1txi9;uw?Uy1%>8C
zvG=oRo@QFu>O7Y<K8pQ%wn)@k5hqs7F!3}i-W_YjC$Pnbv(8?5C(U2pC7Zo)FPorj
z+cd>>?2b{v*Dv*dV~MX~>wn90@L1Bm5SAI)tn+rVKDZ;T?xYngsO>wq$!G5OsXwd4
z`nR&qV&4=LcKtP*%T|$XqI_QK($~t~4EnSFB=5tih0}Py#jM?WiA{uO<|NkTGvt<q
zO}f0SZqhZD>p?;>t%gzjpT${cPXD@<tz2Yt7)!v@_Qb5<>A$mBJD>8iOg$6C6XzZG
zckP;&Eb-U*gthsnyu8D}))~_CDoSNL>(R9;Z=<*vm$l>s^S*w$X3bU+3EiY9ahtU|
zdC{!dYk4;LYi>TpzTxe)In1|ISXs@5w~8vVXa+rbv(lD1ruW;-H<#5LgANI5XDnK^
zd(rmRGLt7+YgKe@M7FZNlaVQ#?y;5Seh6#Kvagp*Lk@Yfo?e;G*D>XWf@XuH-1n6n
z38sdsY^E$mp0dBJZ!uaFu?9~$`Sfw=6mj3__oDTCw)0wf{qfIYm0inUpgtj#CDPMO
za_&QQuAA{|?`N@AdHObbTC!HH)u~<TwQ<d|yGt)-io~w<ea@DBO>W|9UWcWj?!5J0
ze3f&LcCNm{^V&${_8fUnW<i<A?d<=eLVxHomwTV_Su1)Vm{%{G$4!&3RXrm3dDAT&
z#ThFzY~HTbU&yL6Rh32TKpach`<A$Bq5VzMwa+czcx%G)soVC7zr4nhwTflRQ>Ixh
zan)N{?Zo$A<I~#N8XCuX@%=ZJo&zlL>}KD1JY%$ZE4E#c^wSaC&lDEZ7UX4mlV4!9
zh{811wdbUwSd_I{Hmqdp(VcNg^!F{f#arE!<#*3z)7PKj#h$%YJpY>bw=7oWtu+BW
zE4}zDr-Fp{WXtN5>dsirue8)qKyI7yybG^fB1^=*z3lDpuUN`^L3df#e$g#aEb-ZD
z`%d>9o&0x`f%YVeHBD32t=XbGmHkD?ColGzY<5#mt>E@Md<pAW?Jk9htmX-s!dAi>
z7R8$99Z=;qw<w_PPJ%k4xU2XNZg%&TYs99o-(uYsm7B7*?d($K5|Q{)-so(0$Gv=C
zSh6Rv_2?|OTFbtKJ;SCo>?PZb65WNX*i*N%So53>V+l?@v(bySb1iFXnCLfeq42v)
z=kwSW&2d>Tb1O>Z(rexb-<AoPreZIn{p)l?b3SE>T+J<)yp!j}wrVL~N-$fD7R!dU
zEZ60#rgQ$Cqx{~}=GJQM5}r-7f;L^gQxe7R#I}1q|LUnMjxEJ;JWJp9rc~`@TGBrK
zy3DC4mh0@|r<<l6RaR|Yww3j6>ya&Cskf{@_TE+z710!MlwGryC6a~vip>&Dp2b_Y
zrHS_6dvd>MHSfx;A}TB=Cb9L%&hlgW`m9H@q~xC0)_j>bZGKx%7EP|uzYfcJi#PRL
zn`(al8qfMD8D-YsrM@{{>SnL`%9b*9ZY}lav3;pOBb24VGwfD=#%rA!VJxX@`8&c`
z+l-hVx6GeDIXGB$QWX1F(X`j8pSQ3q;X8Y4N!O>Is-{KSlPtDxl3TWYPReyLi`Akt
zmT()~`w+%EEt|h<Ez4_}i6M8if|xYtsb}+4ujKV>UlYs{pxyTI>b*-*>|rVlui0cv
z`6fn*pI{MO%9B!}lby|fcB<&w6f-YY>!mu`msnEQvua+`anCX>n<|^mbKHx~alQQd
zQntcZcOHhYUSGCFFvKQgwW!Bc9^0y8ZZFTJi6(5}uT(uBcYe$4J+^+_anHVNzrx#n
z$t!W$qF+2ao^oDT^R(J;$E-;044yrQuI*VH%g!?KE1z@}k0m?zY1S_*I$o;(4CQIj
zW(ioUBE3!e8rxLOw2YSu`E5;pEazVHc`ju-+R}7gL~s?0%MzVn7R@O3NNt`AFZFBL
z8m8Pm%=Z4?-HXe%X@#<0d9_9B3a>yFTlQA=<;Scv=RUotBOAuEX)R0hRF?kMK0nsY
zYgyf|-aX*fA!w1KRa3rKERdxn%TshRW0kqmY8Jt%x=G@f!emTy`|JZ{1l|5jVhPwg
zwajuWPr7-87t7adnT0?3H|_DC_kEMDu=c`(hi|Pq_{IEd7VD$6?7P_ow`|j5Ss!Pr
zx1Xzh%HDdB8AkK>t><x4<uwyIZFghmE>DZcK9gBwe?GbIC%rq0#o8w6cE@*}Gq<1i
zdKVsi6D9tM=Uz7dtF5epD$LV_INFcLvB#{|IT|LrIGg`wD$m5(o|Av{wO`O)%QA_D
zJBt15%9t<LN*1m9+wq03JBocLzrbpqxNw`m<?KCIS!2A|w{34+%2O84a&D`Ze$N7l
zjH)TMZ&<AD?&cnS#ge+@UA^kfYvSQiEP`x5(p%qJX(}_CF4)UguhVr+wo_O9!2KiF
zi%n12CK*c}|FrAJ@gvuxc%|2}%!*>!bef5K<77?og-b(&*=4u#cd>^}5;om-K8oGw
zHSg!x_K%sYSEjdpyu<0mo}tad__wAiNG~|1DU(0wug&2s*=uXX^K>3umeW`nvu_K}
zUv}<mZdI#UtwVGkM7bPdD_qb1>?-g2UpyLHS!;DTym+J6vh`eNzY-)ArSo7Vt9vQy
zt<|ibzUV%9$=dI2mb;oa?kev=D>iZO<qtDOVqeO>H2t+jyo+s89NP(faaV@Wa0X3w
z3t6TxsRqaf>1xm(t5G}}0;3@?8UmvsFd71*Aut*OqaiRF0(1-k&{_YWxqh&f|Nk=y
zGBAKJ14xV!W&WR2Zrcmg`TsMp`TttT{67oB4OZ403Jg&<S-DvRSF>uCvN<kcW{Y9V
zh-03o#X2L3iCvaSKZ>n{?Ycg53@;1oO$C;%tZUiDC$VVqT1Bz$3}I$n<-}8eLxEv)
z5PuC@gBN=b%a1J9U{9tJ7G?f}-prHOSue7Qux0YIZxt0{SrW!-x|+30gHb#!kgbP_
z)u>xYf}LIV8jp4rU-4ePM_lqIJX1K<viS1YhO!i9vmaf{KAY`ju*`BzxyY@ocJha=
zv1w|{e9Gcv{dAWlTbrfdljEHLkDN%5q#(=MwQP^$c-XV~kGvGMVePrXE3-=F#Zs;&
z(ZCR%EKeD3_W0L4zv9H@9Ktzgz6daPo4CWs&Df!1kCD$FQ@3m4x3WavY~?MLm${^O
zF^a{4_s?41z%c$yFV$q0NiSJSwu)!Vp9*7f@lw6bYQ2`#@e*6ZdJ#cWe)gNXX1NYb
zTkVY59>lS{S<U+6Dyy;=i?vz(T0Zk|_L8N%bFbO(tmggof}Qp2HJzO=`INTUd{8kf
zVJTTEI?dax+tX&RN=vqg!CICWmW+6oNuDOH;!dw+I<K?LUd6&a#k7^ZJd9OvHEX}W
zhrE}q5D(XWmOWa#I9RuZn6zHv7GVEZ#aFhL#gx@rj58~gA)N8h+7Gf!QmY#nR;^m4
z#ZUlFC8NY>2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz#t3((8WBUxqh(I{{N8&UDgA>4<P1J(qci*
zS!-GR_IOHgRxc_|aAMV9iDCTHa*6GiQH;-nWr3_ex`Oy;M=^JEB+Zs&Nm65onUT!U
z6mvC6DUh$zOyFskxVc&|Z?)F05Yg*`G4sT)#5Ac2UW#RC;+UauB_@z#o~r0c4Gk0i
z81{Lgp`ub>!o>HkNSezlyF6TUW><vh%q0vD^qTo%=6OabJC^D+D7hF+<1lrMvb!7;
z$i%L)S1_N$oip0c=}?S`EYH2jP}!&}QSyHmSxue8>o_l3_O{lq7_s=}v8q*FaU${m
zR>rITR7z0)sVT(Mvv^X{jL&NSXZ%-*S?qa?v1e%vOVYO_p)iRA&s&T_F<R^lt~(|q
zdB!|*WLC2As9xgCsH`$g(T^eB<7tu%o3ECb5`(xhv$R9Z)Fii<pAzRLh{tF+&SY}-
zV2U(l;ALU*Sil;i&B)@)6muu$TBqP1X62ZK%PuUO%pKfHjLfe(gq7yA>HbfdtfZ0Q
zo@gf9xL{9GR+3>vH%A>yIAfC2Ea?kLk^vDc3I#LYCoOhOIiDnUX(AuP+6{seVlo-F
z243j+W|32?zEnwq;j#x`i~_?=%QTmF3=bE%9_aY5opCdnWnz@YwvxGQ-6|=@I~jaE
zH$}&!t&vxZ$>6#!=sokR)6p7PRbg>QA!gnf1tD%FWsbK(;*%B%%JSGJUVM?tW3S4v
zUgnf2uXUQM8Xq5zb?KFKp0k%2y7{bwGxVZ8cQJA-p0wt4QF`DB72DSyE{c-+N!6U*
znY_9-&xB%x&T~8!a}=7)7{kLEI*Ccs_FU0X7XkJK%!)!Hb0aG=w~Ef?h+ksU#T&mU
zOD`{kC*D7sFJ3ms(>qk)rP%E6CAng=1M_&TW%EnLW|tI%Pn@*sw?O5Ir78;E8K*t9
z>^u22dsUt>3M(W}a?uGDS}(A?qk2Mnw?egw!eYJcoqMZwJ3lJScDeXeQO9z^l^7?T
z*&>Hs1m0ij5LQvwyV={%DRfeTH$SOU-%vBRP;UN`8!5WEizRe&7Z-_LTym#gKUYay
zBi>Xmw|FbdL>0qJEZvt&L@sLHIa#WkJ4r#(fK?|qtE!a8eyL6_kM;60UTdpY<$Ts2
z6}ia<KfT&~JNi`q2_zPlbuh-<-C(}P$<pJOL-dN1P6E}hV}y@SU{2cV5G{OKfjO!2
z;Z#9^nKOmvGOHv#atOWb-kD|aVDnE0XO~Q;+cW2O#Mm;0vbG&o34CF7mnG)9z<s`$
z&yEFO7?bAAvUYhV@R6$_&*OcJ!OycnO0!N^nq_+kCOs5C&C`8RT;zCQmEO!nA2MUy
zO_z&_A750>(i>*-vx=qLszzsKr^oM(Nl%T=x~vS&l=wPn$7PQ7#cdw03alNQ9Fl62
zm}0uODmnSba4zoL@N(Dpk5=3+(t=7B;**rEB|6oNRd(`o#(FJMQ(vj>Qfqfyh$q_H
zD%13PiI|G&lsdlH##0WQF%OdjofV{F43h#Ut9(oHdMHruGCL`-k>i25hgy<=G>1Nm
zQ&8gfBm<Y2`#bKv=lI#c5%WH2Nld75ht7`bdbd;sj+mzcN0mZ#l05`2PSo&E^8T!0
z>5%jz$w@;#gFPwS+ND8u!@*V^zox3Y$qYMK`B*r%PmcXRNu6ERt8MjMl}I}#{ft<K
z?JNomvHuNX;$jxZ2!%5QZ;aw)h}jV1pJWts#4|#VW6g$t0{St14Ee4mF$FB8F-m7R
z=6u{<#}NDPRWif+n3s(cr5j@ZJzH6*a-fxMh0+C%)66T(4lIh{ODc<Lh!TuhF+av5
zGDeNz)WPMGSmt%CVB&nTSiNCV!~eWkhG|j9J>JgxcR;!$_CH5k><lZJMk7u|;n<iz
zNwG>SEWE4><{oVPvLq(1#iHZj??$huDxFR&%!-U_&crO3B$52zx>=jKRWIpnl0aN6
z!yVrASeAc>8RcWLlNvT8`NtT=>|o;MDCTZU{x7(b+m^}7N+qeD&57v}N9=#j3-=hM
z6nB~x##l&pGN?tn%CC6Yaf<O_CC6D7NiFuI0+*z7oEwvj1yt53`3XuW+>G%}Iw-;8
zIrHC&b1UynNcxksV-jN<Lo!2i^8bp)jm+DU`ja+zIK=oRon~4qq;z^m%z8(L;%&(c
z3(}PsYR-K))4`Y|&t&<3MWPGKx<5M_1U4k4TYcp)NYaeC*OA4*m?Rd%p42Rqv?GPd
z|Bp-3Er!jd7PA=+B?%>+kJ0U9vtB62yyJ+<fy)f6k^(<lJ}X_gP}roTt=us|;G(CZ
z^v(2*t}Gq`N4~|>2_|?8oJePMiLqet<zOgfI(VNo=2McAo`NcyK#R~@j)w=NVuU6>
zXH0tE+!?u9K~Y$6hYCZd=3k~K8o$rQm?kltiK&hKpB$6r5~C%>SR={qko@m$Qr3h|
zN*n!Np0I3Ul*ng{S<fid!f;(SiF0bpo%|$K27?a19j6#I4sximM88X#bVH&{axT+T
z7lxqO3}GtS5>HpGb<Mrs!uD~3P|QPymI7%OE_=qLYC#tE*)05QoC3@n?=i-Bd{5Gm
zkleZ2h4H~jR*9cNl7hL8i#-_+#N1bWuF@)elHr<=kkEf7^&}60m~RTx6-887Jd`~T
zb_%^__~UjWLxm}wwQ)l7f9|A%<tNUld^jqoz{zOF)|a$GAjvRBj$zW;iChaf6<^F)
zk-{>sL8PUyjWLP2%;W9CvQ;HYd;QNo$Z%@o`z9E}!=l8|TFcRSX)Z@EPll7@F}|b%
zBPD?x#uJWRi%YMy^F0%K$@RdK<Gh5SMve;OjTS+tiOdVd7x-9DSiqRHsHPyJgT32R
z(d<A1r;f@TCT@j@iD%4yGV#A$tit(5QL9rSjNv{L=Mj&jRo;UBLY#|OMPnwNTM@`C
z8SUZmvf&SdzDf+kYXOr+CgG&)n0FE(Dy-8_DopBBoggs(K(7kdskN(B*pm*Ze7GX$
zctK8}f>9vGENLx+;xCq%unH;0nAa^aE36EXlN3Z7VwjWv*E1+MtWIW_tg&5yDXEH)
zHAbm*L&{Tu-<_4`I4kEfNq1~-SR;GkV9!kNm&aU0zx-i1E1;FMUO;?aCxgAH)m8~>
z7LA5vhHXcZ+6C6C%_#Pe5Vbg{^sxP_f~u2&02@<`#8RP#&xRt`Cho}Dx+?JDqlToe
zScXYE>N?(9a5G78yD-j+V6bJ`mEis~DOd2o^VJvDesYO1*r9!yXOoNShvi*-n?eM{
z?JhMIi`oTutEmP#E*7;5mJqeO*u&nfB&1Zs#Of(#r;u`Mt4ERwldNZD0Amub{K<>G
zGA9N3gqrRPGO=bbaq9<4J^w1xC&advNq(WL$h$rs>)4Qf9&6VL?A_i{Zi-7?s@OPZ
zv2jj%Byamy;G4$}!;2h@DgqBL>)1}>SP-euz|5;s>dc`!>9xZ#C--^cE;Cn7wEtRU
zz_#f8BrW45<`RcgG|ww|OiGf}TD+N~SViJi;BG;~m99+7zqZdbPO6$|#+>}0E18F(
zp))$6)8Pw~IIG4<nMRT2h8h+M9hp)(7Q!))*qD?#8dN(Jm~_v5aI;`v*y)n=nkjl=
zQYS}tQo!Gs1%*5(wHvOvOyWDqFVCu)@VqKX*TrkHowj2_madDIq%3RH6!vbRnN@PE
zFDu>USzk^yIp6ZJm@!F?HI8j<mptn<9_w8zrt?^L&ET<)lDZz{E@-`6DBz^jcV$nJ
z&kDXw{3<-gWd;HhO*P&Kf8ECI^0RWLX@tJkl!=nH_gEr5KD#hw>dE*|`J$4{6FZ5i
zS9G?8&IFZLvqWYun#~ihwQG*(>_u}$XKTHhCv$z#d>(79tOYXHUy4ZUF7#ylemin+
zN0NrpamKE61@@Su3RgWdyBU}(#g!Bue-_FuQu@)cgJn`i5hJT+w2NlzAE$dNeGyC8
zcsq5NHTN&n->)Ji=sU%;(6BL8=i?-;@Wl4a+bTl08FW28b!ME@N}eQizc4UkAw!Hs
zjA!hB%Y?TbOBf9ts$y7@W_dC&YaC(VWcit(s}Zw6P-BLG2e-zA<o{(cCM%^4c^cH(
z8IsN>StY3@P3iPtH$2M0Abcdy;eE#*MwS?k*#AO>AD(jxCp*t@V)V3TVTriQl=NDV
zA&x7<@{(gk%+?qwPbmSGnEs3`PJx)jn8^&)t5}jUJSAdeVj4n|rp5e8GRh8_5cAk!
zmLk&*!Kncqi}WV(RaDNIuq@$uN0>ptwi(TdN*!A}m@*EmXYgi;lof~(Ye|x9xS$uq
zE6~E}a4m*MEQK>_MybM)BwMz`K*NT`c`1DpzdW2!r<~j&<o9Y)N<g?r%v=WBMCnNd
zY`+6`ep75TxG8j%<0jMd2A-r{8}z-{Crn^Wn&HXu@4&x^y%wx76L)vsm{X9$#(Hzn
z61JOS8E07(3+9O>W$rPO&bYmFG7Epf2F4_bPUfc>pKl37aOgH&KN4eEIe)Ip#fe%=
zWv+QTa204DN{nYqn&>HDP+<9zeTH~l(mc+uiItg+Nt3P#Y-YIW#KyB=3#)U-J;sFn
zEHfFNen~Ri$-p{CW7#sk^~y{y4CeA~lu+`x$+=oWD}ybDZ)w$XzNMUnf0JLw%<-(`
zY-0R(#*=F!lSa!G=9{q$e4Aageog1xs>H;p&wSTYf~}A-Q&faibn1$|e7hMMJ(#)o
zGKH&1F|p3fb7P#zr@+<2w;;!GfrmK%aiO^?I=m;DmPzuSo@t`B((Ik`3LU2OLT5MD
z8M}lG|3vvERlVT5Igx4p4uv(VuQ;+L@!j=bCD*IY_<5>aKf`U08`9kjPeoYyAFeKy
zZx37}-)?!ab|u4A`Od{_<vX>s*2#BXVw~`gcde&DyKJ}rdiic$p}iA*zQs&%lA7o;
zdlh5y86ko4w|}N~%1jsfbXkI7i>|NFA&+U&vxU~H=*iBNQgvf(Y)nWJVKFt|uvLDc
zf~pGVO^w8fAu5s~I#vlPTJ=H8)K{d2&WI9|Su1JD6Vtevec}dpTZ!$BzAC!4nNuch
zw7<gOn=@q=SC)iH$O;xu$=y<utxhR1v_99I*%q@QsaSIkL(Ivf*q9k7CAK`MpYfYh
zcTvoxj%0>)A+NVfpK)QD$0~o$b(5Hqy2IUzibrB><2n`AT(^yJQP{yEe<^UYO;ql|
zK7-i*8+n{;;*(A=__)L{Jekh$g6GplwpbO0z5-{POum>E60r;^YhvtjS6EoEF1+Es
zAwp9~Qs8ou%Zgj<oD9qsg-OQgPaF@fT(QNzxTo{E!a))HQUSiCjja+f9gmW9KMEz;
zmns~Mu_?IcYg4+F`}-vU$0a+gY)f_dZwI(@Bs28#-M*46$nb`d;T?;hbwiBDu^6Q<
zI~KAyMJ?W;>1(iJoBX#WhG%q^C<?`hc$f%1UcpgxJjPM}+p!o?kN-lh0hOz_-?eFR
zJN!RD`I2RxO?OAqaiJN}OyWEne|&M?B>I1)_#(^s&35w*V)h*H6Yxq}(%_UVC?!6D
z$)3w{)ti@|N{lz6m?vD(U``UTS=)4`p~xcXW<v#wrSzJZ7~yk*JLcN&=TTExpk3&}
zYkyEMNtjV;xp>TzByCS&VWykb2NjOT2*+GloGK!@Q*6af`@`47)m^@x6zEoCR=AeT
zu!<{Y(r$-sHs?HeI+z5sO)k9X;J@%jbjN!Ojt%>gf)(y@9CKT=i&yA)lG2>wOq)9$
zA~8Y{IZr3BA6Z$&xx<!YBM)Ot(~SdHIbu$1&|zWbzZavHDbXY1x@vb!!B;g=mv73V
zT@xakV(MeA#~u<;+^f)`d{E%w9mN37m@gbljZ75g%)HgIll53ksKPpx6C8pw4OL|K
zU69$bM_hD6rvPJ8LUvN;f)A69F(o8ViJN@V>&c4;D;5bP-PT~!^?Yz`W8}*NM;1=_
zmG;cc@W=(fFMCyFr4I=9)!hs!KKP?}$2z|QKQvNZzDufgYW@`Z@ggIxL4A|Xt&WLO
zIlUU4OuQ@t^VpMq9X=5#wZ&d`-&<Lx1Emst8<tJDkn}F*!Q~%Y=j<?+`0F91z^Irw
zX_uDa3?99X9So=T=UWIQGv3u;^oad;ZN~c?7n!7o@=4Ao4*ERE^*gxc;z0+-82zMk
zJ1<Sz`RinYLZeFO+QP3L%VOLwEM+~hrjaq}(1lNvS;`kVS}-1v@nB5a$imGmsba+X
zmX-ZU(n*G;z1LhS?aeJtxu`Sjd~UGN?`5FGHie|#B<%&0Is9VYT0C1C<C)2l_?pLA
zi6v0e;G)x{3P#2x$rDfhE;#(4zaxo}efGg^A~kytu=08)#&CBEF+6<W>EPyZ-a?Wg
zor`U`WlW}^7HgrG5$nyF%5Dc=F;1Psa^ffJ+&RtP7)3Rt+4Yq#G_dUL;Es`D`234c
zR>dLfQdQ9>KK-dF4va}tVt%dZUo`Ra!Io}Ur!GGJ!X}HS?_%P19Bh_Ka#0ahU`*P}
zD$fwh@F|HU`QM9!Ecz_Tj3*o|A63suy8l79fra&d{DT;lScc<|_C49e|JYlBG3K{N
zLEE1*Rg52A&grOAIz8b}OyM6+W)%ThhvY}xH+Z-k?Nu0$ca;~u6#m)aG-qO;!^Y4P
zhlKBT_;og#C7$dS6krbb6kum`_k7j2@{y>3xXR5BHzhhvpK~%FZWmh8bzA(b<?9Cl
zO-x2x{>4Oc$Xon-;5mUY>0b=z<dgLi-o*$McW`^i3RJSi1|MOY?ZPRjAHy>HG~?e#
zVkd3vZp`6ic8{4TzPA17TUDPcohB|>iJlzgOfCZFlsN0Xm;&cF>IhzRsa0T9d^XAB
zAjeCO<1#A`F{)pf_w<$f!)eFZHV1OBZ|)KPwu({z#c_Ve6)*W5T_hL3^4S)6f~|H7
zJ6o;FRGyQ&Po5OrA93hHy@$lls*+1g>~$KGIAs;28Z;VX|9cBO&3Mi_S+QdmV~l{d
zD@*JtwoXAdsn-$9KPs@Se{q`quZlwNFL7Ts%QLJmPoGl%b?d@eo>!`z_aj->H!`j`
z$LhcGJl}#8miLV-7hhoQSG%aLb}~ad?e2B<fTWbW-rNN|{%t?^U1EJd>oUvys;n!#
z`oFHqNTsm!b}BBu##_7WI*;zW8@zjG-4vZ-=gOmeOH|nRHjlFH9aGWZyR{<0r$j;<
zi=6I>F7>_7GST*dDXaZMPhNGyqKf8ot$s6^W**<FVm56rR~t(QALFeD%}g;|3O`s3
zwlgQGD<m-`|Mx23XM6fFKjyD;w|jxSQmDZ-rVo3B7z?yF>N6>BYX6pGu}@M<<uZGw
z@dDklLyy@0@yB!?Ru?%h-C6orm2c9M*4Z70c$|a;v!2+pIzOE&vg?WFr;bCNrfmNt
zU0yt6_11hYrnHu&pJifAC-;{ZtP?|CitRf$QDo*Njyu`0jG7NF$vmif#Tu&hnr(I`
z+kH;$H>@+~y%jm+$EWT3j`gYkd$xX6Z;zXNrJYY+d|>tT{3!d*s8&lyW>Sn%r$_jN
zw8_7e6Ad~dew8x>JW%nJ(ovD`{P!tQE>9q4qF^wu%#_b+EG_>&PY~49N%Q)`=E(Q!
z;#VG5Pbc2bv&|+5xaw^A#@6rqU2V!smVS*N?ES84i9baP-!E)Zi8w!dS!aZ6#O)ZV
z4J?f+j4{z3u?(97XHA$O@i*y8y3#+%!e4A#k59N3J8`3$NqeB+pWkBLZc`5OyDpO4
z{D;j^L`>w)#f*D@Mfwfde$1T1xG`nLR^>S6IcBjFx|)BPB>iP+Q~Jjjc}s}*W#@V4
z|FZhsQRg`)$SD6xxcm5H^om(?CZ@~{5Eis#NKs@gC~i<GUa2aS;;3mB%k#gy;k?L^
zn2Qk#`U?~aH?F8TwlQ|qHcr7UvH#6n8lDS;$NrCwcxTEI<IDOg`F}8D#qGElp(KZz
zm`x3pGVB}vGBH-j#K#!L{-2N#%h1fK(K$_J=9GX?%jnG;O4v7WFvb2COKH@7v}neH
ziwlw(n35(X#k8xcZ(@|PpD7~{neqNV<AV*4Vw93>WMWoskcvy+u(aX6bIigOoID(z
zzD$#1lAb;8YFy=881HghB3f18sYP1S#)T6TQ`a{bO?G-BIC0??f$XFk5ivp+8rEk%
ze10TJF@ka9j^%}nD|+M_EjSpHayqn)V}!(9)<?24c?$k2@a_ELHgmb5O3D)n-yhcn
zVvaE^T&k1I*mzFlAJ1jxjcjLxX8A`>lXu~~%p&FWDf9Il+p}yx9t%8VxE3ef^n_7U
z;3BKZBUZLR!FkD(6*GOpT?E_NBo{qmUmmk(NzVL~MS|zq+1zz{uTA7&o|&Yd(_-fL
zIIqInZPuNP=(d@66c0!i|Cs0`aFa1fpw;gu`-cdH*jR=wE1IPzF8z6vqoSi(rGTAd
zvSDK7zXhwzI-1!x^!$qvl5Y4Z6F5WXHE-$>PCg@nIdg*UZ(ni5X6~FxkNktAR!DX5
zY^+FH@T6yE_X;hYnGH6tXU)G97_#nBiml)0*{%~$IxUtwKE=$~PStNo!8Wm^rH}d-
zYs^`&eA<F5UR^2&4HopBo);Nt&o<qLYyNVjIa8ioSbTc^V)+=QRhyP21pO;Iy=hYm
zuicF3PR8d4d4#*pt3>7|C-l!)nYdJ?P>O|p(uIIkH-B?V)^ul8n8wUscW-8vyD$&$
zL?`~G2YKc!;^1BSZN@E+;t9Llj_^e&NY0fHvYX$=5RoLCG&AXCj9BT6Lb3Q6_w7<A
zSbowt;4&}U*5zdMl!&I5O`F%mrQb|^G_R+NafXO%fx6wy9y!Jt!Vb*I49k-CWoS2e
z#WW-{bY%2+a5q#R-1X^X-i&(Bs3#|r1STZ~x0T(v`QZ4RJ}Z}`zg!cgS=c8rgda$G
zJ>Brf*`hy=3s>-+{K}csekmv>i>I3BVY=I1p6Yp1*Q*~{XyE=JgyDmk(SbJ|%0dS|
zHJK#OpFQoN`NR&%S*;)EPFIz@C&##Wi|0Y%f@sN-3CCibGPtkV>r7y}C39!)%yPT#
zrl%syrmgc7uFCm8Z+fZD*G1h;QVExnmND|&SUGRH1ZRVk^o;3Ao31dh&$-&sAjGtw
zt(9lSQs0%TK^J!LtWNPPe!isX<6_&$+1E70>mOu!@n7$h`h00~^8D$!>L>MAoH)mL
z+H&>`wT?gOos(zGFurxwASG#1m*yiyIhhNU9hVBWx^%RjICtUcjG5{MhgG)6yvS$S
zH+yCD{F&?fK6BQ0^i~93xhdNm%dom4re^L;>6iTcGfpNw-ne}3%x0q)CZ6(|c{9Iq
zBz>y*%$WRup)clY(u0^Il1^*pnyYCEDd|awUV32P$&vV?aMFjhg)dg0>M@-=Gu$-h
z#^+duc{2~hEQw{9KjZuTsS8y;&z%u!=r>`rj?4^+Cr4&@&Yh|KbJ3)^GqavI?3*)l
zcTeN~RdqGpvl$p;&c-ZEX7HXjOS0o|@?oP{vkaS_9Gm%O?kuIL#r|_=9!zF9y+h$7
zL-yQRL3&5Z&(E24#E;>E%!#0iIkVR}Bz=x)W9X7wn3jA{f9{;ws~MJ@(B+sucMiKm
z(u(8>JagyH-qjg1c|q9BIs8n?H4Iy4%$cKpsO&(|oWoU<mbNpVc*Of6$%Z+0#ta?z
z3Egfp?hCmm{Etk^JK<kAk*7v?#zeMN(J{+Da`j%hpetyz;hQA$hCa>Y|8iwB3_8SP
zb}~tQnUQhy<jxNY4dELE8(b}K*>7ZE=WKTGWMDmaVr&2VHTgR8=KlSEF!sO4+&RG;
zS8w=xkR|5njLygN=d65xJn7S%IYyUrk{NcqYFo%1Gk1=vUCg5XZ82-vx6PgNMMrRk
zLXG6?7@?JsOu5&+=g!%1G|6UNcjQjt8=KpEdlvog$vfKdgV`|Y)%>+Z^X5FwopCLR
zF(Y<H!ePdwsneY<t@x(%@8j(aYhrfK(1}=8d4%B=%dEMFIXY_HwHZV=@F!iFz$WOr
z;w5|KinlZ88JHx!WwHDD>h!$%xn27{&oHl8T`Ti*tB&`)g-4EXNm;+BjGVLRUB#yc
zzb71QYZ^b#ylc5}p5RW$om}0_+;f)9jAZ7WA$7u#h4YWp^=WgL-Ttsn{Xl@3@QN>+
z9&!Gh8F^BOarx5c8xjPW6xCBjndh!txoJaLWMhBNuJi@7kI!Evl+<>0#od^n{r!v^
zW+i&9m{ha#N=g#bw%KbAawHwNqbwjQC%RGX;|X=91Bn}%7Km2NoSAc?;Sk5pvq>}8
zByCumki?xdcg;(Sq>pQkF}~WiB0g#E8cX?$ml)@t*wUEAFCO#gF;|e*kCsHn6K#`)
zf(2|X*gqty{}ke^dj9#=0maFuu3p@A{&d2zNqa9mnX~1m(ig!({`p(3N=km(ajqq1
zkJN9*B*}`7*(}^&esZ~AD6(NZu%++d2gXkej?OGqV`NT06uD=q&BU#9cKyD)A^q&O
ziO=ViJy!k{62W-jPlVXA-8>&Z2nobU#yn9d)sp|<e*33F`N_5u$0SltS{jP|&@o6)
z`ua0gZ%^a-dE0I-_!Pl-^2wg&#)(A_WX?W1zhKXyq(%ex={FqBW_)#2e38u1`M`FQ
zLjBCjk1;bsI5^oDW0dwVh<M*f=l`iVdn1c3_pO4P6VF8Kn7iZ2gOcBWA2QBxe$4-C
zRx@W3=bmd1kImn8b7sAof^DDYk2b~`u4fl=r#EqbKH>25qWX=Svlh(xv7AHT0b>l`
zp@b*gDW_@;cV0`9Yf_uL^Q9`M$OH5FEow}hAIf4@T5GV0a8D?VnLlTSF?R|R>s*h-
zvklCG%uZ7SlAMy<SQN_|Tu)>&96gyTP{NXa*)C>gip8~9h6_fCj8#e3PV7m3(4g+l
z>?n3?vrSUc4nvz)KR)HKoH)!f>%k4tm}fRgxeH8>@Eb71EI4_{;K1sn&IWd=m<R8Y
z#A80qsCmfJ_VnSd89yKIYwkK6%lP&W8)Ndnq-z{jFLyXT=4ohR<%_xDnRKy1vpF#4
zbd!~6hggh&#1vKw%bd@T4_t~di<xvo_u27{6?^C2xn^>I-n^T(7h-Jw-)Io<O1i)>
z*O7b2`bQ7B4u~W*sXb2GDcsB#^Wor=$pXn2-XGnm(;oA6!7X04Btb^ri7!s%^v_6X
z;IWOAalARtX3oy1hc0>WGMwbBwmH9m&nED~Q>BMT)g&xu{}MeAEzEE{X<l4wh0Vdg
z^XAXY?v%`8-1vus@rknK{F#F4zwXVxc<t8Cqcf*Jp3{DF4g&)ND+2=qCwTIoC4m8X
z{(t%>vmHyj=kjo-ziB_5GI#3B&IpS$|8B5vo;x*S=8=XsF&as4XMAgY=fU|vGU-G6
z*^_goeY(XmeQxHaIsMD;PY6=~@#hnt*TPx-21!?1KOgJ!{kijlM<Qpi$LUin)2}F5
zo=n?!eA?elizh|Q>AS|KddnwoPVc8%?4>9FeK<K;(8DR+;E$10e$(tt8qITiuT0|D
zD$0DNXEI~#oZhEz`z&+j^#0u2=a4h6_vgA3AsNYYdyRyXpEQ1)qcf+i{Jva+@W0rO
zVx2i{aUCZ&{I7WM^TvGT8|OD{%6Xi+K_h14gfE==lVkt;x&0_-)NI%g6Bo<S$Rerc
zGh>Ar+pNxsfdb4KG81MR#2o&i-l8(IDW-PDi5sl5x;2_|p71ZUP3zwA`}f1M%_WBw
zfB0|se_@%#hc8NuGY%hGz}UCwXT+inrRQJ#Pw$dGyCwg4%m%l%nCP89kM~~o+BR>}
zbMc2?HqW2*b_Gvk?0>r(6VERA$Iuh|KPsjoIcZMEyX5Q*r;;NYcJvC)ID7na$;YF;
zUX$juz5nMrLqx0Nquk1LhggQL<p0qtT*X#oR5G$o2zo5ABfVK5Ms`Mf?<?N)O>^5*
z7ZiP5b1G%-#HBV13O6<C&As?!?nL#stgiDXK3y{>D`#QbL*6;7qglN-^R-(=?n<!P
zX&Bb<@CnnzxwlWxo#=mywSV5k(@$qP9dF}GUO3^g;4-C${7aQ?ZcIv^J89{j`8z6R
zPWwD}f^f3+?1NprQFjkmoS#4GROS4g8)q(jHg}@>Pln)SRxXTF#rW(!6@xhJZ?R6D
zJJI_S)6`|&KNr4TGj~#m+=})6En8-8v9+H&F_UfPDk+wkZ|6=_;nDYB8d)Q=N@Uuy
zhP`vPFX7c*Da&_o?c7OIcn^M?J8=@z#3{Vmb0$r->Rw?!@u%&ln4^C;ivO59@#o)3
zS2bkrXwRRtb?)rBm8w%6w{;ob*cG{E?!-BBW=-8bXHw95*4ZmHS!Zi%sd>(x*3GBB
zOq<nlnT|;I=DFJ^v34)hW%c|vcVbc4to<UQ0s326o##!O>dmYBd+x-aredMSTUj5^
znKadlwfi>6vN=zFtc~3?uYbDu>=PE;d%KRMP24-#bJ^PyN9W)1{=}hrvae#^oJ{+9
z-PLpF%(N2A^?T_gcIcaa#|<6E7dAEje`SWvoP8pUiT8qZ(u|7Z^JbkYo7b~#&a6u%
zHah%KVvCt?m(7`-cY9v)9*((1H>T*jEM&A}d$0PaPJ7O*trHrKzf?>=ssHh1r2zi{
z>HnQOK65L|p0sq`5G?RIM(#j^Aos~zNhfZ`><r#AC2;z@jQsm^`hL&JSoI*5QF_LM
z_Sku?)BiT!68I))&+#WldFB&=_m6)4%$~GKZlhn=tXV}5gp-cEkbZo5PSpDwj+<@X
z%xn6<HD^K5L??gkxly?%l^%*0Pn77M^FqAx!h(&}73Xg_=e%sNoB4d>#Yy}>?nX4f
zX_SunyFuJtQvS%J8)`2naC2X<o^fW4=8O{uIAdo2c)n=Q^|f=$eG^}Fl+B-6)Zi#~
zLSBE8{->KwXOna0q*n`W6#g4<Ozb9ub!XScrZ<aHenhDJjEpEenSLXG(%wH4RT|im
z88-YlYctbr&dD3APJH!esHpk+;_(r4hKBBWv-@T+$o^DhoiXci@{C#hj4^vUV&=|b
zbd3G)m%M>tcg)fQHVtXX{~aFu==IIq&~xMB$$hPi7c^TLXXJ`z&ztG*%6t6g<S#ih
zkH^i=`Zhmv>IaGQj||j4R#$SGy>ZmJ(OfrEH-Rx}+KhuACrlUY{Pp~D{>+(nGnYDE
z4B3<9{qxVihKC8s3>{6(F2DSf6hG9>pM7s$!t@7EYj)oJH^Ihcmbl!Etv@-={g6oE
zxU#TJ@T<pPv6z>4J~0Yd+$#7np=9$8wv!w`E^8fsGh_Yy7nMf@II`z1@Y~{{KkxSs
ze~zMW%O-5QILA|98&hk`i&t|QV;Xf$xlb)B(w@1eBj%()X3V21cSen}S&LF6R!(>@
z@n+VHh4Qm+SkKMsjk#EUbk6+qzMednpU$1H{!t>3<ASficZo>0Oo>?0hw<}sPx3y0
zm~!Lssy7{pku!FFp253u`OJF)D+TKIC3i|)3Z48Q?P1=Hn7K1n?w#8hyD_G5dlO^K
zg}?ri-J2vPT$1#VZ2CD*?10!riQm&3&g{^07cx^}>9ArDO1Tol)jL10d2vh%gJw%(
zAA8K4In!tR@=iTB|J?TZt$Q!=H-G8~d@%VWNArxCe91WstLNn%Yn(iD+T)ioo9E2<
zyl;N4wp`4Mexn!}{?16T8NW|X)Zu8prKiNv?cQ)C=EX@RsZ&!qrr&g^ol~%F)}o|2
zg{v!B7xZqN*?Ro)f<A6n%gGKMI${5p9$FO0JHIQ)<mkPnYnJoRuf36C_oC>ggmQ<%
z!{ixrKQ1(Q$dR-_UwB40e_71j8MA^}Cu!XZDNUL3VAdM5t+s1<JtaA{6pwXoT^r}5
zD){eP)4dNdSLSzw=?Yw*|4)6+nyvTdb=;e(wRcaaUBnL$6)sET&`yh63MSL$bZme1
zh1WiMZpZFPI@v30T*c$(&gs~${Z};p+nkPFleP3CcjcU}OqsSja+1aQ?lNx1?$7rE
z81~H4I~l++zax6S{HZ@3H5>S+IE3As)2`L2yjUuGmc@)MBChi~wtKNpbWhQ7Ts~*n
zoB17U)r6D9r_A+GP|^*KG<(5W>5w$1V|59?{k1tATA40y<r=f+Z+JVWV{Hll*)19E
zJK`jJW-e?z@n?(U&AA=fkwSO7&QA1bc~$P~!cjS=<M$=@^FQabuP(85%;JyFpVP6F
zy?^t(j_{Qtvro_Ih<?d$yJk*D=q|C@9r<au_@_QLmE}KOa8h)()z%dk<^`wNJl{B}
zN+YmgZpU6f!S&xdR(f<N7*&3nB=J_O|EucxxgF8<wz1zBW<;^Xh%+Wl&Y$05&Zph5
z*uX!@xGU%0{Ek_lwnm6o&+0g_A?Co&4*%{s9kw3tVtk_48Y$nK*-^o=L7#D93}2E<
zd5ov{tA;5}(T5{q&dr!1{)y>;$&;d!2BD88Dist<&#8>fpVN7~wsTfuOU%KDo}C|d
z*L|F*t!B{qbHcTad=J0R>h4`KzjOQZvj=+CY)G-_c&U7RbHV3(Z)bL%xSL!yw^J_1
zz9Id9e~fX=_NIN7hxTXB>D+8RyHl;o;HO2>oQ}tmog4~9F&~&>^A~^QQ8<us!Y=7S
z-=|6ow^^l)NkvI#D>FKhc0XO+ar)y4GmaSx)spNszx?vEqGevmx0ewe8&X;y>}&0t
z)nOawzadHGri;~7hUEV_$qeh0|97M&c`#jzX1Wt`_MzpP*`1X$3Upp(eqc<xyy5(z
zfE!zXG%i(SI&ia2W5&Zh4Xr;LVjjN#G5g`BGqyL*cCAb<o6*^NaDkq<^-4LppF8?r
zR?ciWI=|Da_WgnYiv<h9{xBuY@0fC8a|P1`HN`5Wj^__ozr4S3UT4tP+Y?R|HmHWp
z>CDn!aPG*wM_<)GFeT6FeCj80DsE%-o+WWP4KI$)@9@`c2ugn_yOHAt`-0sY=XMr%
zDj3c4EZEa1aMEG%iqpytEA?l;tm|68Xa37Mb35jrUi6cHt|w14+iIr9x;Zbm&FL)q
z#cTa%e#ab6YnPzB*=!R}d#qm)c{^pss=O0?XBUX}FYTVM(h<R7|C4F|G!yP5<>b{L
zC$9Eox?eZ1W7QSj*iB6J^E-=viOvR@Au?MpYYtEB#t92*W-vT!WH)TNxLS1fx49j~
zFGXf=Lf9od+eK*ZEb+Nd=XEYuQTQx0KT@c1%e^-(kDkuTJOA77=iH8+=N2yOZU`3F
zp4<6$!<OXIIh{)r&LvsS?_7E?`F}yofo&hRbr^j3H>3F&Uq?XFyiQfQq#GZ8#4_yf
zh`iC?5x!?`=LVsLYo5<7T^)a6Ymnx@r=RO4##J<S<kj@diklNOso==m&Z(<glv|SK
z%<Y{1J~C!6L-nR<TpJ&*`1#=22gaCrqMJFkMogdAxiikuCv5-DJ@by}D1EY?+gZ9&
zw*B0kPOth&#+MXaj|t0a&;KpDF4Aw(62naU$xq|v?)SU!w{Cu?-kg}~jXyUD%4b?c
ze`BgY;<}k}qrl^5pEe&Vd9*-+H|ElYScY{;OH9;4G^^)##dk`b(pqUPuT->X#Yuzg
z<kjZ0@{Yc!N}tz#enu{Tatgzm=$JXxncW@z^Yi2n?+lwXH_vb0{2Ke87R4v_Di#Zv
zR@#;w-E){RX2G_;xmBsxuFUMVnP2g9#^wr^S-BsVR9ycyuPaYZa88N!to%(DujY59
z&&WSILyenp_B_*#`{eWIcFnbEdUIyO#6s?eSt5H5d_E~*H#g6FLo!42(%Q)rERN19
zd-Up8V)6vVhddK@3QFG>F_fs1IKaM!zhjDk2t(-kp8}%uax$yuPBa&;K4B&|@s64H
z98c@z0%0?<)^z;5Id}V;ITK?iSsdp4xoNZEmT%SPwGT7KOt}4c<LW0nXDn&zjhWQe
zyZ1x*#~D94I89<^SugmN@`Z`>L)_*SFPNBOMD-W^@muM^Db~Zf;Od;LsoUoDgwLCk
z(=9YF`}mw3f4K!>O1HR9=}1)A&&cW9aPfhFZqEv(Nrh+UWIp=*bn`F4iurx3zo@E)
z%__K&{3A$bDSOTJnd(pW1#DdC_IYFc;V&l&X6;(B`NWQngP$08s67mAXsVgbd+dVL
zxsI-#Qs(~i(m%$`N#8PcM(gbpKUf$8-^VO^5|e(SXo>yp`N>6x6eW}YZ@AMpasT;Q
zfm|o#X9_0u&rF<=Bkw;q;ojm0M<%+nuQ=-=DRh~44bz;QH#?@En7~sxbI$X5o%+^u
zbIfM&_%R+iI??kD(}JHrH~N13Y5all(AP&ZgpdEvz40d^XX5`od#B3w#4=tmauvLj
z6FWm}li-1=|0mtl-8?7%(xw}ID^=5H%DZ#S`_{mq;2!fWqfmS2+Bb(z>~_qK**Hn}
z+QO(cC-zBpL`%=K6xKdb{3l>$YD3JDn3RsxjZI8xC(2sYHctQir{UwC8MD*o*iIIh
zxzg0UEOCC~w+ZVV9g}X~l9rn>(bM82<7;NlYa7?!IlnS)PS2*fHg6v=r#Ns-`_BG4
zX45|h@mWTXf3|JhaFmHL#$%&{=HHVgX&t*-9cH}hXPxn}|6fD7z5K;U74-wTGx_V@
zpFFZ>hUtM<G4eCDo?qdPh})6z@YmJ4)_<JUd*;=g;hHCKF6>hHnz>$E=2*WyH{<8*
z3A0S?SM<o-e;^-Q_J2m!hnU}!xRN$o{h66A5UKQ;@$ea4##P+Pg4wg@n{T*dAE|V4
zro_k12h%Q^MKGRzCCGj8zx~eMee<mL&I_HRmHDQ9g6U!PlMVvgl27hDdGO7=qZT(U
zEnoH@Ibc06bo;#Q`I;R3D{s$rRG0JCpBuJyo^7uB4e5ZL_H$}Z%G{i1TjuwoOyp_w
z{E*7q^K8TBgxs5JeZ7<I?{kHmH;suE^TKY<GoLPGyJuF|kGTof$`ADyX6EnJ{5SFZ
zoB8oqj3hYz+^(D(_fwTCjm2?xqwJix`T1{I=g*CMYX0!}B!@*Tj~h+S&yV+7%i4SL
z+V1SRPy6`yuDC9@;?G?Er*q<#$MGsx&yD-}luP-t;1dz<iOY`8kM~~7I&tsZxIeeV
zLg&T#Z<Smlz>>70!$<kSjLiFOF|Y2kbfq6;N}3mU+SACSHY)6@)|xJL4HJR*xfW&}
z@h2S{WU3o)rO!=Rx@P*z8xQ3_&yAndQE+x{WOUv9_;d3GyB})U>(7n9+0i^jlyPR1
zz4hFLrK}TAzK~;?QPsyb@!Q<^;K&D3fhrwSUa^JpX>XdF5HyQ*<{P$|x8}#6&*aqx
z>pj;q*P5?Br!&89Zh{xDe)ZgVPd@$M^W#@0#4>E0sju_kK-k{5I-Lb!a}zdo1fJwc
z>TG{LH-33e$J$dOGiJ`_|5B;;Y(~aKR%ei#=Bzs<);UFcZbBw&|Ece8clT`UX6m0J
zqczjgzUl|-MEm*iqRI9%;wNQfd)=AiJ@@bY_&fPOl5^%*o}74MZoGf`fn0vZnDiwV
zZZ`J@&rT3+iE-da+8DENn|$)m%M&CVl2l^i8HCpu&QF{&!}RC{CFPC)iP-;13s29U
zVZz|~ru(YpLgn@kJCdT;f7AD#YqWRc2HqnvlU$l^2hQKgWS`%;chBL2TNGnFR8DCx
zJP<Y~{@wjK=9lhIJ-G7dZ1d!kEgRI6W~5nMFs**U{_VkrALll7D!=_;d+zmxsLo?a
z6JMU6a(B~Q>*e*<J1sw5<Y@dAxz#v(n`3W!MdFHwAKxlH+tYn}o=s5Yfo^8TB>kAW
z^9R!2JpAIZ!-YvOhkwGq9KH<^AO0uFOj!F-_(IY1xw=PJ3MF4O{@7T5T<~D&{1caI
zCTy@tx*WJ~>IU684*BzvQqRw^te*4Y-kjuf`SYbW&P%>rJzv4jdRDUBg;>c~F%4B8
zZ%8q})KdB=HUHxLL;Y(m6n}_)p`CtHdd;k^$O#*6Ft0e1$arC0E8_=Il^e%z7}n28
zeLGL-<&UHdizYJo&r)`0;huDBazW)xhgRVYv*pd+PSE)=CnjeJ|E9T0cM6YmGk=I>
z=$fNcu_|xlPJQRSMJ{2lg!inBwd@eLes$pAoK(BhbJZ-HSnV$w&3Z25wNrRvMcakf
zN0;zyni}=|?~K@k$qd~yl#^$~`hVO|!5A}F`P+dzhhpZ&#l4-c{B!%sZE5op&+jN!
zYnb}xO3aFdH+DRk*s$Q0n0WQd1hILlk9W?Vlk|4`T(vuuGvYVTRx4Pt@~_x@wN2~_
zC+5X{pEg(R*Uh9^>6;HoC>@@;Cg#%YjL3r$6K^rxDDi#pV$-X2?<6K~3OGAIJ1Tmv
z+PaM~pAYyveo@Z4B>D7w)w{9Bx?Ja|emd_r!T6v|lK4EeOXn^<o9Wj-N9}1@XTY%u
z@pILJejeb<`SmpHne30VbNnr5&sX~;cl=<-k2(H^yA$Pp#Lu3sHphQ{7t=howSUg!
zro5FsJ5&9bU&o^lGC#iGn62Saw8N31ao>E6TXX!q?RGNw&(*B^`K9IoztM(_39=K^
zk|Z`Jo?l?keq+O*q@DV^mi7H;X_=*Ye2(9F#^nFG$qWqZVxA{4C;zXmNpfEydF_C^
zPLpWQ@e@fW13%95YfoCv5Guj+V{XK|B>x7EcCH(x_GJ%m@c((KwSlQYNA5xQOwAK6
z?QAoA#LqF!+}SWqo;iC)Ok9)n<{AEv-pj0Aw{4F4o%^bVV)Y-K>kc~qc_TA7Bl*vX
z^&j`mQ<q_Vlcame=OAO!(H9KI`Co`iGu~w2f3hHLQQu9=I@SqZYx=Kz6nHBe_I|3{
z%FS<NuTP(&vHU-O(x=n&HFPdNTs>{BMn%jUInM>=Wv@=EEL^xiQ~#~p{XcviUP`O?
z#fd$?H)rwR1rrK4)`UH{-}8IhwD;orZ{*6S&DGfcLjLi?aGMt<a`QCo=NQY)*R<Pl
zV1@mG9ej_nS!4QFXg_GMuj8C&@@cl#jkzW_=LW~WZ`72pV|?`7;^D&ubxAXfYSxtf
zV2qg?a(l&Wi5LIAS<elv@}8%6dxr7Gxq3M>GB^MH%e*>fSM#H1%rUb=Y^z_o{$aeq
zS<(1vbK~~;4BR?%jOrh8u_bjDZ+xlpXeM{R_B)m7N0}xIKT?|aPId12`KFibW)#i-
zrFD|eHc~>aGx_X1v!<l0FZZ=F^2k&$eNuRH;ey46tM`&7tl9IDGjg`YpLxbl4t#ig
zVV=?RJ@0g<Ja{Ia{qnd7qwi*+NUk{#pDR8VG8ED}vFzZdn{`Q(j?_$8@Z=cRzUdQs
zIW{ay<eD(2c*}?AIWenk=Gd8jnSCN;L)*tWb~&^3j((h`d~(-DVNb?he!sHWwKo`F
za0#EhWB8M4&DXOLjPndE{pUDG&NEO{l``v}aOs`y{3OQN;pb-<_RTSp{g!m$PtT5R
zGaZl5jFMyA6eE!`X?@bqs7A)51xj-}Ql(~mJ}DqNdC$amhEvbaIMLS-_<zlu6BRu(
zSQ%sf#eAsEnKdbilP|VorfBwzWp#7SYCgU^vX^0*_l)dkF9greaFgO$-Bcd&aL&g$
zron6n9!^TKGo3I=|CQFJTh1RJMz%Uf9Dc#^d7f9w9P@PP3D+4{ca>DkeEmeAvcagA
zse#A#e}h;>gIvwRGbt)u8y;4EoY;1A*PK0FLN`xpK4e-defqtLcjOO^kF&1*__*%j
z$&M)Tx)}|!pJyI*V2mkN`1Nm&_M6k5H$TjE;aHZaEvY1A(91cilZlzp=+y#Na|ZsT
z_E?4qNj=;r&&EXL#$1^2`Qt3@rlgGxomXup&iQlZr9dao!6WZYWp!d1{}kIK&v1~N
zEu%kAJFG$H0Aox*(uPa3=a#!0TrTT)_IZYmGYex;+>ELpoHK+UGR|1pJSi!zA?^IE
z@<$CB$`ge(S9h^j&Wrif7^AH5NdL{w=yx)wcYHhaf3|)fWBq|7homnvJh&fKvc#^`
z^$^bZ^ziA8zex{{Zf<DV$UEOqNoaNdOb5yM2+j)vZ)R>SoN(gRlx&gRb0U^Md8Ike
z;P|ZR%mFc{e@e{WGIQI{m&e6FY)?L!*lRk|TlOc5e5=IG#<r|^b7TBptz`M5z%3Bt
zQOV5xp<~Yskq1e3FSfL^ytK5H|8eQuO_uydsb;Yxo||`MvrjF&ccZZ8($YN-7TA7x
z^J>|l*A1%NjLAEu%s%;XM#3VNl~Z|U&q;ZHGK``9CF{Hg+wAS<7(Abs^iwV3^Kt+A
zNq>YJyel60PGrCPSAOcAc|LDmv-q;yt)6T6ZGPw-vk7byHGKKh73NyZ)tM8zo~1WM
zC-&r|qi=+wQ|E+OTF;BSy(InioZwHl*z0FncF*;gyLn#llsV>8x6cXQGf#iM?)>1r
z^DL+Do#(1zwDtF->Wa5qmfchKO$$8QxjSuM@XuF!<~oLmO}gDb&vN2~^K-n4VpD%C
z)S2T|*`a)PrelA=yf-TqKVH~i-I<xKImdCTSiqr;_VXMLCja;OVJCkgCnoMi#vYaq
zzQfjCX8AQLH_SKG#jwrtsGMW(nei+oy<_(r`}7UU$^WY+bnvBYNIDd=bmAO-j@2FV
z6Vxh?ZMfeXGuLK*w^)|Yn)hrhnz8>GY?Jtt8G4fcmqpI7VOScI9U~QUz9oI%9GmsZ
zIvhXaV&_=qTqt_O(h=?^z!x4l*J7of_5ApM^HQqo=W0gIweVzh+$?hIuEfS$)o%iC
zx6C=cLRhK)=*>BPD|!CROS!JeI(7e?l&_wJ`&edv@=TeR62F{vW`*>$mmeFv7V+x8
zoo_yeNB_^;tdzMHs&9Gg=ceorXPsC#FJ<+lMwW%uYvx<T#LeaWHb3S5Qr@X+=34x@
z#o9f`+-vWgyu0`2TAW(XtNwSs`IWeN($93~>M#8}KjqqbxrKk`r+i;3`+Cp3l<OyT
z*k|swDw|{eYVVxM%T-PH%uAR&UF6SPlR0x7rk<K-c)o0I-t9StUQefeQhz@;_iEU)
zC)?&YUe2-W|NiOFlIM>%&vBS~d!EGhISy05J-HY3ex|dmo%cibu%0;)x0?j=+%Dz@
z{A39`{3n*-jQ&jRxE99E8%&ZXN4Bpz70%9>^y%YH&JEogpEqpgdUGo&WXe3TZ5QvH
zU3I8y?fN9ADQ?xTXYGr;8C2mjae?oK%DUguCqg$Zo~_0u(S5=ErL=hV&ks{X?wQYH
z&ky68W38t>M>&7q;jOkCj+@<5+2p;UeU44A*$kWNjn_Awl5e@v&$xKc#}yR|vlJOG
z{IQ;8_vv)_hVa9}4HDUF7#pgcHEvEjc42z=UadXnCJ3faT6Q?;O@m3_EJxm??9{!L
z^OZKw%Z=*Xb(OV&_5Yp@5oH$cgN$<-WBR*)DIHm!|8U2)d1@6&b8^@6$Nv9R!f>nO
zr!vEX_p@_PetIy|P5OBDTt~Z^-8nIKJLSI3s_od#w0iLjY1`ioo;POZ_1iUU`F_He
z=|HoI^|i*xJu~hoGaQkgDRK1u{Jg18?o8h{$K~6$`KqTI{#Ji_nm;E$Zu>mNt#39w
zh`7o4rej4?WBJ*cMb8_aR`AcsU%zR-qDaiAI)S>``SYG6>pax&Ing09@w#}@bfMY$
zGYXH-vdMPo7O=S@v~JDPS@~)L-rjRH{{7;3GspH$+}z;m_4D#hGGxu1$^TtaNVRF!
zzL;axnLeqa)zgo^o#1bJ`|j<!hCQEW)*PBXCnr0J;m(x{b00F!3D=KHY6yK8GuL71
zwmGUXl^qR7Uj|jqvfKEEH|*YyT{ah|ew&lC`rF*FxYD>4`85xm<>qO<pPk>y!nbE`
z*!NqLnCid16#3KmJ86#RQ`<S3;a)QSQY*q{EWMHRVBL?HCu=ME=M}vFHdnKJsjTq!
z8TQF9v?falPtgD0vw%V3_nWzG(;F-{&dZ6vJtyj|pYaZ{6>QnNE%wZqqx1E6(zlfG
zxH(a)PtW+jac*zPY##fn-}9qNb5A;K{Li*RB>wxHs9WDpig(S^x&8it=<$E6x6fzU
z`Yd_grSsD^%(hH9q7pN!dzsb0xpt@K=|nG86aF+|otlT&`gu{cR{ZwA=S9V4%2-V0
zwVtE1T`MkWUexYO;&X4#i+by2`eU`|>^*a$uFaLdeQsV<UHB@I*&YSS&LQD`3lCOl
zhqW(OsanY>ynb%f-KTSW^jFV|s{J;*ZO)>l^KNZ@+v&W4Z{EiEjm66vj|<F+kGeT0
zYW?|nw{AsFJa_!%oTT};-tL_f6=ub6f2wTS`7JB@=N$RJZSJkGEPm@%<$Ts}=0tsO
zkEyKqa-_40@kH0`g&KS2MqP{doU~8-{<rx$b{{9MSU)pr-VEV2J3D^N*U5f<lXu<g
z^RuJsKh5wxp<FRv_j5<@>|^$c7apX1{<-Yu$~T{9>bjTBsES+Zxp~3X`3;@-f2hyZ
zxqb2NjRysP=IO}Rt9Q;{Y4>_Y^vPMr=0#U+nsw#r%g1wc)^2*i^n9MqdW$<p7wn!F
z{qw=J8|!C9_cPAb-L7V}AY86)7UxN;8q1zJ(b;dLf97mtoU11$f0N<uL9T>TvH$1l
z^|Q{<v%SYSNAKH@YiSFGWwjT|Oi)YO&m%WiZ~Na1ES#KX$qbuXIcz8L_RZEet@E1~
zeR_r`e`@y(qecU9JH`ig^Jn&+oNxM(v4wGt-tj9Fs`KI+O`ceC&Col0BC2!aO4)`J
zv2Pm9H-yZH=34w4b^gDB0eSv^(do+WmUhOjb+-BQb*`Gm{GSswMI+E+{)N2y`8v-R
z?dYv~dH!sdPsJRa*SDrr#GPy`n;)e=wfnjJ`U%nz6VLXl&3GIh!M`Bm2gin+j~VCI
z?T_f0wj^0#uCe^hOXgE6svke@o)a})zkcdz$(x4%XWuztm^eSmemSpoe}CdLoezOx
z7x(tx6#Np_pR|C<^!MyZo}AX!%ltn4<g?G87d?H`l#1}Ow=X_0#>|QGj=wi4_f3b(
z^Z8Np^M6Xsm|%9k^`%tO{!a6V`MSH`%(1#8_u<0sIqT0(nZpua{o!Us&4*hj<}yug
z5l@+DW844X!CamFR;%Z@?)mWN=zN{qCojlcx;}eF=+`;#F7w!GRnOO5&STp(W3JA2
zu>~BA+7oOyF;BnP(-COM62tIkzE0@R`BBTm#b&$CR?ED(v-9)ZmeX(N>a10{aD*$m
z`$x0b?7aH9I@3jFTjkHuQ5T-QQR?=rAL}bR<3D$HFPFdleZFqlDw*3i7R+g=_GIdH
z<dL|4D|ga7o%rxi9=h|Q{C}=o*<U(GXTQ?ff<@OX=jv7+i2d&t%W&ex)&6<9+gGa!
z?%PnDzx_<)JiXVq8#+JCn;U)Z{-y)c3yr(?sPF$gU$=Za-}NZ1DRFb7SNg|Hv3ohE
zdd~dsb#tP3cE!xGiqEocIQVAg%yP9GLf6>mmuqX!*N@*6#65$xE%yHdG1J*izvk&r
zpOAFy#`S$4MI#+_W^rtOwkL9)!Nv(IZqBh*znQaaPHg%&)&u%~W^Q7>oaAzQzU8;M
zHk}*h1@7I}HEjdqgALD~B>Fn-GwWp5T=*^QO!bO4VG|OMI6V-XZyEl60f*^Ras3%W
zXXlu2o9Phxghy|Vsdn~(``=~@znP^kvBf%nV*kFmM)&4A*qvm_efsv^pJVeKCO>(*
z-7Cmi>=V=K8IL^}cx3+0Nm^0QvHV0dgWSr7oAVq}BX?vydH;EXO4<vL%`=UEPP9FH
zaM}Ea!s7q9=h$afKX~_t{lUAFo-Ff(;-cpzJWmsvWAXUOTs!%n0_hXiA7+o4Wv9RC
zfb5)v)3RUFSN?y#@a#-m{YMN1duH0oeq^X%pKI&&bgstkN|6K89!pNJk`2>3ba~G#
zTP229KW--J&F1}l%HzZR8Ntt|cYmIfcurlx?xd=xfW(pa@oSPER{nTw^l^c9+Jg0>
z`iCl0x)k%4>YSftr=_G+zlWnze{yr&EZK^f10O%nQJ!ebF?phJ|IS70WWO=ZwbP8A
zucY4WqW$2|zgc#Ig7;#|bS52qa&XU&ox%d53vLR1nI+frWYWa9$LGt0cPc8!&JeNh
zo^pGZ^w~KMcIpzj`5o?c6Iv&pn0#T~o4LYIw?^%+nIrIYj=i{>*~)(cx7IMtbJ+YW
z>GQ-t>2tKz^XCYBGL)PlpngN8e%em4zMua$&iOGz$dxJb-Yk2=O$KH^J@X&#`gEap
zLsZ|z$wxSMF>Wx|x;E2c;)#Q^9b#oZ+|7<;vRq(te5Qr95d-%{lYbkZZTz|a$IPGS
zXPVmo+gLF<$YyKi-%WE3w#^aTzv&hOlS9nypX#$F{Q5kTMZNL&&58RbzA&DxQg_<5
zMYw1}Qj5X;H<O-3&f(PZpC)&2o~R$=<PS;qNi&U(iEo&ow@Kl`S;4j><wMUuPW<#^
zj@ao*rw*H*o;B;o_Q#Arc6j`fjMJ!|H^*r0lS`E|+BVPj+Q2ne;@Z!-2G;%4isl=Z
zW}Tn*>DoNQpSDxJm*<!@82(7QKPmjpf_;qtV`5lhuQ4o8RRHa!nE2?O7Z2l%bl;ik
zDshru9Q=L?o}GO?r@{B!mx(h?wB}9wym!9P)~TPL+e|r^KF?$BQHJWIIVM`S=PBJj
zabuo<?1^vz#W`M&zdZZX*^)lTb$XmY%(r>@Z{{0+o;U6N-Z?^hpYPwheXhW@d-LRq
ze))<`I;;J3_jaY3d*%q`KHIJz$sRe+Zhh<<&anBmJKs$GH+_yx{JKer0xe8uCz?K*
zZ!=$i_gulJbEV!-S2*?N+37hp`n8*^1E<yBm{`AYzU{f`bCvIZnrq`7dnkyTDQN;r
zRovtw#UIV;7E}sGeEh#BDRPI3yC4H|gmlu>|3_b1bwAs<{oGtr?Voc+PEX%6f9LG_
zH*>6lwAFp8=Za>s`sdFTc{<_7`MGx1Kj(^gvpU|MYqd07ZpXE`qL)}_ew%AmxqGh1
zler@LZ&`287kT?<!fQ`y6_%-yonn9HSzW4}8>qf}uGN>5oc1r*R`xi4nj>=U{_hD+
z{jtwC+9oeFn{PE;>zeXxtB0vOf<+@cyyjUw{WkS>?EUBSY-Dd1#ZH-CRXy$7?<r3{
zEqM_!)AsoE2iI=S<@@|#jnc+fPw#9$k@@-I|KzI-kKaDH%gPk<?SI2HVMg`e`UiH_
zyq{rs?NQ8{1G@#zGw5nq|F{?SV13Prim)f^w@taTcOKvVwNw90onxY(KZke!e98NJ
z=J9@=H)XSZ{w)4Kv4><H&9|I-Z_3uomGdl9Pu@t_8TIH;|D{j9H|P9$GvP<%N|EDd
z=5L#_duiX1+e;rN&){HXjOm}edy)0`HIqJlOlq>5_oQX|+KsuAH}=`j<=lIex%S+u
z=z6!g#&^zcnqIak?zqpP^RoqCt=ZGO>Bzd!ZF9n+cemudJ;L&MwyFHb8|_!-o1T6<
zZO_Z?bGbHke7O7Pfb`=9p{Db1T$?3d`ex&<!zmjc%$m7Fe*Hqdk1}&iy`LXtoBHa{
zYzw8;TPj}!&k)+!SUdaiw2f2u+px^PkUq~U^xo9{pWe^rIXd4;YwGm<OJ~K*G!JEw
zl#W>v`;B4a{*BZ3mE2FC*tYoqS6|2TIXhDivTU5PuVkX%2KE^$p(zs_rtR09KWXVK
zmC~FEE2d4^A3b66w5j_~t+L5Bo7g+Q_287Bd(-PX6W*MBkUn+)>C5-~8BXR*-5)nc
z<>j9bq7?_#_dMyDJLTtIqXU9=Kl(oTF<hIe(mmzC`_pq&wSGQanp`x4Df#Rv-#5Q{
zDyAMhB#|^jF8kaJ?kNW^|GRKIqGg7l&E$iB)HfL?&sUxLgk$=YU;S<uQV%o5O#40S
z=Lz|LNz;DmOgj38>A}PTn+p|tBv*Vp%-LC;-FKl*cx8C(pG_vmm}b~$O`CRL_3xYw
z4*zB<f1L8Oj5%p>EW^IKn1kF8g&s^kI$xzOZ0fI7o2ML%Elo`NwCDBIjhB;mbRB2>
zA=!1r_3oSb%;Ec{9=JW1Ia_i;-uvS`k@J{yx2<3HYt207X|vfrPd)HAZpsy%XTf#P
zC;VBFz2V=)t;g0p(2-|}i<!alc}m%X=`k}{%;k?ruvt&NdV9*@Q*&9fKW~_F`0LHx
zY-b<X%-?us&w}pcX}4WBbk;rKJF@AK_6o6^2l!n#y?bKKqp<ebo}>Jq(&uvS{WRtH
z&lNi+GR%1pE}zK4&l)p%S}XH>&hMw5PC2d0^lSY*PF0r1|MN6+ySFE+&(+!<%~iK=
z`q|G9rYBFmm%e(#jT0Q4bF}ly=5lKPJP<tbLImd=&bPS}E|^WcSa)t7hxPAcA|gqv
zPp<v>(Dw5zj(G?6ui0?z`7`ZyL7~t+8_yk+n9GrM`oQyvclFn>Z@8j<(rF{3I`>BN
zt{t=g-(a4Q_n3K>jrg5OF<V@|9T)rgXTtpl$qaMZ_FBC@A~9tS+xJg1msQMIzGlJt
z^rNfo?GJvwH<#`A+j)BB-~KG9*?92YlRr8K|4!R-ICsLGxDDTh8AYd6N}Qh|{Y3KP
z(fh{_Ow#%`huvH1tJ%lC*+0xAe%nlT5Zl0VFXs2=2(Br&I$0z-_PliXXy5pyOJd56
zlhbZ~oWp+m+dRfk^Vn~f&E@$#@%rNf8usrG?ycDH=ll!_{d;rSPtT}IKVm-r@AElV
z)-XP4JgMONP-*@{MbqpDjgL44rcb@qp7fI4{@b;V>cfmNGm;+P<S?E8A(g{WV#y})
zNr?&4g4-X?GXEwz<5{xVmHnpm({At`s^W-=V{TdY=3#2YlX=O>bD5^zn|SoF;guux
z*XA%)=0Ba6I-hCoqpu(IS|5Filixj`Y057CMAl8E=Vvk5)twaj(^aL!+dccI&6K;#
zYi6>?b@(ZJ3VFYu%k*X5^y^+srProi{&XXG-o)!i=SV#2D6|(l_vz0+@d<Xx%%^_Y
zXHUKKbOw*?{~d2O#LQq#|8w;8!%h8Du035lWozyZMcM!J8B(TRdHHtQVqM0-|MMBP
zOu71W?bH){r=MGXdxvtKl0zV$kfimj;JUfYC#RhIbc*F@k6_nEgL0jzXZKD!yZ_s>
zj!l!#xU!f2?wqMJ{mkA8_soCKRld=q^|5nZ<die_rk%evZOWN=Hp}SgXa4C=i<o}q
z&&?-EQ_k$%ZT{ilv?*uyOu2e;a^ElS8!J;Q&b|1ux$&1;=A3Klb9gF0CMm6-c70lB
zv8?pUQr6$Ic{-SsnHqXzJ14xWP&>4sNcQ~<o)b)>7iT@|m@1n+?Ur)PMkd*Nsnc$K
znxMiJoASS!Nvivh>4~J6LrlCkoU1>?GAur@s(;~B`G1U0xHfN<QDri@7B%(0UYO_&
z#t6nae5(Hsuy15OAuGq2J@GzMWWn>8#H4HUI^I9dJh8)6EMmi^IME52$x>6Q&pvdu
zzc%H;ukF*PJ)XIum96i{+&6pPCBG2$UnuaY@#2&zhwmKtZoP+n+KM*8q$fM#Kh4~D
zh~x8*lUy^VO|AaD=iSn#WQHxAmyaxHVV;m^9oJ>Mr{h3E(z6BI+y7U{*fhG>L^QbC
z2sXI6NOydH$&>hVE{|&ef|hBm;?*;ce0z5FwaRKPmZbWZJolbo-7Ug8k*~V%)6Y3P
z$LBNYU7Ps(^IRUkvRO<Ur!Ei{ILVtM#lG;<tckN#BwQr-L`q3_PuSQ|KC9>S*NBLN
zfkzJ-X9@K>FkTb<$#<@!&Yd;&_srLeg~X(u6vj^|mHj`DXU|l}`4u9qjN2Cfe?BG3
zeE)^JHyaqvK6_ae_VDh;c|7NXgd0Vg%O*bkGmqzT<<yXqb9nS?PqEBgC^&x}Pra4>
z)bz^vJkNtfw_kf%22!HQI&uFTo|5%^1%IAj4Zl70S9<=`hx?~}i2XL@VfcF1se9+~
zT=JAQ5exgIxNrKy@ZWQImY<q>B<hd;9G*-2<&J%t&vSnI)R+7B%;CA5KIM)6?q?TO
ztUT>kDTmGD&P-L0ns#6PC%fxh_T_5NHE#F6TsUj$pQn$fPrJSS@6*TIr{3ECdHT!n
z$Z2<O&k?oGeR6EC){j_5sYx9Vd#2vj)m|B(z!CdD%yR#aSccORZz;e3BwqG&F0*9P
zb^ZfeFMdi2P1xDpJ?-Z9wNpNCoqBWc=Y#W3T)fu8SNCn#?#&O<W;uFB{Cn`k_u}%n
z&Tq~7G3OpU`R`$J=<d$Wy3X&P=Slj#pLXl^l=t_lr`%orW@TAL182L>v=7_QO}l*m
z-jok{_otrM6f3Wu^8V=@(fL-Z_g;KES9JdUX?Lz43C{}tGgHiNQ&w!(;`yzwOgja;
znV3~(iIt~h)^%)>Pj8O7S!KV`V=?Ot@vh8iZze{bWZ;`{KkMF&b%KSAUw6+G@5tKF
zwL-3R&EuzObH(Q$$vV?MM_l`R*_2<I_ETQvKS@|Soni6Yyz?!5Sz&X;1sV2S+5hnI
zhvWy3xfy@N>`gjhnh^WH{ug_1QiD)p(oDv)&!6PwKif5T&D57Cxg_uYb{64MH#;f4
z`_!Z#C$|1M$iVhIM)4;{&Bu(2w-c_PUHCWqP0rJ&)2BXqyXl8WB4f-vk?C66Q!nH{
zd19-*VY}FgikqKo=8L3$^Xrq`^x(OC(*7r(QYLuY&VDLz;!J!(Y|M;_&(Ai5+?*$J
zv?n)lPtu;4vvbw#?%kNU@pI12i(!AJzPx(xdFHzmDf>VF+6!eS=RA|x81q1)Q(|$n
z%*H<x|0T_ln0}N+?9v<w?M*Cv)8A}dIA>M*yyEj0lI`Q>Nbani@-F-q|MdxPXFr~A
zZ@uA3++4|*d#7HF(UfsMsUa@AZ${|+9WwGK7-Oc-V3{qZoupxM<;GRpn@l{@->0#^
zh%wckc)e_%Xr}&@>*2TLjVHXGb)hJ9&kXmZ8%yn<FEl-<D7t&T=$>cCYuBymU`y&e
zS;2U7y^2A@?AM-)MGTf1O!_v5`K8rQi&a0ruIV+IG~vJOM4_HQ2AR1N^8X*GtJrC?
z`2xf2sUn*jEBog#$G(bk+WYN9hOn0$qxJ#6W0R8SG26wj7IAzt?N&(K^rM!m=P{Q|
z;%*gqJY(HNftTvS+os>T{B!z+rxj__bUOJYa{tUc;g%^;TK44jL?c<b#%*($PuFgW
zntichwx{?Ow~#r^wd;b#<{r(S7ST23eQllow3}1S*PolCd;a~C<I_J)|8=VJ$?>H@
zVzb@z`D{fC_8vLV?r;CQ;rvN$_qoh^(+j;_60EufSj}D(?0%>05yhvm@Y_7*oxwa4
z%ck7=_x|k+X60FrH)gF(n$LWmoj+!s_Jmt9CJn!t)<3BIakB8S{?p^rlRwX9mS6wq
z_=JrcZ_Z(kO=H}~ZF<u%d*bIkH}4)_&>+QEvHAtm2gX-*eH~u^-^|<393FG7Gveva
zCA(tYsu(Vg`u+2S{Cwu+2N+`+TIVv`e_HhM$%*csoHbK#hx|Er(f85Q6Vo?+Iyigk
zE!l+Jq;*ODCrrg(PgFd}7*pDKraJ7S<;I)mxEX(MsK4>xge&i~x+ypIT-f#Oqn+)i
z_m7-sGjl2ao>2Dj_{Y464!4aDR!OtGNh*D?`T5G4<CEUC#oRh@+5SLn?ElyppBc<~
z-&Wl-KInfV$>i1r{y#}?oRcpYB`?Ud58Hl|dE*IA=Ti)_hbGNR{y&3BzhOi2|CA&*
zrW_Z>z?cguavxtsR-RaKwmJEK&4;Ym4U91}4J0m9-(*soa{cqKf<yNvy*i}!;F$Kc
zn^zw<ow3<8@%`b@S<H2B7;i`<-}x)sKk3Uv0rTqVx4!P3!+br@euHG(9Om8kr%bI*
zopS5_?$3{Y&YE&-@97C|>-$#5vrqVOea({-pHrtE+j{=_39aW-e(at8!G6lEt*7QO
z*Zp@}t$bkazu5tA=JBa}DZZc2e6@4K;<?jrUOzSU)~(0|l1Jw-*WEoCm_Dy&9#8b7
zp!)gD*I$M3#NM34yx#6)lyBnR>A$j1M(v-|e{0RW`7XK#UZ1PoQFU$6>^ZvIPt9S@
zpDHp}D|_0l)OpPN{~r<h!1VNlmAh8v&bSS7leurQ=p?i!o!?<7{`&a|m5lP=AD@0~
ze|F+j-HVjXPl|<;Q~tXMt95R$-+%IR<%2cHpP$hGJ>~P89gQ0qKO{&`+I`@BN9G!t
zTM6Y6jL%NCU%#=?{G00DmZU}V`j1{bH~aa?+E;&WG*`@LS+8|?!uq*SPukr-eZu1F
z(fa4}S+@Ru>KwP9_vl2~{wL2%r)iyddNTagrh8v6iA`wA-eq%d|B{VUUc_$_xHHGj
z>+KxYsjHtzOq=?6`>h83AJguBoc!y@1eQ0`9$%X_{g3|sxolUjO@3nBxZsn+v?r(K
zV}4J0q8sDsJ>^H-iz}ZeJ()lKTk7%KJpU&y&cCw3Ea_Z}$U~l4&(B<)$G^klyUb!9
zvDZ7&e(pK!$}&}K^5^OayT5(Xnz&MG>Zj%B<|OKcO?$ceD39IF8yoe?o>WapTIk?A
z`Q`OL4+@@5VB9?Q<^8u$YnE@H`Z9VG&zZe1&eu(Ud3*ZfbCu7kGrK1wuh{oJCE&@F
zPaCJcjOy#SGP{Fc^!LV18;oxpKFojPFu&;G73S;{oRfDvmyL^=@*?~EwAa1DNt0h4
ze=<+6lOgq%#iSd$lMjBL{CwU5&W$TX)gvbFes-ZY?&;opQ=irCeUc~o|H<4pr)C_V
z+WGw8#<SC&2knVj5vaCv+Vd6n-mf`6<ym%m7oTY^OX!rxEC0;oU`zgATxoP;$)(dj
zHfV`;9XS5t0OJOU8|ywCpYq`Gi*R#Q7DLX5`!8%ine>0^?%V$kZu`-{b>7iQyR)ZF
zxw&cLp63%56>by~60|=3|Ka0ZGnU(bn>_#7V%H<gvTR>?eonk_e#%Y%$Vmr3Pe@6B
zV0e5{PUMX{g`3^Kz4iQjO5yQ_+&|A3Oq%lYYuEQ5v*dSwG`{&@!O<DM8?!F7JbNC|
zYcnJ4^OToy7D+p1#MSvvdnuh{Ss7rpQeg9>-}4x_-%MS2^W&7GbJ^@SCr*2H|0CCo
z6Z22*-18tI=|tkhlZSU^e(u*eJ#Fee;~UpLaeSHjDk^>A@{JSrKcDt$@9AmxZeN?a
zx5|IY?bIpz)}Nbp@&31I`~0lqj%~QpQLa_Q#=UyJN<TBd@a)yI^ed-cJ9l#T3BgIz
zpJh#-c6IyP={vVhx%RZrWa8XdnFE)Tu05FW{z3Bp_y^7He`h7P|G4m>{>{Yy&u_k!
z|0F5!`wqwHY11zLowk4LGta5lM2^=zpH#Vi+K;zSCQaY|WQ}*~Jf8DY_s3UHJ6&}D
z`M<qKEIoy|6o0B*zBaLG<CLRUqGu=-J_+A=YW0+7Pfv(Ge{M4M`1>bHdMyc?H-3;h
zW6J7y_Wcw8xz8@|oyyYvbU}RGO&NohmOnSI1zce5oqUnG*IWKgLt$5-my6^Ambq)f
zo-C|<%d0!><JNOi?YypBVVz%cl~?!nw2$kHH!lvm_jk_6_$eRnzY6A6zjBB7c*$K}
zb&y=JOl90d#XZwLUOTUGE1192ExF;Bp&j3ab4d~Z8~xLJY&YIC?V9%PYM5wz*wcBM
z|EIm(YqC)7pJL|g>F;7su}+-!wtg;O{idh$yi%vV+ZxU~^WU_$ujd?b39wAznKbd>
z(dqB%rn62>dcXb8=9PL8t6a{0xR(EK+S}?>vGvp6ZIw^@<Er!MlUz^ShMjwE6ls`E
zfBkG;$tS+fhrLP9=S}q%-T$*OMdLf4^X@6{BIh%m-x+N^<p<kU)`^>*%=6lMV`=@G
zr}K)+rvLo>aP6a~bLVfG@^iLI&!>r;6aVN*Jy;ZO!s`Bau8GT&x%H=ZlvhtWbVU5v
zM1|geY>_{Np3HrI>Vw$E*y+c^ZqB^rUofR?p;Ss#z_WR>M`9d`BsbjnF)PwUXY!go
z^JmE2nDEY@Npg?D^mk=z7#rBTAFaFlAohRa$H~Vx%+%Y&Wch(bse=7vQF8_VWRdJW
zQ5Vl@oK5-tY=MpF{i)kZuf|RPxjJ|H?yvt&h;95JJ$vWUJ$tu(y7tUu+mr*UJ;#4P
zT=QevZmsNvyXvNVeXZNzv*-D)+0Ql#9BsU^`lCieK*R*YKMgzh7^lTdHLRVo_x-fn
zx!F@snI`@`cw!d+nko1G+D)I5J?-A!Dc8PNRThXJW!XG+R^+7HpHKJjUt!$9y*!3F
zmSNK}29Al3yMA1#nJ{}oEJMc&(GB6IDM?&Eo~*DrJN-+3`qVkEe<b}5Kl;(qdJ{9}
z^e^{*Pnr9!<H&B|*eRdC{#*N0an7{QUr$Y)|1EObXZ_@s<5TBXhq3**_U7s0@Y_@8
z|JpwF$>yHwmLJzI#Z3PGH|5EKX~)htX;iDEu!ufcH~Yr#hWqg;44PA3Ed4%p;oY0l
ze!Txa^;mgom(=IkMUo9O<EJnDHtlP1!}2v(rhQFsSoi1I=FN?>uP5_2$=UCJx^nB(
zh3{`o`%*P^`hxYV@}DnUF?GS}O_NU_mzcKj`ng3<A9m{Ro3ilsf!v?d7V14dboruP
z&6I_IVx~U2CN_0Z^2W+8r$5skrFDA#nffSfGxM}XQ~u11|1@Rs8NUPfHpn!btCpL*
zr2gbWxu%t$d>O>1ExmHDfwi@50^^OWuI%GemW8cJKG0XWLjCxJN7^|{Cw1pAO<DH2
zY{LEihN<C=y-DgHqCQSM;m*P^$85^-HMz+RN9CXWpZu`U^-RW}h)atjCOq<GP&;<;
z+r*Re7>sJBti1O?yMv!eLvqFCjX%_yk{&dfhAOXQVBg>2d~(IMhmPEe_hLTm7Gy}}
z`^Xf;_+tY%>;CDFLdw5A*ZDSO@!jjsZ(Ov`NoJU`WcrSEe{Zy(n9I%-6Fq&&N2ZRq
z**TLYE!o2~fhoQF)%`WCJGpP{|8RMO+LR?%uUAewe!ORz_+|Ya`ep62@^xYiZk()Z
zy}EYqzI8>>t+TezTKo0-%?S?O3uXVbUXA-X?a<Sr3HvteieY);(>>)-Y@PN~qxmyt
zyqSJz@`OK|TXt(zH*Wef_2A|ym#3bax-vX^^5Nsn=N~;WO8q_k@RK<oI;JsgW1f%`
z+OzUl$I<%}nfV(Rmv5YYY18NV5C2bDyIY-)(_;5er%onzv4++4n;ZXZ)NWprb#96C
z<n_;=B$)1Q`8<KqPGZW-(tS^u`loKN&Y!+9F_~fNiK(e9n@($(@1AgigPA{RLFJ}D
zlTRFdl9ZSJpy$SgX(yh)nf5xku3@hKil?78Fwal!nsOr6k7f3c>q!r^{8^^&VVSZ~
zlvBE)aMJ&^%TlKAdc9`y31trP*;98-JrU}%;q-1Pvp0-0RBjyf*uWTb@?QVSn*J{v
zp1*16?CWeidw_qQ@a#2>N1h$rHJOq5rTy8dHA;g0>s$7R-)ottyME%P%1Osv57?DW
zxjKzQW#ay`9lh&+Ognab!L=U~_o^@!3gnk1O*F`vsBy3J{PD&w`hULk2~FC&`>NLO
zDMxF5PdWJJNy4<7KiVf9*mm?uLiM!^(<|8TIi8)krT$*qLEYU`kLoDxKgm^e#CyfZ
zAC0@jBTp1g*|hBo^P_I=c$pPBJU7`mzi>=62;$7EnR0gig^AZU)Wu9acK+##6>B=G
zW>4feKGQ9!<Mr2?hYdCD3vW*7kLfv~xK<@GXZkVmdoefLAKF}sH~n<xg!6<K-8*Z)
zzj<Q*WXfyV6S0g27n7$PvsTx7bizOBwC1a$d#gW+bUI0_`26khf~rKr$pYbf4(6n-
z_;bT#Qs+d)i`*`M_LZyac>nI4|LCdP(rweOKb>-7sw>k}d##geSUNWJu@~o@5NX@s
zC^Tcm-6PWOe<q&POJX|AGv(xbRi>-FNB(_Yad+buo2I1x8RAzXvRaJZd~|$1<*N42
zTT7;#RNctL@Bbiw_Ti5c8OysBWu<3JiR(|hJ&940L-|3$*A#Zm$8|@4iJ#<AomrAR
z_1<rl#}+sA!vv<Bj(p_s$F^e$7xU|>r%y1gp5taFI{U+sj8`f*G9`XiD%MUp{roA@
z<A)QEKCH>oV%htY=i!uB)xW3g%bB?McwdC}?`bdc?5CaBI_2g2y;Dzo+BW_E?HyiL
zZ9BU8qypDG(%hIdWz*X!_p0ru9DG0R?rZf3j*s&j4@|gwzU6E3O;y|5Q|?wzIZ+us
z?QZUr<Ii)a+|8eMJUx2a-M7<F=l>U=%>SQHkDhY(@7y_&d)MBqNSbnY_wR2Tmd~4V
zSJ!^Z`A-2`HeQ=I?Na={gG$N&tEXJj|90Z#p9v>A3Odv#Up{^#$m-vW&6}SV#vK*8
zXr5g*?HHSS?0*~S1)k6BCmj8-JSKC(9)7XebJlk<+<(mUzjx!S?cJFMC!S<5wXb;n
zwR-B2m8UixOrCJ$XxF3lj^Qx^e8E4b9$Klldg{5HsfU*DIl!w{nB%=>=O5u|HWfdv
zyqS9GQ}tAZlhZEh&)ul0vRRj9YW_>bxH;0xt*xh(+?$!RdHSW(Pp7)6R>|^KYfn9-
z%C$e0=ithSkMpIi$~AeX?w)e#%JaG6`v0e2tT`ziIrWU!9^I+CwoJbi`)ew%;q*(T
z`=;ngPq`HPDwtQfZt9^r>$w`$(=O=u@6Viap|&bjclE}KjnC5k<o4Izvh&qxnY2&z
z`A>tMDW@ubPdoEwu1f8<DZ7JNlNlxXHy!WYKkf9J4oznE*#F@bu?%M??|%6G#Pu~3
zr9Xr<eA3?Yae@uE<oXXPKfav3J?)fU^^>}_Kb@v1REot+H~;^n_HNy@P2Z;6^^cx%
zV)^t#zowj=e`~Jl`;y3M+rCY?dpi2LVx{$j9g`d`oz|UlH$LzDw3T&JPUU$|U6(#j
zM%{YK*{2h7{{C6s^}LfKc1@?`?2Xg=-<?X3UBj5Pp(`Qg&(m64xul7A>t0OsI{Toj
zoj>W|x7N1_Ki>Q}^rMqk{N^3ci<jR_UG-+l`KM1#ZS9B)UDLMfR^+~R_5D-se2Jc?
zIzRvY9Ios4rrfTyf2O#1qo?@mIjWc6PTP5U>Y1*F<(ucK+U=dbZ~dMrOE))ZZ=R{F
zXQU+5xX|w9>|@6o9yZ!`y`0xSHPK|xgb2B#_8%J7{NH#|vU~FXg;O^&K1`hVAksX!
z<;T>mZ`ZtXd^Y9I{M%Dcey^LOsv0SD_~G%56Ylo?WI2*>gL$WWztC-uzF%T5e2cy$
zcJ}E6?6RK3c>ZPTvO@9do=D5awX;v(np0c8v7yT?nI*dJlkY@^<XMN@U)kTA!}omi
zjo`i;maZ)BYc5THSbY15&hE)vI+r-kw`|@&Wy-_Sb$g!cg+_loefHFQtFQ;_|4cY{
z<HKry0j0XRYR|V#ITQPH>Ye4Y!=tafIWa4(@y*pYZAWfTj*w-R(A~4-f92EN%fB^P
zCUph*v}Igt@SQw^QKBJY+B`McjS{_==c#EWG4Sl2BUInTI-&aO#;5hSgCkk5xkmXU
zynosu`hF(AL{sIx*{zQ^PdV-N*Luc{S95t~SH|-$P)g9RzNu7n;9`pI!aWJ@#zFs1
zeEv9JNIO(|LI0*X!d=r(pW4s=<ImIu!S|+|dg&)-`fu9R%K9n0r%t;cs<nT<P|$So
zx##8x{r)o5B<<VOtNwv`W@}RTEVSqG>xYWXzBgsJSLBnjt7igPyMIpI{rb~{Bic7u
zVy5g~?Z?YpIbUe5{ZsY5bA`fJO>&p$NI(1JjFoSh*!jpQr<aE4WY<kQwQ|kuHEDB0
z&8M>V&J&9Nd1{V(RM{N9r<zrKoz+`f?oD~T@`PB#`f1<oe5>Pn!Zvihnee24Mr7zk
z_TGs#-k%dV-+b|Yd(;2(#@NRjOZNOMOMa+l`+km4@V%MqQxcqKJTkwT;JI36<A==3
zou_3k_Q&j>BlIbDQpVJ#`_p&tozFXA<MD~RrH%S0Y~INt{(t%@8z${puRe9vO*zHS
zR6ljk?Fl;KC%x}_Jbr8@#kBiJ=0m2KiF^9XCY<fP>+!qehsXcOAMejk-4$=dc=dwC
zziGSr>Za{7Kgq1SBK+VAJ+})IQ{3<Ep8j#lDgWb4(=G=;xx;#B`YHJerlfTfc5m)5
z%l;EHdH2NPiA$d(#y_)~uy*sSnX_1CJ(<unWe>kp^6v?W$C!3X&$_VU<K#WZ<tOYs
z#5!RY(}~#s*^~E9U`{Gw;A>nJ|FKh`f9A|L6ZbZPPUt`I>hGM3hnYUCnYwp_<HK~m
zGV8_(Pqs0bPB6Hi5aXA0lJ(DOfsIlRGr3Y!l3bb1m~1vKWIi`bIInDhYhv<*z3lH}
z+^i2TVu}u%x_8Z7;d@OBSIZ}b%@VqQ_+iYy9{sutvp-I}Hf!qcssH|L@O0kbbz{w~
zjx_ES`{xUV?|t<5?~@fgbA-Oz)lU)L$lql%d!xkn2-aEcvg<p&Pi`>kxV-Pi$5)3L
zlYVsOwY7XZJ0bAv<F?O+pBt7WcRannX0~)!#?wFj)85V(x~{kX_N<7$i^V7ReVgrn
zeCo3N%N^{!pA-$J2yL5lCw$|Ncl|5u)@(GY>`?WU;%R$m&p4;&?4fs7pM*>nRCF`Q
zzS*Spd`8guiL3ve+!?(1;;i{X@xMP!G@Vru_=9Pl(B5vDIYRNf{k5K4`%(2~>a0oA
zch5aN<<+{U)Aq~X++jauR_gnyr$myhcRnwhIxF*|XBFd#8%c|1+dlsM@52N0nNk{e
z`v1%mx;0h%Ps#zwg`bb#RIodC)3wpNpU-|?|99!5O)9G+-ke%9{q$lU`&09T{?9$I
zde5|iO-nBvpK?n7@5iJ$LfNtEURBl`<KHkoOT6@U%Jk{ef*7Wpir4ZK%)cQo!+3kz
zz2|$Up8BM!eEFnd#*M90Pg(ze$s7B5>Zwz6)jvPsO8xS6Q}>72jfVA;Uue%)Exk4E
zlzsRwUi&rEch8xADm>3qd_I@6&g@VB<5tK^F}|M3r6zQ+$z{h0_L!p{W-6IYo)(8c
z*(J>tT7OqR)9PNylv9>wObuJCr|g!G{r10Ye!Bg%-T!YkI-0(pCtP;-lcwC(9pB@o
z?|C;ZL2Am^@2}@}Y@aJ~_2sFy#{YY!u8)6G@T+&r=N*xKQ`U!1I`H=C+}H^A>FZr5
zthqMD;?2~r@!O`{`geura@hlukJDD2-*n>Av};cr4%=E!nitn@vuWz(yWggMUH|Qg
zb<s(uyN5iwC#;H9x!CLQE`M9AV$v~BrYAAcEV48I{+a&u*14I|Q_tDQO<V10b#D#h
z-Yt<Aj9PyznD=Muv?tGOR5zXOu%5QYfAWUDiE}1QopdK~%Hi$v1g6~3-9K$@2lM)v
z$=A<L`L^#Q)4gZ5Uh^j`5Vybc`S;{+<{P<Mc6D5zzkhE0k2yOG`@eMjzMsrAq50!O
z%dSZmYX3}GFlXw?wcDp`@IT2TGU3GY$@7nY;!a!1`s42O4eIZwTnst4z-Yxf`)?Dz
zPK=0ovi<m6@%5?GzJJZTxnu8v%WGEbRlX!XyJP(w#f=lcXWe^}rG0<;q2CLYH_v(@
zFMcHHwm_tA%Z>Vf6Y@Vda9aOhOq#GSg(Y#~q>WFr;-5}0KRI=+_WMOAY`Xr|{m|Pu
z>EH9I-~OJPvMKD|l(icte8~PZ^V;-p)xXdAChnf_H}p@-LjQXczWK^{u3>y&ro7<H
z{}1zi&WINM#(wYRw1XTHMGy3o&Yh9jzIXb!=zlZ9k52ve+j50LQWnRzjkn(Q+N?1D
zd^qtC<IaHWdy^#Ecbt%UGhyxH2^++dl9PlP8yezoSj{l`_*CQB&IZxdd#9dFd~T-y
zd(t=i35+rJF&x_jQr=A2qr=Eu#xhOh><XdmbE_&RyirrMN>%xH&C|9l>CF2Z|0llq
zJauV_+leg<0d-U6S8Zh8n6xulp4ooGeVczL8s|;x{PUo@a?(tB{SA+=U0nZIxS=`r
zhkC<4Suyz)S2i+gnoQl$ue2tDcS4tKC8Pbc-YHA0r>#oYpSoo4bDizqr*H7jowg&@
zdfH-juA9HFp4d9&WO-Hd_KMj5&&+G{&QI%%o4)AQbNTzxQ$KFKHEq+muxWE{J(0OD
zYg#{n^F-1Ek;fZkvrZ&EHxQkv$^0WGLH*+^of`is&A+F7+`Ij`#P_(V3vNC0*S<Nu
zcjFvJyX`xxC!6TpynJ=f)K!1trdDoy!gKxkR4!HRsjK!+?U{S>%;i%Xq_h9+Iy9MI
zb;`>5rzRci?tMM=&y-*B|2>WUMMCQ?TK;+J_WAbIBR8k)w5u(f8oFuv&QsH6DnIQ^
zoHuo6>8nXE?%m*z-?LNh*oz<kvg)R+n5Ouyt)q756t$M=J4*HHr%s&sOzzhMyVyTd
z_iUcJy*`d_R^*f&OV3YT8uf45_LobtpNd$mnYj7!Q>Xb`SRX%kia$4Dhuy!a+doa)
z?!TN*f6ert(fiHLewwl(s6KMRV|o86#m72hrf;_oU8B_SxqITz(9I7RAJ2&UGwsLy
z+^Ge-SD&B5@b2pGX(j9SPFWt&WstHVZkmyG{Iu$mTUaKp=$=x(_Vm=%T@!DYe_ZM#
z9kYH$xbjOU`%m}HCYIN~Oi7Wspgpnt^OVD0`BO@(t*5QN{Zwgr&K#+qQ&#+ZyFR3U
z+KT!2rY)`BJ0)0k{ggvrBBz8}t)BjG+pngIr(GxZG|ZaaCTqOQDrv&RR}&A5PyC~i
zdBo>r+u5mc!N-p@ul|rc@n6;^rs<R8Z_H{C?VlFEqW*93i5qcupWd4A>D9>xiBtc*
zk1d-z?cCL}z6T$A&Xu-$e;0ni(%1Rb?{w<_=?PEIP5uA=<|ONgOVdw1-8L=ZOZ3!J
zOV3SD3VuH2|NT|AQ<MJJO+Wh6W=c}*?McUvPWpcQ@}%Q-a+7|YpO*Ny+^&;j|D@OI
zlOk?*cJE1E+r@O_jrjhln>R2`nR9vL#|aajbxsMlnUb`1NBYO9Ng;BbcC$B5d2SGX
zYHCvYipPQz*D=nYoHVga{3f$ahtScNPFmNdyjlBsTGG~o4?j+t{d2=d=YNN1Iq+nk
zNLe>0$#2J{iJRkZFilB$w|Cl{8w<tFy{D!gmPlGMxoaLnr_Qw0%7&+pw@xVfIAu<F
z+y<$Brj8jK9~wx<l(|fqv}WSwV=NtW4<FxA-FLA3^OQMa@1G>uY{<Mk(KLVJoHv>i
zW*-z!>e^)gXu|8eduFVge|hrV6pqRZ-e;#J7l+PoxGSGMWp?V+l*;^Rv%g19O?hXv
zd-_b>S#9grButw=^Lp2+zJ=@eE;#(NYr&prt7cC-7k>IdLgku-t$U}<G+*t#&R?$O
z{_iR0!uGb+*ZzJw^Il_te4Rv-Ju73<?<wc5MNeJy(q_uN+$rbozgv6vOU)*s@TuqW
z_fIW&ey$<^f5cPf^wmqYJ=(B#^PQiElBS+pzjaY*fB%w_hwKaX{e3g>-0Y@%S3lm^
zHUGvY@%<Cesju1bdh!0lXQox$s+?AQYU0!^v#wPAJAb!tw0kq5<|Na?oBz5t`t5nZ
zmbBsV#wmNGlEkz(JiEs}z53hYBiYv`Jkq%-^KVtBE7QRllVX-UiQG8(bK&AW*Dj>b
zSvYT^!}&jxyEptfzCP`D$hE06Uw?mM8x=WarvB>2)JHpw%vVpJ`L%4?!gJHk?+-n_
z@#pmE`S06WLqAQMe*4n_p2>?IO{h6Lb$WjOrWb$iym~uz$NqbrU)yS88rS`OBBiq0
za^uU*T#WTMANI{UHEsIz?=rJ4U41`+^V#I-=E<{fJ+cwM$GAby{iL2jV$76Z?PfC>
z>Yh$Gd3@60{Wqr6biSLk;{Tfo=0AGOb0$`mt;yt#Wn6#YWyNIMH&0l?;wH@AJoT*A
z?TLkJrc_qU__1-yS-sq;UqVk!pOJO++lxJQVN+(jUNily|II0_UR-w9rk!2BeM;5U
zlU)0z%*cB`<;dnKGoq(X>z}*#_PrldLT*l+TopDg^V`(IuVvF_tgf9>^nPmk^V}&p
zf5WC_-kXyB{S?deDNJb#lS^x+X6>Ce_3y1Incr`*mrnG4J}vY0v-l~Qr#s*4g-u(2
zdvfOUY18-CPRZOqZT8)7Q!>BS-<<X&_5PI1yL&qpUi~vYPp3$J^=hj_=O@q4vYX)+
zr!oEN@_P#v{@rN4CmWF*b7;lB1B+rAmfUc%t2w>mK#l5(gWuk?ABu^d?7UC%4ckAR
z6$j4VyLaoe@WxsCb<^jZo>bhoV&`|p1u+FlWiuukw~H_NaDGbhz3bHzuh`CCS@OzP
zwtvQr$;GyBd4i{1{%`eqns3F!`hM<dGPeIOMBTjq<LJcW|3308(umw>yJt<nskl{c
zAG=@m-Fq6lZDJ4~uPv)TpMJZ;ziF5CpR!JzdinmVCx^U_iip3SGJDHZ=BaC@&AxPU
zW!suH$q%QBTZ!=4zJ9{<Wa{PX|7suEY??4>O8KNIm;b-^<Nfw?%H{2=rtloxD0_6u
z<^Nx|%5L2BgvW31RE6z(pK^cx-L>=cmY$mv-^%ZwKC4DkuIB&5#Sv3xKi8_Cy71@p
z%lqw9MMI~|p8M;~)MHPdac!;H{-*2+*UCRrYu-*RdcS>ATesShP16b|vG=c;GA+25
z;nVb~@!KY!$-XwV`QEhL`(aa;-<+CzD{}hsrJJVZZJ%=QZuajx|3l1nhG^fK`E5#V
z-tB3@-=@^wnwosylk4;apRj3*Uv9p)fAf^e{oC*DzkGYbEcXQeZ&Pck@~0(KPg(qY
z+th%$(<}FH-;vzCFedcRjVCuw*?gXMCwuSI(CK@pl+VqG{xkK?t>1TcR=-~;{^9S`
zJK5JG?|fMMcM3>w@6Mc)k#z^BOlD^P=zip7=&@DnR(HOPpE~Vs=;lXTHe8yrxVxqP
z@b07s{#9lVcSXehubp()e)gliEq$MF{5r(AqakmNU`KKH;>Npg_8d9)``&?*)0gON
zdOfQt=F!Qf&0QC|8RAp2<v#GcK92npGx5-mlU)^mwjS8HK)?RqjA;`;S+z#RKYl%7
zU;UqxPR~wGd7L$M+0;K%5^8Qvnq$6x+KP~$cMnyrpR(dg+0>(NH&1Z43!5-^&$Jau
zI~HtQFk$wOIXM@_t}Qsa`P8Q8Q<qP@H8r*@b^5*g>(<^q6;r*C+4OyL<)aDZXQ$rV
z_jTX2MD6{PmaB{W_r6vTxAXqdiFbc@Dkx2xwj`dX>BHs!)0W(rn%c)UWofOJ^^}zG
zZ&Q}O+&1-8cKorf{_|g}Jr{6kw7t19<?g-o>8HN`i?i5RHub>!Z+HGhRrVB~+_T~L
z-f0tSttuUSuR5-OJ0<<o=hVK^M^iT3uUkE3Vu{_-675}6;-;LuIrY@Lt5e11YOTuV
zd;ezI#LFv1=APTL=HFC#?{ibq{8Fb~`D>>=C4DJ-|L3V`ms6*l`o2qi$Gy4wb40?X
zr2kw0cG9VN6S;bJo}E51wr&$&K;6XjM-%pb-7{t4rOJj^;=6ZmO`2%<amSiGl?@E`
zKQ^qNcIx}4N%tqEJC!r!g-uM?*`Xaa=XFIy$AyRC6WFikOmUODaqa)+m`7U{e*be(
z{#f3gwYx-Xe;-JC{xRqCj6gfHiR-$j<Zk?P_Ei7RyZ_d2+Tq$YEo15=#x)GH{@nd{
zEayPSkBR9U5BfZwGI9RrnXk3(-TGI2XwD`^%iU|M-bi+AQ)c-6ddj4n&W}fL{>$ET
ze9_6I9rMaJFeOb$Oq(`o{@)9A$2U)0rgl5w=OX5*nQK<O;7;1V)A`ZFG<N@_^v>ex
zlWgDbSo64Zm-!?YR<m34C#H*wo&Bl)erMzJiRnit+*qZ-qOg0~q{=C$_J2%jS`?Br
z<<$R(m<_MZQ&|i*1m9iLz57vXYU!)*Q`0})t!z!5+qGa?`t)7rA8_W$H9mU3XHD?U
zIee$5POJ)*{Ic-h?2W8zI!>fdIrabD`45e0)31D=HDjKq?fegW_q?sWIV1GfjVY(L
z|C=N-clv?w?5sIFiIp5Pr=QyTKSX41-~t}&Zl3b08C?G-W=G_8tl1uXb87m}^V3i5
z+j`I-bkCG@lXD*hrdsS@V|{!2MEx}}Uncg=e_3h1e(I^|(<feEb-Lxjvu);Q7l!xm
zU0eS0{*>NVSFcSj+1%Lp<6gtjsq6N=ZD{{I?X*>V_0+Pp(>iBOsIA?&W3T=rCQh~~
zW!uk9FD!aKZSwYSQ`Xd9y*KU5^Jjun*ZjAtzk7B1EXOx%yq`~5^M6$(<AS@JPsUn(
z+tZ<*zhRflc_WRR46J1hQx7Mtnep@AjRq~->>iuCi(lVNomTdH>YDwxrsT&xzk7ZC
zw#kLFf99qzT%9`2{@S&L|ISWZ`>s>y&&(a0&)#U6C&dwAI5~OEhqI5nj~#8v{GBqz
z<+;t2#J_I@S4#J-Qm+uZb0uGYg^<|v#MiqzA5UHT|H-Q0X8rg#Jqx<--q@ZuyW_G-
z;JK+~)q7V8ZoYdh%5K8m|9d2Ir^RTlpRg`%TFlKU>;7GxH$8l^qUVINt2q<6!<LlX
zh?%->|E-<>)9zm0`sTx>jT4@{vUqsbe~RzV$tC_fc*`bOe%!U@7}Jz>?`r2w^L{hM
z_r{H7XD1ftZxVR0=WX8Ysm1HnzDdZ`-wKcWvAOYGO!fwkzjHS3o3^g@_1nFh&b>*T
zw(j;u?hX~Ze+zj(KQQZ>R&@8=RQIB?o)>R!ZcE>&_GZeu_}qzEN2e9#?VskAx_fHT
z*K7ASSLsi8+B(f5_5bvusRC1TzBjzRw`{%bq&wfH7wxv0W_Npf(fYl2XI=fbw{iKl
zX~CPPIZyv~Z~C=Q5-+CBNZ#LAes5Y+-tQ^4mDP8qd~f?0^YF&cs}GX@$Ib9jW>?w!
z{$y&>K9l)9*CVIx`CB=AX55(zvepxw%BK5dt)Ci~JFR-_xv8r8k$ZHO-<z6V|9e{1
z+F%~#x<<XD(|tfk2mPH^bzPHJdH<BESXIvhsUo2p?Mz=!uddY;4c$Fu+x4kpZ{IXJ
z_D`?+zi*0c<+N>IU#tI(Jo;3ne){J4Ftr8$rd7V2q8hfpyWaZ$^v#z~@ot;4d4I`r
zxvD=CT_dJdEf3ahyEonI-1IH4wunA^^nQEI$0?Pk*PDv%nO^z%_KmnbGx{_{O3OaY
zWBW6qGI{Fy`fpP!{chg*o&LKq-suag<NN*H-_PCosK3eV_r{5G-)vd^zo+s!PTvwb
zMb&?5W&9=9%YT0Ein4gjv47?V%bWl0Tdn0*XIQ^xG`lhBX2P%4S>pTmbU&Z4Ilg9P
z)}-5)WwuYu4?ppX?f;JM_m$Htmupuy<lLL?m9>A$=FjhEPCmOsK3^wkqUxrC53Bm?
zzs(TxFF5qCbNa*R<(qZyF<$$4BXUpA)=g7YYOcPWzWaaH`RN+lKg^tSiuI%X^*2*@
zMORPL^_n76HZfFZn&#B0LGr(+><a7t6!3I%{nwV_2Qg<>+zmCHxc=Nlsg7yS8zjqX
zx-Nb@VR8OXz^Q3d^uJH5zh8F$c%}CJ$8}Re>-4AFlufIDZ99d(wr*0`pHr;LpI+qO
zNV(SFaCTxtw$h&qyI;8Oo-!k8g5bspjkB1=-?T>cFIZH+X3FmP_tOPmPDuJSOKFOb
zI}2~z^xbdY-pQzqoW?)zNOw#`?36uQ>t9bzn>(%L{;9R>`)b0b?0I{8y1?iByPu~%
z?ReL~UU6kg!`TMj8@JA$oxW#P=(MTA*6XL$|D85P_}|+pyQ9uEoVbxQUom6NPW_0D
z9-F7w1mD|Pw{e0_{=I39)u*Qlo5qBF-lf$Xvm|+qeECnEX+pJkPdA>NDioAH+5Y&(
zZ}#`@6?k>IU%Tm|GgWx&j<1_1+8<^);do=Ar2YP#Vv$pXwf0ZUJ=wW!`igg3rwE_D
zB${uxc~k#KmmT%BDa<xg9G-9Ad3V-_k4MkGe?4`I!`w$XA0jqRb$EQx@$7DKy_}+-
z?s02*bH6b@a<}=pL2i=Q`@P~nriq?9@p!jj+l;WR%30rU<ZRq0aqHd>mWL7>cV2zM
z^!TNVx%N~CvFT#3r{vkJOFpn?TAsLM#ghx%_S5bAcGfc9x*VFqv3ioEW0Eg(V%UQT
zYo@G_k11O<!C}wMqMsA(KYrNI#Uj7vWNG)*6``+B-z~gX{(Oq?&iALL*?V6*8Tfgc
z$ed}p<^N81>qhiDn4X^|Qd>4Dpzu=2w++)0V@`aJ-4JtmN`U{li2*0{vhSTZ<jTbP
z?d*rAv-u}>-`(61b6C7ZWmWEk`ZuRH^-b#jI+<g}mNj3Wt-O9=+iw>o2KCvURTFtk
zcW<3uaeAlx{!3o%NiVuy|9{B1IrsjJqD>)xmcQ@1v%2)n9m_XUy8f0;IR0nn#2_Uu
znK-toUF$bZ760;WQq$4tU9aEHVEc3S<Gr|v8f&HoZ<_A9ee*P_Ejz;RZe037`}UNs
z{aU}L75&-7c;}%{#mUQ}9~rr|&t29#&DAo!>tF5^#hsrQ{HTtbs{AZ@n$LWh85ed{
z3h_?xIo`2l*3_=Qw<oJ4P3`)h=RHm3=A<rr{>heN_0u}PP3c;HZ;ICE=QozhuHNv+
zVsXO%HuvXKePx}O<m}|KTElo>%`0UFi}=QWqR%JBOrCpp&DUvZ)4IRjo1!0lZ<^o6
zi~Ut`ll%%7%h&xdnDh9<6xmvv#i5@VX5U<we{YKWnj5PgPxBXVUu+el-MBt<BFn**
z?Bdm(tG>M`ZDHJ;G@nuYO~==W1@h(_nfO0V3-r1+#eLVGDM6ofcb<NIXZ4ebh8w5!
zWSwR@_0fBt#1xr7E{9&9IG4UpW$v0gYc_XmiQPE4C-2^5uRoK5lsLrXr>dyj<a)4C
zT6BMtk~-JB`IBomX2jb}3AnvqW$B)Ydp^Xr8`yoj;c&ijr(N03@Uv5UUhU=B<uQR{
z-$D7ZiK-RP4?Gp$KehXN<r;C(y5woy>%X52u=+Pe{m=C7+c&3sRqvkWKSiMP&&2N8
zJI`u7;;H*Ty}LYmitDMaQ_rV#|Nmt>)nh(W{hz7b@zEz^WPeZSikRB7)$06|fZJ1~
zZ%@&StDf#xmpzT=_ni%EzfJMqGu5Z|-gNh(>S_Ab=cl+;PtmVFKh5>+G=ufFQ(V@w
z*Z;j4RyoZjt9pV%*`2l8=cl;bzPC2_{1lh_-@_V$Y^JzW?hx2GeX`!)Zw(6?*<(^B
zOb$(U?@*F2JAK8ychcsQXQu>b?LQzCYx6+4Zko}d<o}PQSAS4_#F!N}C1`hjPSVdg
zf5UbLoS&-aReE!3|MtIAb*D@Sh=0?Y|73c=T<?Wv?3U!epLqSzw1DY;to=8q1S~zj
zhV8`ss|%eEOU|=S-ms|j&(s;W|48+Rq;<`f|HQXq`Gvx6KK*ag1J0?g{PUDYAEc3Y
z|IcU6d$lsR1n_$P-W&fpda7iqnDV@NuP4PM^{;7HnNl<N^Mp{VPu%?t8@d(>PZ2#l
zWn%5?Q&TPc%BD<Q`@d%C#LAlo&QA}pjz6U<Ic4I$(xsxID=cL#*WTS!c5h0+&R|p9
zZ_@%^rl|aXSQ)!!nr=+>Bxb=|HLMeL8gHF=KF#O)rs=kir+d%;KGpPd+%)xXQ%yHd
z?X3O%wsFV2JrUs_CvtQ@3o5tPo~9AKCujP$>29a0r$j#AHcesEl*sa1Q(d&SKgxMC
z#o~%blF5_T&mQ0Y`1}0HRhEZ-Rz5g;Fja*?nA7_Gi8IQt#P{FqeSXL1FY5gN0fzs-
zIlnVN?*sV3_`qCrlN_^W*PoB?E-lDoJK1<Gsq@9rSL-8o8pcl)F`M}zJZG9t^^};W
zYwri|nPxNn)->tucMkr~i=LwJbh_>HwG-#g{=_@wd-x62J(FUTztsPGGc`t#C-T?p
z>9HL=+0Sk!eHZ=|dG_~>W$72Z1(~>CCwD}5#QHOFugN-FIsI<b)U{LNf**a^%`#!m
z<{KJ%lh}9z*lrzNbH`-sG>y4yro>-9ANwF=ePpNl38hC4Q|GNZ`N((mL%w6Lr_WP+
zl>GY2XXe=d-W{<FyZ^K<nmq5W@WbZhH~(!X&zsHUbi(lGvXe{IILxwdlnVXW!pM5`
z|MSqWvMFxa>-Xe;kDKcJ`qPQkH>M;nzrF3V-p#uw{Ud&Uo0|Oc?{t-^Q(D5kIa=ke
z%e`*;s}M8YC}{Q6`C<7}?JIXb3saXcwx75tY})*_fA<F5PMtRY>Z-kWqV86n-}Gl{
zOmY4c_qp%>oN#>pNnL!ShVkVmVO5*B*rzyc>GZ2zbMo=LliBfqrp&#6dy4yWKaFGG
zChs`E&_N;QWJSmR4aRAc9gk0TNqQFc-Rk#K^YZjZxpz|-w(rr9umAC-?B(i*)1QQV
zKf-X~%9L2UO$@hN-R!nLu_)bbJo)s$sdL|No8o=yd*=j)xl_FAUzu%6{WE7;a8ccq
zuJ!9(WGrU!**~As_5YvWikvF3xvTH342ejpdE&cQtA2`JYLmr9xtqVIc|G;gy%Ig$
z`)S_pDRNJycm3C@bf~!ZI{o!c%O6v^w!TWqTC;G~<`1Rwqc*EBau>6{KE0!2rP}f7
zUH@xW^4Wiy(v@H88T70Bdf9V}x96vLYyI6mM>a)4dy4netVqS8$=>dYHS?x<Pb#uK
zbu@PJE6aPSds7v6O*PPceIrOs`EsSg&B@-bj2r7-ANe!cTS@Wl|LNWp55z@(vgt1t
zm9L(rpFTlt^Gs8_vL<8Sf`ET_R!n4?b#wjqk5j$9RA>IuJ}~d!6N~r4iyYVOpX7al
z(Q1nR{fSnK4o&f{=VR!(x#-@VRk^Eg&gW!#6Z`*@lL6-hugXaV4;iO;X?O4N;%ZRg
z^xfEOe?N5-XZ+!pR$<e9qB*L=rWl@^A-V4(;}iqu7^W?oSfZzO+f^QTDjN5GhWE$m
zdcogscX28*?s)tAsl{}Sm48lDJ-_k$>~o8MTc>z`eYfpSg?HpayU)|R?B_;gR?O`=
zyK$=bt<-xJ(?3o1ik~~-ZF!2$(*<RvHa|apn=(Ot<H69R>D^YPt9a~xOz2y<@rBQi
z=LzOFPjU8F-F%{M{V9WSN|*lM$|jB{4hqw|>i-?(v`$*6YZo`g{P)et@0<2aN>~5*
z_4U-UqUdSeQ+K7jke$}Q|Lt_|GIfUj-IJ<48K(bJ|Cltj%RXFk3B$|Aog#C$PwBQQ
zT`D^F{8aC}`bnbml}e|3fBiMNV8xvk_4g)ruiC&k#XCNIs=oTQ*s0yB>!*8%s<tfp
zJthCM{}Q)|vYz+W`*#T4Xejz~|8Mjj{tX3(7@u0czPdSPie8kiLGAu~`H|1Hr!HE?
z_F&r#Un%C@Gkh!i?bobj@cXpE@u{qp|8h6(oA)$NPI7uY@rV8D?w2RKUf)s7`Z>*K
z{+j9eT@$!;rUiVP==X5V)+eUPT~*VK`q`%B-H)7LZ`XL_X6L=VhI3!8;Jd5Ju!QN~
z>!llurcDd7UO&Ykb`uxJbiXH44YqHdYIyDUQ{KHPOqo+WZ{DcYyZ7PW=3bE-54&x(
z?_^C4O5ZcpK%F5-y{&>NPAQrpWjaH~`l-2}Hh-HU5_Iozgs)~u+_c=KYp3;}J1ey0
z%Ik_Lxl`}m&pw}iqgwo1a*L4q|A|_iCob=K@miMup(*>fm?>Jnb*DORpQ3f$OObm|
z(zM)D(RZ?UswhaR3g1)`ogS!P$&fW8+gHgjY~jVtQ?+OR3I20;!}05jF6}<Sq`EMs
zVw?Khw~a#GQ*DmUeDdq(g(c^A82-M|arezr-l)haR;yBCGa6+6zL}EOC*^QMds4LR
z#+4C_4;8zmLOSM4MKL~N{y%Yp?i5B{|0yQ(6@4ROr{>Q+cWZri*;E5-|0(J>rx^5_
zO%@g1J<T9@-|aO!_4=pCss5jkr_A#A^%R4@pSYgQ>Fqa&tU08=NkDDV>xiA2@*9gz
zFL}hD{pq0aG|}dyY-Y<pO;6`P()>SBAf_R${LN%THI~q8th-Fwm!FvRbz@hb-oLL&
zCtFQ^pG}-DcIw-d{O4~bT0Y<0asGC{)f(PiYDs+)OFvD`*ZMg{RcrMW#-CFpUp`@+
zIw?Q&&CQwpQ}VCx+&0Z()7^&fs`~p8@25*H|2B>9?KH{fPYiDF{r&XsRFAULLLT=U
ztfo&hoc?yY^p^WR`?o*ksNXtGd+OS0Qd_3B)c<@tU3$+nyYJhcaOCB;t6#l$x5hqq
zn!0-Z@zr51s?WQUR<-?2x#>71zkXYra(?9T-J7Rb`b%FCfB#V~ZMwzY)4kbI|E8F)
z_D_^OcBOOS*7cQBRetWBs*^L_V(aPN{^+u)Ds|dZ?KWTNtA4yIHS~#i=DnWzrzbgO
zom;GaM=9c`&+)zXtN+|$U3$M)Z>v$q6PE6iUZH=cn1|073;i^u!YW=}a;E(>mra71
zEpk?&Kc|LuKI?lf`+BN*$iJy|r}x^dPn~K#eecxrTW?o>o}zO4=Z}xm%)OSg&VTWS
z_2Jv;%5x@_fB!tSX7ZHs@ZWwh*Z)mj;m&DaHbwbYUHu7LyC0`cN>5TgHr-q+^bN;}
z^z@nK_Pd{n6j%2C`?u@!B)i!?G0Hy@rq{1*tWlq{?bGF!9d26pmI)=Fp2~PI=2b)b
z#@ExBB33N7|J}`+wB-HymF=^pF+aUEMYF&4)tdvA{cnV?rc~|u<6;_l!mnr7t!aEg
zo0goJ=s0US=km?d9p-=D_3`?z$s1Q(T{H9YiI0pA+bbq=zM15x&Z6Hxk#maU_p0+#
zm~Y>kp8o%C!IV85cRx+h{dD`j#KwEm{N7LDc;B70WyklN{ww#UIKST9bFK8=H0S7w
z*PBj$nBJ`SzUTI8<s;Ik-cN8m`Jw8@a_vnY8m2nVU%<TQ(xR?a558`^Bd-4Q+v5p7
zT{UYaW+qJtIwI+H?3w(lxl{S42Y+$wUS9cs<?hnWJ$JuGK9;kc-=*+eE_8QJ-`&60
zrZz7<*K_~++{w1zk7$)#ej@k2lZj{V+E;&1UPyXAqwUQ`zV2u8SF7*$-rqmn?yg;Y
z|NX7go36gB>AQFJ?#V`<N%^lN_O`|M+}l4bztnI;QQZ{Lto>bw9xrh#e{+ke@?(kl
z&!#s$_wQ|(`?L4H-v2wXKl<+fxl>Uj*8QM=!JO_zN1rjiKG|7zx592~=l$awN_O9z
znm^sUA|h<M_U6tHl|2vN9ZcF2({>=@XWzqH6UF8+{dzJf{eGqFCr2)Z-iMLX#ZUh*
zSa)M)<)6u7Hz#PjbJ?D|(J@V1yKGv1D+{O4jhddPn<i>=bN0kM>7K@wwr09$==E(q
z57w{RGez`otGRgtLuhDdsHn>SzoK^;7#3DCFfed|uZ2or*c_vj#G1swlq43jK1L>n
zfis3ZMj%Eki9s^vVUk{qcoGvMGh+;6%r%i1Jr-VOhL|Hswn>x1)ENY18CCylgG^a0
z%ap_v!|0ME%%IKApvl6^VEizNogpSU#xUts4DUn+>sm&82GNGuNsKWZ49p#D4D6~5
z+ziu`7-Jb41sP(lG8k=Th>?nAXh_->qre*DmBhi|uP`HqHR%gOc#{ExAb*lL!^#eJ
z24*(4Y7QNS>Ls!YOfgI`A`JQsd@(O$>XN=Qv~z?p1Z+#{kcv@an9RapsvwrMo?)(#
zG{Zv1P&Pq^x%>>D8C8-bm|rsNRJz5mQI~P=gqR~SwhVo&cRREg?k;7#%fhglF-d45
zBWnzUJ;R0$9wxyD3||%4l9CyIGZ`@af0@J^!%!Eao&3KziIb7xANUS)Muyv;k{SAw
zn65EDh`F51ptP1lZYRSnB`>CenGAP0zQh=^JmO61;$XeEjO|_&!z~qN#(RAGI0R!v
zIrcqD64K?|$I)_=lXC}04_l1J(Igw*O;yYJHaT*fVN9ywxYVE(!x;O&h>=f4KvqDo
zlcQ5m{5Z$Mju<A<X&k~H9|biTG&&f1nar<n{A1xb9djv(gE{FRqu81lkKHjWoR_)m
z9cIL^Bz@uXcVTCc(`Jxl;7_`e^e@TPC5DMZhmDDqfi-DTl1b7(Md9RszXX!AR`795
z6v$^}$PqXxkhGpDQc92|o>5>bs~}S;A5)>oM5c0afrpHe3OX_34Dw31nB;Z1dX$bZ
zX<IWL6BK3E6nMC@Q<#})t041R6;4j3cTU{Q{7LtjE(@?GB{SXDzsSV;LPU@;=2H?=
zvI;}5xG<w(M>4}|rj8v<tP0FYUQE0lY%w#L`C|l>RaiJBxqQXcSMkZ|a!KjRJYzUC
zQDTv>kTxfWhqm-PiES!31Pz(mg;)iQ8Kh%0gp<@oEmy6UdE?4arpd`RA@+YE2d~UW
zfus{m528641SP)5d=_MoWagOvS5QHhmGf(?3PZDmt_o*E($!do)iJxdggTf6tQRXK
zb|i6}pQsS4z?t+z;0Fg&lBmcFrWhrD21ii|9!5pQb4eCSHyM(6lD;KzC#`XbiBY)8
zA>!$>pX2lzUakHlB?0{<3a3?!g}F?UnuG)bC1M_Pt`bPnQkcx>l%!ytq$}}k0(;Cc
zt^<tf4BRo_Vz^^CIAWL;beUruHH9a|WV1A1T&o`38uLZav7=ke@v5LzLo&n4m_0(y
z9E<|C95I}lIh=RD#7r_~7UfWpJfy%F!=<sHQzL<40i)zKj~LNcngJ&3_~l|0bpn!<
zjYK*%-<TT-b3AAeijj<Y!ttU*OCsR5La_?x2M!*VBtZiXv7|*4V$zeoY6$YQEL$(;
zs+MGEG*NJRwZuM!Mup`6MKZ~p2Ii6~Ni2dg#m6M>#|W};Pc<n0>c}jf%+M(_#pSXB
z!&U>X3D=SsIpkz^cI;9R^=9GrU^Q6HDWWoy(UzHeLK0_;hQUFGJpxHPY~<}Z1)Uk9
zPbR7G#~9qzxTnOaGW(c7pwtG@z?knWF?<Z|o-r331%AJcF=1NE!en616mwJ1Dpl1&
zUUH&^GM{2ScgqruV<yF(8&&g}-l_;#cUy!Cu`sUHO5svu6;8UxeA1I4RiTngR>*3t
z!q4Z9oE|Z?F-8+x7!4vBlPoI~P9()L%;=aN^IalHR6~KIm|@wq7^U+TTdr{_yTt5M
zs#(ItE07p7*&?2ik%3t>=4p(Xpn#J&!{G}8F@iBX4DwPfG4CW}W0-YTcI=Ue-y|JB
zA(@e(jXS_0`QNn|%eexHF+2wM_yl4+lP)rt2dc!J=H#{L6cUj1XL!skXu-zMA<W4V
zbBQ5yQcRqy%+9?MJHKoeF=<FjOlDY-#PFoSC+Tg{e+AD5_N4zw{2C4o7h>KeISN!Y
zFeWj_JmRY45D}X6FUir7n=j@A^U+Bh?>S@`-g<mBa8~@J!onn|nC_92^qVV?)69iY
zPECWMTV#u>YhtI=Tgez+hPy0`&gYZf3B&{@ofgn!S4mQnkYl>;l*DvBDNd57%eHT;
zIzL}xtRur?#uzOR1D5}SyI;rr6BLMLvYGnFQ6N(w#>29XA?8oam85q`LiP%OJr6Ro
z#E5Ym|C@BKld;o5jE94}LxSTbKgV4c&Vvsej0E1qs9UJNW{Hut(7hC6I+5f1B<967
z^)8&%+t}Vu<nlVMAR!RLFYr{dQ(&Q_z6j$@5tZlLW%)P0=H%R{rT8z#DMH}+zZlDS
zfqya5EP-zvK(`Ub$S_=VV2qJW`oL@1>G^*L+w?`8?9){Orm{>_dMx0)Q#R7mL6R|(
z^Zg_hW&>T$gC2}_Cpn9q952RbI$rmP{qM0s;-bq_hmC)gJcSgjtu}>vGO<d0mN0aY
zkZKfVDGc1jwr~pv+d>xYjvoRKck}D_z2wvP^3f08!zUiX&RXj7QzCq?d9OMrXD7oe
zvD)XXt<yxAS-!e32JhpWF384MpQI3;B#<P<BYn~H>VEe3g3mn;vS$i#vsp$R;Qh{(
z-=Wy0pmI>(%0>HK62}a#lr!&Q8sd@`$4Di)NWO7l65q=z%Mi=(DTyWdpVJE#ewHL*
z2BE+!Jm>FERA@cKT&b~LMd>norO;viJrREy1wDQ-24t{zD$Nt&f5c$F;s~pK=22IX
ziCU`}9gcY}R7uVdoZyngC=&gUq5H})*6u6ES-OKyu-Z>P>Ab+mPen$}f@`9*9JjTb
zyqb{6=Ldld(@(KZ4?fK@-Tw^hb>FkR%J%0>S-sDH<x#$Jfwf!ZBCj%+s;Au&=Q&)K
zSvE^FMT12`7v1AHd5JZ3*=3%S^RBQiopn_-v@Yu!>&I8uMM78J;5A%%Q#^F}E#A&$
zw?*F0yTf~O)?L}kx~zMmqOb1DRIYp=8tVB_JXET7l0}^I{DM;&%qqt{8Mr&-EFVp>
zd=|uF(4)W-^UYwAqvw657%m5+N!|@=#)6p~kHpUN#{@h~W)x7FCGuF!l#jE*PN6cE
zQPbkFN@>*-R!6I+Du+EI&NFycDR{&(GKQ!gUZkk~jJ1FHbC&*jFIXMty;M8y)p1Bj
z>3)nu>?FpC+dcn#n7gQ)zN?Y^<rVAvE3aARUw*^-aPnK``5fsglVWr}%kt^Jvt{*t
z|COcx%Li7+D<9P_tHfyiwJ;R;mh?16v_GOVAS5Gxqj2sNm7ARQ9iQ~<1w``24EKFj
zWm_LG#VbiHUr_PO7gon5UzykQ*)Os9D4IWoWuj*Gi*KwGHNW#Yin31pVas~?=U&mm
z*)|<9#Yb*4PEl!?Gc&|#Nzshx<P{T@WG=+WDk|Nvc>2q%F-nlfQPM`_x16GYr`*QO
zy)5558QuS|y3hN|<Icwxqr~aJ_`pMc(ys&o#X^p!9-Aah*dhi0*(nQ6h<O;xXu<8m
z<6?O0KkH)ePQC(@2@hj_F?cAgToB8!m1QN1WHEyxBcsFJ09mG32B8+_6NNpo6aH7k
z=(Aqmu)0NNb<Ej-Xq6fHTrLcZF={azV;Q#avY5KXm^AY8#;l8p+fZo2BJ0zstsHUn
zL_wj7!dy;MM+V_fG1e@SE(a?ZRVu$vVv=l_!lcN+9Q!{ZmO-hBJtIc+zfwxa_b*K1
zO5Bs!=dvX-dY)p8NsKup$WrW5@%QvZmU?BD_KovZ1VvhBD)qC7M@~H6S=PMqH>1=V
zmJPp?B9odnMoeT$dbH!Bf=$fI8L~=o6IOoWSjn_-LkmYT!+Osh3pny8m~#A2^j`kI
zW@3OnljCiU^D3Q3B2$&v1q`1Em@>sObRSEyiSbyP%$RvCH9{%yA2VBtick{gE1$0u
z8KqdfG#Pih%v5FEt?9HwpDTvrjS@#RvtYlb&=V0^CssCp!6d^zA+eu~fo#64^J4@e
zRAL1B3?{gS<gl|jdfMuR^eYMe<Y1oZ$+f*;IoJM4y+1d!3S4BF$#*wKaYBr3%u)tf
zPAwG+m(HCNViqLD3i$6xXK8f%nZ(o?@{I8VCtIb50Ml+s$u1T-F1EdsDm7X63TZNP
ztFk%E{k&)?&BGJOY2_Gm-;?pEg`drgKwkEKFP4dcsUdtU6NCBLCQcUM^^{^>qL3$Y
zTUAQDF?156!1qdZOJ9x%L$z22p-t0N0^bQvWc$j`W65W|NQiCal8hs~KV37ugxOp@
z`K%3NN<>6@7tP$u$Y<@EIZc#(q9>cL<I)f@mi}OIw*FuVv1U&$V*y*0d&<lXU9LV;
zC3&<}m0x$VsAw8W@#tq|Nwdv=CBv()Da$_9l~-SmN8eQ5luuv5lUH9yeB#DQx*ruh
zwaZl&nJQ&^GVycSOYRpE=u|kIq-bEjDQSgC=QS_IWr}=D9r%)7CTa66YO+#d+w8Kj
z?ct2XNsHnqNy*$<q|EBNOohjN@{DW+SrrSf2lkqUOg#6qR9QW>)Y$r6MPI42cKd3G
z6}xhq<WEv^f04*Gb&)1(@G>nv)nHkZE848nRdkrAYv`{v(d1KJq|5qnnI4bwY<*tk
zSq9?iOEmVYT%2}N&w8hdP`t)d5tbOf!-l53jYd<&gH4Qt8rh6Zc~6>na-TFv<S`UC
zH5C;$yDJiU#auM>i-lNdu%+nA%T{vLnz~(C)}n8B+3+f_w3Rh~X(v;;%wD!q%|SfW
z)8~3nq}tXA?~>wvdV754m~&yCORNyXg(*L?-Bn@^Ff0GGnD8m~-y0TQ;lhrXrzdXB
z_~YRy{dGk|m)dbBe(xs>JDLuZs2;!K%<8(uMYM2n-;$GGRgW{oXvhBdi4l74@N?bL
zBq4E=Jv;MVby=5OXy{})6jblVw$jVNN9opY?+9_FXA#m&0S`MPr5V}7KInJ+fA#tQ
ziD(rDNgKVujc4823VYZaR&Fa_<{^Gib?LnmCo`j$>WEKde)_`ce$#*Fm{6zJle{}m
z_^+Glvh%1XTVo&xTjQdg#a?VbCoQu6Wp9xDznNKR2W!Iof1ccoh8Hr}UCu>(G`VzD
z=w#(XNq&`>cMQUU>5iP*vN69C0v)tsUdPDYxWgiNq2DU$W<bo&nCO4}Q@r`~8+8SD
zEl=`2a@0qZSM-%EuexYR%$uv4BED>`T#CHLNx~<mTb{bwsO%}2`c^ZOImD08eG)^P
zm?OjFCql-Z_hcr7#wh3~osThkB>a3r)WkTK3&-C-Sjv=HDIN1SMoCzR{m}<bg_ki3
zNf%yxSJJ%D%=sh4Ew^t8W4(w!pDp)9w(Wwuc1=tc{+Ytj67y49IcB1$_MAy`3m&T!
z#Fn1qG^^e25)(GDMEv)S`8Q9i6iOGxN+#rXT;x>MWnx!}5nxRIUs&uBtMWfr*^@!t
z(QJD%!-nFtNkT4#X`N3MKXYwR_?l#uWM0z3)LFszE0$rAK#X?G46d`w6k`4+GqjZ~
zQfP~LACq0Qt^s`ADZ}mz6NbM@pxaI-E|}z7lk2^n#WD40j5A}!hh;HIF~<rY^oled
z?<zeWDB4&w;UUunb^au&Bn`nN(P#cuK|F8SJ9Zkzyb}EIw(cWav7|uVOnK#rS3bOU
z6zG|r^n*i!gP~Jk`jsT5Rg7HADsou%CMiV4{;%&yX6O*uFi~KO%S!?E2Mrz9Vt#)(
z^}$U;{CQDSFzdP>?<T#>XqZvxrou7hqs}A|DW;cFk4`zn{EJcNSU2H$j3UPt4UGvg
zZ#x)gwk^!5z3?JLzldj|o`E69kC!u7bHvmNFli;ZPrAVQf%C{o0l}D=mK+V9`Y}vL
z1>eUqbjLJz@x?4<Q0M6o*e?^~)nGBnFQ!4B<Mt7LCK<=h35qe3Ui`cy{34ydG$fQ~
z{-hhnIb;^DP~eRDFJUkF;A)e`B{m6f(T7*Ucs8H>r7?TP#BZDn7`JsSXXIGvsb~<h
zx5<;QIi4}eXo9EdLO~;@LyafcW_mcr^!@M1;o#_Z;E0)=^zoR`2d^CWCl_z%gtH#Y
z<bNC$;r_@}A${h555|czBqn&gh$&8xm@!FW-WPL;2`Un+JEJEtE@5I=C$X0!T1$Oe
zjL;{o+l<mIos-^6+_=oWzGJnP=U$G@EE5G6#iR&oMNZI(7cxkCAEVpxO69Twr(|No
z`54QXTQNI2zX$5>4vA#_aWRT_t?q;u>N8RWQY<^nXC%&v*+0>C#{G$%0St32k~$7B
zO8w)AnUrMQS+~GaEt++j)~^^j$tN1BYc1x?w4Wg695bDxFv&tOcERZe#+WNHi={O>
z)@u0gTVZ27p>vW(q`=_`x0U~MT<hSExoi>YDx+*++@b61azB<gS~Tu1Z?wxNNzQ(W
z11^gth1T*$KazN*KjEpsr5M&JMzdmACdIQ((uzt5S!NL6Rg>~hev;vwOS#5=Dhrm?
zq%kje@+~P$c~TOiGLxQ3-i{>Cm`Tqh*_rs)>ZvfgGBY<#V^M#aBoo8r!Dx`nEYG6M
zk~}rZ&Ctp~e8N#7%XOZUm?VSFto*L@F2YKiC0Bwe=FWzINr7y<mM@reT~@TPGBLZn
zipZGHCV%9AcjW)hM-vj=eobg)NXkkwO!{t+RF^cb`&LJiq{0IQhc<=xNsC#G104&J
z+zM3qEZQosP0F<B)0}y;-bHizT#<^2surs{c@+5^yaa{g-dXHf)ER2x#F5O_t!0&B
zqTi|97`!jTtINfB_E)cIO3E|mcrDUZndD$4=@788V-cgmVFjN<9dEtPE%dyS!ehO$
zdqpbS`bBBH)_SYb`K&WC%8z*JJzR8%gICGdEZsYBQjE|;4prYel|x)XZzj!RQQW&{
z)|H7I{zB(fIKq8*sPM#aL`KPZZmr9Dz)`8PfZ35J(Rrg)CfilftWwdrf!TbCt~olJ
zmy6A<T9wPTenlRyb$33WwbrBp{pk`@Q^cZX?Q6=&_!je2V!Mlua*WVQB>~T8jKUKo
z&b#RNU+$a07;|Nh#7mEEiMNWYCrNzNvc6Q7BGA0XP$Fi>E9Y5n6?GyfT#0dF@fJCJ
zB;(1Y39lxo>+Nn`r6P2)fJr4kN#9a4cT%C4^9f!3+(i;Pxr>YJj9kt*#pvheo``qJ
zIHRC&@ls^2er`xHTld8hk@J#G=@(D;#OUODsz@p*PGan>l3FY?QM+)#c|nbGUQb1F
z2KMHdDxJbrrF`})%6RR&%lS@g-Ktn_HcxXRdxqj}*Jj3;y9J**)^M5ra){+w8OF%j
za76edbCS?jhgjjW%qcOIO&N=B3B=44n#-(`^vEGp_;ly32N!C7ZhFqhm~=Zv>8HSb
zTc*fKta`5tRjr;1#9SBn%f}M)IU<NLX^v7%;r(|K{A^qsF1(NFH2533`~O8Q#Y#PX
z4Z);`;-{^|T`aw-_`08*i4r~CWtB2VK=8_BH8BB2Q#b4CP`2KZ8lAa*jpYh~LR|+=
zreu052+pX<%vb8V;;NuH>6?P8!$U=9m97`g;hvn!U%GtUr}A+UgS4db!IjF^o(u;k
zNGKZ%F{*OLE=pBXzqrGr)-3x&q7irWh1E{8rX<bwnO!30GkZ#%*xSlX3C<JW3<ce#
zZn!lC&is~CC&XyMc%WY}u#uy}Ld{Z8dIb}cQ&8gfB!d`_`$?M6`G3ejFUtei{(mq(
zBc||*!uzBpF`>qSp8xCZI5{M>Dp&q%z7P}RA#s$&<9AYROwl}v^Am3w{77<IQI)}-
z6z<&C(3sPzGmB+WZY;wNPL`>S-jief{%<(JBJUmN7p10Dd1^bmo!~}ugCFsWRbs+5
z4*Wc<AP}=Q#=n9|=-`BC&UMU!1@rnCq+LZ~3RpwWIL=u4C8mBu#e{#al3y%Zk<N4D
zU~Iy_XR!>+cD#KOIr(M~OZ05Um<xh4Zm>nP&UZdgP#IC~!59;r%TP3lp+ZofSyLe_
z<{Z;p&nf4aR>mGZcH#KS?ToSi^I{pCrcL_wHijWMNrRdBkY)!b%k@7uwiq5Q7q}E-
z+>y#=5VIsE?uKmJ(Y=Q%E}v-R>Ydvp**N>~1C8?+)ea{Aw_f}D<ZGP+d=d?R9amh9
z{df44okL#I+9dymFDzSM`III9Px961u-(Kq!8VCQSon)T?0<%d$tM{4V+>q2h;T%?
zBq=E*vB&;*<jCR6ys^JBNx9)6gOs80`<o9OTk13}u1LBNXV~nT#8Pj3h>hWIl1q|)
zQbSLYR!mURi4Gl>U_;sD{~pOPF6?>?7Cg~6-k$9=Jiw4<VBvLuDfxfRu4ai-v7IcD
zhR>N+Xmw~9INxgwbdFJD&{9fFZemb;d|}VQ9|B3G40Z<-84MrB+$a`dO<}g2kutMc
zNwCBB{g+~;3mw``ryd=CxSC_aXGO-Ojqj2lemr2v(~()%6Em;jsHU%n1ygF)Op7Ge
z8`({IOc$AA-Wpt%xe*vMY2w6|kjpPc6`Lh(B{#^u6%`b;e6rxtxrP|iq%$$Ku^g-h
zSuqc+ge-)TRwPgO_ckf3;CW|9;>(BcdQPM-ILN|q_*P7*N79NU&c2mNN|xrT8_N3l
zCPi>?uyQaK>Ap)Uy1}t@;X)y%ryFL>KDc2{cFg++&oXkaC$+GB6zT}?$WqY#&Z+6L
z;Z!vXyW5L6i<OfmHXnQ?_)_6}l8yxD<D2;>*Lko?ycGOD$@Xx?mb`~NFI8Gk3a{QF
z^G4;2z=9i{o(CB(2s>sad2SaHI=jQkO|qbOA`7R-R_4y+|0>)`f>A3TKR!|Upkxbc
z9|xa;VT>HZq(^HN9?d&dE}?eerzgw23%Q<rlNk2xoO@!5Fw?xG^aqbxOgqlbP-^4*
zCNsk%hLekh!>%^z(!3oPdD5I5x9}wusIX0X_AaJtap|dcz9&io2l&-rPBHMY)QIZf
zRb)OIGlyldoA?bM>!t~eNsB~uDzh>=*n1T@8)9lI7M;{Iy;ykajAGJHt{KS>E!R#z
z!6NkRrN%@?ff-8ER0Mu-FnThuGKnfIQqjNDs631B<tqio81+flc29Om%9i-b#5P$e
zb4sUbf#-q83*wmi^`@$H9@y@9NKR`nhnYeWW6ZH%Hx`_j(CKhw#Yeg3nB=6JCm5NN
z|JNUgF-uyV%y4moBU4h9)_Vb_nAV7Zr<NxiSGsZ3CN0oVVoFll-Y`qH;h$y0pARcM
z1#%eV1GUbb`2E1iaeY#afQ`x=Mn{oV>NA&X#2iRw*p}3ubiqO5!0uy=2M$~oFZlXF
zQo<nV#TH4)r5Csb4Oy;f7;QZf%h1H3yt2EYE0$prgJ8#7gWpU7Y&<s^BMK#M?rK;n
z@H8oRLD_2d8!De-3<L!>U*_4MI&no}mzwE<uM-)}JN%b0ToJeHeYsoIZeF*TUD1+*
zQ<6mN7(zSdir7eq+I01>cV82;nZ%J|(94n4p(5oWCgrz+SN`aWUYVmO_?R3&Gfv20
z;$Gk($ng6sr{F8@DKWEj*)}q%cP$k8(8pu{YDGVfecuEg`zV%^DpfO-RhN2NpSQWn
z@wVs3i*CiO9s)*{O8b>=oMPq-m1MDfmgE_6b-|6Oez!dwv!<#{)K(Wcb@@r%xy^Sr
zI#|v)IZ^Oa5=SuuyGr0n!=*;9Ou{#wD@nLjarH7fOi%vLmCSIc;Xw5NgoW*r3*C%Z
zC8QU62`o=CoH0{tR*a5?u*1|8M(LN2EEG28JKtESzo4;iW94h6Vu^(_b2wtMlLFqx
zEa;SBHGDjY&(ee6)RRLzN}e_0rr!l$T^HZUa;%<_zIra2GOT`*FW%}n`A%W)&en6v
zRLGKHwR8-;5G!hadg@#m){A^ScjwEq3JFNh@swd?TPx2PIE}|zcKT8^M?UL$GkC0{
zxNKFbh5u`|Ow=i0(W&?}VY0>^1#cH6RvzK+lO)9FzZd$fvhMfHmy>34`zo26g-rVB
zqU6u>_H()<OXizTAu(T661{lhmAZvQ=Wg6T>ncxT;B1~m**Ty_&0NvB;`6SG%nh8+
zV=cR2ugF}fyIb_<2ga)CZtP&4l$4+^w6Qbv276;w&(EL}=T)Li1x|N2q#GPPq2wTX
z+1T=;S!d+`g|dG-9Z$p*_%$hdGV!`FE1e8pD0+IQjNp}cl@q<i@+S?gHOmjRoRqM<
z8t3V$k#KR6R;<wdkGDDME&MEEI6Pzj3;axGnACltMB|cWl8cA(tP4$(G>$N^GF_3`
zaFtOdNub~$)4~aiNi$=Zw6xZ`6wYMw;Z>QEu#{2Kh{NIQ#AuoKKe}0RVmKOBt0a3c
zaY`%wb9l+;p`P@bA%Uwy^u(otm0io-CoOn)q~F7VbH^jW$qX_=H$8<q&NGB2O^f-H
zbjT>%FXnMWl(Hg|o?x%&qeW@~eEq9#w@9V+KKi|>k@;h6$MTbbyenpNIIKJolYUdI
z@yWy$A72SgNV;lqtm83j%%u;p4C|75AJ?d7a0oG0WM&u?O{}UpDPY_@;qj-5YzfH>
zeLabi$qbbX%le)fH5kii&bY$=B<XU+j;`2)Nyc-`T$k}(KF-v=G_Ci=%HuILGrcW3
z%Vsxy3@B~c9DY1zW9>o4n9gU(9gz=X`<`-MiH&4<*7Jlf#voGQ&V(qP-bdOY^H29b
z>=j7rebD;2V3|(e{lmv%L{Hp1p(x#R@3LyOPUno1UVjUP8IMHGiSC+I_+`$@a|>f`
z#7}4z<qlADQHtNS!KQE4{-hVH3SyEwCfIdOncvyYc&tO>LkvHI;ti38uoLGk{&mhd
z&e$aIynoK^-shJ$3wPX&=nSdQ?s?jGy!XlTaJjFOzb^E-z{4W<^;#@L$EujUGiG{q
zZBU*qz&mkgzA=Mf$IYV+-O2^7j0KKtNt0rNH)=F-G)%kEQ?_i4>J#;(jokjd*FOvQ
zT$}K8hGgdp<4M|LJ=Y~TVouDs(ZLk+KtTReptFicuBdY5$L`+CR<^3b-vpI~yVQiQ
z^LJj^chVr8lk38xn=_PqCTh>jN#1#h<B0(GN|u`Lf=LYO4Urj4DXg;^4Q=`^x5g+N
zE~}YnXxH#!_S}SCuKwE}a^@_Mow22HQA~P-*%leG#@L1H-CUkD>duS#+*!*hbg(*R
zeotLvNAY9pIgG#kGgkgM*4g-^Xm#f~!3l4Z&-b03B*js5mesn0mE$YVr0Ee%9bz}6
zCWuIg&yt(yD9z{~?4YdT!u|1}ch51&8TS1hsZ2j47#AEc+-S^ngWrg8{*lL%81h$r
zXDC0yt-#oApvl57x!QQbvPhkdP7RyhGp(_V6Vm!)I~KS)RTf1o{c|$Ccf!p{F3%^t
z5J)<=p`6os#u`y2z6SoJ<uUFt6XqT53~5d})TPqScw(=|MlQvN=|U5-m^7^uE7;Xz
zHi+wVowO02Ao$3!)^EnI6O0=UPk3}=a_od8ORnhV+7xE{u33dM^SgLlH@TWMCB|?!
zU2-qvckvXMbzWee!`m3gq}xjOk{ItYPdYkrV!;FnSB|}t7BVo#&OJSIvxvjJrakH$
zpOP18PxRc_H|vA|d#A1Pj5CFYUjLo=My%tGm_yf%$cpv}#tQ$E&T6Fyscc{^ocO*_
z;l#TIH6LEZ$an6G=qTh_xgbvQ#O_~7s>a-%)3+RDd&t#Q=oBtcdF<xQ&gn@j)l@iJ
zSx!%0d$8yH(n);xduFFUip<#juV?njmtQ#<*D@yccN`M<dS;uc$Tqg6oT=<fHy+7p
zI4(D-<fKTxLDGu99+EE<-2A2$O9W4fu$N|)(VsKXz4!F<IlrDrbaZGky2SP_dTP`8
zSch@q{7npzU5id|)c@TovGdsJzSEN~+?Tk^lfJG0bjT+;=bja7_>y^Fm?WKg<zv@(
zd{g&Icb=aoeX{zF7tNdIrqi?hG(-JVjYTY;I(^4Cvvn<>5V1nFclpts7b|*>YDub@
z@3^pVf;VFln{(zq(VLZ8(p@WadiSNb<xXVz`Et#|1FWvwdUoIOWH`f<+xKwoA?e9B
zGt{5(C9JtKN$GI;zQ;39^zZ!GFgv1m`KJC|S8lO(_wM}cEn^^JE7v&Fzh~E8*6GVm
z@hX4o-8qL(c^gOtuX69s&(%HbDvHx(Qr&MlTwuNIdvWTLNlpUmruFY$ZpwS|k}0p@
z<+~!G)B1NsiH279?yS?)X%h*}x*^Kw-@9u$>vY@Ro%_W?5vE1=%}o&rZe&~dKvrGt
z;nE+TYwYgs4dfH+6_2#^=-I91X!(dG=C8=fV?P;scTH+pn)>`FU+*qWjbuiC9p*_-
z*tYLD*|61JM(bwhI-UOA?8%ILsXBqZyFA(Yt9y5OvGxbQVDF#g8X<F_@m&AQ?LOb8
zz3Vt_!ML=CKbf(Mm*wp%w%AQ`rCeXj&G$Ug$E$z&4WE8=|875C{pj9Zm-zP2>)myU
zwSQXgE}IV3Sw02-8rPid-yQ52%dl~k{**r=g}uAf&-XamO|(4qna{Cjnlk&wiGtI<
z@I8FtxP@(_<~*JLJ?i|<l_0NQVw*UvclRaMiSv4QsW43x6QAGsr+@eIrdWo}2MvV{
z>i_m7P1*2oVoU2Uv8Og2yMuU`O_rE-Zu0Nldy;+U#A%Oucc1K8x`}bSPS2kC4eQQ!
zWJY$TsHjFWe)I0#6Oqg~_2Lsw!T#Nr^OAdaKML&K?RhbvAohRx1knv5F&9^K8t8X)
z^K6`O-<4DLQ|0v7{|qikCNbf$|D*dm!W(DlboTX4xskNsD@SmLMO@4Yp%{nctQ}mB
zW(wqVPFJc;nm6N3he}L5i@bi<mj33nbtw}b?@SO*SiwDei^&A0iJaXtEK^uHCw)EA
zpknkm`6L5p&ziK3rNu8*^PXJW#HjUtM|LNJ^v1q5Ygg%;F<xMv-@WFg>jd?r+jb`d
z`-N|GNLXzOQ+OS-GbSY=`|Y_|{To(KKl6&Q-z8(gPv+_S`pRqiwyL&R^fxZ++ql-K
z=~97y%=rnHVi#x6zj$wFwle<*!z8{RtN!0;&gtA}@jmIIirn+2rGkvg=PrEEFw8jr
z_P~_31FbQ=3aPhyHh<>tND35YS!=f<HNJmM<jEN+uP^n@I~<d^adGmbZsQjsp9=I3
zUg^BJfv>>&gychwp6YO;3ZWfgH6jQ27$TBnlV&EpWIpigM@+nYM?q>%(x(%dJc1qf
z?LU5ONb1Sj)7Sm}Fk?~!o5v6ShH{oG6`jjtnAI<IR7^73TkxWT)2yTSL@Yx`!IuuJ
z<|M8T;f)>TmNz`kF?J+OSaY&M?_{>)q@>_^PBk|=<oEUV_9e~Uq%7UQrapW7C;!Td
zw3%-`gd6xd4zwLukTa!L=c1WP$RgRwJKeLc9_Bwk_06Q-{<TU+R!{s9%h1)+&#|Ow
z0>jFrBu*yAo{7qwjY}VQZ#c;~xnZ-Uu)?tzCx#V2->sU!oHJ$8?cT}fE)^~RvPL~@
z;g6FHe;(Rhl)SMdt)}nq$xqd{W7)pOF!3cX5WSkDw2YBsC4*HBV@%HkUv_~LHrgi)
zj$9GA;Mjdoxg$W1>Ew1#0mdJhf-$Ffl6xkU@89^KJ?4b!`Q6bUVmJD7au{5Wk>uDu
z@yE~3&pi_>k{XI~dM8QVJSn~Ms0{aoj!T9dsV)~59lQCUW3n1Y@rms*M%y@N>ZSEg
z-mUzZbGuTnK+zJ;17@rHCRcJU@7Set;zABn-{eUX3f5HjPkt+x^r=uVE_Q*cS;ypK
zNf%mg?NMhCj_#e@c47x($4g~?hC?chF&v3AE_F;wGE#^a+v1S&b3yOq-EA?SlOH58
zUyWIkJo|w{&&#vDlfGS_-XyuXXVPC`zYbQJ?hoC4lh>v+>Gn=uotk0PKY8_>#uH7M
z8+#^KUSN2zFqxrevgZ7kWt;YW?3gU^DE#5Xsh5(vCnqxXPp*_mW;oqg>fX52y6Lss
zqeYqT<+>;P-QN6$F=<}U)K0&K0+|=JXS=68{^2jo(9CqYcLr<H?wCz&47>Vfm`a>1
z%w?T+;nvOG8PC*zxFm{PNc_Rvm2zZepX`jT=M8fkt7BZ)PH$j}x$r)sp{3&ZC$^fE
zD{6E*X6Z0r<l5FZ`=MkK*DGm*2T2ce%|1rl?0EE1WI~cE!@F5u{dts*-K^fUUwOtQ
z3yzrgQzqQ+oBBWYM$)I=scx5JAJoV7?XkHa9@n*}rbF%t>yP%HJx^x|bU4e$F?Q_v
z)3va(;n1xHjy>Ib9FE4=RGit?GU4XZDLv<U_jupzoqDfl;j9xbNBgEe?^$rRd)o0`
z6NDdk?>jz0@7aWRiJZL)a;qD*tl{XNe{|X9Uq3n)_PjjOcW3LH{sSp5Pc$>eh;;oh
z-&pxcrza(?|6u!(hS?7oldO9WKHt_e`)b6eqz^e3y(jsfBwgXinJA!qnK9S8v+~I<
zMveoIKQ~-D!NfUH<``o~gwq!$UbDsCo0tU|d*^?xe0jfu@rE!<C#QJKqmPWONgWX$
zf|5)V?N%I<Z>T!Dk!h}Q)1$+T*M228ZvNbVAn0cQqFen7<2EESG*4AaOuE{8xP2#=
zMFrCpCys@)SdwDwCS54X?^$@B^M-DE-@>(f`;JZ7VBtG~d6Piwbm#QdYaa@A9BX~d
zd0<cHe9KELKNw?fOmHpk)VE>Wz);swm%{OL#>S5gD{pi!Q0AOqc4kt{l#sIi6X*I?
zU%%Ed|3fT8&+50AwkO6;@cF<P(|t5~g5MK{1&xoDXK@*PVwA|}kUGi2{j((PrRJNy
z1zUSItbfzD;O7c1o9>*r>0X;weEr#Zm@!5o=E+Tl?2d)XNegAobUQD0GVlw8C*6E?
zbmh6nCxevJR)iFNk^JyPzHj1#wJNQ3@)!Qs{ai6^it*+SO@*YB5`{T2NjI`>VmiJ&
zVtf<ZQLK1v#jg6E`JXtHI=&uYjPahZ#cj@tiyyuw@$}4@zEJja=d%xDckDhec5d&K
zI6P^^s`EYb=FRkOjrqF2{m6`rkA2&oZg^2R<Eh+^=bJ99(A?0_A+*+zyD6t@=eLR0
z9yeFIZt$Phx7br@b*zHvq=hj;z5<RqbDAe9c&~kNk?VNZ?4)}SH}@Z&d*KOtT@3$&
zmBrRw4LK(#9JiTqOY2+5{0%Y1JO1A62#AQ8VEbWZ%uTnOAO5>2>`YYHYcp}yY8|Et
zOLLyxaGSs%(=Pb7<CAktkI=VIE48kE-jKb+EwXpf#|8x@j=yHsT}L186kv=wTsfIZ
zpXYVXPCdJxV=1wW9Ev?lmagfH<KS}eOR74}W7Pe??bjhejf}@1ckml_KQc_3@Zb?w
zh4F>ki{scVRk&OjjtTW|JSANIe}3o7zO(c1eR6%=vu=4S>+U4G9SJEr4GyMGR#xEq
zmL|C1m&&dn1HC!FlNOdKdsJ}uZ=NVB(amrFlj-(^1{Dh}7IT}Vz6l?vWXibqoL--z
zw4!hG@!i|hBo^!V#r(Z*wmWhDm4y;}bEeAWh)uERx%6ZKN9ddfTXuTqOxK?F@6{8Y
z@Qc$w_ui=8q!qBCG-ATXk9|9pSl8Ml*=*QpHZfL?@xk)>yRIvwny_sb`6+Q_Vu*@n
zpWyxOhlxFV=5OkFqr`kzd4_|5!=yNtyR5#f7bmftpICgp=jHdf{sXuAo|jLKI8o5^
zJpZSrZU4c{u5G?LiJN#888vs$?roeYB0hP}j@~O%H}~9$bqSSP%*Vu9*1!K-&+WZD
z`jd2i-#mP__p;aXX~v&>Zms3h|J!r$P0!8hx9puSmwoKLP$bth(|Wo<T>pW4JvYBk
zd-u4u@8;HbUs9v?@qV`o-q(9`)$ZO0T$lPMW?ss?_NVLAiHPH!3%D=X&k!+taXNd3
z-JXs8Cv+lS*KO=O{wTR2zLmZ6CTkKO_lC)z)+AXk=s3U>qw;f6a|~P8<<=(FG?oUt
zjWOxgy@wws&HZ4~oRV~C#ngU|isvWPHZx3Mn!Q5oeDB_KvFQ_>&(E17CY1dA^(`Sq
zK8Zy8j^A<<KAxPsV~x~I$@Jd6>bb10{d=F<%$Rzu_pp-asW-DHF6=lj{+89dchB+^
zris1L>ejt`Gg+Ord-qPA@!)9hwYV>mtTV%U_jvJWck$}q{b06Gn$`2U%va%WQ%oK&
zx?l5p<1Ie@-o1Xec=dbt_-FF#gY@$jYxnFeHG8<ed*i|eL0=Kmo4tE~zMY|_DY9Ub
zGM{VTQDK$2JkATWL@R|4u5kI-bF8SWcW+%TYk$w)rT11iE*33ap~?F6ZSUSWtW%e1
zv)X^_*%N$n;>iU+)XuQf&vd+^%j!EtPffS)v%D{_vOcT5uYvG)r8Or7tp8Oi6wW%N
za_Q7X;mXzGExMQZbgvk)&M)iT>&JWecK@E0OSyD)rEONe0oms(8fwm4=xf1i_(@~>
zv}K#8v(Go-Q@7m8d%Sn=+{>b`>-zU(`HF|yiiYO)?tQ+6wYP8Y)NDmA&lvV65^}d1
z`ZhUA3opo6uGSvm)Z%up>GmR<j6MB(u1w<c-uOT#{8QhqX^#%s>@p2;W9#2^v$^l+
z<*>f(&)AM~Z0<Vh>>=L$``d>vBHd!A|5bO`+-sOv!Pr|rk%_(U`21y_yji&qIR0=f
zpZ278`%|5b9bsLMY7W$W;xPItwvn;#Xz{eZb#Hr)Zs}P+*GuHRS@R?P{$r^tcs9Nh
zsF;~yc(S{$V|D~%$BiHVf1GLI(oB+VxUcvtVPpM@{~KanCA~C`=<HK?mt>W2RN_X`
z&W3l}qS}t>^zHiHbE49|cdI&|WqreTEnZ9g-sA84*52$nemb{r(@qtom*TP6jpxET
z-#y`cyV8AQ$LZvzvX5U>H^xky^Z27*aifjOJI=%l*Y!69R>b`2J(HN!`Q+h?mzNJF
zo&RC#u34bj^L7I3N3GtR-m}wf`VVh%>boF)LS**m!e@u)P8KRZG{;}8@yUdb8=H-9
z{BPX;x$kI8Qsj^Oy|O1>9sVBkVXtzn*hwq-54&%;vc>){xOt;{!o!EL9mm<(W6quG
zc-wc3FXoW>4*`~#?xWnvPyUyDdDn52KbGM}ThgwEZ^<W4Z2Z`Ll#MCIUixN<uS_gM
z<CP_a500l@TvKEJLCx;tJ^K#lM+_^N{#Z?xywZPs>$kouDIa_i!+*4G>^Xi-CB*oY
z!g24O<LpVbN$IT;4Qmo&lV)_DINP}5!`05?=|A0>_r-X}h&4=Ly!j*T<*Psa$M*E?
z)$jgca#Qv5%xljl=5JoP@etz#9_jyolB7FUMt1z(kfeA+u5!g{=A_v%x%qSV{p>$<
zYQutgt6Jpal2<-^!twpg(%Hvf1g_~!_`rCJ?Plk+&s|57I!*~cI&gU+6YElrNnOh}
z_neu#DqDVb$C*j2XM4`1^&Wq^rgz=mp5vnZ6DMk^@9Et3^QSmZ+xwXAErKFjb1n*p
zM3^$(?AfH<{lSy#(wvDQE%!K+j`!d5ZjcszJVCeV-H*#Be&zg_bgrATb9&!I@rjJz
zdd^P2-E*?+X`{TWMg1p5v5D4cCvPOjbbNdAL-vN@!<e4qs$$6<f5WbJ9`BhU(Ye81
zzT;nt{Do|eu$Z2sdD*?2!Y9cDFdX0V-(D=~shoa8rQD4bi3}1~k~;S7*-&?qLAm$b
zwg+CHJMX0Tom^hib2dCm#$Iwp+ypJ1AD&NUaHLOI)tcOOp?C3PzVrPX<vHvpth?5^
zX-(hJEj^pIzuS;h-M5a7F-f`mL5ud68%aHzG;NZOJrsV#BtNx#6Km2Y_62WKVw5*Z
z>|vfZJ-vy+ok38C=|uM**1pY8Ht_H#|L^`WYsT!>K$eUMrWW_dj4z&eFf-^eGXLw_
zvgyY20|GIIbNV7Dy6@@PI<>uN{}0KQChM-GI8{D@wl_03R`hV3ZYi6;CwThXl|L)m
z)@4sh4%3|A)_lO$Q_yC`WsM6zlGJ*SYghMeo4Ui$RBzsV%jdJT9tM2w+xoq-aaD20
z#+o^^e6v{BSNCk2%4e;W-Lq{ek9Aju8RMyqk=-kuHui4|pCs^;!<)<Z&eWf$o0<E!
z-b-!1=FhqPMba$3#5X<LLRX2+UDLA-bSDzX5|O#D{`72}Dl*p!WTM<nu!%A^y9#=B
zRisu=i?^O*=~=YgWAeA&ZB{l()gL6p9=yn2wcGB6Po&nW?wHTh)1LQjUF*j;F|u#l
z?+~5re~mZR^u=uL*}6YSH~U`C@q2ySqLzxCE!ueJ+mz(0N2P7f0=><?D!fZsHgE6Q
z76#g%+p{%%6_5RkirB)p6E@!5@%!_x_O#w@YY!z=zPR%E;i1p{TfZ&0UHPYPYps@x
z>HLOc)A+Y4SBpt!_Z>f__Oj>r?ce=dU$aEiGR1UPIyv`kUA?J6C~fMC^Zi@mRW0nl
z&D+4!QD@~i=fr_t_Ks-V>W&}hHgNTCiMLO#@7eNw4eti^h|aCbMhxtm4o3L*Z@r%K
zkwJgPKmV$=8yI8%b!>BA&meejLGqsdZJsk0_8t7!xiy0MK(ZnWgGzPhHkUF6ojV5h
zM}8PMM}7!alkALSX8x?#bK=47ZJ&ELe-mP^ny{N~_Qm~4W;a-SHy58t`Ps9{+U(4S
zGx8h0CC~fcb7?Z;45kTxdN+T}{&0W8+Kw%5Mhs@(y0@&E@%!hBuRs3xZ+UEWpsu%u
zanci3<^{{nc5jvMiR2LP**07LK(l=%2V4I(VGi!3`o3+->PZu(s~<K$oub`g+7x;E
zZ<6DIZuv5u13iB_w;l9PI^B1rO)9CwLO#1^``L2`wYie)<hw5(dw*irp`w#a(Y@Q`
zG_S>UZToZJy#sqvb5!%c&MglPB|YrjvR3<KM+nE}{w>i-3i*r|W)~iOqjPJ+ySUD0
ze|p;A^ln+(71Oe5ukFtEPl8^3o3FDc|L0@A^RYPj-=BBM8+0vhw`)(5J|r}MMN-e^
zd5YI~F1e`9-VnX<g#XT-HLSCmQRe?y8Bpf`Z*-g%OwxO+y<^HFPOF$U-Z#CQ=W=EI
znK<=Ef79pA2ao$VzYk(r{H=Ghp8hGevYGR5Fm`BvJnVazv2Sy9Y}m~6Z+bSXHW-{>
zOq$>Lv43+kcY_F1Qhv|o^3zPmer{VVGk<NP@z4IvtEY(A^={tot>{;zQ~BubBte%9
z{Tu%#W|;PF*{;2nWuw%#J^h>K^4V+E_itX#V}Gh|bM(ff?s;i5IQ&fxAA3Ca`GSa^
zEwAr4Fi0oo_iUc3GVN2(=5I@Rj&7de|Ez!W`Y;_AyPt=&r^K9{axH2jfA3K>Bb`d;
zOEVoNYq`lWZko97`2p{<JzH+=Wmy{~&v<bf`+B8@v$YKu*MerUzV~k~U&Uj+r+4$*
z4t*7`?2h!43eHwF-^`wzj_~hjw_9j9yOFtX%XY23Jn>FaAa{z*1-nyZZr2>qx$7k6
zPWp6{vwF(I+bcc$r?Br27Mc6JXY<<-xtl-xH(Q6w+`QMf*-LZ&ry!wOoa#X`l{&Nk
z1a0cw^4&Zptg2)Ao{r5Ye;CaS<7c<;SaGdq%Os9-jZ*|As$zTo=XYH0-Ln1k$_3oK
zamf>|uIbtOF!q0gM#RAeor>3eTVHz{O)I-xAoA9Nu`Xs+#FH03T{Dh1s47{!+H|$z
zN>5B=XU*pR8&6lLpY5O7bz_}QL&diai#@$FpIlqH^n35kuO}tGc8T{l_J6*z^3g@N
zvpv_%uH5grniyl$J@e?{<q|zLayl0h;(ITh7wWp0-Zj--a@oBT-`||R^?_}JguCdC
z2W7nhdnWEHSiUl9(~etLAB0bubfkIZ)nw6=j6zK+YYuTIeLBvRvgVKy$8G!G$&RrM
z%}maAJy#Pu-pB0ey8QXX_sR>7E}EVbnC#zhT}uAZxn^_Ult(=~^*5af5bm3L>01Au
zJUhX@>-(PcT-($!{c+FL-QgmNw>#{6Ix{-#dSfOk9K9eVx9THT#O{t;kFTz3o%3P$
zk1rcSl$k1;XE*-%wDNq<%=15cPgJ#uG;Ebz@JaNX*2KgO^PXQ6^qqLwtm8!e1dh0l
z8E-mYcg(1{H{*+Z7}M2HpZbr?Rp-9fHJv|JgrQ4?`?`Gh(Y=u~-gn+CoXF}jXU6X@
z3YIA*4D1_A+IL=hE?URbGed07@qP1e==2?0tDMx(G`r*A1xwi@Nw*ntb(>yGGiq<R
zAix;2kYP?*^0CU!i649SlolxpHipG@7U^^pnRV^9pYdf|&#n|niHq}6ULX3S^mzf(
zqwcbHslI8VCuMgliuP;_uj`!R!mz=DLuU=sf;}C-FGxvz-m~$sXV>i|Z*+FuDErp4
z@lkST@A1ZE5fi3A{&%78=-C6_aT_PUxf1g8(z=aZJ;^f<o}YPa-lbw$OUZ3Bm>4+@
zE{kzb`dUAMU##hmesAxeq!n@-k~(KdEY;m)tsuO)`w-);sz*27m3JtpTX*#y>sUUa
zIpXpj!$o(5CQ4R5n>l&Ps;krY-b|d3tF!Uz6F!CPp6;U?ruK1rPq2&OJj3`~r_VdO
z+y75TpGA*S7-Q!W6>b&=|C3KP8LXM5(5Li`XaCN=lq43G-j$z@_AM%kY+JUeZ(8vt
z*7G-Jq<8LY7hl0Iy6G&->b}O7B*pH$$rfpyjmLXtddv0sml=1@vgvIxn-HSBViwaw
z|K5P=zMV6<X06!RGq*UpcgndwmZbhUOTYDP*xWZ~>STwSj#Baby;4tmYF75F{2}PW
zGb=mRx^LF}zM53){#m)#C%ygKJN?SNYyIE)reB`cTj3{m@n-+5%KU3Ro7sA170>G}
z+uS!LqIYJdb>A|}{XeYs=A68}#82$ezmrPNCJ~Ko^Lulie(#Ol+_z|Mm3?1nb?>5|
zTUobWuixt($he8e`Q-CwSL)Z=W%sgH_AdHq%By@Pa<)kS`I}ej<MNjn?76zc@?yVr
z@8bQe6PI0Jow%)UQE_G8fti<BKi=wHbUKsQu=;xabg|G+SL(y&_xkUDbG^RS(^*kv
ze`N3CpIb$r_AQ#5wb4r~>F(y|4@KTwt1msJR@%GByR2XS%|y4z{zaDdC#K%(?NWLs
z_d0V21FQF^=k)<Q?VdM;Co^7g_LqLx#WMA7MDv^8#Y<Q{Jzp-)u8cKXEPb=WW2w`c
zzC|e)8qW95iw5n33F}>S>cY}_eEN&tus;5Nr9MoXwZG~eufBHgB2}jTRGx#KW&Iy`
zOYdE;kJq0t!TLheBpFrCsaNaw{^{%Y`ONpw@?wAfh5E3bMXTz#fB3}C|G3fi(w>El
z8_RnZeY$<XLD84h>P_<ho`nrb4l$c<T#&C|k6E}thFK*kKBmm5f8MQ*uayr^C0^Vh
z;@h!FBPnKih<@*^@a;Wm?{`;q&#>rCKf7wn{Elk*Nl81l-1zswPuBY5hi?l$<aA_)
zUCO=jx-09=MK;Tw9l1|F^=0qg*6H+kgPzJQu>;fc4;Gy3i0SlhV~puj%Z=_UIytAN
zb7_B1?)&qr?n&vF#aw8b)3NgGQF-ed!Pizk%sIp-^X|e+rZqADUtIja*p*xOz9ISB
zslRJ3w0=1MLGh5rfy`$Ynvb@<n%tB9{%_yPH@#Dq)=Vm}o9v_AvuuBVkF9p!vc3JD
z&o_51PwMu1$habvpE<dJVOi3?29E@<n1<wzWxSJ;?s4}l)${N1ZcqCADa}Kgh5aX2
z4&%h?PaH=lv{?4I>VGz0^ZEd@<*F4aF<EPlbuK&K6I^us$nIE!<i>;N8a8zYKkhug
zX9M?*t_g-0^*2edZRS#Enr3}D^4g~(KNx#g?EZ5#a?ZM?@{wQ9KkGc*7gGGTW5fCW
zkmQby=PxQIU72wFM_MyeOz--=xB5aZ=l8D6@9z$su(^1qX;^3anuF?*onbu}jb|Qh
zmpSp|bN{mMbys88^*ne#QQKF2w^(0zeaA|%-tg>>RnvOHza3;q?_2#=w>SL%weA&r
zHf)US*#B_x%%&9H%$tWl|5);~f0?y+U-;jOn9UdVBr0Ffu3+v7%ZrHpAKM$Y>;I%m
zv)-_!=ennJiJk5XKV7w@b9!HI_|j<^Sv}!TH@~?Q*%!X2Z|amw48ju=+3Yv2I<{+J
zclfiferIRyncow({!izWh!2<795lbt8-8lnUCYUH?)Qct@hdQu*)dCsIWDGqYE6H{
z{+{Vmb$TMtOY~0j-{{yEd82>&&5o!?ebbj(vR_(KrrSTg;(bp{lH`X4&6-IM8mjJB
zbS<`;bLw1g%F&*wr?1cJ3*Yshr{NTb{?&x$<o_u#z2T`F{9+jn^n{0)9hiBIr9&xE
zra|cQg_Ncwy)#SBUr#)j-`l%AXVC?_g=M?@R_65eZH-*q_T*lJ=c9>hH}{3l*>Lq}
z;}hjq4)*6Bm-YAFZU{Lvvt!<)2bYfC*e~~Q<A+Nu9W#@<dQBxOejb$N?~F9D{&(S9
z<NM8ht8c%3xky5!W6Ao?)s`<;<abmb>sZ}Co9jq_m%z&K<7Xb9kL#Un<==AUcSqIc
zo>`kub)G*d!Oj@7Q@%@w`Cd}bn#s(({axQr_0ICj@APbEJkeo)O|rkNrpq&|f7Y4~
z?Vk->ZV2~v>3jF4Ozr8udTU}<W$)aLz1?vq1)W<yJ8~rbn!x);w6}X(&%CJ>XZyO}
z>hz_jUerE5VZ#RI&a$-L4SPQ~21_&U?mm;4B;MPz_bAh1oesXVo}TSRO>J{nn>sJ<
zINq_SyLaKqo{iP<d%pDcUVGj%cln;4-f5lj;@yq6dq0$~X)H|c?cIOBudrCGlevFt
z$%XXeGdd!c-aT=GfqO-DThjE7^rJoHvp4&TcTXsN5oh{GDyF;pc}Je&9tP9?n_DiH
z9^Y6#pHcS*cTa`4AY)kP-_M(q*cg*euI}lc+gGZd-BbR%;kSfIqwW9Bt(6_`&i8d!
zsWPcr_df~yn6;*NMu=ILn{=mewOGu=%H)TyI@Wz`d2nN~=|q7k7eZ_knI&VU_LlW0
zEnIkn(c;RC>pv%O9_{F^Qesisqty13QR;=4AV+MXA%h8H!{WHUZf*UKbIjg7nBQ4+
zrPO{;EaQinol+u<J>B=SHgc54^b|bm?cV$8YH9er{%&ot?;B>-J?fgar@uQ)Zo`Rh
zYzt!rk|uI^<YfQYZ+Br$`jpQ%Yc}>&PHhzOU%~#wQ|mx)*Y<loncq~II`#YFZgzBR
z?ChDv8UClIJN$i5Y_)Zd;m4$(FOG@K<azex)0>T}e)L9HY4?R~?TLB6m1TNw_y27@
zVP9|eg;(D13BBDDUK!sT^1d%*d2LVddiK(_jUvx_f?rSL*m})n?w>}<^uF2KZ?au-
z+1RFXe_v1V{WguP-@U=F)_?nO;Ac<pr;A=cx~BLSFxq`Ova!OCqa!@><hcZmn2kMC
z_KFo~Z_w^b_}OsZmqGLo+a(`CJ_dQ_Z!sN{g&EinA3hMT($=N-hP5xTCuSpqc12om
z;>?&v<5HfB*OHIK9AfZvyAa2HdLl!hDnsZIr|lbJq96GsJxTj^)vN6PwV8oBbDTGF
zwrzX-z<P5(OVY&)ty~9pxC@Af^(>p5mDy_}YuzUwcJbs3ZdT`?Jb&}H^@u%Mx#DwA
z&8!}qscR>)CihO*Z^GKoH<hh_<qDfQ6?KiPUhuO{yeaT|@{uX!0<%@r1pdxnzxCDP
z<9!qIs=Gus&U=yEGhzR>-kzws-sDpgB<we_EbW;PSAF{Y6rMT#$@4e&FPV4qpM9NZ
z+xwgkrgAe<p0ZBtO<ul*bz<LyyJ0+G|0c>QOYmA(D(JSIy7}(oqzRIxA}lW@x3bOb
zO`d;=b!J>|(x(}-JudsO%>Ox4=TCp~9A5oxeG~4V;yu_mA#W~A|EGzD^Lvu#TfK>8
z=$UYRspx_~{mED2TK{hAn-I5L{MDPD3E}f)k0ojMMlHRm(;9TUXJeNH^AijApXv|U
z+J2;4_a^V}&z}FcC%LA&*X(9*^6C5)^?|Bi!ulutp7b@|ggwc;chb_87HTKo^b0-d
zjZW3?P2SI^zO8@4?dfVuHuX-3uRmp*(LbSTy2;{CeSQ9$oP7I|Kh^bQ-|9<@`o!+q
zn{er8$I6e*{S%%?O#d0Wxx03D5BL3VeX*N*^XC5TjhQ3V{iiSc%e8B!-+E?7Z}w^b
zTK?@i=bE0}JO8d3Z0pIrbg!=^JJNE>>AM^IX2xypb4-8TGjs2CgZ;NVgsdlJZ2Wb(
zZ_4yHy}5h(0_MK$$-Q&!s$o~mMA7e0esFXgo{;?i<MmGI`ahkkpY>#_&OY(^;P3M%
zuBEM-$IGAeyKmOjxU2eYiAmfYS2uUBo82?(Z&iJdyZ%M%Z+|;yPA>S_DIc!$VYS&-
z^`A+?-80Vrl#tFp`RJ+D)s7ien+#GrRd22=+t`=clw@9E_oMs8(d1wK)At{(i0J6c
znB6n|>#IFi47PSIJK8_J>h=}=-8wseeCzSubiw%M#237bN%yApOrIQh^}`F>x>cRE
zipv5Y-H6$fG-Jlmk2#0xI@{6?a%{Y0aI>T12IB?JH`lAT^x3Q1_hx>|nY1eR+3Z*1
zvpZfia(?VwpSDu{?96)WYb!NsCi>cSb_pqds*`4U^RpqUF|nVqVgLOtEeZYpTTeH5
zYn62sRm9Hta`x0ZwGQ=fF}<@^Z|e2f(=+RD)~BfYm0e+7Q~dA0xtg=L;lSTD9ql(S
zm?kgpoGqHPvVvt_<AIz_ua7l2AIk6RO`ChGx1qlLSd-BiDfhw&%dhn{3NuMW3r^TM
zeZo$bvY44un^|L6W~d*TaOO(SjJ!<~u3xrfUmTNCIpOhzeH%BpKI+MOy6vh}`P+qt
z^3P|SxsZCkBfW3tiO*N8(m#Da+ga*%xnb75&V?WQr{6B?X?pFw$ZFHVs*_w3R3tT-
zco>qN#xg8TR{38pd8#Y*V{hW|iJ$wr$}6UJG_@a{aqaE{#+XeVK8?vqDqR8Q^Ph;%
zXct!CN>=!v8p|-3LH5xrjX2f?7g(4wl9*!4{x48(jAfYGbUa+)WK3Ysas9qv#^nF*
zu?*k#bVRM`3pv9&;d<2`!5PP%_lGRa`N1Z4cO&zJ<FQFy|2xla>}!0}HKEk>edoE4
zdpnJ~7QDS7Fym0a#FU0VA4`AqgsuED<E|Q~!T!cKH~#OMxYBUuiobh$!(-}N%s%yG
zd@kz?*Q!+d^>O-|hLg%tnbyCj#Px))Dq&w+*VFd$iPYbjtQ+UnuHdo$*5Bqoe@FbE
zrj=?t(zUmW=?nAg_lHjx=RVgH=B+9`J5nqD<L40G#Oj`K&AIFo``YTQJh=l8Y<DrZ
z-Mf0{-k$I&>}${UgnOxS+P^#zC_49BPk4|OzqNI5cq~Uub%!5w%%|=P;vI&3$!i#v
zOyj-TbirS<wP~;6u^8<OwtpIKyTy1+XxbHiC28}-313h2?T%Tn{dwag(~pL-mu4g|
zt0({8<i;rb(Cj3mTTgqny2cwjfd`6Rk~%?!vrmghGC1}cdv|=@GpFal&AyKJo2PJu
z-Rp^Zx9e|5yV{Rk$~)&UF#kxJ9NXXi_7Pu)^6}0nX=D2im3uu=S@s9m?oH~M81bts
z%C>GphxVJ^DAso|J||6B4UIZG@_1NIl(6P^7~JcOswnR;{M_m8^r~X7+@rgHVj?=)
zpU<dqXEVMw+j~z>bm*rdp04<x6CY3J6rONX_S*La{~MRY#C?&>=}lmbWjLEZ@qXRR
znLQWxbGoZAF&D(Gd7Ct2x$|A-1NVB{lXGtDdea$wvoZ8+ckJ<!-soF%tWP{?)D}G%
zeV0?RyQA(+Z-@Vno~YRh+#G-UqdGZwlV&U}RXK3{x5EF<j-S0z)k;nbX}Szq|2sQA
z20U1iv~ZRDM#duP&hVt3&aIyt{pR&X*?V$KKdpbt^j=?wbIg5)J#jO32+ml+u_vi%
z(&>$59X(tJ|Ib)?<A6>ui=hr<N6o)0F7LMWMt}Q$d&1M>J?(jO4;(VhKInF>FZxy8
z{torXp6K0cPI!HsH-#yww|)OA7ccQSEbG7ZM(geGxvjIKD!XU*@7}1@n@^UeciffT
zc(P1wl|=vKyH`^jANTCe&+dtSKEu?$e&)MlZ!b;M?&^=)?>*s&Q;2BHEPnf^z3tn7
zxkSvI%Xa#9&yUUh?eTL(=2}(vMlThgd%id7{!)>-r~BILYMr>Z$IKR={j{%r@8g&?
zY4VlDev|nhGS2DQA8~ZmgpGY2r*2MgIKEPVd+xQQ6^kZkwDfe;{^_#$uwy}o<;RyN
z83GH0`a7)uI~7c4PFm2*QvA@kEBSv}^$aaXmL!(P|GGOq_r#hNtVz=B>%9KyK~GPz
zL+t-lPNqGbJ)PTD6v)@l@W0kMkvSllVcqJUuDzYru^k5%{OB*RU(?go9wXE+>ubW>
zm>(<t*~Wz)`1^n*NpDT-@t(Be`5S)L9Nm1oLFagHSKhZ3hd1`7yy?l=Z`+@A?8ouW
z#K%`W-%AN5^>@ehWq(g;n7o-;Jnq<u77MinGN=1u)lcL_8cv*ZzrS$NCei&f=R9Og
z>d)FL+##{MzTrsS29}MV_zx$au;k}?y`ukSgGxnGufxd&d$`X(UXa&kzf`w3ZEtVZ
z-ANtuuKSp-?$3JLlf3rR74PushGQRM8D`yh+#9p;<n~8>S>_+R*3X(?{G(HP^ND|I
zANW>xW#7D1xMv${Qcw2w&;4O{Y=rtk|2*x@-aae5LZ{pQ<BYbnjvQ0%57+-~(%-X1
zC8_t-#_K-cBpXuPCoL)xwmsL7-EDZYH+QYLpgEI%kK^ed!Bd2`_XRGU5TiU{b7$ez
zKigf@y^F4icd$q3brtcyk2$d@CS9O&iu$&GpXV)#yvhIP+`XF=Gv}^(<O1Odv(EQ=
zO`2qPb<HKckL+DpvpR!anJ1O?*}s{wy>P<ePZj@lI?O-zI8Eua7Y~b>S(R+k#Ia^(
zyW7JB+_%0cb%y4rsImxe-FkH8Cc9~U4u87RpLH6n>B@MvLHErT=go^+Yv(lZ^gGRc
z(~};S@{Kd9JKeti#K8&KF&n)KXYzc1vw`&l2m8S}AB1P@=L@j!GTpOe=AlQc|C+9e
zvFw=qyu-^h{Y>`CTcWGi{yUr4Z+9+i#rBWADe^qoGw%MIc=k_!%C)kdgio9J_<18a
zW^YJ1-j|X;w=?)?hf#lD%6C2OzNqM4otJC-0%GcV^k3QZY5eX_U4KMiBHN9L5ffWC
zUlLRoSQs{;{Yb_C&e}iM__t1~{@kx$6xru?vtQr)caPJ`HGPpcdQ;YJ>(hSIXRv(j
z+Ly&;y?S=<*FD$a=uJN$*O#*WZ?9cR65HSBGrVnXir-@MUH3%)q}0`}l=`@H-)>FJ
z{?Qh-vGI0yzx>i~tzS2td$<2wYmVQ&-rUva`}Do*`g4DOYMml{!oF=v+0B+UOWul|
zv~HW9`eUoF)10!&rxRJ%y_)~G*W%B)3oqs@h*<mT_`^j%`z=?l?RC!S%iHS5s~*{7
z`Ey%u_@-Wq`SDzapZHF$+|a%LPHmQbZ^rLli=S`1&Yg5o?^Le7azixq%T2M+=(TsA
zPZtSQ-M{D#>&&#f&3})tc=>Zy*TyX(e$~CbH~Vty=gL-p>dTFr|I)(W=jgYUmpArW
zPVO?go1!MP@~U~=;~w>Uy(#s-`*QQ2vfk*=-R}Ljrig9o%e5iTS*G6Z%gcQ#d#KlP
z>8HNj+qe5(p6<2u&*as&?zOc1QT$XjX8oPHpZjuedvofGJ_&r!b1=8pQvEI4#PxTk
zbHy_3@5+swu=e;?H+v<)kWX^uaRO^jL@Mg+`P^f<r_X<Ws-a+S-rha^bsdUDU%N|X
zUFG)knJ*9P>6j+?tT*@nC06gc-dt_%-jdUvyuEo@nXLW$*WdYa?uBY&k97rOQcrU3
zqhD&f1y@~?eQIa4a+Ue+wRfk8ce^nD{KMw1DQdmHCpR|rf<@1PRqCR9dM#uA%~<%D
zaVpcBgKU+3xxNmEBR0lN)H(jKQu)Jn_Z|P^9j^Z|?6=x7UF6NCThCY6O!nYytf@Z{
zJwx-shS}8yC;m4o^@(4P-QHhvH7mMD=5Jk(>yr($mv+C;?Nd~J^1{B;WnZ7nsZajg
z`fp;+_m_Tan`Qj$)ugj0xehbF_<Brm=2g2-6TUZ0tXOdB=*iFz*;{wT@9R<c-siZv
zN9t|vJl5OY-`4av|FLWTy}qyH>$ZNze#VZF|7$uT-8&BzUpsMjPp9*blP`)Fwx{el
z(9xN&=YZPrx|6T^F1k#9bh=@}`3dWOPI%JulIP`D`})p~V?SP;c*h-Fx$IqM>G?k9
zYl=y~7cH6H#lpg^^2_&xnEpk69pM!UW&LHdBc}gNt-m_q`I!rA=PWSjV_JXX$m0AP
zu|4H)@As;ozS&p4Hm8?meuu63>~5Cs^1CS;n0i@m&&b^v(`y%YyPxIX+y2sN65lpl
ziCOV3ea02>n+l9wtZNSN9b)Wbef}}(e2>$;_q}YpKlhc~d*8?QT0Uu0q1O8334zBR
zDy~$x*~?LM^KRG&-I^XY$K?O6j7Hn~**_l$KE!(BCO7MonE7i?PGC8H^%Y-|n!z92
z{cDcx>0!%V6VttrlZih`AtCm^cQQjS>*{xhVz&G=n5TVY&$<(0vE381dRf-~yT9>3
zaxcs3&)tT}4RNyDV)|G=9n!DtOgY=j8g@G3PA}`X$d!|yB#Qhy#eX*Db#KbvB-=GT
zDeBB7-791!JePgEXLX;^@;@89o}az<^zY8(lg6h{{Nz78|4?2xTe}}a;SZSu5Br&V
zjO5LVI>lG_q~!K;?EKS{GOdT>?cQD^6Q|jI9Q{VqEu|0eU3+@+fkJhRi=b&F6LU|h
z?(>5`PYBwUy<d3Y^QzO*F^_!M)Fiu7%<nyX;J2sO=tr0K6BhY?qowP%_pn`!e|zAv
z2TKMw1M|O~@gF;c+madjS@p9!L~6G8v*x}KI=>_;@5X=O1K%Dm+}m{Y_UY#{qs31q
zS3TIW(o=6z))WT86<(oxW`-y+Nv}V(?PSLEHK**hGkX0<>S5b;BWXv;`Hcx$*DgMK
z5c@yn<bC<Nt`jFWu01V1nIY+Y^8dnQhV83YUKaE(T$sFuVeOhT<u_MYwK8v-9eU$?
zCx^~~H*W-X{Cc+L%vSBlO8rwSjejoM!?<$3QYlO22Zigd`TP^ptgFu^Z(O{;HR+Gu
zwiPp_4F6wtz1hgI=S9Q`j#<Y`lh>X#f8FhQlH>N7$MJR>r*f>0*~K)2IcERL+7FCJ
z9)Dn7l-Iwr=I@`GniVTHoIfb=Xu+e6C!VGq;rMj!N%`%Gb8Sv;{3FrpzKw(JO;4se
zm)1SuosT}BYku_c@t@wzvXe~<dWFjG^}AW0<e17W)!~-icVW_^Q+LmHyVdRaeya6H
zpZMtxv11qd#O_D-<*a?v;ig{s>h+b3+{<C+zx&)&1vJ;(Oxm?9X-0_s>mIkO+j?a5
z@;d~#^~wD0bxZ%X;E1p@<DOeubzRD9u2`paxSK~ZDfSo~T`?)!Zq@bo*Tm<ZdB1&A
zpU5%Q6)lfWG#>4>K7Dhevp=`hAMt*7b*ZY^o$@oS`59wA9Z`66(1}|tQb=)k``HPS
z${bJJKA)_bawhVc|I^Jqp7*zRDWAX4mG!SjGN)7dMw00@iL)DDT7?~CH@+LR=kSS*
zH~VsRclUbgDirR&U~q!dt6|%ti)pr>ZVEi?F*p{pX@T9InAOFHcza6beM*;qJ!5L+
z`LB~DC-moBJ$3Gi|Fr`q8ykN<>T}z_?R9SD=eUm>1#fn^_rB<0Wam%%&>qV$VF%Of
ziwUx2x&3aRPaHU8`|-VeQrVf4mr{7PcSg!e_ZY|iW8Add@WPb173+(Cao)Qw{_)g@
z_JE{~9Orxe`9)Kb1T?xjT+C-T{O*yE|2?Z~gK4MBJRSv`6M-j^Ch9O>jO*&Ro%_Ad
zT-9Lb?<<lVjEzYS`zLIV=$w7x5leihy_E3&P6tkg&c8{&XPoa;sN(H$xI0aui@PV{
z%Z7jFXB^j7QkXS?L+kgI(3~DK?MkVoJ@&c}B?JRvK2F_u^iN8!o%u-#eTL6F)bjpq
zHr)Ji`SasC;x{!r&-^{vV|o3wl4`$gRwu_hyA6Nu=X5Xo*JU$VV&z$dcCk*IuPG8c
zU)G*K7@{Zk^60k*E50Ycxs-nXl9b)O3saLB4~5C^zF_3RV#&1n6wmw~$M0c1++vEH
zB2VSM_t@|L)@L36w#T8`zE?57-(vUPK7~E!9!;(7Hm~a!{I+uC>^`&i*Z5H9{}mYi
z|B-(JJNQ+#tjGFxkLmYY?DYqiYVGSYy>)Z_<AZlLc6>jn^ZVdR>wP_@+mCHIx3Ayy
z-m#rpzk5x0z2Cm$#IqjL(>+?<8GHZCbpP4ovi8;XUbRbK@AYcj?sdt3->aqjPq>eD
zbAxn$ug?8#{i?-s6F$`UYe)8~o$NKt|K02I_HVCEYOk8=|6bF#y{bz;&9FYP^4**c
zVadI$FMD0?-|G#CD(iRI{e)AyH&DO6Q#<ae^2r{T{O!F-`}g#@gsbjSsN5KPB|m-r
zRpmc%y)xhWU2doLHtUzE_8Mh}sr9YfbWNwbS8wV~^<J~JYp*I_u56i}xxe3~HnMlc
z>0Y%{?|XyN-}kzNYRWAJiT><8d%DM^?(0cq^S+1kR!(fU>`lBo%Sz;Zm3_bJ)~37i
zk#}TPy6x$8xjx-cbV3Z<ojW})_g8J2Dl@%TZR-8r<EP4cRA24wG1$`Q5I%{^ewTFl
zpMLvqVZD0y)~(Wx?)A&p?vbA=)V-(A_geM373u4*7;d>LQh#ovR&s}+=^CGy9=$u)
z&h6aRqj%}wxgB+qpANJXu77NFbB9mOhu_z(8*wI?-2C*qM<Dlir^U?!Jm*)<s_$X!
zDDCmTJ4s6GW4`VG9r+wD1Yag4$uS9@-H~MezQdpMm+AGSM?0B>Bm4aK@9DGL-eWfR
zd#^(AC%*VTqoC?O>6<-9r{DHSt(+p3-(x)gQ-{}$o562-)V4PoPWH6<S=`Qe<97X=
z!*5<)ezV|m#TC=zJ>G|&A5P1zJ5c(VfAtd4JxOmm{hv1l+{oTrr*GXWcDu*IvU_Fl
z3(Fs@hh671U3gnK)n=<l&i|9qW$Tun><P&GJ0bRe$%}n6R7z7AST7jc|LzE|oMg(q
zQsemh-hjwU+>h-#75`i)e%=vazwy)Z#hrn(7=;s(|J!v2+D3lbGgIpLg*|Evf%%gb
z%N=;VhB4;4>9ucX4&UmrzFpmKtoOe`{$@|m``hRC_<!pQ`u(S0a%xY|-$@*Wy^M+{
z5;mQSX=t6jGCxJ1Bcn^+T>gSgOwtu4nWYz>Ztj<zev19>o1Vb3-@P)`|F4*w5R!h;
z+1C;KaU=iFM}<ePr$()b>C3%zQeb+^+*#+2$o@YOBQc@yqriqM7fhd@FgAbP=l}Ow
zkL<cNKkO=gDzE7>lUR`Ry4OGUW{>52?aGBdiy!wh_F7)=V(eAe-tkkRbn}Kv^RWLX
z*pgLO?(k<~mV3C%{=?s69UmULet6xbJyBazL*Uz*4uwRwm>IWiuTAMxc#!-*u47(*
zAgk1x9;<6NJHLLsaO3BV^j3)$h2-BKl8*LRWvgol?cVY9MrY^o&p+iEe|LmV=we*k
zXWQz<%=@QXaRcX#+^!C7@%0a+6#pl&M!(*9rn1Lo{o|x7ipxJHbvAXXYs^~T^ei#?
z|A&~~*pA3{#!FS_FG%Fq&A6W|&}X1tmpobHV?%3tkKNuU#;NBzZ1sCoYrpl{y%z82
zm}`AFzO2_%dv~8*>`BA>4FUGo`hv2<GN!-&HtojEc`ckV>5?{|SM#Ya+QpQ3t@=b(
z@#3noUe$eTdg8+)`|RF&$?RRj*zX?xt;g=QpZMJLKD+JOp(1m?_1UdvN@iFZ`}?K%
z39ar;N%I7rzUjYzB5`h?>bbbZ=99VpU6Tr3({cUg#(@6L4q5py^}hKVdsIK~VSQoW
zE)k=Xp;oB&%eqhXUQg4fK9$dvy;0h`8+{{s9iCod@BP_lzx>mz=RbO{X0PsdD6wK+
zyS~Rh<X-pRo>iHBtF~U{w-4)a01c=0*w_D?5L1~Ue(1>Y>r(dr!+IQE{!X35c4)<$
ze$_vRPR?bD>2|QHVSI3FTA$k_sc)TbM|WQ0XWIX&vU25&H@yzdj10DM{SKNx8I(Rf
z`~Xti&1m$d)7<Tchtt1)2T!J_W?$a_?{nC~$@}Jk(vL0&cP8$Cy$&mF7A2PTs-5d`
z)Kcwaf8FhPV`0IX9(5V3jSotgRz?2oi4+c$kKKJ)W<n2>bdUOcPkBZ`ZjpOEj**<2
zaXlJ!pB$N7b}m20bV*iwjeoDxB#zWSS7eKNVs3c!Xo_x%VcfFvP{hi^e|j`-yh!$~
z{PRZk0N2O&9SZaN)u+CakEu$&bfwpEZcjr+uVd-I?=AC!!}=X}ssv;z-Q8HRYF3MP
z@)ha%|DQ0dZk^@MwtAD*&+F1&bFEU}w7y%xYh5|vcFUfIwr4k<f4pqo-+f>*NBLFh
z(p{UHQXX~eUDNOQS=a0N|At8wzb5}#II*&G>e+rrz3@*Bv-+maYFPHBSN%_9zvFT-
z(ek9CSsejy)_-eUxbcIz9OLf3P?71=|9yFAvF4-M^J~qf>-!zQZ0fkPp+`Mx|Lb1I
zKg-4Dp6YefE1$$2J;QDed+4L2%cY{Ld$PZj^{VTYip&kWB5nD)^YY28!wdKJiOjD1
z-Q#%W+Fa%2n3Zbg>l<$TSgFL$A0xk`*y~3!!@da%A2MEDyMN6!nc#bu=6r6cRJO7D
zzn1@Izoui-QI5Q8H?D5pR5?5Gt%S=?dnM<S7Y=<;vhGkxDp<v`;Lw~8cNZkcMkF)L
z>C>9&*TH@K!lJ5w2frFFEUUXJtG)Yy>;}ezDakty$gkfs=S^?GPjQbVmNzjPCsiXB
zY<k$)<0AU|gV_nD&;8n`@AbQI#{N&Ty?FQV75Vu#J%K-8Jn3ca@L=ai-ta#yhWo+}
z>7;+}KX`rY4TyNialS)`FE-)7e=NiP2_Mh*yISXV2Y&3)VOkdRW_^dp&mNtZ&xLw4
zrl#sfeV;kM;@F9s{c62_OTSNH?(fwsRgn<=CM#HVI+{UndL@HspGJBmLvv5?o<5C9
z693nn6xhh!uW|a?!i{fcNKHJ;VAZD)daEz&@@An=@sC!Pi(b?IXuA32WB!=`pXc1{
z5d7R9{N>-7hqq3Y^!2HgitU)cNBe@N*@=y>S1kG2qx$@NuiKY@J*t|K2gEzv{`9IU
z9Z<b@zhCuakEiuqjU9VB*gX%G2(XGZR{!qv>^M*rGpXjpGy(ZuHN8)a0(}ODuD|@%
z-<fw}&w*v9J|%6LdHx_<*1wBNHy9gkO;m6?aOA{Jg=4+k@yGtybgJpk>$mqZ&iwZw
zWOJ8#x6tkzIw$A4GA*mSsgcOoxzV42_g=@UH~VyMc5>_VTTN~Isk^^dV~<4Gn*&Vj
zG2BV{tv|l?XjJ}Rw<D!fZ)1-JzggvpzZd_vKYVkzLaJNi=swr(#$V?4JsOoAe3QA>
zUkE#X;(fM~#A@CPw)ssT|Fw1R`4sf%Q@!o(9*vF*@r<kL<n14Xd}8E2aeLOp6x-d8
zYHrMkJm0CI|7oVks`U8_y8bJ?OUh<a-F<*7@{roml`CiUXp}x-6yI@9eD?$9jWG}F
z`xgBd{rxuk$=UO%{}TK8w@q5?;1F|Lz3<<G(l;m9{FtqGw)1KAw~03<GxG|sVu_r`
z7&GBt(vy@uP4{p1XlVUz`}U+?dFmvEuO}yoTX)8M>rxKX(YyBIn~H`_=8WIHN-7!w
z*Gzkr1Q~4kUcc(U+4kyNpW*giv90%dRm#4#om_MNefaG@!EJp)<wXW|6QhoGI`{f*
z>+C+?XKTG*==7R?(b#W&+S~d?uf_G7Rqj6f?rL10>CHaj`05_x<<l)zUX!}|r(f|?
z9H@~a`mOGJRb_s!P;Q^1Zu0i2z508jm8`|47H4;g?(1c}-+Jucw|?!NYg%7SUfcGy
zuD<npbf4_%?@b?`-8;6qQ6;@!d%FJb);}pd+H-$BG1~h;?sL!H$WGDE{o1Fd_wv<$
zI{WALdeQ8)z1qR5jzRS;=Wq6FKlSF-z1b`K+f;VujqD!T(tkbiYkEapZ?Q(6z1{X+
zKhtN?{3%HX3(d3pWw$!rdBWn|X?ea^+p5$vaF_4#HUBz0BEMuW)k;;<eVKgXnV9i}
z+12-Yw0BMw-P$X&e+#Q)ugvySpR(<`bl<;Xoq4ZUCi-T>qhQvV*ZQ@0s%S>Dy{w<e
z`{f<$#5eEz6eg|tXu>w}!(P_Q{W9CRk{R}Ij`IAGAya(pV?d8gbbW4vq<C}>^S6_R
zYtH_;9@($G{I_l|!~M|eF4N;p)s-)kj_%lZ^Tnx+8(AMM2(|y;YkGoF_CV~T9oJJf
zI6Pb+|GLAhgUK+7!QAEmcii2TC;M26bNkH1BVYY&IZ)-_$9r-~Q>Vu4e$J(z8+U$4
z>d;J|5ov0_vwPF)HHQy8Z~SbUez0KWMEN?#1=rqO;!eAu@OkHi!@oOB?bf{SF}obu
z!+P%;ch>K-kJqp1GrN4VU;O+v&Z@mFcix`w(w?=t^ZS~9^UJqe?peL=GuJuc@$sP;
zW2frRuJq$G%p@k%@8L|E>6Ucl`OTKc;m42Mj_&U;D@{3Zp!vz>UbC)-%8#8M&l}vo
z_cYWsav4u_shg?uvDfs}+p`ni_e|0~D=DhpVsTzHd(pdXEl=&%cN=pk^jt}LeSzmj
zzwz>IZBM`6?loS1uI>4C@lEx&Hy6YtC9Uc`*0t#D)VO1(w7&XjRi2n4%xn^C$I$fB
zd4*o!kqOt%P2JQZ_<fV%#YPRiZ+B0B_%GD@B4kd|ilmP-{#W#_EIqn~HG4xc!=97V
zp6%*>v+`|H=ZQ663b(htcz!&lYsHL{7LBVEtUtv5_iA}w^EG-$-^WKcy0kv%w7$5x
zW8%h-e~)uq*rgqJC3?;dxleDBV{W}LUhs5H{w0@+m*VFa9*<nvaK80bP_6#Y^6Xtp
zkL_)4d37njTcTgAvF2=#M09%V>z%0+>N+LQHhQh!$kg)s%dr!yPH&&J=dJAfb04Or
zRR8IcILXX?V0TvC$=QE;R4?Cbdwp-AUG^s9tsN>84>M&R6ij6L5GKCKZ$@yZT3^eX
z_xI0ysuC>YoRoCB{cT;ZTIDgu3+|2lR$`5BSNEuIVUYiG?o)W&rac?`)w^0gsE0`&
zIN!(_^W@;ciGm$W)8BjvE8oAi=IXvY|CZNR??)tcx4qu8SYP79!h4GjtjXEIa_-FW
z#;>a@THn0-d*f1aqxFMWhC@5V%&#$?nsb6B;oPU(A1CH2f5_UNe||yPi3Jt^b{gH-
zc79>Deh2F&28|nE=QFY{kWLc*b5*DH{Z;OQ8?g-MTi*Yv`cd<|vFv!`)(`I+CU0PA
z`EX=Xhrs_EA9DF)|Nryvv^d%!FCDA%-*4x3n|%#)=e588y!+^JrW0ZM_qr7RoLX{_
zF-Ef^`qAsd=g%ySo7$!Gx!+swWX<G$9sBM|AK?y%&1=$bU+Y&q*Yc&Px}SkFmSIzK
zg+t5NAN}6bxApLNS2le6)9-yQy6yX^Pm0w#EFB!*`g2pW8*a-r82{cm`$xa`)cgGg
z*7JKg>wnqyFg@+{4&T)1aHGH0^nV}cmwA0mn?EYgZs<L~acRBTRJruVB@;VpCdw%>
za35#j|G#VT&kudAjAxJ4zWJoA#-Oo&MQ3FXm%8($#k(2o%R0P|He9u6o_1_j!>!*X
zT6?(892aJ-<ow#=ZMwRbdrKdiy8ev(7QT-6o-rqmm9OdKe$*9oyx05Hmv8;rD|u!J
z*4~Th_j<Z*wZP*Zuh=~K9=7D)y_{bjO?=4I&pGwe+4aG7hYqe;lRc^ZyZODCZm*+_
z2ai2EHDPwYSGnckj;pNwoci}xu3Phor{e5^j~A1E_OP6qm2#ucYyQ3Ve_@id=GV7<
ztvqq#eB)nrZr|TMUcv8M{#5^N`TwTn^V-_hUwhAO{-1Tf<@fJij_0|pU%%gL`+TqE
z%lA_}&R-T+epEi+`uY0pwolpjTRvs8m%eW7f7Jfz_1>1xds{xe-`n>2ZOf<MTiGmI
zKfPa7m%XF*TKjLaoi`>`{Lh$Mq#1GU!^zDJ{MkuOZ`FH*r;8T_uK(RHtW%U3$J#9{
zSX9b%FQ!9Su&Dmf>;uy}gyWwSb?@FMAI8!z5?QozO=_RWW|mNyi5y9<JA;)QR>!$^
zicDk@zjEUGr<P^iyVjWBi#hb?bAOQa)Cs<K-|X1%`0}}a)t;dEo#*;F_3m9{yTLY5
zSf%6WOqc$h+8@ru@97NcKQZs_pSJJmot(09?B_B*@A%cn>X^pye8uhGSNa%2_e@~x
zU&(g%LcoW+KO~!MV}5*={XHT61LLo-**mW3tXQNFo6N9<q3+|%i$5REOrIj($$2jS
z{+b^m6&I8@_Az!{lr=xa#kT#YYX6B%|7|AZ&ztgdPp`<N3)=EGXLimJdDAKOykBJc
z=e{7T^{oAWdPRb6vG(_h*m*MbvrLS<$&xJa^!H?;#WMp<=Rbb?_pj{xO}!EqzQ1oQ
zQD+vu7tv66_Jh{5{-Dw**433~kF9^gZ`~Ud`fn;<=h<W5y;vvS?q&8C;g7!f<#UgS
z-+Y#tpDt!S>t$ZMg;)FRv8$KZX0DNGowND;v9H_uL{3}JnVtWlWZ#shd(IvUzr}m7
zmpSeh+tf41vP<{G_J~|w%UANJU*yx%UiYngdYG?9FL1G0Vs!KDvA5ed8c43n?Ox*2
znN<5j`|QEBFLgu;*L1ilX*_>_@ZLwZ9ue=ky^;SvojG=EJFot>v&Zi1bLl@dHRu<a
zuD`!GC$&dp{x22f7`EB^H?Q35XU@tMeR}rT{`-C0x8r()o@+gIT>?`1RJ6E9WU8J2
znv{RNOm$mTj(^j>`e{{7MHlzyUViVly*%&VepBCk_R9BfJ)U~o8}}V;`JrFe#P#Of
z<?C_lqHp&^|K9RlIXv(62S<;Ht)IP9LX$2woj$w6t@_-7hBarO{XArPy6xV#9{yXc
zA8(!1aqW}S|9h|P`?nss)732xwW|9}$~r^>dDS<Hu4<hBB>Gm{>)UZXvO8^CuRZTt
zcJ|z*y!0Kj<k$Dh{`s~x;AXF^_Wrg9Q`N$&OzZx1N4pm2|7+TR^y|Z<Uw3;tFZD*3
zZ(O<FIge5FQ$b|6bp9LGuIT=Tkd56oA5S!_5&T@$@pX51v~odHTvtcfnjZO}M@*{i
z=PrxB?uib5z9xA8w;rZX_j(v!rZSwodVX_nwB6NzeGDgi8Rm1@|7qQo_R;9*rDcyB
zKGy%~^p5C>o`0|PWl>~b^!&Wjt*?IfNH71^>!d%w;h%l?1;ak+`W9c&nBASxcME5G
z&ATIYqK{SnL|HRqOs{Nh*7`H+OOD4}{GWa^h3E4I#+oG63x7UIpX_4mYh3XEVOc-h
zbcGW!(K2uMce7PoFg{|?zNz!<{0|o95iBv06XIoWwyte@%b5H>J$4Vn>;pfYUn{9z
z>tW}NxzpXT?jpDO|6Vqk*qr|!H&d@oTyebDb^Tek6_>Mrh{t>s+T6IQ|1ZPliC%Ws
zdfeW>?PVA0dEc3JsHgekA)n6dRUdXYeVD+h(AV<8-Nyc0WAvF#^;3I_?|WNJs%!oH
zxg-7YAx_y(osN2~pIkhd1^<1Vy!oTH+ae~DKmQxFrT=HQ|GC-nPTRUc^je?D%gU6V
zCC^XrYPIxvu9~W~WKVa(v&-U}d$|4MKY6hB2Gwux=Z^iAV)S8RbK%RNZ>=Bqy=jSh
z(qr^?ZOg~dOF}n!V{`ksr`nx#E{$Mau+%exTYT=;UhdjoOClDF&b@tRd)89^)0=y_
zz2|!_dedNdv!DC;##h}v8%0+)y;Cx@nW+2zSi;1Kt>*RZ9}{E#pP9NeA^E?1+o#^x
z^Hb-ivu;qA{U*3U{h{Om5&5L&DG&Y}U^o3%*!p?Z>or|o-6=b7Ft&gG{Z#Yf$(`a#
zCK?Y9{+PJ`{LU?nTJdWpDI|6Ah;Q0xAl}F0y@v6^Vda$a$OM*?(>^x7`SEhv=f;oj
z6$T!g5@jYD%-SJjy1J92qbVu8%d6I~$>LK>(IRfo#?b7|_gX*4H7@#OFz>`Pb!Oj6
zgH<h`f1fzVU~_ib{o9wq&aO?-ev;d8x$)E4pG*6jKiOU@J2Um$3$uBbPR8bRX_|dp
z@iU>M@w90XW8&!pkK($$+7%Bx-~3|7<1QZ2j->1)4&J1e&#E7i{9;y~-|21OkmP)V
zsjTr~Yfnepb&k{4vyx=&Umm{K$2~K)VM_ew?h|~9+NUONve+`|L6%s{i}!upCoInN
zdHpJR-}?RM@4aWH-nlkI{rpRP?SK8A%d`6@s~X7eY57<hdao<#Y|F>nsl7b&-#zt^
zZGSyA<;2{clB;P`9_wxFU`RW=YwDZ!N1r!Nz4dNhCr9j?!(}HPU3#<s=kJf7`#tSX
z24C-Iw&QN7V@#^<3A#Luee<RO_Wm5b=<~ate`<MsPMgnucQ4Nsbxzl7G5xxpDc=8j
zJfE*h;j-^%o<Bu=cCS~c=D(PU6DLblEiW)%-Jy0Y=7nqDPR7zdJ+6(h|2<<HUfAAD
zFzK7T{m=Pb#sA*^`q&u#Xu@^*^{+SmxUk{uB!yQSdOO&x{ykj#=7-nKou*F&_b;k+
zJ(VQ)?PBu7XFdGW?LQr3_}I8jTZKg+ZN>4`E&o5x?zoyYt?kd7h6@$#zs@xr$*(&*
z+h%8Z-MQJTj&glwI_Z(#@`blM`OW{T6H`92bnx>teoJco(p7llT>PG1AMZV}69T&z
z1en$x=<!iseQ{l6%g+bN|1&1+HvJL%KYpeA`KI4VO<&Hp{c;ZC+`eY@nYnKoW|TfD
z{I*h9{(Z0SrMMFlE0{WViOy~?I5AK3;O&JONh=$k@A}#Jw_mnbFkbA1yp8wS(kF||
zgc`$a|9_Ks(`>YPZkK&opPp)^&Y^YLx&PZg<(!|lbn^;Dso2$TKRxN!3)S;(dz;^{
zSD*ENZB}XBSBuK^t?$3ootp6G%!F;H=E>i0(U{iu;?s=@-JNfbzucMsiT8KQ`*S~6
zvTXjU8aJ)w$*GU#+W#8fPqcczX2$%;<Fn%A<rteDZThab@Ad1)Ee|*LxK-6%WPPF$
zapL;%8PV@=wmxZ<>eu})C~>%d^-|7=ro+cuZUy~o{$O~j{NF^ak4+!?Pt{$#UQ^b*
z+2^eBu_>n;Kk9#Ld3)-_r20>cUJX~2CAZwP$ZzRf`}WM_^0JmsnkP9}Pi&j{Wp;;7
zy`Tb1*88)QTx}G@>R$c+GwENOaMFoMe?P?dw|zQ)BFWOA?d+sFu~QQt&(?0bBCp;0
zCA#Ih=K7Y;N4QS*%<IvKeW}y>AieG6)HgjkUhg}_?f18R{xh%b;osKJm#SOtY9%jh
z?c$f!Z@;v)?b+15ZI|W<hp)MDGOb6aHbvm$q$Kf3p`VM6OyqgE@{sKMGkcz%uU3D4
z>3jQy=bz3MZEC+T|J(V(;@iDCb8od>-rRO&`nMiO{q60S=Wai@{9akhmrDJ!*;`w$
zOy9Q7H|p3b@lBQU`xviA3ELi9qq{K6uB_$mtG%sfH=T>0e(vv^N$r0__oZkaTC@L6
zV`Wm0Y5hKL(d^2`&&ON-+I_8Tb?!XVW+}eEkL}5snfp(*{M8CQC6;|=X7&ARz3ewz
z@0`}R+M?gGF0%daQ*ZVCH(Tzm{N5{h>dGxq!9BNI?`+{y-PZp1)O5A|oBB-czj_<)
z)0y-0p#H}8zvrK}9?_3`-m?8(zuIeymn-dC@BCcw(3LOeX6xORZ+cD7@8{9pwD9G;
z_P?cisl3{)cb9JA)o#5re+$2M``^&IDM^1+X1D&SpTsp&MEg@`^t{%;v8Vb>U+-c2
z`ls=y``4{6s+*VCc0X30z5DCNe!=ce_tF#J)I2-bv~G!NuVkv)^SzHX<)Qq>^NXXV
z{9tot?cdb?*DJNdv|gtnTJB`jo4+x=rsse5^SCqq{Wmw~?Nc$SmHe_vfAs7Hdqn4_
za@zNp*4q7l-Cx;Lp1Qi%G&uJ_g{*!1?X43Yhkib}>c+x2|E$Wgb2FV|9I|59Y?zqV
zmA&9e#=IL-#rHS<>0pvHX#Z0m$E5ykhpG#c{&^<Tx|yb*PadmmX6cW*Img!fYsR-;
zljYmncE-l$_Os8u)xI}8zvZdxjeqwR82q{G9lWpY(5EvOQrh;d-gDCKV^R;RzxsuW
z_dT{nw_6U}>$BbcruE$Nw8TE4%{^?V-k+~Dw{Ls2w{6b*&%LZ&6(83$9J<lNdVI#6
zoBg(PZ>`g?{@FHfUebaG;x`|t_u9_A)v}=M_>slI8)AEyPw#I%K6i5;vv=g&@agCK
znDs0d?tH#Tt$ktk&(2r9EK9e&x#3m5uVtZiee1j14U6~8)O_|a{Cv~Sq>E|7AC56)
zpE&<-W^udr5#bw5EsL_u+#47D+x_Cs#@0ot4f?e|7-z(o?_XGyv@-knhnmU>_vAml
z(2+cAAHJ=vK(hN|vwX{9@5diIn-_oH`J%g{QS|?gl@UkJpKVzjem1GgJumL`hK*<K
zpKqM~W`%8X2Xjr!V!M+|et#^uxoOJAIr&z<+ZW$!`K`UTWzqWm@doU1t>=He%WYj$
z`mg!($DhH;ZHu*?Z$+N@@ONA5;#(V9Z?-MA%l~!w+e+t;?dLxq+j6w&eE;e-A6w3U
zzCCH9sP$Rb=Pl=#>NGFq@!RlOX8y|dwlB4Re*B7TTW+_$TPL}7x%TdJy}2#RPhXg5
zD1PB}4r}|0u$I&FST_XUeKV6MdE(d2ji(Q@wy)aWcv}4b#J`6g^y=)YNpD^HdY^n!
zSA6S|YjdyEG%opffa`PX(M@g3-fntX(=}`3kDlgbKfIdvexCI%ZA12hMM>N%D*sk6
zw(L@tV&uO0WlHPHS0|1p>{z&kpQXFQd4u%Xmgm(uvma<&o7r*p@s_%>w#zA*c{kD$
zx30IEzU`FPF~%8}cAdPi@XLk`f^9#&r*~<cZCM-k^v4_1N=C1f6VK0H{Yqd;1!r|-
z*3%sgG9UC<)<0-Hkj&6?LQal3|D=yy)7pCz*Oc@=naOlrA@+YDW6$$j5{EiAZ!nfm
zdi171ovUfX=7n?8TQ^wGeyCSjV)1TQvEA2}jdhs~*B*$(NWWOn&p(5se9a!6ZEb7U
zzUkoaYc4RI-MY5rMyTJrX>YDI-cV-c-T&eFgkxL*jazKPxTkDv=j`VXKiR(ddSvsB
z;~kHZTmOiz@8u7A+Ok7?ecSa7Dvj^wtyu9rdHn&+Ju{gew;nRT$)UEg!TfhWfAGz-
zGuLnG;kW<)hPm-=W!t*V3nx5YIlJ^tFTdaKb0Mc&*55Dd*x~vy{n`Y>qX%}LWC&fM
zTNlBU)WI+R{J?=X?{fFgnepsozwAV*CLT7<D~7VWe;od?LOQ4AxP8p;RjUuhu29sj
zdvjoO%QgSh56}0^crt6ENcozEYloh7^Zn-d_^3Z-QxC(Gy$o_Wzk5*U{~b`~|M@mE
ztckFh<u1GF48yiH@_jn_e;S{qoeASlW?a2;!y(2qN!6*HeBpC`DBWzbeSWg?igNBf
zky&Tj8COoKovdKpc0K$Emz+sA@Bb5gF82=QZ_?50RO~++zV}w!4SiM4zTYbfkAIo4
zJFQdU>WN28{5?`;La)1dAN^>p_|tgPl}Y{&&-%*QYwq~+9{cmT`KB_Hs$Bnz<^S(o
z%W1iwoqXy_%dM$Ar|$TN#musNb7}9RrIlabZ2g(Gw(;tonQ<pLR9|kd-XsvP`%uMt
zqnj`PcD3GkJDI7u{dVjmM$uP?@7`eSmfZ8=Ys89^PuQwg&*~Lzyd9syWZ&5T=VW@s
zLErudZMW;EF(pp$INs4CAE(Kb%eMb1&(oGC)z&SWZnqrGyx;cdZQHS>-&!8;Z9O)9
zd;871Wo@@B@3-90=Fw08a_%PA$Cm4{zuVs4?BV|ZrsZ1oTlUF~$BwpL3%}iRwDNw-
zwcBk+Z?;_v|J`=>X6v=`@T~{J|Nm(}t5XoWzU|4C{MHLA|NU*fwy96#Z>Im>9eae^
z0uuO>*39_2sqsWw%aggcT9y3&oh|cb?f)#+_E(ti=Y;HDiTR&ek4$cVQkrGmYIaeQ
z^?9%q+w7aI0VjJz)`h4Z-Q7Ru>BVw$`_?0wqOAHYM@ql-h&<Oz<(=8`WbUs&tt~12
zBJuxHHttwbu<_(cd0p++bG~|VjFqk5mcHo|IbVND_HB>IyOQaTRgbP=P2L!2{<}xy
zbNngO#Ixm9`&VST@^pW)+;pZadT$?7?6=k<pR-w~YPTQR+H!8{ws|hvmD~5PX}|yZ
zU+bI7|L=Ca>6I=0)^_*2{*4CxSM$S8CVp<c5ER|E|K^(h_gh={Pu<-5?p%7?hMO(#
zta4kf&5e7d^RMO2%cl=!Pd#P-N#Mcq2ENBVvhl0-wC&Gnx$u1Nd5yho=cnFkTfg~C
z>(xB()-CB>HnQvcWrK6yuAct3^>xsvi0j+``29W?sk^>cws`*;?d{*rw4b_tPV@EN
zoraHkWJ`CwJ*WPp?Zw>l?MK4ndS%t0w=COJd35jQy2vY`M^esB?Glb`dexr1YFg-H
z=G%Wn9g_cN#xl%gkoh)IUgAm9D@zUU30L+o#Wd!9WL$AryKKdoH#hztdf8CS!C)Nw
zUo7Zi;OZHX=`#{K<};~FEO>YSA;%2vo`#Z7e&!WSNgo$&H{HK5pN%moLHolap5+~4
zuhy?<PhWE?eRVJUw{LB4KOYR1Xj)gta$)Yn?=xi1Hf`u<IH7ZLsuC-AFSDxr_N4#U
z)EkZ_Cp1_3vN>LRHQDBHy5B;x+{ec*Y+lv9>jaDQC(ZN&g$G%Z)|al6O<Lc}F@42x
z(Ukv%EbCS(*6umHG-<hC=#67DOg^?8+VnC`{M+V}&7V(Bt!O>Hv5~8rg`1~SGx^Vd
z%MK1HmNzjGZ<d{4RcpSP|Lx^-7hXO`E{!$Zvr_n;KS=&x8I!qU$<-UI4Px!9JN&*z
z@HCj4hrOR6{dsPn_O{kLn?5{E?wq)$<xBCsPQN{8`q+{gnypV-f4j72^NMxZ*ADgG
z;9g;4zP?j2y-})PYC=|N^2@tUCwsW|m4>z4sD8g=wqfjo&T|X?tgKj<nEe05ebe_H
z`X>cWv_5z~(?ZtzjMpchg$rM#x7^r1S>RvGlmDx7+n!GC)sJ0sO)9n5==z4Fj;3ex
zCn<eg<5xE!`M(FFaD&X($r4-ERQ)}DGdHd6`PPWkw&!m*_wZ)dt1H-t&1w5&{krW=
zY^TEMxoyAh_vQ3TZNJ~kyDK*O^vN~-;?WcH`t?@rH~+ch%$o4+YtMv)o%>kUcF@i@
zUq*K=zy0R6J5jRBvirJ^z5abcD7m+#|HOuFQTy&|b!YPw+chr7dCuTJ`$I;)Inrd;
z+Uoh|y0ootNW{!(+IzFG{mAVe&etJRiujf_-jcVUsUEkcT6O)Iyb|k$o7VR!{AhXd
zer*q*SNSQCu$K4v@B4Vm*FEuN>oY3<)AHkcSRdb0ZTlYQo9nCRdj(X5^{w1?;CFg2
zUufQ3_U`r7wL6b+yzSZK`Ezx$xmnEzt=F>;KkoFE{k^98({h%xfBJaOmu|{QY&jBk
zl=r0myq4Sd-`tF>XgRX_<VPms4%Xcs311`LUF+dHdNZ-B{r3G+2m2<lFcoz3-Q9RI
z{dwcj^DVdY)wQDcx7-drx4Y!P%?T`weGHS{^zwN>y?E_->ycaDjI)x?-D^E^B0KZl
zs_NH2X6zOHsj$EKHg9oE_JZSe>#JL>7$59ue4D=O;GS9kBifD`UtIdF^_ZGGlkQJ0
zk=A3%WlTc$XB<9%Z#fq3;>e_`!=(MDr$h7D*_LN+QjCIS>ub`>Vi#OE+xb>Xaem|D
zH%G6hF}^rzdo$z7gZt<E`1aML&X7C0ruub4Qt67~uW$PJPRAces!smTw_vrJ;O^i3
zd_~{RNO$uuJapCggp3PE#UlkNpMSHayjfp;YP*BRo7OeSYpQEkojc*s|4}IXO&_0E
z{tA=wH$8l(!Z*!jYM&lg_w(7Cem?){7nW>pT^)DYGrj%WFPq+*Sr0CD_q_I&4w=*0
z(*7_ub9d|Q*s@-&wO{zG%hp#v|I@N+dfV;0TI*N!sd3mp-70+5rk!yG%iWC=`js5q
zcCV=p-oJwH@5c7qx3>!xKGACHFup(M#^d(eU-zlVPxgv`-?s5?$y6EEZ|y&qr%$^*
zcXP|_?GLIq_web5TRu4U`oe^hT=uQE^Zt8k@{7)uo!$TZ#;&5Tn-|VYYW=u%_8k6~
z(}a3cTK4SbN^fC3+sm3~KetwNc3Jx+?VC)qd(3iox89k`;l8H%(lVZa6DK%g8oKm<
z#B?pZy7@)-JdW%&tB%fp;Axxw@$<tYM^_!)chYOktQY-9Z*6M5ch9|tG1RW*rI}CL
zi`6@-ADwqQ-u^V~TaWGWWxwpr8cQn;-(C6nx#iyNPh1ic-e&!4y*Jx+;`>^ghJ_w6
z#|0t^MJ8pOTA8>&!1hlv!wCbmO#4Y$%Izm#X9n+CTNnQz`F}+03jeEr8Xl=l2-)AT
zufr%OX;Q+8K7mz@1{-e7WUJcrNVnmt)+dg66RNrdj@&qNI_3BUySzV=8>ar8QFOFt
z<;T<OO#SCJJ^WswIbq@Xn^yYw+8#O|PvVll*YeOh(#rQ@o@-Iqy~c;kEXfQ9*RN@q
z+-Vm3apJb_PM)hR4Buk^PfGpXG9!yQW`XAY$Gr`WlOJqr_<6SL*}spG3(}RiIyU{5
zINvy#o9||UZ`1oX>l*o8D`zfkIC0~`%2S}h%>E9JiuDukwNIYG6@Efg;OXJs<IFc$
z`Plb&M17pJ$;tlS%fpW~-gfBvx4z45IW$$sv@xc;;oWJETAfphkE)~Yv>%$j=8RA1
zCx!jZhx#N|*tGck`?2ivgcW@fJN`62N;>5(S{D2BLSoWdbK8H{XX~85cq{)}>zDVD
ztQ`R-LKoav@WVc?ab@w83vrDHKejy&32Xhbesk-il5Z{hf2IhEPrfbxdqa0x<KyoS
z#A2k@MTY*l)4I9k`qDowpXJSeN=CGQ-v4*qtBJcMvRY2e-P5wNld&SU<#YY&PmPZ&
zW(kY_Z~Uxou(eXb^^AL~jltnRKffits6X4da&{MgdE$-@T=7R9-degMvaary-RB0g
zk5(t$yLnFJw46TaP`sK`uWWsodVS-@LmL0>V^)-?8L-<gk-oi9_G82Ho9hz9?H?v=
znyAnrbV4e9j?C&Qt;_E}{y*2ZK2I+3SK;qH-C>&yW-o}Y`nO8x<AN>6Vpc`$I>Eeo
zhWb8%?-JQe>vzmM{_n<yzKOf`EHQqvqP+ag<rPVtUH{j#9-1tqdc&lH^G~B-e^b->
z9fj+EO!SuBea2Qrnyb3yLVD|#wcpR%e)`wGDeHFIs=BsAKi8hI`0`0Aq5a^gr)}4#
z?`=PLX<zHQ&ny2tI#nb7e{Fd1@3w=t+YV~ywjF#QBzCf{{ZOU-Ij!iHP1UR0FYRgF
zuzy?IzG>|n?BBmxduNj3JrkLo7e2Pm`}^&z>dltZ`nB`^R_|#){b?zWvdz!Ld#$He
zp8tDha{KSv-;<5Q_uM|&@_TjZ^uIUM-?yBONo_S${oVfi+j5!NJQoeF&HnfQTy^}T
z>uuS(`75T?9gsP`f78FGEvKj3*|&xU-eR2^e4Axz{`si6w;sx?-T3u0g`v@7WBc#?
zyPk@rI{PIbhVNdRGO6`Y`M0*;Rd-LxK5pF=cdz~Q%D1gcj=XA1nBRW2bkS=$?mrv<
zMK;ubKIeLVlcayk@A^<pUhV4EU75VQ>)UruZ8%+?GDCgGoY0gSwU=3c&PGmd*|~q+
zxgW88EpzlMCu*%to_nt4l>PPUwwdo%Z|`BL`#P;{UUqiNexskV2P*DuvN=1!^hV2^
z_quIMReK8FH(so0S+HwQ>!F(~y+xBJ`5dhN_^^Ba!H*wzo;v>Sg2#_u=I!@e=6(Lx
zc4*t%djFq&%-hekZ_d8gcJXCyd(Hfo3+2CZTI%NZGuPi<edWgQ`ppy0$}|3+D51tI
zy!y_CBQLvI)^)OIXUsY9=Hb%kt)07-k1)@%h-GNK<S@UvVP;f(Pvhqet??fZ1ZMx?
zJ|NXSQ|>WSV@*zb&%d1l!c4p`lP9P(%-noQ??+qDkEEuaJqsVqTacruAh5qpX@VtF
zTd)57Qx#2pyvvf>-n68v%@F?F+B0`^{&nM)H{XuDej>GVW8u{~m$W5z=d^Zx|G25?
z-i;lqvbWp1H(E4wcXBRcZ0PA#Q&^>Q^5rJxUoAa*4;&BwJmE`sLwA4040T0&2Admy
zVpcaDR_0-3J=|d^aA5Q52P`pP9Mab>`88KdanBm@;x}EbXWz8-Z9cFo|Jb6s8z-#h
za4k^koVao4w4~0d5>hM5Z-~acn78orNzwH739EY+ylI`F`+5cQ&DIIuR@7yc%{bG~
z)OKQPPRoStZRhH<ZnsRZkGa-)if^*P+&u~3KW~zMG-F;)OaIl{ZwI+PHum4#u*$wH
zc4Fe$g&mRaCZ}f~^QwC@sq*<x)tPbf&lj$4ow$0R*})apW+}L5`=2|o{{3XF`K=Sr
zpAOP`B9=A3ZIZTO)`>GWl=rnxiVg_4caqQM;lH|tiW?gz{A{1(Ribt8-Q<sLM^B$j
zGC#rFUHJM5BX`U0x7%l*x^XsW`RCTj6Z{yiG#-tAk}1<NW#{*{z0X>vWaqc<-R#&j
z`De?NHEsKzHcj2!y6^kH_OEA~_DQ|}7IR+sPvhR7ZD04k%W0ildjDqo9@WZ(k0+8h
zx9{=a);8tT4Q=gBj6Mxj&l=u;Zkh7YvvtR;wyEb=sK2Xh+}1DHw8gZ#ZFBTmmbZty
zlQzt(Hfx!_{zU7eCuYYx_@6hN6pduOx#N%g&zoGUM9&{#{`pdVW810IC%FXMW?%b#
zbHPKGgH}fv8vkzGeEh%+j&%{I6m0)DJU`4h>-qefGb?ybvWrK={*T-A&OLLQ*h1^d
zhhZ~Z#jOv%XJXWeX-PV>e+F-Ile!`slda9iq@Nqj8fR-a3dZfI<&BDY<i_Hd`02e)
z%i@0>zmGQ_XTB9<)4yZGj(1--{<wBfFzNJy*{e@H4cmET-H*1jYfrb%zx*&}@6Kh1
zlRO%3>U~g)nbL6dP|c22$60nfOkZg%y8j@1`iAoI2eJQSex<%SxaQ^tTY0md9WSpj
zt>hBq_{6k&mCV8J7?l&Tc@vnD{xY#L*glB;AGzqdxcrX2OmC82udwE0`Mqaq+7HDR
zM%$Pqj@Tcc4``S(R(y$B7o(m0gJEJ!!~8cdTGMyx$lv5Ry6M(q--gTAZxr4LZDl`E
zewXoIjCRcbGt;)6k(;`yWo5kBg82V?Ql~XulK*{n!KdGCbI!Hh+#PbeVcweaYL8oQ
zmY!-`FuiTX)o%xaPjV)nP_?>tcK*}7i~iqi>Ce?}JusDv?FQo+#>t%-(s^$d7M@Ue
ze1dUaS53sUmYdU$D*V3Ma`Tmn2G{Bh8ERdN-|xE3mXsJ{H>E50f7^}Xd9Abltl?^l
zW!$x<eq!glmK*geWgGRG>S9`E|2aF)@8?+s?cMDwrXJM(+sqX6=-?lBg^047DGL9R
zq&4K~_|DHuU)_E)=-yiPnTZW|H=lIuUij?pnzox>xviU*er}z$Ww!4Tg98HR=dD-a
z4%~lcUeNn<QmNg|Q(ER<{r2YQwX*iZ)!Ik?RJW~IyRBvNp4JuD@3ri&+Pi3xO?%JN
zw(jS;Ej{_|mFe{#1*Wxj-)q}dAKlV%v!&}(SWCyfmab3vXO#ADZ|U08);w3YrE5Q%
z<+j$Yn$p}EXYKXdCtEjt|Gj9Jazk1jNBhI&TG?k9?&mL1_*&Du>UQfoef#!;doPb{
zY+V=qvt?(l^~vsUt?Rs59i!XVy?=8`=6Rc^x%9+`yxq4ab<7Lh+^~H<YyY>_hgR=f
zHPwH&J`7I3ER-{6fuJDUa{m3NRwlKE&0W*B?)u4-N>gr4Zh823r5DS@$eA8DBpzGO
znWgvYf&9%ASMFMTY<s9xf2}p+k<8P?+pX*Jwzn46Kay+N`}A+y+HYc;%b)TboY<(S
zz&tg17vsf%&2LVnp1k48Dt_$L)0+a1E-tHibMi>i0n?;|j{74IIG%5NxH=}ib%E;c
zmUUOtTPN*b)4uN8Qq{tmh3endSiQg9Ds%qZTC2C)+jKXzulu@hik@A|!`hd6PtA|F
zJPiGJy4TXHtYzKm^R4&lBiq-#{j|jNX6LHSt<yh8w`^bgcOH|U-6G%rr)+MX(|Eh-
z*b?{W7WHL2^gmus)BksNyXx<@6>rzNUES8UBFehq<NQ-*tqZ2!KKAO~zcbsHzHONl
z^6%LGH9Km$8Sd6Jd)OUFi)47&vi<Gfw#D087S8?FwkT?MkJsNxKlo$%4~jMJ`TOvH
zm$U8u#?XWMG3O2(ta@Vd>TQqcp99JA?|vURbdq86$?EhAZJ{~`9{)SR$o@L!kzBz<
z!L!>-&$X3rYuSGFUTgWa$Yakww{4HhZOgjd@@n^;eXV()y96J#Zr@wKe(9yRt=sqB
zUveow|KdyYZ+}m2ca;eLb}{U1>#N;Y@>}L?-PSVudtJ+{-8rXLpPTUg3iGA9ADtgh
z&F^GXp4!kME63cJQUB6*L-PNu#yYO)dt!KZ{gr!|K100epg@u~lj0(o7^@iyY7?2b
zUoo;yl1*e>xb?b(@5EPTTVJ_$FeSaQ*v!;iezbv?*&%7^O-B9&S0Ag-u$P%x&+#Tn
z?q>3%dtIXbEya~*t^JdWj$Pt!%eXJW7}Kb~yWx$JhRge%Q=T`LCH~oE*2?lC{cMA;
zy;9O9ZU)CskC|K>mPyPIw_`fNzWTu0$Q60YFPV7vH%~8MdQ-55X;I0e#<|BIbX5O4
zG4FU|_v0fck01KH>Ow-ULiEb%hO@ln8fUyeWUR)zds0k}5<~u(>E$b*7ziC~E7xgW
z^{wOj#~0VqIvARt#4;S%`?sRz!UfSdmYH@RyQ)8G+*vNg$$!G@@amI28<TIucQu`?
z-F)JlmPInd#7plNXex0y#;LDZ*1^GXJN80p>xUT};hQI1?ehrTbKv@q6&;&T@Y-`E
z?Kfx>kBJL7^0nuJ%8u;oKX#r-YhT_}cJ(3m3ER?$&t2E;H;HkaUAaBEWqbPSmL(y_
zX6#=fo>#W`!RH5ix)^6hy#Mjsmt$t!(PwLRDkpDIe*E}C!^V~+-s(ca{YO5uy!l?a
z`{b2pZEs>vG+SgH`qA~G=xWZzdrx*-R2}(#{KB=}5B|lpPJ61`(vW&iDE(Sb>!y`^
zkNqt9<e+h=t1fPbfUBeNC#FBE*_@9%IJ?dG>)^Skqh+%)mn45%y+<XpXnTViyTQNJ
z4NubBw@m-j(lO<n!Q9BMmM8mfx7=D6`-$uH#m9Petp`4@OigRISo*f**1g!10y3>n
z*WLZrw({j<fgMLb-@UcQ_0^v>xou%57u-HywL9fZ*!=G;UBQvP)~*sUWyd~8i_d-A
z(!IRq&#%fe@lW5juDq;X;rxa<re)=%6V>r+dO|9j^?fZ;%X&Eyk8KD%zwzVEmX)d2
zO+6JcTOP3OY3;Fzecis&hJF1BC4sjL%+jw9>^Sy(&-|p;m2*F}^nU)I{;I_M<l<i|
z7+P-q4vlO~+PKoN{@O#GWX5+T+r_-P&$wR@`Lwdq?zh?V6E{{GUOlm4Rd@TX|8ong
z)-2YX-F*A%=Eg$zI~gagwclQwvf{;g<>`|HH3DAdG<3;LRQb6{s-^GeiL=G5XBlrr
zw%^{*b5U&V>l5>zZ_I6FoWp+gbL&d~ZxcIv8P9ay&3V-p*4F3IyJ>4i;-?LJPtUIl
zYp_mXebCz1TF#Yl;xyCc?icxCEq$|`m{ix?bmV7Tlho5tAa!Pc%c_c-Y#&dk<o|0~
zrTP9<ab#29$A;(mpO|c4uZw8Ez5SI=Yv=dr6Ie=5u-p*b`J(&$y!+OxKOEk$;Ojce
z2Y>dTnRjh#W18&E+c#SJe(p}S@NDUOzNz(gR_$r&@b-E3(jWiHZ}Hce|Kn!Ms?b-H
zcRcF#v0vZzAnljwp7xc&=|7A9w9nbhXZ@*lrFU%=Pjn<#Z2Rr4dWBr^vtRB0*6g?W
zQe<5hU;LbwzWLwVec~U+bTs<dZt7#Yd*)2_huHrf4fFdp#hrM2^4!-~^MAP<ZS6n*
zbg%FCAF&rgkGC(-*A?FXzjeWs)-~l;?>nAEwDu)=KjA-nijALh<BUMbFOoMFI-fnm
z=C8^$v%9HqCXe{;rnMYglcHnFdhZw*HhMH=Y>H)QJjo%x`{a{~iN5S<No#Ckn!?m9
zwtc&BXWsFh63?2ejyKF-cf#V_$t|lkaPMrsaUjS38pkA+KTWBTCk({JR_@6QQ*WqQ
z)AHclRsr7#_7nN0CzI~qh-;ektRdf3kp13eJ>QEdN1i%mDjs;#?P2xi!1Ib-9^y9{
zgj@Rka$+}K*!-ek-ps1M!VkkZ!eUxCB@47oS$nRteD(rC`7rg4{|EmUb{=~Dqy2&W
z>;~@EDf>4yKGZt0??JMATj%4BM_+ZCs@*yaZ~ZO1Xzu!gKO**j<0b!>dK;KxzRYB`
z5^L!?5&J()FsrGvIp+6-7}Ng78SP!F{Rd_?Ogq}rHMvqPf~mFp(arX*jaQVbKPI(w
zRWwaK$QX0Rp+35;>(mc(yG<|7oiDe`oiXox%YpT8+FLExPuOvD;f}K%&gpM$O!v1Q
z2&!((Uei+a^o04@mIJ?Y+WOR2FFan+dSL#Z1-TJ7UfRDtze@Sy>(wX!t6%&)@#24W
znG22mfBzi&le$Lm>zWSspD!$31;3>5NS!;`Xa9|D+4h^qe_7pYIq)<^(x|26><+_U
zAF|sI*v&oqXRd9N!z78K#!G7iVn4SWusf;f+z>m<fGvgb^SR>MTdie9*IIM3^e1Fo
zJ6U|ZdD5e{-tG6>DmS&J@4a{IZFGKn-~L-|%j$2p_pN_oF{S-L=&fT9qfWot*PeMh
zwJrDN@o#o-k6u~(?QD_V)^nnz+m3zrsy=ox`=-P5qu-W)YMXoeUQ18)>-M>K|F!mJ
z`?n>ZTb_UHl$Ukqncr<(78}3iw-;}1UHkXuu|sj`t-^EHv=m>BZrwEfZ)?%ta|>Jl
zF5cEY?f$+ut*Vn-PyT=DC7S)S@qp~=^NpXD^182SIpr6=?eDE0XIc%if3J}U``LbS
z{wo#3Z8<jXC&RAr>Yu&3Xkq_F-ajn|&OL1{djGwvO)2L@^23yt;<fi$rd5ZY;!$pl
z)ce+6oaM{fdyhBw?ETj1aoK#z@7tz*t(<Y@&qTYQU%3o#PAR*)L-&76zNYGujf^Uf
zTl~L$YpuTBS{xt5;HkT)to_u}&8_*u&#$ud|7$J2#MZy&<tpZiNeLUTT0gow)%^E0
z<89{}Ln~8tFWeN}(^@jMweRb;wG#E2Y_nIlPmk>qd-0w}JL`4Z^tHBeYuZow<sWkT
z`04O6m4$2CPI)gEWm?mI>eNy_!xP`+4mV7%3Trv_JdCyf%MVt^Z})e+ohF#Xvi?)!
ziTo$O)Qa0DZU56Y?fU!~3rizn*Gp_Y)p~OGmHf7_-@op)&&%7|9`*iqOWo`)!_9a1
z=)G-=*M8n}`lNtpbX#=lp_<Jtm+Ys{`H}qO$L&8YZmACz9&Nhx`vi;Zfqg$$noeZl
zePL^Ve6sZag{(JQlJB+E-VZzes#<?x{F;~tUk>^FTzukV$b@e{ciuh0_w(Hi#=1A}
z&h&a!E?<5AoS@#`7U%c3X9V21A!&NNe|F|V^SrtP5&cOM>pmYytv@zFtwreB#d+U9
zBw1`Qu{+io&?-FbV6glI=2(VplbTyZ^s6`Rt8r)*z4zdIh3bL6M7@UXM_Zk*`?}0#
zeY83+>4ti}%ZiEsR^f>US9F~;Jlg2}{76Us{}~q}@7T%LF*Qtl(_nbxfqScZ!`9l(
zGo$OiJw4NYVTGyu?G~3>okJI_!!Axd-{3jx;2rTWrmiP~j8B>VPdxK5hI>*|M-s=<
z4P8&mbDF*Os5HFz!13Uv)*s`YPDu+wZ@lPy&K~>UETECcZc?M?`x}>@H_l8By}=TC
zgQX#-nImS}B^O0z2IFH~3vw4Ow0|S>f=&9;`<p3}bI#Z|hJ9PIZDmKVV0zc#Pv1Ly
z1&ens+E!b^b)vn3??lHB@dZCWx5U0}@p!$hb-}G4u?#Jqn@%pxkDH<UdDge?lMJHk
zH~#ps;M(U8?CLxAX}6Y~O<tB-pZDd+>s|aeUP_;T!M1*5QtKSA^j7cJ$C5f$x>Pp#
z`g3iMyJ2$n=aG2}Kg;Sjtezyn$sl@X>Hm`pUsqpinP+*s-8;Hv-tz73Ua@!Unlfve
zyu#YDZnb!aRkmg&zHRUowyYD|7t`wVD*N%lkF7qhKh4moSg`Qyse+#^hqs?T={})0
zAhbc?=#(eBB|B%vxB8xD&}hu&W2t03^ybw07T<Eq6B*A>)g0UQe@)ww`==QuHs$m+
z2Oe$7IrqLX`TW7DeK$1jZf>&iWLm*^G3-Os#)(h0!Zzfklm;E$)|=Dyp>b`J9|voM
z%oL-Iug&F(-o&u99=W%P(PL+Oa$|JyNzbwlzq5<><;`mg_}n(7za?OOq{KSG=^q@D
zS_4{>)I0u|ZenKmx69yTQd8i~wy8_sv>ln%Jhc?$l<WIi{Qj@skkq-lbbf=b=<eU5
zKj*rgUitKQ#LPMJtB<~Y+v30a^G@cfq)c^&mhQPinNCTY7T&06P5$(4>A{yjx(?nw
zyCEz6b&9<9q21qZuD03KWQRKcpTO|{HxCbN|9?~P<B$7WT5WePe*LH=Z1cw_Kik8s
z^bhO}o7M2SQ7!HBPw|bJA@z+B4`Lb{BhPOLt!lckeo@kq)^OSC2E)3RkTnl9KJwoD
zm!DK5&-{SrZv@lBYiBPo&p!0Dp5;a0`6Ns4wrJ6$$_L&D4nI8JaG>0SrC`+qeY*zk
z{KlR=b2c7Sx{>p`F+zbc=BG&~1M7_%uErGCTh?|vr`Rpu<KEpA`{3)Jw$dAo8b^xk
z`Wp`K{=j%);)&wC#+Kb3yj>10JKE15Jv={u|AO_AJ2EY*PWbJg@aEZLi}N?K_`57(
z|5yK57QvEqv^jpwffG&(D%PwKc+slHy!wB~;iSg+jR&VZ->|AZsVRXqY0AbXhT4XP
zz8^m}?zw&-nPJB6YmXaCeHov>`TjuaW|Q^j1BV|!bn8!f@!y<(=k-{H|3R?~s~QCV
z@7Vo(*X@VQjU~M?jbY(GSY{lS<=+{6X`__v)`q#wj7cvZ+)tc%Vr2x&0**h8m5&Y{
z?q!*9|NrA%k37nMe0or(xar4)imas72aeqkc`?^ELOAC23yIdy7Y?-*#?Kze|DT!i
zsI650{gF#=emvN-sr&JQz*eS)6UQ5>?Dn<z{keSN`Nr3uAARj+`f-GNKidJ8w3f7=
zDI8K~z3<yqa;0>f7u~<(%m=21O0MVocj!E8U4Gq;yCo;Nj<Id|+Re>D5p5O6FXU`A
zZLH{OnRdJ}Qh(0GmMst8eBaYP`%_)}^7pofQ~tFqe|xK?AZXG=6^;ol5w`C)E&kcQ
zeEY4|;!h`;>RXrZ-`ckR=#TuoC+8&6Z%EnhZrJ^3hhqGnmgVttTdJS_ZE=6w6185p
zy>kDt>vywGw^ZG3jjcZ4UYOffGIe`P;hvV5t=roQ?tE*Dz15Qc>03+ey|(<I>Xyjr
z(=GYcZIQQI@-Mw@OUYf`)|Aqk|8w=Z5Bp}f<g400yz%eFQTKx9_d0hcUpV;Keq%$b
z=91sqx(v$KHl7V$Z&lx#cy)VwgZcZ$iXW{t&)**HoZem&uNS#+_nE8RqKD^fUU4AX
z@4y_;x{B7C^EZ!9(qDb_{!-S9Yg-dTzcp>}Wtn~R=cgBvtg}~2vCQ7yzM+18tN#8M
zvaJ0p<#@E0%d=km-jWcezVpQ6+2<|@e%$gRVkYYeMLy4S0l!_9_#XdkUA_I&@q2M^
zKb1{p>7F^WSCzGUmKsZU)%zp&uHHUU>?^}rc|K^n{`;fHQ(J1LukLyLT_pHVV@_mi
z;_tPsnQz+@)_?oOeNyE9LcO$>n)$y(^kOZy%9gimC|zC8y<^V5)i<k>cXl+hBs1>l
ziTyJ(_}K$}Uyh(P_m7->HZ#OtEc(+8^;I`Ha(+LIdA@T>^5+xF{_lC&CU;6ECi207
zyXl*<ZU%jRK55!1r_j$gBPtIbI)AdY-sq+EMs2g7kIG&@jMDifHR++pORbgqp^Zmk
zWIwt6nV^=m!oTzbbIi<Bd6QnoUQqAsiQm}BIQyr%D=T~0B_)4G?KLMRSRa2mK|(8q
zkxBL7{Ll$ZNi4>9X0O?K%FyvyY}~n8^-oUrb(h-vTW`c`{(gTVJX_A~_gaQ;*|L|`
zw{(8hlyiIE-dTI|tfIPpYxREBZ{J#~KI_Uzy+5aYLUY!!C-o<tIGPT;yK(f%(?1W~
zC4Vo}Z*9(RD^34>HhptT^WUoU4}Gr`j<1}WRd@8)%D>0%ZF+O_YWDv2y1h5%hECR)
z-`+g^ds{<J%cT9=j;<^|Z78vZ=U=HxlG0Bmy|?On4mOBdFFY5w<JQJj&Eqi}JF~?e
z6los|xYo&Vw&mZ0m>Uh<M-NM{`*{3EL3gL{M5QAQnzp8@NACT<<gd(V|G4q@#8Uf}
ztfs05_O>;?X_>Kid+XvkVwG#!CSIA>HsS3VhN9?}salavN$oS%hM1+5H9BtW<f)&<
zv(m+2TE+5{S)#i??0(j`<EzcXU*9&&JG)?GU*kvlx;+ODw`v->c)T~*$Gu!fqUmM@
zbIilPvi}}^xa)7|#lqr{%p7xP|D?CanH!SqJ~0YEG~r`9mUJSnp)9Q-$o}^~)f)$=
zZ9IDG{I%92&*z+)yK&9+&(G@Z-J05L6CPPA^-h{$vvJ9_FYgcjSAUV-*LFyKeapPB
z&awYXSf-RUuut}gXgzX&B2(*BEz#YJU$yOu`E6T2XY!+&kH1c2TI!^g!X&)Xk=-#?
zh2bEBH4DG>3Z4hiF^ggrE|s6%zKBJ7L(-~-&RIV#?Du5Hev0hc_@wlQ$%Smw^{4M{
zTsz?kpYxlfhb#K|kF80HxFLJY@`DiHOR=P@()mY^oaJwrw(e$2#Ld<XpN}WCHrAi!
z5NN65jtNt2s_Hn(b=HU@qC(?GLi^XoC$$@IY^?eu(DU<G*NiP2n`X+-_}$oAm_2ji
zhFFGmzxGNk{QqFaai$pp^*S6~+HYhI?EAoS%S`&EB_rz$h200z+$EU=CWs{kGN>JV
zSbAe|#}#|&pH+XW8>-eYx5=kpd@gE#WT!gEtNrb(h5G6nJ^wXT%1pS<xF<>IR#9`(
z|E4PQ*E_-wGktiwdjdD3(uMw`Clj>(NW2IT6l7qQsY$xfa5P1=hI8SJ@;~hjL7f8s
zVt0Ao{n%7rS2v;T{0EIkO>@sL-KkY};N+Xu?x`)y*Z*l?m=##|=M`hci$k9qgXh06
z3fDP#Vo&r3#-Ho>M6Hiqv+=npS$62d!<e?d`QMN1{+z#(;cCx9$w;*|ZB0o(Cd*g9
zJiIB=s%1^?Oxrc>6F0T2Uccu|{r#}^-AgyOwD=!SYT3Q2<o1!5%b#$be3W-%c3Z*I
z8yTDCrYKKeA#(gtd*q`D?h2Eyt(&AD7W3+7io&Osjj^lzGcK%|)7loizo0H+ZrjAC
z8hLrQH}P@2ZqL4b+JU#tF8g^){n{tOvtR9vnAJAx`I@$k-g`G1nO3(p_#PK{|E;BA
z-qwzT=UW=$s!#ZA-Z`0{<A1};h?dTeZ#AMevcFiHzeniBS}CdbFV;S5+gSQ4h2hjW
z)~&Ta9phRyF132TYU7J@;x{?+X3HF%t)PAH^0dt_E47bpTs}!H>7nXm234JVMO!q(
zChGT>wr$^Yq5e_(ebv=%?KO30bgZ}XTb~K6)wXZBSJ~FK{PfFx`z?1q+}*zMar4RZ
zEqA-SB||?jC%xU5e|M$G@e^VKf^Emwn^fNv6F(Pd|G%y6&enC7e_Gmt)0?_Bwr#Zk
zv@-43k>F#y{xvqt+qCTK<Q0e7+GD3N`?hZ^w>-&MQlYYF`Sa#>SwZHuI~jj|++<)r
zAGGyJ)v=b1<yMgzybYUsE*e(-lK62#?)Q@4&*r`Sy5{Zv+m#KoCl{XExJrEenV@go
z8*ekVbi}4Gakn(BecQ5T_J+0Mp2c4|(;0LsV*fX7nRA1Qam}8SJCrzr>zkXN$T#o2
zy60$nhrSb+X3}XVgL|#b^Bh_1PUx_>wRT#a=K9josrPh2(P74<#!jn$?HiY8Ww$n6
zpL@#oY9jNS{nxiOWhT#@`+1>h*Zci{tFOtlG`$lF$elNPMb4D0@Q+RXT6OL1JKr2#
zHu>%TyQ{qnCe_UObM#)z#^qW+EfiY!pKaT?`;R)q>(?*pkMk^Eu!^xH`QMx5_dnRx
zB!gbR-#_h)``y!Lf`0w&Ja%Z79Q&un(7bz19vd5D`fu=Ef4sO&;%uYGe#6NV&u)^i
z{CmpkT4$%uPnNiMFOQ$``M*^n^Usl2K|ec1&Yt-4`sB_7uby*kie*gN-#RDQc<L+}
zyJzPji;urd7XK;n`pB#0Z_WqB=>`b?KHs*P&-z}=#@%=3a>cLt$T3f6@io5q;MpRx
zQ`>9j28pe_*4pePwDMYW?L?+!BJ0$S8-%@obo@iirPO&R4X#cW>~Cu^wcqjO+RDAI
zyY0+cc2y?CUMf2KOgrgerS1Rru9&d)`be(8H4EG8C%1OTdNJwN9bGSCqjf@%$t_xA
zL(l7;ZORW1Z_Id~+)(kX^<?R$hT8czOaE_daGjSN<8eXqR6@O5$CAX>Z;MMeE{c}o
z&Tp;Q6Z1mo@shX`=N=@sZd+Hortf0sp{~Uz@^APhe|Rdp={@I#15@U|FpPim`Q)s3
zd4D(VoP6_*(bMw>7RblGVQ6ozuW0T)KGDyrBIZN$WZ@J0#XOm%Z|E_uOj*t%zVplE
zmv<F+{F!K-KH)++OXl+A|55*Uiz*c|T<bfO@n>OMM<E|)#_<Oik~A00Ge4#_F=xq-
z$eEW#f46Th+GyUuuxiz+RZP17{|cXAV3^d+z`(%Gz`(#D5MP<Z$i%3}$jl!5zdnhP
zA;u!cGxmRa5(8r_Lw5{IOcf&ovqlVK?0<&B7?xOu&e;DPH8JcAV#i__7?X@*7-KYI
zm=-WN3&hmLC^0ecGBRo?<R@vx>}RlK_?P67#Ga%U(=>%4m@%fF!9amIhKWH?iIGW*
zhf#|uj>-6YEJH6Ng9}RxN9=!rj97;C%$hMmf0%?B!jc#n%#;5|CcWl}W!T4H&6LE!
z6!V2qI_bPi%q6Di-%M^SNetpFF};io$xKN%W0V*fd6S}JcE>RNWt2_wkKquAnG~bX
zq$$kE(7i84EKHq2K$cPUKR*M50K+0#CWfgZ4oMs_d@(N>&aixEVE2l-ppf(~#w><$
zE62kmPR7$oQY=Yo44fP>jEc<G|MoCgw=9-roXD8O5ULQ%sLL7H$PmXE`|lb@Ob0K+
z%!dq?42j7ME0VMulK=Z8y-oVhkjcT$v{66F<6M$4qYmRyjwDuQ&LkE^R>2rSCh<wn
z82mUIxmGnS0o~HXEbqebR*b=wMUp=}X?BcIIzu>DWF<>dHiHCHjAA2;-0c{SBu1?c
zCXREAoB=JIElFRQau}GBSd;$7bWDk1<q=Tl5YSk{E5MN$!_8pNn54wVkmJcvpmd&L
z-&=+)9S$+K8Fq0n?CW4-VrLTYS73?}XT0PRBf-SV%fdT};RB;8ld!^sBqp9JB`uDs
zrJQzxTns{-Y%xk~Tmn2yznC5{II|@s#yWD?Fve&JOyc<O&5-yyrs*GpCQ}SMgMP<f
zhC%@jpAHWu?#s;69g^&mz6srIV(k7GbCw~zg=KM)vVa^1Bg1U|7>4&T!b$I9)RQ<~
z#mEb}nI<*7OX7@~9TUf3slXTWi=kVPF@7S;O6@>~mCN{qTevudW5OA>cJMRolw>IE
z;ODp|%5YSLQ;@;dC211Na~+1~D$B*y7<bM}5|?@{EXBgG^IuFPCljjxL()H$7-{B6
zrnL%;Nv~sMnP<DQ#;)L7AIQl*+eMJ0pPM6blE5BDdkMadpRA9QM9y{mXMDPnyWfSg
zJBhJgN#b&hz${O$sSNf^;x6}@SXsGdDses2<b2Z+``?S}^HT*Q7tZO7jOI>^`Y~Zl
ztS)>^+!9QJEyAqD{~mKlYpfE>_2OXPD-k2a?aidWT9)7Y<y1azhH8PNS1i6i136}{
z5qrIbozI%3vf~HG(Y2y$?Pfh^?Tiu87GViq=gL;kCY9|FktC2L#ZtM5ap`(-d*$a6
zg_(k-Y?e_QSnmr>?ojL!n6y#4N<~sjV$CE0DI?Bz3XLk7ff7zmT&%)L_gGX_Sa`lj
z-WB8#zb|lilPPQR=BaGkc)~j#G1zZmwV$<>r$A4TXYoUZ?ro;5-rK*jbYI!QYOk`B
zS6|`QT^T7Q5$gvW%2~Tur@z|GGJWM9*6T0#@+vRi$E&<-KacXf1H8$z4vGh>h!{$I
z>lBWZ`teR9fnjlsRFX@Q5;KeFURGI#WQI>kEXn^~c(RC#XWHc{31%MRzT0VW&T>_v
z_}Pxb@(M|Yd0T9%d0JfrGmo&^`yOT9DP*wCs3U2i;U&f-^A1sw%wwjk-N!*LJHdK-
z%SrJ}izO-^YqXDKYSrnrxQb++;#KxN%`)Bgj47-A*_F)Gd6k!)W9?pco~3)<1=iEE
zE~@O6OJaGcwpnHG;!C{x%P#Zi&%45#KkF*5eqGiz-rcXR^Xy)EgZKB!o8rOCZ;1vk
zyDbtt?~dr?S$9Q)>$2{N-hXvp<o?PBvhtn}?FF44O|n=c=Bv71#ZpmWw@M7F>UL{s
zMTcuFF#%;PF|iI0m}0m*1GXehW6)D_dwthJlj)J%Z2p*s$s&vbDzhG|%FT_;Q;cAW
zWpprhRVjVK>gfMeX1+VG*E%P!EwPM|hlNu28BTp>%j*05D@*^E7p(m%FM0hFcsy+k
z)s9Cz5(;v2iHx1ZsJTJmkxsme=Id9ik^ZlFv~AzmvO2!i<bJL9UY1Y$oh@ts`>#B^
zzkFc5_~oNduaf%tn5eqOgd5+Ip2pbPazs?fGA64qY!uFp3st)3G5HgpZIpmWrl-=B
z&wRECJ2aBSGA{~Be_?gJ{FTSn)8ci;H!YFOi=1b_vHAvo=d;yf?XUX5>UilVudR6I
zq?9&`^9fZPw*z;?#0WC6E-6%r35ZT+*et)&<xarmUu^5Q2+FLKG|~9Ywm!3<iO>Eb
z<HtX&j+g(6)H<@oaAwG{JSf=nD=ALUQ!$3)_9jopH7=!`*IoYcxeKW{b~6_IXPquq
zrNpTc^RVcg(sYevhOI2Vj*9&Zii{Ea8{%b|Vi{Wa*;p#G%aim4l0;%Ic39{$#AwBA
zj46n5_2gFPGMga8;F4q#6CV4&Dca+bX^bzcG(+<L;FuFJ3~@0+Ne(fal9a+dx)*j#
zW&qtlW)%BB!6TNTnboDDO@KM+3sVrcN6fdF#-z$C3`|KEV@#8nCL~Qtis{TQeWt|7
zW!l)WJSj41W5y!|mZV1=FBNQJRwn)6(B}|}`BV_IFv%e{h9j9_J%f|OuO#N=|G`QE
zs{d;emN9t>HWf4!FbP$)1gbv~6yT0!xN*!O$tGrLGGnE>$Ul{4=0>(6p`?egdh1;{
z@39!UDS1v667uhC+SPD?i?h&$gOOP<HApCyXRiw@o4+87f462A8|z%Pn2d=|F#_Dl
zj1A#kEECyT9p`Z{PgD`Q%W%R|=xNO!0dApAvx<K)O?M}V*~ToDV0LMEmSnJA$kTYn
ziP(;0hAkCynK>*yehSvGY*i9e<zy?45@6Y?=skstZEN9!DQsH}(_e72I=<xLac?nN
z5p!R1<%DF$1ea9SMZB#2%lTOP=kc>T&J*Ci?o!PhGcj(G)sYpPmcLaZTNmtAWRU$9
z)A&lzkv~GSKUsxgle8p{xuE1~&`J_rp0|k|UP654jE}td%r#ei5oUEP5fQ1Ml<>VH
ziO1Z_^6eK<R^KaPEd7_oSsf=!@S1l#+9FoF;=PMj@xA6qk7P!RjES6GGMSRBv*$^%
z%&w}EX1!}ABePnTPg~ZOS6l8YkM<XN-dq(0@noZJ&xKZ^u?pssbd@ddDg?SPNyczl
zPm<hfuvcN@gd`@BLeWwo$+e1&Y~_4OFOzDN7b(fDcHwM!xZ{FKV!&FNw}FDQlv(XB
ztMIsba@^)PHA&(#!^({>Rrbj_W@V|edcRU*>0YVMYVWDR>nM`z$}OBaN!fNm+pc<*
zh#e{y0|UQnvQ7`yVwvu*&3fHehgaEN*OXUT?<<e;6@A`h6$9~94pmc?lU$y5y)rWu
zo=)P{<p@hvcQJfp$a~z^i08Piv8gD#$y}M4V!?f;tlqQCM1rfb%vrmGEO_-*4z3gl
zUS!Goc$pQ?@p;y~%Cl^EmFu!>dGlY{v2?Gr=UwmVARa7}I>}<Cuxn(f%L%u*oj;fu
z1m`44#R#~>GVEfMi52+o9&><IHMWJ}(*xgsFIY?+Sdtk{POcY7{_hnOb)WO5?u0i<
zj6ul(F*>}HZgg993eIwf`50rjd2SOE@6njZh|3+%SOi(NZddVi7Ca%8bjy`R^e1D)
zU#6JXF}f2ISXrJ-W=w5SFim>Qq^!V{#C<_TN%euT_zfi$rlg16H>^AvxgW$c8mqio
zAkUa8c+-Y4AnS`D1ON0JA^L(B=GZWB_cAhEV2W`~+TN1H=I!=hZHJnNqcqEo2VHtI
zocO1>EZh^LJHyqP)!x@d)}?##o*2CueXgwCv)ov^tFqi#?V~*8U6$^d6!FB{%j?>b
z3-UTM7J0IUFZ1G2p6AUveU=X>z<gOBzw%@0Ug^)P>>0qTT+|VyH>2jwFP#}H0(sk4
z2C*$>h|!My?-L{RT;uUY!*Iom*-7F)-4}084CcL~ByfjA<k-bbw-AxsrwuGF5{JAM
zWP~K@e$4nU=yZzd#_TXbCSHCfR!L7r?hy8y{UV`k)$A%s90hLoc^Cb<kRQf=d$K}|
z!9kboNugEmCM5@an$D8za`R$1+si->w%kRG6C=bfawTbcS~@6Q(vUH_F}taA5*Opo
z(gs(?b4mY`Je9sPYV5u@Nx?)hWY>!mF@bX$*)mjid45#Z%=?k)5hD_+(r{*y+A)R_
z#bXM`lVl41D>wRl>{b#}I`W%EP>4zKQh<-jM5SAwnMK)HJ^DF%Su{10B5E4~e=<k%
z>9%n4>L!Vb*e;3^uU!19#=wMkuIQ4OHyT!7)~YZ+?b_hR-0(<a&n33+CQ>TB?CLrN
zi_{-XkLJ7E!Ns;-SfsGy$%DNyqUY^A8TJbu6o_XM*vputA2a8COlNzshRQE}hW^5L
z9x;tPlQ=gUEa;di9DUBElXc^yo<$v&jZ85CtinQ;25gcX^E<_h_ORb?SSg?z^N#5~
zlb}YR6a(kg2{Gz1uQcCxyipL25s~p-bm7I!Sh4#Y++2+ONnMh>8{_yl_Pv>9q;gZ_
z=UFB`1xtw-(Ik^MNj&cHjB?%)NwP^Z8IoSclqUU%iFZg^6_c9uiD99DaMD$VazU3Q
zIgZx%EQ=>5$i_2XUcwNW!=o~j#qNyf10$EHoh^rQ_+nTZz7>Xb8Y^)L>WRoI>`3yA
zc_!(s$f=%GEm2#@UCP+OXtd+dgp8yMnol|=bg*AAJj&(6VZ?AnBS~on!>!K?<Rt}T
zI`ln~89FULF}&yNOk|2>``@u9hLt0kVJ)+0(n3dpwdyf!0^uC2Nh=n_Jf0I{+_}Ch
zh~ovba_oPmKn6RbnD`i_-IwNyG5EBFb~vz3@Km10bYT*!!&yd~PA*2qB&V3Bs~mU4
zVqU8(Q%Y1@#@OJIl$B(d)bTy0j$w+HrwT{W3=Q@er;`%qF)@x6LI)NxY)f+BPg02e
z@9X%bX`$PWr1uNHPFNK4>#~52%7&MU6_a8ZdYRZ8zA?sdCw(gv2oRhwbr#ROB)M}+
zd^vBGG8xzn7`U4|x78*Y1fD2nkm%hac;X~Sl1op_g77W|eF4501<!Lnf{gq-8SLK)
zGB_`ic)=;C@rTcVL45H94-v1G$t>@muG-pVs*wD@koj}t$`eV^F<n&}Dje%LIvo{6
zlNp+s|FU>9lrZc`n(#hpaZ=*TiQ*F*XHJw>lwz<_5smqfE7H;St06hX<c431s$xtA
zQ?vREWe#Td$wz9Keikv!nq0&-`N{DZ#mYsDNdmSUu?#&-J9%T86d2bf|M%n+?byvE
z{FX_wV<FQqHhyjq8@|+7o}Vg7oM{{MgnAi&nv^F?vi$D+)wwg+@Q=7mg^T60G`^ei
zF%!CC8Tu-|a$RqT{qGga@Z-2g4A0euKnH;s$ruTi%!}zf=exP~%@*7zGh>Y;C*w{<
zsieh*$};~a&I!q|tC`eL$rV|;d(O;yOB)p>7KXW;n_>l0t7DYrDX}qjds{L{b`?%!
zP*i3SpSg7M?3)~mQ@GYnsq%<X_`$VTWdXA$%gi>-j;c(V$#O|^W!cVWUF9j}h?ytv
z6{9N2aB|{oPp<5Z+j-N4Sh_7|WSh$BCt1y5>s^&2_EDA7mtk_N$h#O7p@%)Q|B5_U
z@qELuQ&r?4kG1p6-?@C&vUyi|tuN;DSaWl~PnspmcecPYnoGnr=7jE~Zcl|}8+192
zA6qkd(G|~+o{j>OJkPlDoaR?xOsXy@7wYEt{vj}#hhgc$+ConkDaWFZ%}TB@#|30h
z24?RpU+}$yX={6>ri%r`77aNUHb(B)vt^SUl!YFwyrS%JF}AOBhMBsZtcuVZhKx?3
zHyY9TnpP=MTURbt(XwJ_OJAX~YPE^XgF;U}mjjB%3lx&-lIF>9SxLy;@+t~bKVl^k
zV-gXgR4edjt^`A;Nw^e`xP-tJIi8SWw(g51DVH^mwDju#imB1M<}pX>jy#X_it{Ta
zcF6E7E9JB9D&w`@wW6HwxKc$i|4E}KSIVY^JFv(66WAf7pvAy?S0E-VW{uE-UvrYe
zRvc<v>i9ZF_}2vHq^$xQ9`h+M$5eV9^<a*f$;^J^nUlktq`BJrnnIYFlYT~fD<s{H
zNfb@8Wr}2-I>kk)PQlQRBj!3oF<(r}=a|k9b7DLXJc+bjabLxw#iGi2>+}DLGgK<o
zcy7#h6+bR+vdFzk?~>=89pcB;1365^j#r1Wb(hrC%%1c!;Nm*NM=pUkGC5i&%@j)N
z6j<8f$}#mD$4rk}j=9cjlw9t_2;5cPG1uBc=%drI+cT6LJu0unIB`WfSr{c<QgRnk
zoWmKtNK@5X=TlRyUYbzSL;lD`Vmy(H>+E#qY&`kl0!Nady;MwxVbTr(<8M1|B+hH-
z?5GRkp2M(Kfjve|P*^b_&M8T-QsH}&LCpP%2~6)9PIAP&PtsTt^WP<*sb23NN6b@+
zjUJ(z%OrOC?bHmqHOZ>y!L<)57EUY9E@4j+3Uyu5(eTs0Rq&RIvwvWj(2k`o0na9T
z#Qs-jm31i;ih9j%7;D0?okby*<-frP$Hg(>o(v+E=}~K&7^bX^@eBAgK_)3`E5j0r
zgFBuSa_(S0kn7UNpzZo&nNaEr$Ma_dBNRR^{+?u1lgxGC(u9Anl7A>TyjNj(wnCC2
zmZ2f`-?LZ-j%6`c>=R-xNHSRU8Z)u5oSq-Um!wq2a{Ug&tV2H-=0(O#2=ZV%(J<49
zDQ`+YL(JKjg~<%lnh%=Cyv<PK*}00@vv7rnGIPDkp9~w8I7f~I-k9$aI|P`L{+7rc
za^9fVXf<DmNtZV$S%u*=uhj(Gi5t|dnI-v(8r~+w#WFN}6XZ>ZRrz-~i$n5{W91Ui
zl7mMUGBF1i3R?PZWs)s(O3u`ev1M{ov10Mjc<LFokJGQnQiikfRF5Z%Y``Z5U8Q6$
zd1hf|==?u;Opk%Jf#E;o{C_ZisbkXa7^OCjx@=y<Nl6Tw86rR5Q23rCkuZgm?aB;h
zha@FO7JD5Q_Jp1!^(66*uN|x*+oV|TSv+mb?x>D&VbNne!TLC21wY5}1}Da(L%J55
zSd&j&_GH=5aJoP;iR(bFTVn}p{t>2%aKV*%7CSy_N(k0;8#T?keX_NMLn4?lX{L40
z$wLl0JPnuXCPsJ&Xp~<N7UWRokZhiPBG%(mk{&}#!htz4C%GqxPKcQ}|LP%a<z~(!
zmI6IHo~R$Hmz<vT#DM=?!#9RAF}1P(lY3&aI*d65R0NiDBo_R8o0QdQp(N4yvfzyZ
zV@z$#hD^5uNw<<plUAH+mS{**N}BmlRp3b*U)Nj?iJu&dQlH-?O}ZgrCAsy4s&djq
zf1%l&-4cI=GC34d5|UcjJ_>bA?Z{FH{m%K{MWUkGVgDqquRHFnRA=!l`<|rJDZyO$
zlyQQ$2de_NXHV1O^tUmKGhc{=JZn8Eyi20xOx{_61tJ}anT!IO6S9&5nS|I|Bv!d4
zu~ajFcc>;YRz75mDNg<;^iF2I#921=3+h5$jvOq8F>(xj9cz;~r*XbG?x8jzgJssF
z9WfP!jQTBHCU29NW)&pGT#My7yW@77B-^hEg`OPsjiDSFPm(fpFSMRu<cqmr;+Vvj
zlqtz}vg=)p+v2$<T(_pQ^WA!oE;F$$snZ}uqk?fkm$1gH7?-&*%nQXC7B^W>V@z74
z)3&ICy_;2|g)yeale0zP=*AW2HlI8hGl6kcyyg@YNhf7{Pvxqt?M$C1G5nV}!6Z5%
z=A4E+vn0>$ke8hj3@cscDk-!u2`6P|gnKZtP0oC%(#gG#vE5TbLz$se+=4x+V|n2M
zKgN#bEOJ`M&63s{GHm>HqTKBQ+l6?R6-BwpNyd^4$0xWj)W?`5txjf`?5M%blvKqi
z+!50nQSp>v^_)iMfEQ1GzP<2h&JEWK<{gGJoY<MPRaWYVzH0iTFiR_G{fc`YRZNc;
z7Pw7(sgZafnPFQ}`^}veCuGhso=}{ytb>E?>jL`@0|`|o$(b$%GtO{Gv0U3xxHXnR
zs7c|Oq)b;wEW;!Q!M7IT9!wG~j0;>M6oPhL_$BZ(DR+X|YR3zAKE)U)Tt9L-=aA}w
zpA);}%opefi`h&R=jai&nb$35V>IWlmZ*)Egs4qa4|_M~mM9S$hE^ei$qd~poLuK-
zwlF4X@yhSF>Xq4F#3v+hxydDiiCdp3*X66shB+dygxJ<H=`VB@dDF*ZT^iEQW9>eH
z$68m1aZ;5wBh!29i!8R+8DoA3B`v67kQDHIn9HocBUXi(Gmyo0;`aZqH6<lgj;L8^
zT#%YLQ8tdt-{*>hx8OWQ2O~+9)s>St@-61RJje5r*VU4-{6Vs3;AIH`iy038d6F3#
z1PT>E^E!@CBm>?anA^lI6}3Fca6y}bR?ON!!-+hLg%=wtyz^jYkY?1CIk%vi-Fel9
z*G#cI3s-K7X~|9s_#3l8<iE>F?n!)y{Wd%i{jZWFdCW;uC25wxciC-|R2jHu*66y-
zo6L9GXlDH+k8pW*md%SKb)6PXVV|hV6<N5vXf6}y!^|GWld24}RixP~y=~>$r+RX)
zWVmQ@eD)q4jU-w2tt_kMnHNptwV%deUK#v_$2xdAkG1HGtvuFTwjR|S$2n|`xI8y2
z30ZFEeyr>%@_mnsN8lu*5(n$$b}A0SmQ9^HmJ2OrnuguGXmNsT^W7a5AC3re9oCbX
z_+>9oG}m(#(YY(ls%DAK^%R)y$;}gQKRc8sUg<+Xu~d-K$sIqsH^?+vK8yYDoxDNf
z$l(gk1xqJLZV}p<vtkZUp(?{{!L>?Sk|&FV_V|iyI?k}mB}VH;2RGk@WQHE0hdRd<
zV*h(sTz70Jh-KKrSuB)L<ou1({l#L&fY(M0QXd{oVo=yJ>tnvhT;)~{(On|9O<7*Y
z@N_3_nA^k2@>Vi0nL%iGM3d!=h0dFvGiDf1nz=zLbB~bBOVxQ(#r}V>QJL{sVTPc0
z`w6L2(VhX*7K$rgQ<^!6HSwQfW^7VD$F)Z9#SKYkVi}q}7#}EmtWZcg9OK5U;{0QV
zjm1xg_enxY9}RvA{rD>o%&ZclbFxYxR_JX4ca(P0iStR86{=q@J^Xj>!TT5UMHweu
zXyXv|=;9W=&?MHt#=@|(BbPB|QRjt}h5Pupj-@Eo{wm?w%_!*EknO!dGQQ{K#voJE
zN9r$3GZyHTPl}0J&n4$6(js6T`(MCXMaH1CV-ky`1Cz>)w=zF>$4t^-5nPeZXrmCz
z(8j^pS-8E?n2|%&;~l5DRJjUIfkwea%Nr*rIjaA-!+0@i8Jj%oMRpYqcO_9nhK)=U
z{FQ?H7+2H@O&022xrNCfW?ziQfqNF)Vtz(&T!^ug=spyq6nbLQp^PJJK0BL}<O|Q3
z?R>FXWnpN8O}uC52S)GC<CA*!2U#f1PEk-jwL*o1H?YH#BcAD-l5N}11@#OPIjt2Z
zk{N_OTPoCV2H#{@+fb=eV5^YZ{IG#JrlqPki9=^X%zTCB_A33NeH;r;xY;#EnkBW=
zOuTrnL1BI12^GnGuS`25%3peO?D#p+pt4}ooW{hH$rA(KDX8;&=y}x<%dnrzndw8g
z18?kqH%5Jd%Q1gA!(-ag4~WiS;$&coxs}W?!SO@DvKV2mA_m`>=`n|6q*!9KIU3Sq
zmP>N9b!e)@2>#%Ec(#dS#y_SQgBLS8RCyxye~8%FQlps6s1u&}V9vj5P4&%*F+2@I
zjC?VkNlh0e90FrbJ8BdpiS1zSo6XBuk=tRIa9G^I;m4(h_7jsjmjBq^!Lw!WhqjF^
zEvbLMo@#1Ti&)k4|Hf*sqzfm`M>sYlvo!KB#XM4Unjw<3(u3n)lC<R2m=6<~&N5aU
zDJXG~5cry~S4lXFnMt^5qJ&CL(r*zJm98oYvq_U;)<~MPlq_`-n!?NQJW0^!e1mgi
zVAAQ!J6&$<=Km4E!a3uLS<IyL18W*Tr?C2VGV8SGPGu_X_#-e+K!SsX@$jFRE6*25
zw&qOajBVW7|F_}T`Gz~~G2#|MCzv;BH7@M9`TjwpwPAyrT$7U{!{JLYri`yAF)x*|
z;%v2P$@UMEkT`cRpfOvyQN&K9@pC7Osi63BH?L1^nKls<EvEca+-DGH)0oNBnD!<{
zBZ=c}j10qN#+Vb5Ngo*FTe5;PS*D+EwVu|RlGVBJk?AxEE#VWQJeIA=pS^f2O^#e#
z&9DFQWlPc$KK<UaTYgq|tcmmE>qzKN+~H;1sCtXNbf#cMdt#L*L#skA=Ulg~1&qN{
z*0I$$Cmx^GdxGVCqd;X#V%=Ld%eKUo>u&96sc1<QnvnGWTt&m{u1hDX)*e)r=)Ea-
zW=5yyg|e=DjKU47F&kqUw)AQUGFrPC#WdX6GlOwmOk6C(tVR|^9~PdT9SavYwuUxT
zsvIa|Q8@7_#+rq*!?1Eem(QN%Dlt2Rm{fC^V;f86H1#Giuybr==1zLr`C;+|pO}_%
z{hp*5`|e$laEM8aImDvxHsRrfO+EP&Oq<>q9;p)e9;3}7&!m3)Z^LFcrUND1%sfop
z&tr_{vnlt!{&X>>wd?DNm|vZII|F~Tc3VA&WfYA0zjSl*zecamt=&&MzE56QSfj7g
z7__gYhcA}l)Mhrb*4}BXq2D@LCa@Lmp7E)sWvf|h-|Pu|HLGMkR<K8Idfs?Gr(xbE
zhMBD)M>uxZcuo?YSQ#`i_Up@IvzS`@${q<;GF?=hGvmmN^C>L~?S0-%J12>5WKrpi
zI^H@Vd=vZr&b2pMCtPCLf9&J)_6g-?6YNg1Ol9<L?Vrx;Z}zclU+aWRto_?sCv0Ks
zZ=3MGDVAZ=%v(KempT`oZ=EomX|>Ai=R7I>oh_}C)~E3QZ=9nj%=bTsKWXATwZE6|
zw@*6BZ{N87QR_r+UVG`E>*8A{WwQ21w@!-UInViKU+bh(6Z+Id^7|XFwocU5<k1y<
z305&XOPWtRPv)%9^F75ale~Ggf45G&#IL=tb<!nX?JM$Z{VEEwyEWT0cl<C>O+BWv
zX2B1}_DRzODm&aRs-8|!<YWC7{;751+;4Y+)%I^|pK_~p+4k1S@4s(|(-eJ`rOtXa
zx^>EO)~UIzllOCJ_Dnn0xa4g6l(1}G<t$y^?e|+JXYwg$>GS@aWFVd`kl8Zj?PcD>
z`&uW(i-ez&Jpoe4+JC=w@_Ih~+?FX{Z}F<OPX6Z2r79X6Xf1p2cFPp4x4fHMC;v7T
z3$`~^^>xry*?(!OWO(b!2|4eQ+NV5U(YLv6%2CsY<`Oslv&7tIIG+4J?q{g|j;S1M
z?A$RCmLa>h9xHlt<M{&~ix|;MzbD>o<_@^~aazOsm}@cGE;lO9Z?LIpE!y9<_4u-b
zl{1TL+Do?bE>d$f-qOFJUvJ%}){?n$-@dh!T+J4>$ns>JdcU>UlS^G!>SudNR<7u=
z){@^_^`u%#-bc%(1qbr#-fk^E*W<lNSIVX>|729(ra#Fod8>~|e2Zys7n96Oy7Ki_
zDDS1VcCoONH$9SjHXV`tXwp4tCYNVTON*_<@%?iDUY-dEXDhU8Z8<(w{r3)q^w!MR
zDQr(W7EbgqYVXWnzB1~GTyC4)@7B&cQ!@6o+D_(L{3E}nrNuPnm`M}E&Irqe^V|3v
z`2O#FQ@C@vM2yVFc}HT-C`_{MxZwCEX8VUf6NHoA<m6A(+T3QN`*WA)!y~NAU)t?!
zvG(GVKeo~C)W4Gf5iJ+DbII!*+<WSaMVr+2*66b>QstUr^6@PZYzGP$WBT+b#2YpB
zZ9Kr-$t1|X!MFJEG8ZW>@pD?+&P|Bju<yW*B(np#H`}C^#f!P;G&|=AG^pMXPC8(o
zv-935okuOI<qwnWR>-mR&iWlAt3E-rdr8}Y6B(X2tS5JEdMGB`_$D^zKg)ql7L3X(
zL(`wUybw2M!aZi0oW?1inDyJt4<<9LKFO}usX2RsGPBH_87+(vEQU|&W6A=Otdh)o
zJ$Sb4(D~K=`Q($B1wj)#l@lZxmc=q0i2d(p`-<)7x1ye!-69iqC%JX?7<>TjM4z#y
zBc{J*eb+LEB{9WG{4t+&*i0MDJK4COoe=l$?=WDCS+IaPC*%YV&xw<+tlSL^Q8G!B
zPP|b+*&-!*rNt!t*oFlsJP#Ll_*yLeCwF4OX9>on{+FRe9SmZJoD7ox`!`0p?WnMr
zZPp;fmUP2B=9&5r1rF)s2ZAN%rOY^+tC^%WV-sJ7_RO_2-<j7og!IJDR5+M;zM-s*
zF=pmo!-dvjC#OsNk7f9|MUJmC{aDO_R}B%*PL^zlWoR-z81p}7#niSKE~zy(-P;c-
zoQe^g;CWCc>B5PQ=TjWjlI<iVD<(WMm1O+?OF{1Bf@hEV7{7P@e$nB_$i4GqoMIBk
zqC}1k#-t6JHYW__=4OgLK9CgMW{~<Oxuz*puHk6<qS;^GP7}x~2<cg1QxJ8dqibW%
z!Ea_4n2nm5wB8ihH83O!Tw~b1WcQrTH%+EzC!`7f<4}Lk-n2t1Nz^9h<+j7;8lSY7
zhY5)=^D*~q<Yew?Ha)pu!{YS?izijvZRAwmuzE^fdM8hd$!dw1kD7CNA2<H?<#_z0
zufn~>Y;!}lU=oAi-kTMXEo*fSyx{r2AnL#T0mJ{Pwh}Ft+P-XOyEre_^tEItF>>$a
zym<J*Mu9e;X)U(vyJA)?PiYXmP^-dqO({mQL2=g^PX=~{7Or=Gx0)Elb9fq8OcG+4
z`@7YCt?_~SV_$!?6n!trl4+FO8P?q+)Mo$o8hiK6Hao50?>{D42`PxbY@cXr)MEek
zGW+_UEp}Qjg>Ld%FUwbHz07y~cB}0!72)+7my<WX>Yl2szVNlD($qs5wz7R^b&jp#
zvT3(3X8ED|Wb2NZH?%&t*vWVvUhS&BKvmg7)w6_I=(R!Mv{rlGp9%lnSD5_MxXmN|
zBTeE+%=0bx{+!t7RLEyLuf_I%y<?zdEW?gB7mxkSZL=+we0{}kP6r2P;+t&2y)ifZ
zW4_Lq+>-duC?-N&A(r9KjLVa@eYXGTdPB75$A+IK4spp0(?5o9i2a||Xj(D*lm+97
z3CbsR1I|gZ8lUKJ%=F!r6!NK6Z01)@Mt-xD$`4Wt*VH^nnDlA&x!8p2p0lAX)~vDr
zYw}`Sti+b2M=mgKb~=)Mjj7S@Sj+)#wNGEqu^!NG@=We<eHeCna`Crw=XScb*oF(l
zGF;$HP2Tsv#hUp+?7zbwA4!KSuspCo$^Svu@gJXpIvGXnJiZIK$NX5GG^ZryBvYpL
zoC}Lit~uY(#x^hZ|NMn=9jyKjVk$yn8hV~YZTQh5^P`h-_KX`p_kV7PoS6K2@7u$3
zKIVRGG=9Y>@h9oQap@hxjEb3^Nm~NaPXr|$56GR^`MkmH<AJ1#7M)Fvc7o9lPMot0
z=sUTc&*0+B<p1Ytcg>!WtIV7z`QYV6K{=)cx$P&e#oTKEO<aFhe9vg&m-I0{K(+0a
zeQAbx$H5ok4-4n1uvu@k)nYE?J>6z?U$>&}`48?E%bf>mk`^T0e9779$C%{ZW)=Fr
zlW+3FJIz+DF>O{)b9&k$Tdejync=$NO7S<pX)P97>5C+KJCh|_e`)%+T12+}I`zpg
zqW#yoR*Ry@wqKLj*3O9Dz?jtXE2qWMvX<9cWqRl0h`7iDKPLIxOq?J#;jVYDrzcx)
z#Dr}<H*8xij>k-~+N7Oj!7|%$T2i}(R(EG0r~8MP**$?8Jh7Er@$Hu9CUhz9boET=
zKjOOMpxKJYGBKSEoD4sA1Wh(di2d*RW6I<eywi;H&$nBj6kNG;oB9FO!=Lz0uBdT{
ziRnJfZqwVwWVY&lC1-OJW6i~*QzW+jZgp5{vta6^L(Gv2TI^MAVvb*Yb!^4P6+fT1
z+n!u;KfTq)bF=@cJ>spFMUmZ`YIbkfG_!WX^bbiJrgJjXM#q@-?%CAckhajpaHFOT
ze@Cba$AOs{z7LZBrzY9_{MeGDbRnrj^6Y}}1I#fZi=r%kyU+h=dZWhuMq!O$N30Ih
zjJ7#TS4&Kq+&-^m-P)_XmsEN=FYO3!<h|q@%dm_0(uNrB#yJ~f_Ef!XH?+3pxz#_B
z`&Q?J)R}MDg<>aOY=|v*)v(QXfzW{^Nk?Kl7o1YK5VI>lu!5cW0kh=C6W`<(`2B1%
z?)k>tYPgnXODm&(gPvK_49{CHcdV`Evtit8aA?Q=qcI2glJ-tYO#0Cwm{}UT>Hmcj
z4JPU=ZjLJ~WB&(#eEx{pooj`Z1^brcA5R{b)?nghyJ2m?g&579h89UYT@#*v-0;(8
zPovF;*Ymh6JInpslp2#6bz^_boBViYR`HVtu6AX~xR|Cx2dW)nR&<;_$jG@bW!r`|
z?pzFZFPIBr8CJ)3+(=<5+`Y3#Q1WZcrcX?=jS`1M8n!4gXRH6XP}(UL^JD%*Ci~ND
zg4LBO9OkTo+ztD-&z_~yTG#vJ1b5Df#zTzdNh?=YC<z!z?{wf0h`AHP!lloc6LV@o
z%o~mdmWrn0BLYF{C+4X!NFSe|&apyPnNfApXQo0az7r<xED{O|j7j-St&=7&c8lEF
z>G`?U$2{?*z=Fd43t1kuXdV9{bI>vA_NIPy&h=)DGrsjVo|q}j&ll6(c>1Jh&CG<H
ziE|SI7n{kS`xn)!?w8vT-0#q$=*v`Yc4Cv+*-e`!sW7x^Hn*tFy^+*lH|NK#1~pOX
z26q1b1&`0Lv;W)M{iC_feY)<7D5VR<;TOv1KV<v4XYx;-R@JH7TAYF;8<*!dWtO$8
zW!-OMFKbgfcQ~l?mL&5E=i@79E6dL9Um48Gt#f3d|8l;$s<(1}zNu<c-?_KV-iPDU
zj$1tW?IK|(`Lh2!_KlQC-q&LLwoNOi%_`NpO)Ixmz}mj8ZsYTI&7y5>0+DPjnwIe`
ze5!1pty{I;wsD=FzP_@R-A|{z$nO6^qp%j^eRF4o9NF{n;q;rWMn6-z3Xa^Jv-4oP
z(ex<R?$6s=%XInmwYJMkC7)5b`Q~GbQSf@!>2F(&{@mhIZa4B?%d5O{AFuMu{d~$#
zjCS`j*nDI!Yc<}*;&>(b-;2aGQ7lOp-vx{0<{WB%U)O4+<;&-Jgw^rv(XPoSHm+M0
z@cg#f=2qiPqPgE%jXrxTWF6dd>`L^*_T(1h<#DpC!KZkYC!gkjxNyeie&*$T$}7+C
zrmk%<4!I>evDN6jK9}-S9@UGJ_p}(-WUHEHT@nr6b(u$hZM*T6S*i!GiQd1}YIHtR
zJlML$`0Fg)$Xl}Ivu=w7=VjfI-8t#5VtLaAnQ}e*R-^qQ!BbQZKa^VdK-E|6A@k&)
zaTn$|f0}&6Bl1szo7ha1lQI7i_~yE#wVJ4M8Z3-_9P`K3<l`f?qj3$!Iv;)u)vdGS
zd#uVA7`bis4!aM}-EB$(pRhT0sf9h|2|l;v;`9%@+-(jAK4a?-e$LYWw$)g*|A)S*
zo{;3Bm!8ZMxt>=}pY%|S%TnT1&O+u*jQlMo$sck9UE;62;?oX!%`!Xq4V&XBy{2}P
zQ<=QlS?}2TSH0)a*8ITU?`j|Okym$<l=!+el`SSK6Jr@R?r8hCcWb9$z$ZInoecp|
zBDsbQWvwP&;<<|$9lx+SPSKm!ZZdr`zayis#y2+K&S`5H`@f6j8ZF%GCHj}qF|5^O
zl1MJE^QT5F_n)GL`9H%{6bhTHcw%lZa*0V^<lbU>{6mk$iN`YklfHcZC&;Ps%dJvS
zEavBnlk<`c7qpssdGfn5I@YzCOp;Qbx9m@&?#&j{pFJ<{HHb`>QMQzS(`veeHB$Ct
z@Bg>Di!YUZY&8{*RbklLcvhzL@W<s0O{V=D=6r7DOkG&^xO{`|#}?D#Ph1X7rp%@>
zVfL-2lS(%>lnXwwSs7j9^T0qRZo>^0?FRFv(hKX7!kOiI_S$sBJh&NiWI=>tR7YBK
zOJ|bihBqH4%BeG%9^_mf``>lm?hXS-i3NMkwlGbaanzkfGl}c=@gstpSLAfEWHTPv
z>psu4?ZR%x6_F>DRH`oSoxrH&+Tt+(_TRT9cY`eE+QikhI>#-&zsaV%@sP8yoPgVp
zx2*2fEiNl{|Gt^|_w5$e$*nGPrw6LJNI%h>+~Ts-pLJ$ro6F0Tjl5r_7fJJKoBw~K
zZlRybI<d`Vc`%>0+TXXiqRfBZyxP;?aw1ZoPjm9$w?CK8==1serrjkbX!l|f(>-@5
zbj<ksHlB6z>_2a+EEC1gu88y$ZFl_{Bp3NaSMKGzkDJ<EFRzys{L}7IqbdK^y2bUY
zCa<b?yKDR@y|pc_=dP#ye{;hmiRtC`4@@zQF3N%1+hYH`{qw<0_;k-7{YJ;W7aWW?
zR{i+%!&Bi;jC96H=ASQxV_q7T9Qyt5;at^%Z|#P~D{W4k`TKA^>%_e+Mqe~#uYCUd
zFq>E1y4`5HTg;~xqoS$0Oh$hn?&sAt`+sk13-i+0f7d>B9hz02{`ZRCjmmlL3~J%Q
zh1N5s+BMD6ZgZLW_o$y$!Mrxsdu@W}=e4k!{XM15_Vj!UgKsq3=gJm_TP>V^`jL9t
zEiTg={`E{vx*2+Y<=h_=%X1D2oNJJtXsM7S)1fr`cKSn!qch&7Es#FC<M^?phf@xj
zeBiHaVgJ^~xVeRWxo6zT(-9ZLW<KrNdG=@5+1{4f&27h9S%YT(+o{{an*V33UjL<}
z-u7h1-bKDEj^}(kpgDm#hRbWuf$RP12O3%bC%63fW@L+b-dX3Lu+W8>g*}Lc{|Gk=
zyGR$;{6^8E=N%ru;G8(IV##}(lMa3V!=irOKYJoty<6%g3+smia~=f$T-N&V;irD~
z4jD$qnCO^eJsr1y91&c^X<?h+A;-Yb7}FKY(3;;^Jtt;@@`O7N_nn9m?wIG75X-P3
zX330736>w}$v<Xve3zKjk=mdiqmpzl#*^XEjXe#QJNy_f9L>my;Avp`;r1f+$MFZV
zB=isToj#H-lEk-<Z)V1vf5kZ$R@$9?ZI^WNwb_J|#&#Wz0UXKy0|e*9GK6hw5Q$ja
za70;6X!Sq77PE7I5~j2WO;7Ke*(;I!V~!F(<M$+n?*|!9B>hkRG3&$oh5nr!Sqmpz
zn>@p&q0pa^_1WK9wtruQvi&fKWpJOV$jC9(?&YTR6U7UT8UJ|sX;I^!7jGW?GyJ>f
z>7SRDkxce2VwKJRmn1W^h>D-{nVEW#@A%>wF*E<o`u6YbpC3uxC)d_o^a*P*`r&<Y
z<B8n@ihpt|E=iyHzk1%E_mc&}e=I(j{J+Kjd`cJh%U=b58kjnmdH4P*Oypcp)GxT8
z$o`*H!@Zn8e3LUiSshH@z!-DVR$`{Y$y2)}B4)Z*Gz!%;9oi&t{O^xBEe7J2Tm_f5
zS_*vmQ}ib3Sfe2aXIE1DPsX<mAt_wb|CQZH?wFj+_=Pz|Li=Ckr;d=ce`mM-`8Vfx
z$A+ZYfBs*|ozWaIw@uK}|IfejB(8aL+SH%={Ap-Ss{NF8zLhU{_MiGmiig`RrhoeT
z_lxE7u9TQ|f%h{{9c>U*HcArzR~wUl_u>kh^Ic3gK0j93xl`okMuDf7{(s+l`%=aK
zm1lhZr2YJGO+{*^*!A20J}u{&ZL~1=lTv$YRM5UZAA@*jSFv<Q|NGRMRMQ$Y_w&nr
zC(Oj#qR!p?^U;gb)@_Bm==CZd>*zn9mhxG5mB%gk(`LAm$9hqPZuj>IpKkv9q_roh
zvQzP6%)gH(1J=B5mDrpW|L?<m@#CBReX7+GIj-Gll_hpO{?A8E-fml#?wXU_-3DK0
zb@xxM{ybslN5&45M=zZ}Z@m71G3LdM8!>-Ac`+>g)_KIm`RZ4r_hJ*dXHN)i(y-n1
z(TefUr&vwV==lGiQeRzoJa-5GLzxD?UiLp9-`_f*@bjU_i8&npe?NtvcGTMW<>VW|
z9eOkVWYsZG__86!Uweb*nZti`zRmcOk{Y!mE$2tClf(LtF-ZlV1bA=0c=+%6%Wa=$
ztoymMgXdRK(Zqzd<LMKA=YG7=d4N4;!;d%5W(z5Q&)_>{$)bGJ$FgV2;UDqIe_ti<
zntX)u^SxiM4@q^lcPG8DxP9QpHKhipAMZP^Hh+&<XJUIoc82fYm%(N`1p600`t#zc
z*^gMc30(~vlKf+gk`8~6I6w0m>iqu#l==T|#@j3m-O2y`+;_aSlMqO7Px_qnz(|;r
zCpPSVgQm>M_7~#+v!u9R{)>I`-z8(g?`)5<3{OkbV>=h@Tr}Zk0DGg2>9L20C6CI?
z_?xvc#$ly?^1%&9GF~XGGT`6Be8A8*>C8=rj!uP%jA;pmGZO#i9Z!;9seGvR!=<!;
zIiG$!jeNLJXNF=*(hvKNinE)1`U|DEuuS~-YW??bi+=un{qJG&zxRJ%MQbSkV2qj3
zbA;jOtsjQUiuJeO%&y^k<+|_BE9-4ZZ(pi%+?CRd{`Y$R#*PZ!&;Q>n@Qe63FGVru
z$A!&5QaG47S0v5;^ZLyPRU>|nc@sC}aQu6{pEGMs!{Z;1T5JBizB})WM9$yW(_4FN
zJu}!CuXDEjTfM^Lr{a!DpXA;e6tM_BI<?AdnYkF_AO7Q~x1Rg+=K6eXgPgx_I}5z#
zPe{GN^#9Gd&cyCNsXOCDoYH3+Z~Xr@blaDeHGkhs7j#mom~Xj!5~HL|#p=Ir-}|$y
z)fL)s|NonneAbWp*PmI_wD4qS?u;cj|5W+$TEG4GMqBwef5XF~*=FZgw@k8mx?tU(
zcWd=$H&iqv%iD4PdV3@Jf5QK_uUsYw&Yd8-?~lv;$$UpAMSAldz4a~O#DwxMC*J&h
zZM{;cT9z@j()7=p*M7X#^Z%z?R=3Db{r7skil_CAhbM*p&v?07?dShD`)dCj-yAbx
z>*EQ_k8jjbU!g3K%&_m{+?!mV|9sH8xnTG2<02yKPDn1euwsf)%$nK<&TW4Sy;i2S
z?qP`D)Aa3xQ{KZvGyb~N{{3zqQ@O!m;w{4uzw2h4;Q3$7mHu)29zmOzFPHr-NYQz?
z<*;HQ(~rr2N+$L2GbVLUXg?A8qkGP>iCz~zepPPdQm=2@2D<Vs#zt_}70#Gb7w#oZ
zSeDXI_1Ajd`wc7S^nUJCv~`=i`RxX&vX+=USKdo~oEc(&_Dt|gX4rM|%7!$Lg&B4m
z?No$!T=H0)zGwc-;-i0x=NS2w@ZNLj+a1%nVV~V5d8MQViP%h$J;@B6XCpe_AN-fJ
zajL=L-|lVy_KB^S#xEH2_=nq``#B$X&HMjl(+tP9x|k-#^E>YI#mH|^iedhcmdwym
zKU?cb0CVBQ>%xKiUuk#7KPs!)|KaGIA6iQy-gL4SEI1wXZ^vVm+d7;}?2>;(m+@~(
zShMGGr^F`q4LwKy$C^J%%xW-*`B^ggpwg|`M{bnf-1PU+R~w0a4KLgl?msW(H}CK1
zdw={}V;KcJ{w(hG*meEJ9fz2I$^U(Cyzcw!UvhxeH2p`wng7X*n;s{#G%z=^#zZlk
zOlj2X_~R>iMQ$f^Boq6roXxB5K07>lvS{tW$!GuZyqys2svzL^b*98Rour*De`F^{
zYKS<OfBk)~k@?#MS*eo}>db-7w%l)j7IgiXKIh2$gWJ#l>)H0TKbmo3-d8Q{JwNwy
zNyU6ES2ccf`;U6wx7*vlmZ{%()0ng4>z>Jc@?W#Ee{#xiW!QL7VaK<Pd-ooB)B5%H
z-WTU|HGcMQT0C>_*)K&~S!2t-t+oDMbpD9azW=G^Uvi_57=HVg`1I6|Kf8`b{E4kP
zDWxZR^P%9*uT$?oo%Qz1q<we46_xG3zVC^oz?D^VPNsdG@_Q?<?w3icuk$H`#OE|`
zID6{k*J*!m@v48DRGQ7_`TgtUY}W1J-zP~=e62a5TO?O|&yBA?r@6}9bUyX{>*P${
z+u`3Qd2=btOg^c2aL<i%p{IEDzfS%;OP2e}SH*0<tB=cKh1UJqvsFA8WS8vBJvXM>
zxrzqgVtxGf>tsLHsk^^ViV_K)6y)Li`C$3yy*GlV7tHw6VQu;5&rZheUnl82*rN7@
zEBxz3u?L%<nmzwI`4X%1yYCZiUMQX7z5R94QdaMs&%ZcNXX=-G`f1ON%gaT}W4=zh
z#rpi~#Hsw+Wgz!ymw%sli%<LOq@}#&v0o>8@@VtuR_>he@WYp>*Le4@`#y2gj+;se
z)6X&gG1@t|`^&`Fzk63Sf1h~!hTtK_i$2e0+-~Jqu}$2LamGIFJ-0opw|_J=d(i(T
zCUn>Bh#L_WzH2{sPIxn8>A8-5qDg$3A~8Ge9Q_dD$R2Zd#%`X&3u=sR#0197*nT*M
z^{=aOlEmhiv<;Te8xGB$zH!1UcJ`Q@gTI^^lMZ#v?w;W;GvV?n=>;p(BP$;)<Nkc|
z+_SHx`|i!S)A!GC`JDGx8hwxNeQ-*;ReS!jz=K}$=eXZpop2_D)l+-V!>wO=)cyY&
z-eR4)_rdwo8#7uys#nej3*6lI@RYuRuI7uwtlzEoKCJxX{jG6c#omXSr~esPw_Z;*
z(cAy%xy?Vr{I~Bm?R^w9?d!AHuO(u?(&N9DJds%RV{7iGW(B1kZ>Mj|{b%@mD(lRD
z2FoX}EM{|k(mCUMnIErq^*_Tf)``>p8TyHIoNWB{rR-|uAH!c$SnutBH1*cq3va#_
z)@f$Qs=HT4w*E1E6)egz?Z2V^QL!NXHrMChrhWQv_(faR?EHU&>FPqC{uo|at{St#
zn)j%ujdm=@m#`xrzHKl#A;5MduwS-z+dspSQ)0pY42#d3-EiyvW02ncqG4sZ{jsnc
zR*g3OD_>qdBNMjwOkMv>#}@1K^Z%8nFFhD+b2)6!*;iY2+rDP}-pZ%`J#+O|*6x2Q
z%Y)TkO#7p{dWx(3zLWb?yf*$-St&9lF?93WL+qY+zNUFDh;#ov^IQBA_WrLiR+bxe
z9xM*?+57U5<X^9o-vV-XxVruk58L_j&R5@)Lb?CEE?<u3RXDf8e)seKKVIqKUxH4u
z-TvcIwELS*?3cdXUv(yLVCrJt_|GGx@*hLlmxi}r+;+KeI<qt+_55qN)WB2x$=Phj
z$LfXVIzJEC)g2JxIrRMFpCo}@(?5vrTRHjbas9|F!{b^HUq9TuX2nX0oe#PHyNUGw
zbM5@J@we-N^D&k$o+iAG`QuW#`J32=Z@n>ls%(=NbRDWnY5lwL&-q0xa<M7@3#=1X
z`kmcan7|lw;DB-0jbokHzm&BmGjv`**qQvfymH6=IUkB&#I^5hu>1HS=mdx8hf2xL
zi0lnMdf%(8XYAo&Y52)>B<85c6`l@F2acHIIWO-mOxO8#UNtk%`^Y)F8w;v0%11CJ
zJ>c!>Ovvn=Ge!Q8#^#SH6Sf6fY!DGm?#$S+%a`Gk&C~zF&%fPz`6OV$=Xr)rE%UzK
zd=jvG!ow#FtUHz+{W#~*SC2ItT`ev#SRX92TOgkP-TQ}hVbk)sohx?y|2}8)p3b0Y
z-<Ch+Qv55ua_ydukaK5t@7eKu;<uis=VwM4|CsoQ;d|%zwweXJMI0QT{O&DqviT*l
zi9_P+y$M_rZY#dqd;b;Q`6cKQ+rw#ph0lHQ39USF?FQrHaKlqcMI4X6`F)J}VDRJO
zL}9KI?ft(eeGfSOK~tGo^3mSQE-~K%pRs*id+JNb)PE{Zld~p1dLpo-wN;Ui;f(+P
z^F=K47wkQ^$aLqwAN!{7e6wO@<hO<Q_D%PDx`DHmaf6^}<qwueD}OMqk>0asddN%m
zwKiYg&y_kmXXWqj3A;=9t?Twq+m#~p_SliauL<Gd$2MG_yGUZipYksWtb8%+kAFxo
zzVIz=XJb3#i3IUVhdmqCro1(3l(tCvoZ$EAW5P_If*9u5kMR{7l1?t#Ji*TLQ+(LF
z+3_03SuFa_cg<kw=nz*}pH!Z7mnlf>)kKf{m31Ft)MCC~wA{GW@=|U?VDk6WuaA^v
znTl>&zWUfJv+?vb`46`^X5R?DZPm{@p}F^cN9ED)sUopD3}<d8+?&YtW>2r|osX;^
zW4}L$yiw7-KFR-tljyNSUs5`xyIzVU`fb?9^Evs;<B!Q7AIj_yO-^Cx<q%xC#r2}T
z+}CGeQWv}(*kk`YCrontoW#lb>}%5I9o^0>9_y|h-1b9h*JQ&Fi9cfeR@6Rb{Pa3m
zdgrv`U!H&0IsfSk+n0oQF-1N{yLZSRyXf-qS(|3er>EyvERkcM|KZ8Yr>6JHIz%cC
z9x&V3;kuYbe+J|ClwYS_EIGX3OY)Vv9UCo}I^u)BrCdK8Qx)?irDWUJr|(j|?DyU}
z{xvmb-?yjrKUeJfFEEMm;P<C%<1SZh`@U)Jie+D(y?qq(xoI-fp@vKDOzc7)r-H5=
z(|@%6*hAA9UF^vWeU+D=?Vm7lf!R+r+ryLX-#or}^Xr0$|NAHYT(fY`%`XS@HnPlI
z@b!@Btn}CXADRnaaFp$RY4f$_?GDr96DN275R}h8I4Nm^O6-N2vwLE@fAE}LkexJ#
zW6j3apI<&{o#cwTRPklkx9ZO7A8B8ACMh>wKK{*O%c)rkZ(^3+`KNzTVcI{2(>vz%
ztqk%%a{S~0$q#$g4{=u?xllG?LeD4bIlZ56%A|cQ(A&#%@9UwrM};Q-oiM-u%c1k%
z?cOZ-b#%?j?cW<t?yQ*q@h5-m|4GFhlaH&O+$+)laeZf|@DWM>PxpN}b{Q;+Ir7Qe
z?908jm_2g;4^A<i{H5@Vt)O6gto5&AyP0;gZ|8rvKe^GrIVPZR>z;k<1w?NwV%!t+
z=+ZCAk1p~bzrL?OaqipCq@I_bXJ(wenAm?}zODAL6FE9NX1IN+5xyaIqC!$2`{~#E
z8>V(Ech9`I;@#(y+ziWpx;@+Z?EF`uij4|BU-IRX{lDEe+j*sbgV)!4?J*ldTL15n
zR$z?zB5<{i^^vyEm#pd^n#D`@=RDqVCG79Yw8S4DJ}!Ke$#Q@5SE+C7mOj07>#N(!
zn&zWndnC=iB}RXBGkd@K-p-FUU*~T9BDM4Ex6ozZq%4=852-(uJn!oVv+n}=-$Kp4
z2;_eavWomU@6#QhuTs(9YKq$5|J&<)?vdM!a|d<46`W*u{gQKi_Z8<eU#;Fx;P<&J
zG3`tGYM(0+$3BXFPyf7>S6Amt`d81TPffo6`>6Wof7;cwr@sE*GM0;m`h2tA{&eNd
zZ|Rpb#d6PoPoFM3d()TnE9#%$M*1W-TI+nzh(D!z@Jo8pRIy;A?>1Y%O5OaHepyrZ
zz4w>&S|jCyZ~P=*?mYcHb2_i)rf=yniAjIfF40YU^v0_Hfw$Tsqpx<U-!dwXin2U?
z6sVpVasJP&YY$SN1azPJd;F`+=5Oigsp@=R?Y&qh&i-nnlBBE>F#qL~FX_wu_0C0p
z%dmPXYw$gNXNb*;Y2PyaWRFySPtUs+^PnR|=WJ)P;a5A!?|bHd^!l2<yyurrUyXQw
z=l-u5>bb1We=^o@>uXEuY~T1bV+pJG%<un7be2p#_U+!EvXr0E=l^C#%`bTHfhp!|
zdPV1doA2r2(F=Be{GR@0Q-j)G<Hx5KC7=J1)6RFnve%)(zGCBxg&#MHIx;5RY50}H
zbh7^dW0H0Z+gHoC3p!buW1fGrnBn*F=Dg=KvlVZ4Fj-&t`Kg0rqsPXHy_b?M{XF{B
z^zPSvUqWx6jY#<(vU|?s8|NB7{`{7_S55u?*Q&CA`Ty2#J)iO?f2o${!FxGw@!vwz
zuUc&S78>fg>4U+Wy1n~9eGjdjd39IKm*B5Ezr=6;Q*fz1Zm%;~^zO5oFCkw&ABXnT
z{rn!f&*y37wy&Z4bRXD?tx({Jd2r`wve^HPQ+_^7o_hY{hZkxYTjpQj$=FqMQ)Pm9
z%&RFsFB-{y|7x@Q?TNSlqVFsfUG%51`q}rO-$idv{rnTX^W5G?ar?dp=|APw{c2OU
z{jcR0%hl7R=K1M;`e!ulzWJT6`X_JJevg^@P5JiMn73aPv`#XtoME=hIO&Dt=9`)p
zH}kAt?b!73$DfAH`)udue$)K@rS#T!&2MFUtn;>i<M<Mjef<l^(=Ww6H$HEW7M*{Q
zXUB8@8JuoATR4U9t#nc0l-A7h<W$zo;nYsj%wzgkv^eR@y|;gvR9Es<a$S57wz11I
z=`PpV?XR|d<yiWrY1#AtmNDN9B$A9KIcm?jR4nw-?B0j7Je@9YA3r?VanWA5$1CQ<
z*(A#-<<AXLFZEw+eZ;6~vi0-YJ=-7t{1X1pc41Z755=6X5$QV;elXq$JjfDr&@1ht
z&O_g?k)J<IJHQy@`r?7q@k%Dn8?B$aO4Rzz^*_$6`_HDOJ?r(g2WmOr5~hEVefuQC
zXNU0Tw@=?5;VXRc*6fye^tXg#FRX8TnlCl)1V0n&Ua`}=-~2fBWx86%W$rggN&3GX
z<qj;3i`}DMp>WXnvF}GE<((P7<iE;s-Q1D3<=4iUFHg9{c546p%dUB|z-(6f=l_nA
zzlX2=_DwnV=7FL;znm*pDy{jt`RBo~&mEi69$5Gu_RD+sHT%`Pu>JC7Z@#4dX?dFa
zEo17NKdZ0sepX_7*vIi$`%i-s)6KmM{Kvn(U_Z%|{?}FAEW7Q;KmM;SHqA<#IzqmM
z@BPNHI_F*8)9pW(fBqs6y6>B@_C~?@q;KJSzniSJoBpNuztDCsnb~>&iqmI0zH7>k
z{$lcyy?@O=;nGhMg%>wVUVg8~__tX9<<CEi4g5EM?aKLTvYmZxU)jkjcZv6At(@JR
zN-dmgpD-x?D-Pqgo?NabzDIZN{V&GfkH<_buDbSMTFzIKztg|6zb<Z^>i3Z&Q8(w%
z7t^UHH1>RKzR~!k=DTSO<5!+-U(Li`vBdma|4XpvGv9Y}{l~BWP8ODxzwrG3zPvBy
zJzu!LnftTwuerS<=;v2+tNK+&&%Sc+nIYj}sKv;f_J!x+&w1;UK3Euc=sf$%_h$u{
zr;}SoyxBL)nVeGJ1$93b7$t1`A}m~Xq3LdQ6U(-**0*2Xp8fLI<boLy%Vl;}Cf)vK
zW5lHVU7|n6YVWTnHv~4EulZnoLo?~XI>Qg5DQBG~-x8R3OK01c`R7(1?W?e>nA0)o
z_|F4U78`Grar_m!el+>3#rLa;F;%lBBs2aO*1iAL;(pzhsi(eMl$}(o{vFl-M|kW1
zlm%%|d;a_p3RS(mYw@!lUfXZqET;;rl9<=J`KQgp7KzC#0{#f^{rAKncfz#e-!01Z
z9Y3Zd&2cFFV!7Je;dj_c+ng^y-(G&Y=YR3mt>31f{__0RX^+P3-%eHjwwmm*x{>AU
zpD)k;?)zeS>&vtI`@XPF3XtFOWA3>o`T4K=j=tII8Nko=;n)|kjs?<;aY-j`90~f?
zu~71P=j_Q*<zFOjewft%V)?|DyWb=~o_g?XrO3ypi_Xm4JiUV{O;G0Io+oTSRu)fY
zs{1FgKaBNv*oU7r-$W$8N!|Y@`s|DNnxFY6BYf9PNV}kY_RI6A%87;#|IJX8wQq91
zw(<Ch#)$eBUhSWs1YI~3xk9$(T=*{d{+a#Ji7xZL20cyg`_Ajl`C;Ez9^<bPPdmcf
z88>qu{io(vJ+tum1U<gaiCoio53>pKJ~<(=@x{>t+vW>c{%N#6%($U>!jg@XPAqTr
z6!1;qW&I+Uefg`_&jS-be;1jW!Kd?KRgys6;a9J0Uf!*1xZBfg{OaY#FTRl*&P^6v
z%(UbN<5!`qpUHQ=2?<FF--!M$yzQ&UrW^bxBwXG<-t(#E?2E@YI?d0wO!;xs&G;*)
z<PNRbpY1oiwasp5Et_e7P%`PtM8Df#%+{qI%5C}YUr=wOx<T#Qgt!~N6AJfCP>9^{
zUb#f#-?wKIR{i+*&Fsgw4Wb=!N|t;|_GjL2O?&s}^1H3i-u~)&XZ&Wv&f0Gpv)^s_
z_C@_`7thV4_ggFPe^Yw)X6xCvZ%?wlfBWdV+PkNf|8IOf^z-S(q$L}S-rm^%RP*Nh
zt5?$AZN2@4BlHxH?#rjo=H$+QXY{nba^lJ3bMmahRcCzo!<@WjMeh5jx4(0IPkq|G
zX-=MB_$jr6-#NdfzLnebg(G&U+QFLd9Pw&zw?=>As0~Vdrh3@sZihk6t?yjl)xLUd
zyZ1FM?f$**oA&)n`upeGwiV&JD*I>eSl1e9Ka<n-?bVtu9NWL1IQgBUZ07&7Z?>*X
z{i=KW?d2&^FM75VZcMh1{=zZeEq2q^mD5;fe*4O?_ix<Ett+E$u{wU?2s<s!e(dtR
znQpN^-o5>P?<?nbwZAK(zjDksWPkHbFJflk(KnaB+&#A7_WR2@haU1X#;l$lyYc+z
zHR?0?YBXZLaOziiZwvXtC03PscK$~$Ce95^G2gg5nIsQQxZQ6wqbDNZ^0bW$n$y0n
zOwaz<kdyj_H+t6X;+4L8vM0U&$av`Gqe;c?4E!voW?VZSlO8$aPM4MIj3;vzy?e7V
zp!n^Zy$5#Mub*3dC+z#eS8KMX-E3U`@#}&hxrTblg`dxauAVt1;_E_BR_EW}7wlX!
zqeN+yl^xTCM2Vj<ENA*RTJm$g`TO=;YpVUX`E&n9&N=pC=IXCG-vyq(-~ai`g3EeG
z_v(LJFqbFmQ{(?fUl%<0V_kdmn}F5yvu)d#Zr%KS!R4Uc6(Skiz6toTPX76Y|JM{5
zrcNGt{VxkbHN`ZezX>eo-Cy&C|E@M)!Rz^@SLQukm-|g%uIP(TTb6|9KfQAE+k#W7
zZx1}x(fqPt`Tr@pOTH|a{w_!@X4`iG{imjB-xfSS{gMCpgBSamlK;m=zPkEY@Z%T$
zv*KqqKmEr4dWu=}mswNa1-Z+DmAn4_GV7^TYH06wf!{&Ay4$|++x;~8wou{t+lPPG
zePPjk_uuk6OZ3}6Z#x=AUr)07@u_X&*Zq^;oxc5*^X=BQ_c7mDp1wP~ZOfi(+uppk
zzM&EG|D#po|CkpqYVEZ@Bu6Cu+Q6`}apv<z`$Ya3KJv3)URx-8P&}!{e)GFm`H_+N
zTldU<F{dH)&kVQpj`cVGUO3gjm~<w__Ck2O(5EVM?GJk&#PB73e6fd7I_XJFg?5a>
zgyQ3$_i(>?-I4Lp<LjPDZy#vSo>~2carc|A{;Ea&<!}GkUHI~NVc}P?-)G-+PmXB%
zw_?Wil!QsEgIjASng_BlpKJK_K~lX_^+fFd{4SlvKKXwbRwzkM$kSfMb!|c0#u+Wj
zOfq&|7oOh#DszZQtRwlWtQw>0kJ$enGuBLI<m`xyubJU^tfT4!TjhiWXJ0d=f0f@I
z`(}6K7hA&}FOJO>_%i+6)Hl0U?|JqA$7ivWj5k{q+D~Nsc=L67$j&#%H+_rU^eOu6
zSE2CRZ*ISOGOP5fl<}P8ZSU`-FsXc)<;tY9rhWUypC9Dr%&FPdY^#6cNZprNPnaU#
z-JQ+x;p_3Q%HP+$Ik|;T^{evw&2Ju*UaEL0%3Aq-_RAC5lMi)Fo%QbY=Wnw={e1V%
zO8x0huE*J5uKa%U{?+7;)UWyT_dl7SK96V3mI<Nn-(Fw!YR!fTo;wdZ-aWSC{hRmY
zto$+S<X^luSrc<``vlw1_Fvr0UcbM#apBaD+xpXH#M}P#wt4?PjkUo+{KmSZiVfO2
zH?`sx8h_k);n9W!+sde~6E+@gsOi3G;Lglkwqa7r!BuZ=etP%uq}Q!RqsNzACpz%F
z`}qFR*~9k7zh=Z)epdOvA^PUWw8M-s8z=G$Zb({}<o{sZv4d|uKHJd$X3NAgUniDZ
zx4pU0w_sU#&ifC^>`5;^C_6dq^UFGLmXkAX%Y?LBGvs9rYLow`9I^C!)e&&?jmfMh
zOn;J`{&z9_yf`gF`Ie0GR*rQyU%tIK`-BXm^qWJr=RST=VcgpHyz~0zFImMmV(#D6
zUGp*P$At5rzh)LceRp}E)%iCc-mX}G{LP2r{ckS+e*gC4*}|ANm*;-Xp1Myg=;dtv
z@Aju>-2Rg7cQoeZ8KnhmH`la&wSRf{{f)@Cd$Tq!=w9@8&y;V4b0;zFe^Xod?%~aj
z*3BI^)wtxIKX|nB-qEhJ&)?kN_95!=41LqeC9CJSpKY+1_4a7$_4l`bcE{{FeLeEc
znYxqA7XqJsaXy`*8t~<-^K!E{f6~7=FF*aQ?sn=I=jYqMaNO8dSiEya+_Z<Ezc9<h
zJo>)qRn5tFM;k9zZJS%XcFD1}n7)nurk^@iiL~vQcjBaY*p3rBjtI&vd~@Q_`=w>?
z?pAIpo-A1L;)LtUq-!&rHm)rDd3CSNo0F<iE6V(5FmGPjaP!Ml&Lol7vra_3JhSHE
z#)vm(CQ05p5NH1QK=?#Q*A*wkRz_cA{J>wca>Bg@UkWEP*L`pJcIjxuK853N&Rsse
zQEiI$&yBl_-#$xS$^YgvXD351`wIQiKV1xurnuXD^qu$aLn_y#_uq8iZxsF6`{{hc
zx)TbA4zKQBH1X)#x6|H!TzY<Ifzl48`8PB_q}xX%|BqQ!^yA-5#q%F5XRVxg|4;j+
zZF~LyZkzgDE_|j&!~bu0-`<Lxp*{I%@s^0K_qKK4n)YTz`J3xgHy-lm+P&`W{I}a$
zRsZu9zdh-9Dow;Pa$`jCn?qmo&YtmpcP9O*&dK)&uHItp-db|&{h6P0=G@vCW?%h9
z@~`^kJ=6C%y*abo&j0DbY41<#Kb5K6xbW=T)0d~d6;`eOE?Iv{?cf*5=yJ<@71<l#
zfBPc&>wNbm%V$FA4?lg8TDx0BH2lH!w-0k3PWAtk^JdT0Nna%Q?w+a^_WtzqPhaDo
zzB~1DikaRQ$-VEU*njvUxqsCZ(HUPQ_gX(SYxpL)HkftowD+esf0O)vY^mY5qKKVx
zXWyO;`u0`l+uKtqFG~DPO(y=7JzOAqLa=AcB1JWhzv_#FyASnmV4QF@=fagu6K;Qz
z+`i*g?VGQXbGN@X)!upd+E>X_Uu8D!RIGoqNBxrO#KzQ+wO=LI-acV)D0aWP_Myt?
z*#BQ8Z>cWOn}1RKlk=Q|W$#YAR;`?{At}6a;}O&Pira6_9AOgDdUN(tH<R5BCRUvV
zDxa8m7yaW;ntviklxN2Ox0`m~`XY4d{a5Kv@6W~Po%Qy9cUFC;jpjjTm3{VGOCrDO
z&Xm|vGWY%t?L57+v%KG(Th20b&B3}KUj$cr@n}aL-1hO^xsXl|Y346NQ}@MQHLKfN
zQg{FEud;7~?}FqalM0!1+srn85xNwscQ?uYi{Ra*YK?2Y3Vlg^x$(xsN4wuNoPKs-
zxv2KTyEYN`O(Wl**f+(j><jPIcc0onJo&=A^uN#BOY`2G_~r98uWTQ;=X2J1?~YF^
z`MvYjb)WaE-oAOAIsM%t_Wm{R-|u;Qw$grERQ21}-`=eM!tJ>$N!|YDU9mS`pLbka
z{odUB&74gw7Sr!}Z%PRK%#k$VfcWWmD?hd5EWF$QcE!`)iM;PuYdSJ1oldg-vAk@e
zc%tCM&$9a`Uiz^?{rIc(3Q5vG4*qzYq`m45`<W#BZ>m3H-YmM3?EZGkrm465KC$h6
ze`C-4mbYtubw<9seX7Aoa%zH{@avn$8?4{GzWJ!Zp=S4*H|JJFrO!Z}|382-{~zzl
zAyV-wX=i?g>D2d2=e!U5B0hJ&&zs`ZFXGEjznN0BNg(#^xfr%x^BI%u7VM~+|1tCZ
z`K52)-HqAU{qlOn2Pwm@@Uk~|C(Y2>)FJw~D|y=8?Ky{hroDOa{mt|_{jDE29(sO@
z#ebICn&=yWH!eIBlvu<1Cx+##^q+a}XL~Vyy|!}U$2YT2FunaQeSY?v+)X#*Bqv(f
zf0v&BZt2p^`&92qPxxkkv;El2fBRIco_KJ+-_Y!?p%}L1`{^Ii&%TMLn&r-3q<w;K
z-rMW9-+nk%-dRyHcaQ4TJ@4QDIeGcYgWa=FZ}`9F?T3)1{I;9ltO==m^M2P;EtzkO
zPj%m{sb7=y=EIezUt&*g|DrebYwYqh?>>ZBvG?1*e?PbB@zIUve*EUz`1V6ks8HlJ
zDSO7Sq&FYdt=;iV;$=ga(T?XnZyJw&-0^z;%;x(xZ&!b2I_Nt4{l}@F4?0OP{fSw(
z;qzgpH?2S4eAKK=+H+^)pLZX1xETN4d*FG4@dC&Bw~du=SKF;$bfx0$>bgFrSB*wH
zB8%TP?J3$=aP#e_nM|(~&Fvc+<KBIG!YTD;b>>4Zp4k6yJ0r?o<VM_iySnIQSKYf$
zW^X#fUVQqq@pba9HlBt}3f(Vib{Ku$;mq%A{pQn2CdD_sAv4~`yz6=M>Qlr=rbf}E
z?%i|#=3F`@_I_3V)`;+*6O4~;`D`7w<LAe1pZ(^(|2V(;_so`u$^YMce7<SQB)>oW
z??3+8_eS#5_RpuEZx;Rh>{R5IFH2wPyjb-~yXD=gCkM{iyjf){^LxtEyHB4Oeth=w
z&HInfPo1dvG4saxw;#Pr<u*UqKZA7x<Foq8jo01zY|r<v)AtK|^XZ=ow<F8!?$;~d
zta@(u_(kKJk4yihcuhSx>-f7>#kud>G-n2BzHk5hDfsc5qt9=@-TJxmeVN&fMR5l*
zCcjkP^KH|%AATp9-o7nUmU$xlt}jXZ;LEyK$5N8C7PfwVTcyKd7RIw%%W%c{zPs1o
zysC9CiMrRIT-;Q&=E4Ebq<6lzUL5aVQ`miG#eLh`Zw|}$*}pld%JnI1!P`^k-t^58
zW4QPB)T!gD9Sj?pU(7lrd7^mM1_j&4YXmk<7WIF>@8_G7E9X8~vun!LBOJ31ZL*p-
zk^Sfv%lmR~_W!<g?ZlMMxAm7!CY!xE>6N=Z_5GhmS~K5xoO;_B^lfXr(TXqA-Zb8O
zyX4ce_g>rHEVX%={-X3v=M<S`Z{JMUe%-M9gYN{D$~O!D9B@8)B4p8%Dz~QPPeOlg
zx$SQ8<PYDbMM-ZI@4cV(Y1-R3vv+|fzZ<=oc<uD$Wn1P~yj<|{-L*;V{x$E~a<}aN
zbMjEqo2Hk=M|aMA>vaFEOwN19tj+Hg-yEI#FIY4*?xMoyH%BkU>K(nH{pRTX=jR@5
zdUN!0tlm|&^KTkMPwBpY-}ou@wZfDb)0c`5uf4U%ymo!vtWR$(rd&Dq|JM{=&G(H@
zy;CA9KQ?`Q+jvE_V3PKmqot?RDyMF>`uX<k&G!y--p!w{`>t^=U+<?kjbAinXM4YE
zO#gQB)5V3e-#0xEQq|Xa_pWg(pYEpjM=z~4+voGf;cohYYss<i9X`D~@_GB)ilwXG
zzBm%`w&L9LIg#&<R7PLj5%&JDp8Dgejbh1PZr|jw)qZzmF6-1!Zx08nZ`w0|ksizH
zO>d6`t>sP7d0#R8>EDy@4xfJdzT@OuyU?(=NB&I}?R#^0{;DY=XBt_quX%Ii%T`s-
zO>Zi$Jbyd)<om<t=4&ga=oL>ic&PvF>BculF2$ZQUHIm(f4QdGvfta*#U{rjE?5(I
zM19}8d_U70H{a*)o8q#+F`)j>lr`b&d6nP3+aJ2r-R;kt{a@$DW`25;e|5QRWzGA%
z=_;&7Zw>Zu@!G!a-O@?VH^14USQ@!<;scf#^=mJt-jsYhXVbd{*VjB>buHpV(fJ4S
z^zUu+y!GDu+Ov0VZ?{%W`?>1R#k`+yubh5UR+hV+^LbB$&Ey?l|7^F}JGHZP)33Z|
zZz_Iof2a0l!9vq(F;^CTUcF6e@0ZF4dnWw+{N{N0{&xmzb#`A(o31av=U!6%28n;K
zPV`JVI8FUy2jlFCCpW)-lz#Ek`6uflR`i@*9kTJs`%`7x-Z)r2p273@zj3ou*zWq`
z8De!)CVW01@R9Ld@A2-}U9;Z{Pdu5j>Sg_&g-->&nPqowWbt^jA%JViMiy?4Ew0yp
zzOmQ3{kAiGi{ScA@6MGyedDrv&D(RO-`>s2v*i7_fXn{kg|M~zERHV_;$AG<agzHb
zN8SRNiesD=9`8;~W)OWNJ(K<I$^Axe<et83`2A;#eCQ|6le=#Je0y^D%>4I7f7kqb
zdga*E?OPSDwZ>F)6uw!<l=NoN`n=;a9?yNF^`}0vYmK|}?M)iIQ|8_l<-2K-^fL6#
zMf>zOT3Sh;G`j0fP5nG|uGEG|jky>2&c4%}@b2-#H;eafJ9Y5c3$2cFjSF|WHf(&i
zB<vHz^i%)454P^v(j_iCd-C2Hdf{(2l&Ui-@7~lM`EJSUCkzKq9{>C{Y%24Dlnnc~
zOZ2%<Tv>N7>BW*12KKiR+Mm8~1Y9cUd!xO1#s2O$k?(6ZM%itMR)4R}EqeQ1^t!j&
ze=bdbyzsH;L{CR03x!u&pWiN-_VDlL8HwFf=9QmX*p(;uE;|3slH3dZ;;S1cZj^nu
z<l6SPy1#$fyb0faVRh)5E#2kY-{}6{|1;*@;@#dJ@82-KubI2G`+8r@>l#z_OItTa
z@1Jz;=eD@sj*WhyC;Au@ub$E`>xkU*s_JCdrc1f@c84?PA5z$=H23sd<KWXw&6jhn
zwmoN$oHjY`?AwZ{sc%x2Phy(clw7{%eZ^NB#!jKQty$IGvwvUs8-8LrPr=OxdfVO?
zoqsj6f5O606{aKGeC=P&O4+rp@@>)POH<@x-aqkv`@U%R6M@nRZSw24_W1N~7OmTo
zr@b*n<Ie%U?zc7O)8BFI$TzQkQxp9@XVd$d^2nLlXWww-ZqZY<-omsg>Gu1Yu#-`b
zE+pCin>1<Tg$c8F>=503KqvB*&YXvB9QtqG)x6zmq&j<rg+T9{_cfvS-fubgGC+UY
zJDuXUx;IzG=zQe7w%zUI8=X(P-&$>Y=U4FZjZpf#`D-Jeo$~#>u^?%a+|ehmQ{T>6
zdwbG@*&kOXu73Dbw!dMM%)vho*F1Zty6M#3AD;rxZxy*;`DlO45w33&^9tWfY<>DT
z{rg+d+piLI&b+Rh`Ag@G&exsC=GeT~iPyW@TK}f{`p&P?dtUBKe^ax5cg)>g^WN*|
zPrtk2ZT0?lQ`8Q=soDB2NHqK2^wyQSUt^=UMJz3T`t0YH@TXekPd@wui>})JRy5vD
zGWw0qtKw&x8}F?Bdr9Z+V~I}@%eM5Ne)?9lE|*XFozBirFH5`5HGZDS)V-B${*s{G
zerZ1*Pk;62q-xTEXQKBQZ$8`nuBLpgs({Tq-ML>+o!k<kRqOV7z1q4@j&^Tq!lv4;
z+VrL-Y-`;1P48;1Yr58Fzpt78zw7kJ^y+KxYOS97ioVr7`CjPp%DUd4?{uGj|5P-u
zGfyQYH*oRltr02jbyuD{(697*V*Qk`V>73{)xC6b!iG21_x~?@EA(&s8;v_^XA835
zSI>WY>*SlN=yJd2$2zkj-)UGq<<)(!zH^F+*391ff3^i(*JSPYey2XQr)}~I(>XzV
zb~gQdQ}tTUdaX(1m(u5}rpPW74FB_{s&x9=`nh}FRMncttp74+&YRMy?}9`N!?yeX
z46<#!_9bJtcNt6Mo6?thPgRe<SNt6$8oKYD!nr>tDR24q^}h3v<9>3J?=9P=cft{G
zUGF{pGxc5U);n*M-(Hp4Z}Y}z`WvRDX8rNszDRG}!2kTA|HJ<;f<)7A-rB%=e&Y?x
zuA)CDlU`*eHQcsf*>dX||3$~oFAJV^HobYFp7zGFaP!Ns2QPG9SRG=~JeBmSDDPOq
z&yQtRZ7-8wzO;2^abM%QmFMYO*-!6sZ@llW)?Rxx^?mpIxm^$E$?mTdd~J7x<@B4k
zJ@c5fYxWoZIrFmTB-7ugn~%SE**lLZu)_GN!}>Mvy(-@Jt}bijt(#Ew`CV^#->&cO
zE6&U2&oJG@@T;5k`G-LB{ZslPPa5?yzPNaO&4m8+jf|#0Ib=S*I(oIDF0AEz*+j>6
zZ=|`Bs#k9|`T2h0?mce;YX7~N`0LI4;Lv~XCu)U1`R(?xT;RyRHxvKueHT?a?VW)6
ziyvj*Gfy`7?!WP>Z?Z(kmi((`FV(+Y54-tBuxOLSp$+`c-k!_Pf7d(pP162zU)t>7
zzw2FF^hPB0cgzzFv3I?1*S<-+J6+?!n`^O~-`j2d_Ad3>^FIZ9ZdOOWN!#tsXFK&x
z>i%7GW=^)a6T!6I;r;#Re~RB!t<+L>=%{`>{o5BC>yx6|4jZ<#)!qJh_vluKs_E|(
zp1+HED>nZ{nrURf@7Ibtom}(YD4u(`IQhL&B%^5F8|mz~>G^M%ZC)uGF?zq2o%UWO
zC8pwyie+Sq**ldK7XG&?KT}>h&kQu(z2W<fFE&rNZE~6?)!y?`=9l^38A)$tKb^9i
z*TZsjhnSvShxz-BPA9oF-elajely|p8}(0&dT-UQ7%|4ZQQ4%R`!XZ_m5j|hjgu^@
zZ!&Jre53y6O~%v}eX)OD_&k22a`U}v(ADbKB6EHn`eu85+gsI|_ixkJMscXcO|{zi
z)iyfx)5dr2L$}S^`R1!_dFUa|^yL5V({FF*db{SW!`HGcPOI0xb@&=~)j-qw4a43;
z_V)F!?9Scz{Y|*)+k-!EUfG=tXq)PsfA8XnGtWQ$dz)LA{odyJ1N$EfZ%jHqHCk`>
z8@11Gt;5f&Jo~xln?UWRw^g4$_C5cY@?b~AqOFSW*IczKv-=hNd$Z!nJv{I2r#*PG
z`M_Q!#fh(X9IxyM+<3!p7K_#mp0~D>71zyp61(S(t!LGYBb;Rq3_s8Lb|S*#wVj;W
z{s}oZwkWUPyPZAcTSNWXxAyV*?-*{b`9J@`*NIHeqZs$Rwf}0?Rq*)H``Py9zxUkh
zWb(WI-eK#TtxQwjJ8V7uwjz4klmF-6^UPVvc6LHk#0p(^2G%#REq}b9J^8wQYNemt
zJJn+^KM1{N-25ha`@N@M_q-K2`OYEvmEzea=Tf%VZp}{rvTV(*KQBd(`R|!_v+0NY
z_qWWu&-&HA=b3(#>*O2m@cD0wPH*J2-}atI|0%EX8}8kwjvSoQ!x6uGS4~4^-5a-4
z{~S}_xG&uu^Hr>FyXwy%-KTYL+|~uF9X__P?v1;5xu$4%*{!#xvu;0&IQ`btZ|8f4
zTkneAzU5x+z3ZUa&db_w+-o&e^`qbMESG)y=Z#y;Np8bCdsE->zMi7m{GR)LP?-Fm
zcW>NkayxS-n{hW@Kl|kG*Q@7dY<>PW_oj&G{E5sBi_AYNosT(@pZuf6{9|P=V?%je
z*<1H0CZj#UZ`}7VN&h(W+Fg)IoiQxtjfW7E<w5J09*>xu{a<>xGWmwR`#F0vlW0WB
zThB-)&AQFP6<KdR1(|HcD!UmEzIN|O_;D=ez&7`ejJm&XCpN}B+WS>!ivO{Nwo{X>
zwtq1Fb0Ye2^OMpF)gGR`_g;Lf3cDu%v5}*)hi9wS%!#&F-rZn5%>Cv8+abZX7qd?@
z=Dqt`J(nfnQ|HGYZ@#X*|L%SlC+7)Hw(SS%s_r$OP!Xsxk-5pK@#gDVt;LN(JUM$h
zwff(A%|CaQ{ps7Ud-c6rm(;!ST2*wl_2)ZTtLaY{ypdV!&v(0W;_5xyG%sng&V7CU
z$DD1Nv2h~VKX;m(edFbQ{gmqAsY!PGue?~hwx2it?TihdpYWz`)12=o8Wy?c-s<bD
zQ_J3d-L02C`OcTJPj9|P)#kpDSsg4|xXJVKn-8b2E{!XD^Tw@odT79=_p-H`p|hgj
z$;_Q&yJ?%)sZ;9H-t%3nTl?|eJKk*-bM{Otc=pCT@BSO6_v>ChuYJd8-8i@UZSdc+
zx1y)s2FE>}YB#;+t#0hHXERTRZ<*1>^iHC~<aNuVw6}jmyO-|VV(|aT=A$p<Wh+@s
z&zw5`*Rij`@$O_6zYF>8NpJbYS8wFM@h&X7Ykx(1^82vKoflU8zy9Y{*vUn^--tYW
zt@Gw(cxTrZwhR3AHx`{Z)tmZ4QgqWSkJA^JXH6)MV43jc^H$@y-*1JgD;bL3hOaJq
za&hXSXQKJ<!tZW-^7hnQ)$c#Iajcy@t7NO;^^{qSPv3hp3C6ws{<7%FgVguI>o-67
zTzYQ8t+&B(d!PJ%zjx8+#}jR@@A)Y5_(kQKg`b%DlNA1Ua3p<Xl`Y$QiSseX%n3((
zS>HW*%lLY-O4u~}&+oLaZ++&!`p%PgSGS(JclAk>_(sh&XYQ396=+zzufadbH0HyC
z@}90WZ%&u5IdkvYnlFuYeOm;-M{N4A@c6@%>2J<V{SeFWVz*q3WPnTeg^3Qj=Yy&m
zF8n<lvvz}x^zGSC{u{=rIJgE(`#rI%gOQhU<L&ED0+#ix|Nn%^{?vWhpEG_exv=>S
zvu?<`f2=p#pEyn4CbYl%+zQpJb2hlY)!D!4QthlMfu+xc8cyE-;otGz^xlCtIyXw+
z=tzHyv8#Xbude^&riq*@J@jfW9Q*g`^h2o^2jyRH5E68Z=*nc@@w_tW_WG&*XOg-i
z-#twJ|Niv!y%X#B|K=n)Un(<e+{Jv5oiS<4hM2&lQ=9nZWp^@4KEI$ClXOl%=Om+n
zgU_4OR;53Gm_OnCH9cUj)#<m4u}_~oz4ulpF7*xL&f8C({=I(h<jcu3^`AY>j!0&>
z*x=2dB(lC^Vp7A~>ucVgIh3?v23Jg6X~X(fwl2=nM2;IavYQl`I9uN4w<oP>*mQT}
zkC(?8+uyF<^M28Hz2fH|!rrj$%6Z2>{lu~Gnx@@G6QZAezxRHT_3x>nF`t|(4sS|(
z%d~Xc#bCbF_wN>^Zuoul?V{|dZ)KN$e!J+_(>Jm!pUl|s^xWzr0^k2VKl}XUBGbpO
zY~L`dM=oS|$Mo@y*xZ*)q8k^fRli|U-oh|{VbPm~|L4rQ-Ld%o#6`XgpEtxZykJ&d
z6jlA_)<@sBtLM#pnYiE$%h6}s-n?;HyJpJut`%RdrX;IOi`%|;qF(=_O``8R`yZXz
zBfh(Fhf-!__wP$t$#>qx{t<r3+QFp!D5`VKTQ-%fsgvI(_7&YXeiL`CJEv!f*UTIL
z|GafcIlwtF<Hn-0Hy;+<e8ax;>B^oho8PSbUHR5obN;gfQ?2WZww%AVQT+2eHr-W^
z<DWlDeZ&6y?2}v3TgCNL`6Qn{tqy+r)rjryvs=HP{WIGeExGXF`mH=yZoj&D_Qxds
zb9?$%ivE1|&1(AI{^wucdyBOHJh|}r8$rMFoyWWPyqQ{DdQy#Zr*-Ap-|MxXsvdkd
zb?WONTa~zp8Xw<GJ$-el>ft@_1WR(CUf#6+U|HO{-_=ijKRx^MdP(48pEWuk-|u<(
z^zfb>i&I<vM(aI&B^kB!$<NoFw=38EUK<?dR`y14RS?U>PnI!nrk<)l#dm+=#Z@=n
z3+<dDx_|BO|EJy`)!)SV>z&|cKIc!*zlO%Wn!0g9NPXP9shO%G&VqN}znfZeO10<h
zRPC)BUfy5Ry8C|ETcJ(wRh2zg<o*A<<M;ciJjo1OJM$h(u3&gIHFnK{EyrTt3!Y1z
z^iXHVrBBK{?*u1JdjEL+OTpt4zuauLGd+A@@2z)2eN18%ns0=5x-z+3ua3Ic+3e54
z`EPFd;{KYFng5?&eSQ1Mzp~j^b5k;pJpaEt=IN5ScfxwYd-sbszkA4^H09I(lRN*-
zdnY`#e)3%w$Af#`2#2H|4cqii_;Tv=t9qNCCQZLpyK{>8!8gKl-x;0FGSvOoQ}$-k
zYrDzMf4!e{{nhP9|CgDrU-MqzujECW)H8EhkN&TFs~h>IZ~7{4k<gQ!rE7lKebo%r
zu6{32ulDp;_B(;OQx<G`_CNIG2jeNVT>oy)^nUg)jHPGU(@QBoXHV4Ge0SUIlZSGi
ze0cx#(Y>cX&gZ@n+8z7!MBE!Cz1vT(?SAt7)T5sjZ<JnsTYJO1?48w{?d;#@JzaUK
zLq+07`}21*wsY*Ab7Sfhv%7yT+(~<IM*erxj%V+bpMP6_x$n)~>;H<LES~yi?)6<Y
zPqwFbFMhL?HN5&&{*8qf6h3d%?cUCM{npc+;ZINOGk+7y@Yo>Y+0&12=iW+x`fO>>
z1g$5(-rrxdC{C5<;qwWZcjkZGB0Bq>{M^$|_Lpbzyo`SKDfQWj-Au_0OJcA6Z}>EM
zRb_K^?Z<XbErVk*+A|ohT@?KIu4vv3$*+GF^gY_Z@5^Km_O7__<odD+4|zF~KBy=E
zciX7hf9iVZ69(m$4d3UnT)1KS$k3$g@d1DN)o)9tWMoIodGcd*bjJ5RbKh~!UH7bB
zYxg#hDL3zn?_c+<X4?CtKX2MUU3>OpT?xPKzh~dyZGMyO_4LX4m22K;PJMQ6>8AHD
zQr?wJUG-;6@RRq&^G~hRv#RTyeSSjUs*fBes=3sj-)MOuZn}E{i^PpQ>lyF&7+iS$
zL32W#R#=1J*%`O{rtbLqvgS#^zjwtyQ-YQ}S+}o(_r!{bwJ%GKo>-OqWP_I3#}iw2
zPs~tG65V}f#fSA1MERc@8ZyT|-a7B;nP<!g_RID$KiFJ0L85-n<1KGW;?F%@@$_BE
z^d}sQPrmD>Z@TmJ^v^ewj32LkHhn(xqqUEXCOC)BdAjZ;+wm1iPJRY_VQ)(lnIG@8
z-+yk!#2zlGC*QY=aq-0Z{eQZhTR84*>B$MYRXL9?$_ueFGrTo^Ka1no-xnqJJ<LzH
zbCmZScy#D<c*B+?zofHG8}BDeP0$z3Ua|Dq^IZ||pM1B@pK$P#!Na#Dr~g*O)U0{7
z^ofM^yOQbF)*G(fTQzl}z~X6}YlRhTb=Ji2HSC#x&@`E2#dOj48yHVWm~Ul!zU)H&
zzt*{8+cu~5Us(6|&y1(@lsJE|On4=`f6DfvKQU9bpEA3!>c+pf$&;_jzGdl|u>b#`
zw<VXJUOBVzUS;m{AMZb{X^*=1^t;~sRk1fZCFJcNt*>hlKmWc&|9|C&%@5~2`TpLn
zQsd^v>BpxAK7W4x{mt&<9e<koW<NW>e^$>refw(y)33Q2B*#s8fByZ9`b`YNt9%|c
z)`X`p$j=P<{%899^Ot*N&Q9dBy4-c}|DUPz&sVN}_WaYElJj3bak#&T=)QCL?9=b}
z;|-D-E<Ie|Bm8)Mgs07u`J4;(#gu%1vhmxjfXx%;Ro6{uJ^%5k^(W60lXlb{NLm`p
zaP0Ax$cDGJ?>jD^Tr=b6e!fW`#U}GleWm?LVB(Cr*@pw<Xa7*2KCNC*U{y?{N83A}
zr=OnQthx5&lHTd3w=>rit$yoM68$jY&l~U0zn?$T``7p6te1k_zqj6%@lRizWH5fb
zQklVK_Pj|vcmBNd4z_&BzW<H)mgncR^PimEnlxd}ns;gm3}pvTwH#K9S<`)6?#biz
zQv^?N@O2s|zxAH)75pOZjrYp6Q!T@OUT~P0;r%?lx^hM7#O<c<8`dOGi`vt<wSTHf
z>82<1Yt}uj|8r=ma>mX4_1#mSPB{I1PVl@Z2cmeSf3NMSKK*3Z?q|uiPj}=m-sbbf
zWZSdNQ?JZ_vf+7Dh3mJr$>*PxUx{40=H~Ma#h#LicV^sssq^_=RMe+in-)#hj(dJ@
zU%06Cxr4$_?tA^;xp&H*C-+LG%TyaZ4Ey&caQFTxrvD#?ZG3Y7a=qT!Z~9N}-Ce47
z*yicR(vzN(+j^8Gx!<olU)tqo{bcR-|M#BV^WGl%xaeW}v?rVFyjyRCJ-e?r{qDRc
z_olBB5!?M?FYo?0f&c$azR4%kW%Rr>Hg$%|ndhbV-Ua@?|78BB?-5BqpU%IxzHrvh
zz>V($qi#P9-}L-mapc)eo1Wdh?78#u?(1$_G!);IJ-zoTWrfeJRko=t>2CwKR;BVL
zJh``AEBdN*-IKe!f_Z<4HRhatK3|ouvcEC&*}UbGZwBni`TQ}V`%U20U%Jod?`OTU
z>G`}GP1%k86Vs-M)xWxXRd3p}`F^~rmG1)H|C?gUnR)WhyMXZfb<ggu3)1-{Hgl%-
zlY4icKGiw-#!o+dsf$O>#6@9G?(I7L^w6ZWv$p2Ho_RTP>%z0oGoC)$eD+D^$w1qu
z^FFCPsk#4b#wmZXCnrzmKiyQR|LjEWlkz<O=PkddDZKT4YJRUp#^&kzzu(qoYH5Ec
zlH2(3j8Dw-+TUj*b*-O%jLmz-XZ`f!*UD$fr*@hpZG3BLe{0&g3GO{7URAz{d4Bs@
z{w2#^ljk#&*RtgvJKk`4Mw(>9wfAphN+O@+YiU1yJ^#tZ`KLP^BA>45>2O>6z;yM`
z6BTb_o}YVC5)}FL^~*iacHcRe%&@fc!TXAf$$u6{c3n(6`bvMk;Gvosx3101OJ<z4
z<m-<k%~NcdXKcL^v$^`&7o%N`&%Ekh#eaTux%=mguWy#to^D>3%y970mVVY1j`tJa
zFQ|}xW0*9()KBu_lE#ED8p;1l4xLLr(Xi|8pC3n`Jyem6e{%A%M9kkN(ZdhRihl0Z
z`y{}8E-tFF@AJ}4YwE(|TI<)>OFlfYVdwkR50jop{i<61%Gh#~;O8e%NBLg-s%m=}
zW&GmT_narKqMu&B_**gIsOU|`&UeQBOcNf4e|)$i?MeIQ)w&apzuxvR^>a(Tz7ETY
z8BbUlb6*&n`!T&>G3@{M&e;0rg#S;Py|`KKJw80A@xrsi+wVVGlJwSO>*)_7Qfu!e
zJv>~%l=L)qP4P-AXFrBDeUD?lFg#ncspawG!@P`=Ck@YXDy`q4EzHI(nDpaV?Ei@;
zWR)^G6v{bN&Oh(obGtdWe`7|<=9~%T;cGSu@cq?)aHMWW?L>#459?c%I1+jugg)rz
z_fM)jGpW2)npx22N!;i2lPa?99(VtKXHt{?H1S{d>oq&>)-AYr{EdlS`P)-h*L+lc
z{;Fxt1@A{Gw#82pzo*1xJ#9?be6#!JG>+W3BNLp?HW>F+T-wv{x&KDb`K5KQw=S?L
z|NN+5$tkUl@c{3dRc-0Z?^OI>TDL!I^;FIlM(e`0Yd%hSzQNU$|53w{grvu@43}0)
zAAOP)`LtIcW}}x`@#UwPK3-2UKChdpY4@+;#`zg)t4=oFU7v9K*^}%)Q$yl^zvWu`
zd3|fyyk}AO8n?Xv`Hm~Kj=3#k{o7AVp1tLoyZ71k*tm}W$;q=c|Ihhm*mPZ7`@!r_
z6KvjcoxA;XfnD-r>!~^azdf6A*{tEu`?p*<Pfb2On=$Em>B$BscSg<+W)tS5&Sn0%
zOg^r^6LtRo0mJ{_^5U>NK7T8AFo>;x$F*g`j#!<=2G>sceqPfxt><ldPh-J*uG*~1
z;6-n_mTp_yKkb>%^0IZuznv`Dy!M#a(`P*&p9XAsR-Br=Q)qq6db6jgPoEWSeB!s~
z*`Dd&p3eLn_he_?=4ZA~15PgNc=qUr;S-CWPgCNqC`LX@`nFVP`jf<`)zhD;K2Ln^
z&1>=V$?BJ0)@^=EVjqT<Jz4YX{L*UgYi~qe+C{2qJhWT$WKGR{(d<ocM7+LhsvdrR
zasHDvOaD(%J^W<#{C7pqR5#w4%I3fM?z1(YrceDl{mt3PX**@lcbGidWB%#M>Y%Ca
z7dAavb7`)t&89aZex;k@E`HS5_<r5l$s03D*Gx~1(tGN2`q}h<)5G3xdn4kt_wvb<
zv^5VM-n<bBp30l`>FMgr>J0(iJG^sVe3}+kKl{t1Cy^hXCB8hrW8UNEi7%gaOnS2V
zY5nSrkJBcyg|AtYSiAkH+so?Y=g+sLUSGF#=b9-G_pF<Ledg5z5#mq6cTHuP+4#2f
z<C9gvQ|~r<cK#@Py86|p4Yx8(@2!3#Tr&Ud<I``1^uwpWo#WY=RrX}{ztewnQ{M<|
zeYd$)CH2Yb<^QLM20vLf|J|lm1{KG@)=yS{SNCl1@3DCn{yaD~JMP)yvM0eepDcJi
zHSX`)u<16>Jl;JGUi+l~%d{sMx1U&iE?c*{+W)C}{;bS3OLWs-D~PW?pQIxE^i9&K
zhx;rVs(v>w{3!5rZ}N$?2j9f3S(|l;$>&khqrH76Hn*OBxL5Rf!{l2v;;VP0KU=ZZ
zG?6nl#_ep%^fgZ(PkXlT=<~wQ+ny?Zdarzb`g5JLZ<X!;Mn11u`RBcI@y{m}KmWW@
zzMTHtV&$6&;)i3GM8E%eV&0psp6(^l<;D{ZJy~QR^j3NP_op>M$0po+Qt&zdneEQ+
zjf&NF7mJ=hG<a@%v#I#K#Uta_(;W|Od2DoZg3i%X5<8w4{h7d!)}&jSbA#RPp?#dk
zod+8)9KZS0{?_xa$u}07e$@H+`-$V;X-u`>PGt1md=bj?#F*)I*QTTuU%#$dxshAK
zer@5*C&s7l?4IBG@cPClMw_2>&;Ql4K<LSj_<hE+R>rl}rvHEP<IenmDL3Y>*>!$h
zU)KER?(bjwJT;Z)-I$@yv?{>Kh>3IG6OTT|2U44#d+IWZ?tA*g(~D6(g@w6nlVkXt
zr`Aup=Nheia_{NS%H$1eo^-1xuln_@dwJ0_i~QAT$A6ytan??M{)PKbtUhntXZ7x>
z^_z#?ADA|%*e5aD|9{xc&M39}<@S@@+E0Gvz29&;o`rKt((%}D|0gIM-H^CyA`_q5
zvjEjOF?Wt0eC$-bp<3>FKqX^RW4bK=!>^3{H?Dg8*t#O=N#HaV<!7$dtDpV4`uwTY
zzP#1Xe|??y#BcqZr#+#kyB(iD%S(Uiulc{tHE7;bU#s=2GLxS#(*N0Yd%NRatBsS4
z=PZ%Y3w!qKbw$ic34gPFaqIeLAKmcsZsAkQunkiE?OUC`W<P&m`RCEe3p+Nxo+@mA
z?!vx~UFm&GH$Rjun7H`)r#aT!ovP+fJpOS_s95X+Mw{gS#gDA!JhAIw`Oo+$nc=eh
z!w{kQPeXo0l&{%%U+QFbqtLyJlRs|Iu==)xx0m%%*v56?Y_b3Cc5i(1e8=AN7g(j(
z<DZ8nERSV4vO3~y!|GLuj4?A!?bmnm9e=k{<$tHgHPJQkdm8zEK8^GeviSGp!p~37
zRi@s%S^MYVg`}tR-#_kqn!0rR^M%)bKEJSZ)6?Wj%brGkUeIHG@9Bk|XP-*hJ&E7l
zb^he4e^1rQPlm`oPdq2~!}<O53ps1&&)xJqv1sN~t2Iv&YwFH=ug;nI!t7`D{{Hi!
z`<{4zU!(nP+fz^T7sA)p1^nqf|83tBC-Y~#(N7v*`mPa<TR2_knT__DdD_n^b<Z5_
z-f8kw_4ERR#b+MmJh8a`DeL8wE!vwF-g!`xyx!{hw<i{Nf1X{p>50YF=iUE`x6L><
zlVg3Ye*7t3^(Uq0O7)(ytUjW4DfX0_>k+kAF;jP(|M=wY{qH{;H%wMLJk$T<lhRA|
zdSBD*pOoBP>c_d}Nomd8O13GoGoRf3zbcsLX4}rjXHR|J$39hxeDyOh<Z;=P(sebh
zl0VngE)Di|tb1N!cT|q`-Lt#(|Fz?U%bzQKH``I7zuq!>(Q^CuPwv*vy?cH7nx_Rd
zHz&-mx#RHjX};#`rau;I4D~l|zV`I)?#Vyg8Y*R9?+AW0hg~*%)}**+`DI60Z$8U^
zxn$)AmP>n{+zFrhwX$c;vzJY0pXQ&Q%j^7UnnBgvyZ=u=w=eU&Y%7vd`6U0PpJ;yN
zG=r_@o=#1Dp8qtMSNGgQk7xPb_fPQ_thb7OyL3kG`e_E&G<kPLKe4avk~h#^Yq0;B
zPFM28owJTa-k-^nxoXeB@V*1ywR_h2PFicV_5Osz)?K0x^jW)S88COJG}r9Vlzl#l
zeL?BwMVGsnCcgRcQk_Ni_1rDTS?(>`)_dn~-TI#IkDvTL`Q%RZ-Q}uLJrARw-}(RU
z+Y|e%nl5SUCq7PllD~ZS6u+J)x8m37rHX_$=5AbHe{Omx!=~Q5x3)jGUq4lC+SB~G
z(_<!VTq1k>nZv2ji%;ysv{}6KpQmW&^=m(me)}|_diqnfd;fK<*SKwern<iDS@`>B
zD$$$``<{l@Rj(CKefoOtskK6J`<@2q<v+2=fA)Irt2IyU_MA|7Gi~dKq=TY)-*&XW
znPAy<!hFv!!^6qjr1yROw<h-GoZjxA+J9@F34K!FZ0MP_BKWKE4gEK<Tco4sKXI9R
zYHf%9{HLySwQrs*yjJpN2?Jm98ir+?WV@Ke_pFmSb7EuPs>lw%<3Ijun>`Wx#<+Uk
z=LOMe58m0XU-?5xBWd*s%NtMLom~*uy<SfK(<zQOC(Y~XR@^YY_nUP?GK1LuM-uB-
z{z!lFUjFrjTgP5(3wb`%?(7<cxXATQ>CbO`-y(PQ&5C7@c4vnESiECTE7JzU@P|wd
z4Do;VCil(sF#mq%|G^}U*awD(8E2KhioD44`1pY<e;+U=b*!}L?K6m3(-z_t{nTvR
zv*1hW2A{&8aM$KO+AnSyDYk2Y{*$2ae-j&yu2hpZsf;LoqM((0vt;*^>wBK=Kg{@4
zVbjW|qK}#l{Et6ZIC-#7|6Aj%{<RMdgjO&<Q&7=ZY*739*?~C^Vm3bsE<ShY#iQUp
zCeAn0<j%RCHTZLHW80eE<JZfc9LS9H;CrZa!o)*ttp<1L@23aOFs__5|Ec29iHhqA
zN*?Ur^K=P|f8>W*=Wo5;c<ex2_d{mKq--9!xHVbaNq(zWb{ziyTv6OXe?{f7hX#{n
z*Z)|#Mt=W9^}{SDURRqvRQV*sP`Fn8QrM$|pPz+(|M%>`>+?^!{$Bt0<iPLR7ZG)9
zG~bmy<GQPwne~6wowSqo*Y`9C&6@YD*1N%~Zq4J=*KKxX@16)A>qy#h`&VJxQ^E7@
z8^88H>i^!eko$>R?)q8UX+K}RnecP=pR;RrF6w?FvitM%!?*S@9&qV<Cd!?3q|rg(
zQ7psd3HxF{&$wH?Mq$MxxwQ|H>SF&VcD()`VZGv#?LF6q>Rz=C+pa!lc_y;0W0zEN
z3`5Hk;m<KgSnBQ_Tz9<d*P}US!r#n1#~<^4?VhBA2ma<f)t&oPb?@mXy5T1$_&isQ
zOMMYwyZgxVryp7#GCrL=_0M{fCr>6%)!%-1&ogz^=XVYq_+`I(<qc(zkFy)@&ais(
zeDdYf-VdKD#DAL6*P^R;bIu%F>Dd(vZ}v7EvXReTYh3wE{`J$o3p0O&$K3rJdGE}d
zr}A5$iKO3@nzr6!{ibK4SMEJgxcAICe)rvT+n@7ox%VM``rT(Uub+Pz`}{~?ZuArO
zwMX9AJY(5?b%V__=DC~`edcVv_r&O3)XJt$%f9W5k9=bMTJPsJshQ8j*RQ#Lss5DA
zgok{yo-8VTr=O~NcxUtGCyUNsRn_~P|8SYkjl1{G-t5g%3J`z)Eci&$#E4Zley)78
zoBQSkWzpYj6W;c2+k1aqLS6N9=6zHADxMi_UpLiX;`pzx=bjqh+V9%3=%rYB63_0W
zH&@kXue~1rGu-Y&+;pz@pIT#%zJJCXq@5=C`stz?%ckV&4X=(J*|?Io>&c?<RY9uz
z{yj6YdMcX#+-U9ghM1=RG0zv3+C9~sc;?C7UsFo#PEI=ibdgnLf5)4b=@HM2cCR_H
z{$uQug{Nb8-?{WVe!{U+QzqWMF!j=U)9F{IJ<;2~%4duIC&h_R*uKoXyGZ95+uUt6
zozhQrpZ;BUC4T$;r=9Ywlkd%WyZdV7nya?;PxS8YzWaU8+_(4N-~F`h*}_w`b!&S+
zJ<+>fulH7Q|C0qJ^Hu9VJ=DB0m22<1zfbgHmx`9|d7@W3-A#Vellk|rrrycg^fB|t
zbG_C6rq3&%v+4P_uAKLbb?P7ayXUp*pE$g|^Sx4ktwR1Y@weNbIF&`;d3^8QgFSa2
zJ?-5k^;~@E+=k;%Hr4L>`;_&`Q=ye_`X?8Cd$wuk=KFt>*4)4P@6(aXQBR*ZtUvvP
z`S$Z^LjUhw{MRKk`NsSwj=Od4U5vkX;@$B*Z{9^5^AweC{eSHJ42|axUw(YTE*A58
z$BncT?By2Q7ifc+otEbPojmuKeO%G2b!`G~E61+7@W+ZB%f!FMu24AJC7LvuuYAq{
z+q1j=&t#dk<nCjZ88g3D2%PvOJAdkogU`Pf-&vaaWaa+v9Z%+;P!Ijw@jxoMj^Whv
zvoAcY%<f*P<36F@E_6cu|3j%06Te2hm||RW^F`f~?^eIpgxPfbKl$`|!xa1V7g&=Y
zC2ihuGb?P4&-o9JZahd@({PnbVEuu=&(9pFFT1ny=^FmlIZp+*|KdIHeC}Mfwa)~%
zYJa?)o-|cL>)I3Ld(Q-K*VdhpIr+RLf2zRRHS01rud%6JS9Brt--8<|5|NKv%(E~2
zid(S4@bbcYI~Sc@v+v!X$Y+AT<C>%2-B~f4_tq`h>c@g*Gp`(fA{hOA=GCu%ZW*5}
ztbQgq|HggW>37#X71}zDQGMYb(|e4MgpM%s-u}h?^NEnQB-7jb%j=&Co#f(w+M0J#
z<M3J=z4<E-#TwsRq02lg(u$>Le*W(X!H+g@{aN_C@<Hp_4g8XK*IX-_Iji4~VcVOh
z!Y3KuJWx&gS+i%!pN-Nl)%&=$bMD;I<&#xNIuZN7k|8QC`_c5mhmQY_Jeav|W?uMY
zF1e-3qW>HowH9vP?{{N`M2(7q(_YTJGNxxDDa^bZ6#wp7xBqrr$HL<Ko6DYv+&lQ(
zzV2!3&f9mEPwk)oeb4zl@$qYte#|_a{9M>Sa#>)q+KT#koyHyC9(pFTUbOh0BJlQe
zi+SDKb9>gl`x!Iin(Y4#0qN`Zf32#kzo379&W-nXbWMI_KZ~w@()#q5i^04(28-hE
z&U*8tHTeBn`MnmMHw*snnV8(*BCfyS^1YS6&u&QA7x~L|O3toz`|GVME!@^K9c|)&
zcJD5C($S9rfktQc>I;=x82_uC|MoHCi$4v)U8`4@@7Z!acj-U%{3pA<O-ypNw!8Og
z?-PgIh0kwr-_S6x<K9rdd1Y^Y*(T<r^ZYiRp0QB-*`0m2*RY)X5X)%$^5Km&EGHW{
z*GGJ?I{HN3>)*udj}@NEr`El_z}Y)#w#Vn4)2zZ4J~^VfhIvEbz7Mj!%Vzc5yqmc5
z=IhTp>(o3V@*gWCtc+zibm#Tw8~2_qZv3-i{w${tE)~L}5r;oH8l9}Gn!~-Se8<e%
z&0EA~+&%Ys)g8NQtL1}5%FEWSKYsV+>F0OXX8PZMS@|q_>F2vIHb2oY-`~?LvCwe!
z3XPw4U#xuMlXGuXWQKUy`USb!>)D^LvIyPtEc(;zyX$Q3teJoJ{PU-ryvuK{{dVWV
zo}T*ndw0)WI^A*V&YIw#PdF>{m)-pRj6HMm8q0;EAD=O9pJIOU&c2}8Ya910eeq<u
z$DhW~q<g=Y`!2m-w)Dj%R_Cz$zjv8!e_eTJ-z(#-Rgw4i?(^9;KkP~FZmsEOMW5vS
zf2TkBtnbA6=C7aR#>VR1E&u-{=W6MxkjFE(%01CvC%A_H-hz8!PjXjhrONu>-?vjF
zRQBCo-txQCw6)*N*>`2}iw~^MfA>%De!6~ncwF<$Q#m?!riIr3yCL#8_DRmx*Prfe
z4%xb5`PGkiH_y5L`QyExpVf}0>)-!5ecOSP_kQ{>XYK!U_s5l!U$xhHozQH1o}GR5
zG~1__FK#}`UcW!)h+5pj&ui}dEZMK>`037%%du+bd{5um6uRx{B%Avi<JHbQS-;UM
z=}Gq9cb}iWPF=}({#?)h*xS#u-~XQC>hdJ}?mE4remU{Y0gpCFU0w6+?(`?wf7j)H
zY5lhR`KCKRFD>;I)49KC`qxVJ(|0#&|H-(2Z}lltt<KNS?>$+%?J3XaxO<Op-I;%P
z-u>y{?j725Z(90I!N8|HFU#*&r9Z9Pd#X26zw(*broPG1bt`<%_r3e|HSU?!&50A|
zJ+1q?s`yUa)85<v=gbP{dM3fL<-a5=`;H*~9Z4qH4}H$<n*F>kKS@ZGV@~(Cg<M@b
zvNk@cpC@R#=D?3fyNY;r?3^#j95I8f?D6_d?{)4@s@)`TvQ4?G_uSvlH!eT!$d&!~
z|IFf}_bXCQeB6A%EW7N^m9ChDVvX)G+a+XeZcTjBxMlLJo8sSM9yJRxhI=Q^oPXn)
z{ri>s9?fX^A^YH%>Ek=gPOzDCu*A$~Hci^JzH=EnYtp3jn~OSL-Tkv5t-nL{YvRWh
zC(3V#Uif!VEa}ej{cr9}(fr@ab2)DFnX?VTyEio5o?)lsduMvss>8kiZtT7)%%~vt
ze<s_bKVLuJnGsXgJ3V`S$E#;ERPR1f(7!YNq1UZ><xdps=U)5z=iy0bKE{hWF?Y6B
z-<fgh{>QlI_e$?;?Voo%tgOF!C(jA<xtH%xzF+w$(ASIk+nxLW_RKV_ynTN%Bj=sT
zEB`zUJjQriYxmEaJwIYsPc(gg@!FD$>6_kN{&|0aj`iL3&2J8`z42f^qeahcw`W0r
z&)t|&d1t<t&4suXf|FS|*G-E3{lroE-t<{_-oD%OENI$_X&-MtRC7qFzxQz7-GzG|
z1gQt?t-t&5=Ru#(GXk_XG3>pwc*~-Qc$q!Fj~zeY@c;I-$cL#%?@xO=BOtuy<~kuC
zn<!O>_jgLu9;U5XDd?ocrPB5=m-*f!TT9NhH)g!<shx6Y-QPENAMJe>9J{Lc?#%kF
z9aru)vn0(~Gbw!MpC>_|w<<lpb7y9J<*jqZ2R`2GaoGGow}0Z2uODwDr>`ijpL5{P
ztnT}tJ5uM}IAwd&!1tWb)Svz5XMXOTc<Se|$-47zblmm1yLsimc@OySPh?2#*i&kJ
z;iTEkhSv>Ti*vr;xwClcgp$&YH-fG1bt{~|(LC?3_C&^?cNb^x{&(DRA>aE`E0rzP
z-@kBfO0s@vcVE~c=X8I!+is`Ojk_GQp50q@>P5?sd+$y^e8*o@z2rW3hdNWzn}@M?
z-seuNiN7JgPWtG{J^LN=V<tDNe`*xFx#schRS%eA<nFI})3KF*=kfb1V{WhfxapvR
zy;<XSvjs2L{bX{!@gpJmf58Eb`)fK{IhjHnlKySFVcBlKBgQsKn<+)~#^KmKkEEaV
zd^`K|WcIiIj+6JdpMUy*<IQBI%3Iq%F1a)9NM~(Ipz8YKuUU~kx=%IMf9~z_5}WWK
z(qq=r_iM|~ExNYw`<eTTBA;u#uerD2w9upF8={}yUy%LzPQ|u+3%2rzF5R&G`@QQy
zzwd3od2hzH*BqOtcuu}M`DyKz>o@OBFuPiM^UmqjQ(3(4pPHI`^ytrfCx6ECHbtIH
z+kEHL<=9iAVo$8I!k-@f_vwk%{l801-Ts^`k9=bN{#B6b;jlZWc6s~i{k(s2r~gi-
zQz6IhpE`BQKkd#b@6ayZUC-|<nSN{OL9a<!{xMz$+c)2t@KrNi^!=Keu&JWYKHZy8
zs&;qh`tzQbeouHK`{{4hj~Ta5rkuW6{o}0X)SC7u=T3&+?GF!I^0#aw*R|)?zo+OL
zKC!yLulSwq&u3O^gIVA1yW9UOcf)$4$KqWlkG(w9duV^mrVh6|CqM6>H@Ein**lH3
zxpz8u$FB9+cfaFwW4OnZcbX6GE&KU;3TywJ<I}aDvdmsNFXvw8T-Mp2?svqV;?ur+
z+%MLzaUb8pvYYqbtf`JG-?>+I&6?`y|C(~M|39(#di~xB^Jcf01CLhl?BrrRCplC6
z)?KTxi{Z`PvHzC3#3ryLrJocj-4OHb&b!$S=kGfIPx!F#&7Tt-^A0qco@8-k;63H`
z%W|js@1Kz$-_3}e^>dx+`Wusv#dJ)26?xxv?uN55GYaib#9Te|`C>u;6T9+tdeiUT
zymM*mJEN;ND*F#l)qfg#?CzYEr`D{#aWq1H`u&xue%p@DjEmgTbhA@8>HgfEQ_}qI
zUEDtPPsH81&!?vP-J6r1`e)YCkNe{|bx+AoywmqNthcuM{M}yZ2`64(I(BoSm;C8F
z>)tMXv^6E;M|YuV_OkQ&_Z!ZA^s73zvEbspq!Tvrf9^e=y2;FVW80qNj{}uBWGAsO
z3v(WP(e>5%qHWK!tIwVW*6%r#)5oIDGwI@uZ%MbFr*KD4xwq-@f~>ZU+g>~kDnG&$
z?lO1HzZ8%qe9Y?wr*8kdA?oLoprzMXSDKwS{OI7y-(=m-_W4HAqu}G8a&|{<ywtPv
zzz);%FGF8h&e;F{m%YWVFOht_4^rG`=@kj2-%GfWWb`y-`JDS3o`%%EdOCaa4UIo%
zBm64gov?kqvbTvPX7Yad{ilT<A2gYB;n>c?{~tSQ>i?*n(c*IXzrga)u}O!z4!S(%
zI4o$oQR2<%8SnYkKfaEO*=l^Q?xe=id%Ny!zkPn9z=9b|?r;9kZLcKp=?K&8IHLyr
zkC(gc!+zY`d@G?krJjNH^eJ|h*n<DHG3RdXWt-8E{J$;m=6aTnmE{#I4{GJFv78WW
zfBz%t?wp&4Uq+TD@=jdg9^kNs>3yExy(QbVUN5-x{3fey_O0!E{2G2dJ}~+FkM}R4
z?Blo_ei`2>`M0iDHG9d9xf%s~jxH>=yLtQEzX!oLgI}N2n6akmoy7y={fCw*X{?gJ
zIfpC$?#{|3JFB%X3Ew-q(D25iZ+9+My?$qSDgN5ee}-?;|D7{>e)`Vh>*X`=Zn4Y0
zx&PSRtvC12ySe-0oh7Amw;XrBHdOO+$X>kf$$Ia!J4;`yFgyCjd|Uh0@c5Gb-A~j?
z{TO1m-B~`_=gw2L(n%3Bo~V_!EsY6UQ}pokhRgMP?r28cd~awPe{Z?EH`najE4;<_
zEZINj=0V%X4|DIXxP4&Lu8K#y#3PxGFFBYQ$@2By!V||Qa^797#_jR`XwR8-GvwY$
z+`b{no%WD<_RTfiB{~m9{B9g{I3{-H-TYT~@2~Y`Q(brO$lf)%aX+7`t*<Y?yYqkb
zp^$dwb-7<}KX~+h$DLj0-W&ce6_2UExz?!h!i}~6Ha4$Wq#_lQy<mCS3jgzWwmn^S
zR@8FB!&}?CKfgX=T6BL``rL}Iw~qbT_p@`VZCKMCxzyD+{{4I%{^n=N^G1e^H(X9w
ziR=~J|MuQi?erUNcTT>4)V=t~`9|6O5slJEnqM=&@Y;9dO+`fP$EWhjH*`-g-)J%G
z^}`1zYJT0!fAmmz&q1yEcd}Vy1b;8wo&I-2uuIOoI~%OkZ)|gj`;q*g=as4X?gc+2
zr$pXJ{`ca>xz8t)^7ZcSv5UF!;r)x+o3G8}SD%n<Pm;U2`RIbP{U_AID?D%fHs62a
zVtdRK=7%Z`f)4fEHxF}Y-@I__#_k5ST|YZt{#o&sJ?3}v8it+&9rJ(mxi0w7@`_nK
zX3>K)iK}lsyuN3}qC<;s$h_iU?)(#TZS6!s#Wh#%-TQOmRqv4<+VLB2{*q$+6SML~
z?z{)S8y6hsyH|O&q09H|8iuT6w|ZJ9Kfn8H|7^xjVaJzU(ev~Q<W$;oZM|=FS#OD^
z_5G>S*D_q+bZ6@4n|Bsx72of@eP_wNI}P9J?(C@2zB?uV&g|;<ecriuw>+K3$+$)H
z^t~yY_hfInx8{=CnhW>uPgu&*KWC-ry@_j$*EapUH(}Qkm5Z;{YHwU$>A$%%`sc@8
z_BSQ&FK`vr-|ks9q1QcO@)N$K=_}9Feqc;`;<^23actf0B~R{Z@>*rzSra?+D8rsR
ztG~{dyRzwzpjNnPtQf<@=HE{Q=DZcy^hDtN)zqU^o1O@qt6duMZ%^Z%M{6RluQGaC
zy7Advn>}}zYUbZv72cJm^@?@&y}L`N+}pSH@BLM^r+BsR&A6nQs^x!o`uw%tfjK$L
z?kwHCZNrKUv3I6Vnd|cB%CaM8Pl&w}(C?eC_I_<-Ia}AZZ!vYTYa-YG*&$nT(b<1e
z)|)dYBxlX$Ui0#&JV*bAnNJ03D;Dn9YO3^g!Zw#FlU`3)oz(H*&x*HwA141jp~E7)
z;pB&e%fVf<{X1q&cvib$f4Jgwrs&lh)o)I?vS-DoXP*-4dnR*VaxRakSQBk~d_qIa
z2laKF5++w->YSbn8cq=TbL^p@l7x@aC5CtEES9@JgeOl>-18v4Um_(U{|oc>H!rWx
zdm<EWxBAD5v<VL^eob^eko-R>mSO(7@^>3=@7S&NhN<(6`i#}9|A}2Qs=PKKweGIj
z(VcISo(k`+IigYBsoc(T`GIKU0>*!0`!^k2G;7I)*{sV#<gW=n5}wRlvPRCPaar6O
zmi1ZxccpVKaESRid)xgd!hhoy&iS<FR;Nk#6XENtf>JMDdoH|t+g8I_x9*AGzPBq#
z`Z@1!yO*lJ&&gfhd3WEQ<*$o0dCp&)c}Pswv?GRZ!`lZFqC*ce@~?T4a`(rkWtOL|
z^{id*&#V3O;H5Wrwud~uEAi>hw&4A{Ue|8kAfkV2i}YTr|9`$c5e}AnP<LnhuG3Ft
zU9|Nc*7dE>x6(1u?_ZE29jbF+%DuiRy88FFmusq|be}x>p5^cFyx%APC*Av7HtA2?
zg5B(~42SRjHg8~9wQAKWt{eaVGVEnwm~@1Jfq{ns1R{lESd!9Xm>FUiW4^^QtcYd#
zpUlAdJBHCEiH%8^k(t*cCW1kpm0LuRg<H~*fm<x*HG?37aLkJs#xQjT0a-@Xf4@QI
zILb05F(rvG#Be0>CA~~aRAiD=>|&5$aFS(;VM=0*Q4mgIOk!v7V&Y|Be;C6aBaoQP
zu!4b$v6GRR&GRmU!3t+qPfr&{Pli<jj4?uttVvC5455rMJWPTd4;Z-FVuTVIF0o90
z6v$BJ$~t+n8>1)dWH0FaKg1(!46yzGU_RSbmxm0F?yOgRJ(#;EG4*mOFkIzeWj+<d
z-N|Xd(0`w4bp|Wj)GSY4%}ZX4_jxrt`EnmHOkd&6oBPs-S9zH)?{+mm&fKZ2y}Zhc
z{CR(>1@J0Q<k~DC?8c$&!NUDbAgqOpRXFAzBc}_;VHQ5!;6Tyv;2^g7nxesr?sFUu
zW_4W_A{sn9l(m0W7+b#=uYO=S>&43vV!{5AtiirfY*SSw?K))+3I<2BPM;OStDKh=
z%lc3&j;+^KG&r7D**8HvSV<_9UuA`$q8qciUyLFHcML15G^cQ^KsHNK!?&ckB<2_{
zg<k<lEUKj}iF{M}lOB2`GYW_<=239Dr@)iMws#_*La{>mf>=g=m12!#Hg{LnYbh!R
z-Fc<to0N288TnYe7p1aK7ExtMyUNmkC7rE5Btz}!B#m&X?J_eL#4_@-%v_PlqpQO9
zQnJ7*i*<HGjCSmQpP1(y)*S2{$>B)~0!b1{k|J%39%~e3vu|KX(u!eB{;%Y%p#9vy
zlj*;KHB-|67^N^KZhj`#AaO=klb(_s6U`{zbmsP!m(1-kVH~G9_&LstCkdKf`K9qM
zS5;g@;Z&2RVOjEjFQeLq7cnd`{}_5X*aiJ!{<6dvDZD-tV=Kt8ScplGpCRTQ==`G?
zL5CQrq^B`DhHIG^xX;E|$27c8dK>dP>Ay@v$4`TtJbvS@*Q~4iei*D;k<Vw&@tRAO
zJ*kOFBVRx1Jj2osP7YTQCVmJ0r1vq5KUE|d7IS`95R5q=W63bL<7SKq+uSD>Tpk6Y
z+PY`m?RmUi_+-lUSlC?-NT`38(01{V(Er3D?NY;{tigH9rI5woDT6{w9W!_Ae@AT=
zhrXn5F`kTKj5`^4C&V(W7ci07slsT;l_2Q2@wh=kv>=P~ZGn&<EHPU-ru~X#U|1CU
zKO=@EnW3qHCBY`<B11Eaz|GkIxdJgIF{}&|I9QUDm}_JIdnm**%t%sY?q*4P!!nsG
ziP0hUzf&y3QHDJ(eN4;Q8KPOf3G7-Olf}ruIX#(SZ7kD&0lOsq7%zpGTQPPqjG7ue
zF%mpCm<r{Z1(F^nF=QnfCVfw;OJdMY{$HHLnZ(YJbTTP6sV|1fA(^2gi9be>M?on0
zzd!ftj%0>*j(Pt%_IWJw$miI?lEk~^UyPKXK!_a28B39*J2G}fs$wpjw*)<9GC67t
zI2o83cE;2SGH`1#UN4%+Ai}{Q;py3Nf<YmML4~6;K;d7E16vGBEJG86u>xO=LXt$S
zfKM#LZU)D96B%3=3AQn*bnr2;iZODln7B)tR28$oW$I#l+mpnfB%~mDo#E$hhotvO
zi<3A6ma*^&tP+c1a%J%^F{x7*Na<wg_D;$Wn$)4s#QK$qTSXvFA!dR`?Eexbsep17
zh8+g{jtYgxV&WL}7?Rp!7-Jdw9GNV6V-y%21(N@JFbeM#Wb%E>6ugj;iIpuzAVy+V
zjLMQymdAbbxXyW~DO_N<>5;_2c$r(g<G)UTcbVM1Al{ji=W-<TPVrFX$XA%;62sLR
zqhX*D!_&wTzDPS@aXDLeSB376xQHbx|0Mc3j0722?`ov2ag@sbl@!Lo+>|K)I>yB+
zf`K_{Ym#S-(-&s$m`WD*mYK}#Nsj~`ddw4WOAKVP?qE*(8N(^@jwAebjM7hrL|dkC
z71kt)RxK|jHjY9CPE(ec>kRdLF`rptbUB*m#AFLH^uA-5$fXd&5%WHVW1?bc?SHL^
zg^Mc9?hDF9yYP033nq8*cUJLr3R%2~*(#&V*Qq8Z@Yj^DvpSTmyQD_s?j(n6ia&&E
zT{2f^a!gct#>A^B)WI0z%CYbp$I^~kj+H$k-^C>6&z{J!mxJRVhyR3_k4)0i+AIps
zldi-FIdMe`zP~gvW3@WhLeAKZMI71rA{&J`qiX}*tc7@@FN*O*2iA!_WfI)X8S^lS
zQP5s0#xQ9Iqk!?Zn7WvGE=f;?6ge!;d8j1`2ujRiaVk>yo@5{pb3aK_;X8*T=f|Wa
zF*YhL|0P}P-Ojsk7)gXGOq^60XBeY&+lA@l9EIgf67fktlAIJ7p0Xzig^MIDmg#L!
zkY$QxXcc(DS@?-7B$h#F2P0qdoTLepWB;qO%c`iUuxK-@WyCUUXHoEo{coTU$yvfO
zr^1dSW-m*OzrZ@?7=4$RKE=?#jM@UR|J@ka@;TYfH2luSGW?H=WtbWJKg}bSVVQ$2
zTYpE)QO1d<SdyDA#_V$V=JASEP@{X24X43Gb~A=wNfAlQVkT*_sKzNU)T>zjV|>La
zRjBztFSdhWS`4G8EK9HlE5jyk0fB2ttP=Swoz5E=xR+{}DZEc&^;w~Gx<a}!DAF^s
zf`wVrM&&%?Ged(();#V^@)E}<yiH<wS|O+q`|ohpzot-$sr!=rBPJ;Pi22iCsmLH2
zW82`!;Stlwp;?-wRL}IZy&+iWtHU-&y?Gw7|NS{!B)F6eT0#X@F)=k{F(v(one<RW
z&FC6O(u5UB2Fuv1TofFPH9iX&JZ{uY^7xbFVsOBa*G0{MbF0A_fxx5_0t)hqhL4hB
z7-U#kwnitNXJpoiF-Ur!-0{CINwdnEML|e&29uydj0S_+Jx7KWj4^5w4l0Zq%PcHB
z6-qin7*btIB|;7|<f=UM_{*cg$l}bLB$&h_$RH_b@Z-H;4C94{k|x2ZsUjA99F76T
zj7gyyY)Txvk__gm#C(%55!}czCq_WSk1<Bcg6S@kq=h5LhEGX)96By+9GBiQOm^Y4
z2$<;kv&%%MIg!srBTQJJPbjip^Vt)|2=8+-rb%a7VrnPyXT?P1voKt$N&e^YJ}FBv
zi{ZY&%cSoD2WnYjHdv-4-AWQDO<Iv;nZ@C$u}E`=hnYeWUkoP)rw|7RYxm1{DoHm4
zzDTxtGCgI`RG8I~lpPQ)q>>?IDb*s#_ECuAY?h~jT7W)Br~_k6b<#Y}!byy&9-0C^
zED~<tlXN;Gf*rRrYTWW*mAE5$n2E8KG3IT|q{WVGGA|4jJX(d9F)UXRVhUtZS4k4!
z@C?lBU`%51nDC4-+l3|F&0`J|Q+iT$@_#2j?!2VpgvJT~-X;gtYBqYXvG#DV2^hx6
zF-%yil%#MuCNj)JErwsAm{C=QqoB#dmXmQ0m)YASMW#7PF@5$*XF1-rvHcMeWc{O{
zUArV{9>XS{I8HH!Lwre`8atiYV-^dhuKMrD+V0ACLxACf$^(Wkl6sR26siR*6dF6`
zvMdy5Sj=Lb&6u>vRY$agy}O&Mt%kErg~2y-lj5whq@P?yo|)X5>5@-XSfr$8yz&%y
zQ&YvjIf>yv13#1KgqU+0Jk?WJlsjK0F;A2-o2x0U)ZW1;Aoot<vkU8FO$k1gPVNIt
z`I7`C>lk#aZm|d`uqXXvlwx3vxf>(r$mD94w3b2K<yXc9Nd|d=*D))cnv<E56xd>z
zlm9c+$CxFpPG*?wsPL63sfxi-fH|f$;`~!i4$X+lm|DSr>vNc-w+lurl{M&R@|1AU
zC=8gbv{IQ-!HIz}Nh@i6QcaS_f}|?0tDG-Ywldgjcq$|_Y)fhvSgxd2aFx-Z!ilM1
zHDi*(0gfbt6$wl+of1<6l+G~dbX=2|zBMY5MTw~^mO*Kf#LBk@Z-kf<!Wid82r7Kr
zRp9*8B`Mc}Yqi3DmQM;DEJ>FIO^&E?NOfK0TPGl9HBp>lo~YHlZZ+Xajy0lI^CU#A
zx_a2V#RQdNR7I>9S{*Zs7?V_lY??g%7?XJA_jmQm?C;|fs_VEf)RH08#i1`aA?B;6
zj(pUw!Y3{#BHnc-yk?4c#UG;(tMK0|nPH#9vp~st3q^i>>ErQKiOF2TVbdtn9&;io
zR$#S2XHvqZ9#7t2hG4^=E_(#}-bqeT3RuP{5x0afhNCp)*ouChRI$cau`U0L6y1|f
zN{A}N#Pnokb}Da4*wDyd+mQS}Ey-qMj*HQx3kLI8OpWHS#E3XD%wkMBo!sjYBg(|9
z@nJ>MThoG!34FVRVl>|<9%NLBsp?c$_~$9PNTOhNu+W@HiH4&pNydhH5(iv*k~{+1
zq>l<Q7DlXPp6C!QCw1F_bwcd_j)OkQE==;THCHh)%f=jt@f2iGztEv$Ef6CXb6RDo
zglC6Y^Q6e1lbrVU&2wz@n5Zf##M3lyQ!=A&!JP^JuCZ2HrKlWuZ{;(InM?V={mKM|
zScXl<z6lmQVW0Wa+LO_&;~vw@rjC2b46`joRVJ2k2|5M@i^aGxZ}&*L$Wdx@WfFrK
z<6+J39;aPZ1e8=*Tnt#*CmM<iPWU&$wS`eK<}nj%hYquUjO8>HMHOFDC5{Da7=4;O
z+0&U1dqgf`SoMk}+haa+w#YRlP3uW|=LPs<9>y-b8Y84t`NQE@Ow+3=B8)NN9QH1S
zy%G!)oerEy;$~!5s_1>sGF6iy)91U_WHr6SSSAI%WJdlZ#fD2hNf%@VEmlQnFiEN;
z3D+E-=-@Slty@S%C}3G*hnJv`;nP_@jGe1@a9j>!5>-jwt9ZzRF-ergf1yUnR22!K
z3vmqI_Z<ZVlNtG#C%U|3h-HruRS{U0F*8qV8lQB~GhS&{(?yMUr?dZ6dd`?F=u=Q*
zuy=+k`&Y(SojhU(XU#Od7bmh~0%OuWL6;RQB5Rvxsj}~930?Hi!eln<GGUggM}ZcR
zb9g3tvdp}g5;B)3L6gNdYaUzws`)JanhV4gJ(Wv&baytZEacVY7Syb`CnM%5zE09C
z>f<CvSuR^A5rw#zLke0f4lxfZk{LNYJ$^;(+7KzEV8@^wtH7{2`G2%fljnm-scjoX
zCvj97WOLN3M7-r_^%ZGY<fpTMFXm;;lTR9p`Dz3mBOeCrOY}Tgwl$t}>6Im{jwMS)
zZcmDElTf#uHGwVZr5(?yMax({mn~=O4if2H#QA;&Ywxm^Vv8mzY6renkt*ys?aDTN
z73)La)jZ1PYfO2S*WTq-zOs%tw`4t^a+lot4ZNFYZ4{rSqHy+_gJ<n*i9;K_CrO`>
zy^v5N!l%E<R8(;DU6J4`TSS9Pw({x+Z)5emyj>*Ne+O%??@qB``(382!MpFulwaAy
zI<;i4XmId8R?p!5;=!KY{wC~gjnxNOC(k-49<Cx{t2}{I>4(FbcQHzF1>sT+w<fxZ
z?{z8O@POe{5=-*G7Z+LhS=3Bb4so-uh;UUqcvxQWQd5-b!N4P|j+c+h&UI_P*7z<<
z^<dU9R!^<tY~8ME2T!m%`<_%k*uAwpN&R5gDc0awr+Jj~vd*wN?mEk>yz(4t_sa8p
z>!*ljIu@?D!0P()qRK&4g{30dtCCa>uDHay_~m7h;AK}>pDw#98a(?Nul}s-eENRh
zm=}4n-ry}>c2g{P{w?0)vu?|j=Vjetb=-AVwtVG1*6x@0`IM)KhBo#se!%Lk_E5Ik
zQ)TbY>7xEoDJK>7PI@^p@D&5IO3<f_>rE^(RxrlIW;{$_is70mxqv0+Z^(0xM{Ijj
zA9S(EJXSk8DbX`|rAQ3Rlcj8qYC$iasvO^vn#$t4=owr8vgdlCB`p0@Ua<G487z9K
zc6!pvjD?jYDF#<wvCRhE*n9a6pLXzDUTyz(Z2i9PS^7;sEM@O6-`H_yf#XN<#w|WG
z)vtTR1RWKqRQcARZ0p(-k?0c3u+j5?i@=oMA`ufmvF)5uzN9KF$4uih+fL6peiia8
zj)7m;9J}})f3>moOX!$n@S*fJOaG#8Z2il=^Lc_C^Mk$LCdz1H-It%DrIRYxcQj_1
z2*ljBoD|c!;bF(*B~l+9qGK60b9(u8PUcaY_>1rFhHqPVoGV2%ezR@%+;W!Rxp4lJ
zKWvWvL5u#1^eeL+TBf{0;=yF)8Eg}+J14SlT=dVU-=%W;Ke4$gLOoVKYn*4Y{uiCw
z{c9%Yfn6TICUh#T_K0QJAf&4-czeSsh7?6c2G$OCg;<7`(lv~ZhhqPiC+Rz{n0N8R
zBNqJ`{u^ToJYPNfndkA0X+hk7mn5@}n9$gQ`Ee&!sxXOjWpG{;W>QW5|HyYod|Zcu
z0&|SROnyb}1u<*8?g}z0v9OCKaomhKd_f{AMtxGMhf9+d=i%7@@eMzP>s%zCZ{TQH
z#<L>*oAZiH!JoBWEROSHm0rX%ADZep@#YCXX8TDJ1`odRFu48K;9yW;6mq?A%OEK-
zY2$?14lGHJVw4sfWm!2RG%iWVCFawNlM7cQ^Kf*2VPc8-HM=`0mZ4{UQZFZ`y9bk^
z<%}=qHfT0>bp$PX62R@Ua?Y_8A_7a38INlIapk$h%vS64;e0ZqKu8zQB^IULC!D#P
z^i&R-F(jT~i{awD)*<Qnqiv&`%I0&s1=)*O*#aBvCNTsWKZ?1k#HRSTlYQllt&EMP
zh07)T7VcqZbDeZeZlb&g2lI5PFuyG(^AwB@{cGrcbnu_z3@eYN5&Qy8DoGZ4o?9AX
z79_>SGHjWsDyVRP$?}0g?`pw`6`Xv$0zpX&rB&um;bPmmP-f1C4Kp7t5$coSe8J7?
z`jUqyu&3E=Q}5&%3$|u;^Rjx+<71oX#jAIbpVfJ?0Iw&Pw~9iLjMB+JE&~3GDhp#~
zSUjrP60uUbBYA@g<1`Op$-jbyY{~qqM1|OnbY2#6<+J7!SgyBT$V-^bQP6x6Gc%un
z;f@y~B9rf|6%Q0;n;tC2GTm34eYz&^0xb!#?(%iwS0veHhDfo@43_3G)a3o~N`_Z^
zr7WNJN;w|wW%7L5>I%Htk~$r2M<f-tcfLHSwUy(0=X;AzDND_xyP7-;E-QS$n4xqs
zaFf&tA;z#T8;ulq@;yJcRYQsIabdu+q@!Df<T3@9D4Vi6s(5lg)QHw$-F<B1B2`w;
zWom5QL1M2KNd~L4PF$uTR$O#^i>oH<Q(rB%*-LrVS7`I9ztrJXU#81jxJ-|&dy?hG
zkjO>)yj#@_c$H;UZ*qB_KDF_s+Sf@N`=&G;<XvGXD*V!jM|YXAXy`H%UftQIqVs2&
z@#*^U=y#rsG8cV1%Yu7viA}JUcyN}btmQ5%k>HiqvehqbM1z;v${t;22XajC6?;`q
z6$f5rF-!HWuf1Pbyi1BZvVmbvl2nXKGQ%#J80MMz2N<84Br{4U|7YJI-5vWcdF!$}
zw$ncByJb1?#*@Fg6Jo^H@ZY@Wb5CQr?4C3CRi@isR$-gG@@eoLjrqKqO5VnN$qT05
zySK<k^;zobqX&~xBr@;p?DJ*yoaHAz$>$04NxtnDU1r?TSS=zN9=w%T_nz9y>4Dmz
zpN#HkeASe#&59Ncj*79h5)bx|6`kuFr~WKUJUA<!)%o&0jpe*DKKE3Y`<O0D;qf*G
z*|)*yp88xfU!@FFlbH(g@49{OYAhG^(#Ya_9>mv?nje&RPuf*pEr&;Y>cld`dw#yT
zVqGcxIY00E)m^^+`Pn_sv)4WwS)SW5&8Va1uIE*i0(TaMjLbTtHI|+(aup^FU3_~C
zVj?^lxjXe%+IDEhI<jqIU@eGwJ|j(r@rMbEA%lF_hD4r^D~^ayND@nW{f6Ua%ETFR
z8-6K^81(c-Uz`%Nn`QE(Cjq-8lrKzC_+OO#zmTJzhhh5xi4*J_3Swfq`eH7G&zl*V
zY&2sY&xM~&2V%OD8CsI&{5Tl%K6Z!P0j8v&6N-W?FLYUDVp1+Vnq~RW?!s*am882d
z9t<<j?LA;WYnoyV&w>qY|2N!twIaZH%O#1J3qKN%sov<h>MM9|!ucXqwZ<NeCo!1}
z|F3LoNUBZJj=k`ucqMxWW6VmG69>;dNa~H5F`c7>L!B=sy_0`~--G1;ewTk)#9sKt
z$2g<)f6U1hjvU4}t{EiVy%zKD>hGObb~(k=akR2c_@4Zqt!N=@%*oD%R4&b=OYa{i
zUwA*~Lhu3$i{uM$)i3PllJ5I=!IXtT;m);J>#iLtS}}1F<Ik?#3+fXEgkGEwZg_dz
zEoQ-@hL0&7oQw+>pJ3!*Jn3P1!&u3aQ*<|zQPEo_i%SQNt(><*{{T~x*j=8dR}P&z
zp~LxbwMH_}|B^-ZCk&F}k~&|=TQKz9He;S)lFYF8`N`r7YC8n3y^6VVp*5-YM2s-s
zshIL>=Q%lNCe1CsC-$X6*Yn(oN!Kof-bku!s!$R5T)@!BeBr#zrk>(C+lnT<xOOg9
ziD%&)mcQSxU0BJ}*|SmO>iOMMcsp6T=hfIGpSyA)wn^eIQ%tuMlgwiu3CoJQ4QkJK
zd0jd8PlPX8?EAF~)(6rGSH7Hc;gXEZjos{zPvl;?_)A5``6K)F>*w_q{4CbT_-}|4
z+tXp^r+@L{sY1PLXH}9u8P^$}W8U!T-}B@Gh7B<<uU(yT>WqFz7{|p2f$T94)+d$6
z++VS-gOlrV#EGdY2LftEPHH_ksd@e2!(@g_2L+O3Ycg1scYHT~+jnhS{i=)mCfg`q
z6fC^5mo=H;^v=y&ukM?CV-{<hMeOxM%pd-(Px3d2F-|&sVJXj_16D<r6BRx>urXdf
zk@UGGsebeP*#9e@JV<8f?Wi-ndfr(2!6OCG4QvyllrNp<U_5v4f$GIR0XBxfn~ys#
zf3%*VcI}+_h3zbs4(2ay{FpviF>v#}FknpT@J%{XFhly~T7%^OS1+|E$zQtY7~`|w
zizjK}O|HJA1s{`czU>fYknGehp7UaPSH@&E?Q2J09x=$CckOV9<qh96|K43a(qz!e
z7_)w2o#BO}Gh~yl9noq@s`j|ZnDk)vWG41&^~o{Uj{Ra`tWW;W(|-7U^8XdapBtG@
zJS@3#Yzo&bpDV}abLL4TT?k6P#?-(m=+MR3Kf%gjThHgy2meeCK62&w%c(32uO8c}
z;t}>T>+#FN8=Id9yqn}`eC@<l=@)KkOjl1FNm{@toOJ#8lnX}8Oi7x_cm9`NJ$d+e
zQvCH(yvhG<xf|n-KYr14?QB(l!{Q)+gP7IVFZ8{L6cFK>A$;}HHIbm1*DrgE1kJp9
z$y+!`B__)B=dsxxX$#gEe-KtWpnWXDu`siy{l&yd5w47KS8gW8Hl9)57qfcvv)xy3
z?^Mz7PSTd|R6Qw}Am4TIchBRCWtXHAk{R|~y_J%2@5=gHS02paO3mr&7c#gaxA#Q)
z3aO3&6_06&FPN{aO=f6(yh82CdZy(6=`oXR3XYwyGz#LmxWR0uLClr)r`y6$7OGwU
zJN3ucgLN@CO*|6?GnN&^#`N7Zkn3O;EZpy(xNpZnCy_-lN3Kl~Fs-U!5xz2AT=|0h
zO~JND7iNoAuy%0NgzRtFV|eA`k66Zrke-#ZELA0vFHB6I5Z@TxbEEj=org@HCK>R>
z{8;98F_$6nh`)v3=@pwK?=-L^iB@c2jCt5Rd2WoJMNZEx9R|&wnXlh|-rU$<(ZO}3
z=F0hoKQpdgHQLiBIXl*Q;sM5r5~Iu`S1wKZQ{SB4*?s)VWdo**m+U(DCT%{DTA|WW
z$v!t$<$nUh=2yOs4Gq7O{$?Z@FvbK=<`F7LG1O&resR;&L8XY}{06xr=1w=oZ_c#X
zs4A1RWrIe-oTL>Sv_A?9Yduf05l(8H@5O$<V$PIUmWS82MoNi4yOMAG@}1dKIr)i9
zlPwp1-jJ96_44xAGuJZ3dS~%>#!ZntwYg(``UCrG-_5ROR`)KqnfXp->aM?*o}LXY
z-1Z#u%dRXrdo3^R+QR8m58Q0Lb9I_GkLAOPv}+UlrzJElH@wnctF}+{^0f(?tTT(R
z_n+j{-V^_%Q~Shx&W;_K8T?;dd2|=>KRzka(rGtgA@?cCyHC89ui5%(S^3FM`H5)~
zSBs9X-STTf(Tr>TEf#@3F%0rOS9_Pvc$_rhw4j6&-$|zQiqdIkl>~QPzScc+-;*=f
zd#3X~S6U$#B7AJpN=x3H15S_Un$MaunM3Sq_sTH&*eh#TXD++e8z;*<^Gf$>pL2Xy
zw(uTbcC9B))q3WY?q5rkTX{5lF1lXt{~4s4y6kGVU8-2_^`3oGc%83wuk?49pLoW3
z!+nRF*LtsLi)LnBVC}wqy?eddL6E(&(~Yile_iS0zT%E(sPDDDy{h{cJrI3pcBT7%
zPSOksqm;hRMQPW1Qtf!I^;)^I-gug7D*fcc=PNy$>Rg|mhyR(`$gyKSW0LUoYcGDP
z>9=lH$!QGybNNcoayJW~YrV@wSysH|)i%G@<88ad<$~nJ?910CYO+obzS7eh^P4Rw
zMX>y8Z_$B{E}r?H)9<BlJ-p&F=Rm8^%1MQ$SNl9!oo8R~*}Ne@leK@+H!;KJS1kQT
zS9?^M`k#ha@BH!N2dk^^^`4{27kVZzUJ|@wbMt!7o%apPX2f3aDTvYUP;EQ;<-!7g
zMSTZHAI+F6{l6!CO<;@(xiZ1UA>BvT#31&+Z-?8QvnL*GEazv6X>xFA*%%Yv{9GXB
zE`#(T_6`N(n1G26b95Lq7la(Y)FRLrC$&{4DfNK6+=AOWNvjsDaEVL^J2RuyZgR!r
z4)Ig_ZB}|0OiHr2@MzweTHBTB%dS?sC;j@-sWjnc{1NZ|&Iwn_cFV;wPTcb3pJCqB
zV(snMBobp|u9n?mb-i91>ygYbbKmSI0ZcJJWnSrBEwxmbx-4X;rPkH5qU#rnIwP+Z
z@4WVf_aYb1=2qc5^2Jxme(o<j(z%lNn2zOzeZFiH`53(?iG17HEx6NXZbeb&>#HS}
zw`?b0ZMjyqo9AIG^W;0-*Gi)$yv_D{1aevnv8^ncAapn1`k>DCYh`PNPhT&LoizCs
zBP&~I&&!|J%3e=loqnbCbugddx@%>@tTW$TFA3t+F0#zKRyLPcyXZ=3t+v>b@7K$g
ziiX8pDXyI)Z6WsQVfJI~>t*jmMT7LWvUXoDeI2g$>)rJ-Z`p=GbKaf1ua)FKRgbw|
zwr`5+va6-LpGjRReb5v8?^<a^%*<+q<o|J#zWjVNc|&B*IySLm9h)A%kiB+3=9KHv
zD`zjqdZ|6zcn@Tj=%mOi=hp>`hJ!e=2P&_e+ZANO^QduC^i};^*UGMHt3LaFy>xxp
zC!H(jLN!wrA{}2}Iln7dwgBV})8}_`_C!?Pl{|MXYmNP3o$JedpG)veyYhMawWV9G
ze12MX#j#ZG0+XH31V#7Dj!Y7Jj<9y1&i^Z*%>RF3JYd%(kaXFxSt99W&$Evg4Jtcc
z<Q$mSBl4o7cJ7?(pEtCeKe?dd;&+!F#YPt{i(S0Tf8}=)|Ha=->8CC>9yur&bLH3L
zs|7{Zq$Wo(^j}Roe`UY=l$zrg%3@F4Yh;Z5U%;?g=4K_srfm#+9`3VT82KrN;lzdP
zhp`OTYjQe1C^6jan(5BJ<6f-7f6rKkeGKooFXYA6{P#QXD<z2G_k|6`R~zN!(l6HU
zl5UnvdOxMQaHq?<E7mqU5{}JHyRt3(X4mo?3$JX~i@(;S&hSzFLKBC3awEsCC&s6$
zlsGt!bMWv_Ue(ckapRS}zD#O%*ILZHFYMdNBzVQ$=Hl^V7ZzRF|9#(;ws2m-eOLFN
zyW$mUcR=^}rK;EqRhIXS#g4CF`@xvhacO4?$JZ;LHt*0Zh`rF@cSD~+*zWQt^J~rJ
zC(X_X{Jp1reBQP2yUH<@44Y@4Tyr(z_3xX>SEjtvdB85S?m~pgotWL%A`CyjobgE7
zD)wUdgN-pSu1xDbc`af#>xDb~>ypGOUObq8aq3N<&#!$8!Y@SEFdm3M_jdP$18NIT
zDDUR>^t}=LKkr)q6K2T;jLPp>IImxgIT*`u=JWhVS9`6C?wswu63r|Cx(25p#w6*`
zm1w(@FXlh+y&CoS%jGDUE0KNAHwavvGE@3O%h?N&f=(9uvz%w#sJOtD^ZvqY9gYiK
z8<=8lU0^&=8?*9y_)(KbHxK?va@-ggajINGc~h9ewiv&p(+;{tGK}I^f_yJ9>G)j^
z)abT55HDFgV}Yppg`f)!792^M3${o)%sio!!=CgYZraredF9ul{yvPUx)znk{9^)B
zl2-E1(5sWIpZ|=1xIB^L!D5>SPwXyFO1~KOV(a6hH{NZ$GNqIwX|-}rEQ8ISn5)yC
z{&3A%SjMAz;LT;bqz0wr4T*0y%<kIOSlqD4?#15OFE%86ueq-geSMZ)q>=sgd@qCM
zeRp(pug-Iii7FQQ$oJBjvHPV|#+n<)7al*7v8Cfup_1YH{;LZzbaXBieTsQ|E9<1@
z<158M)2=S{XV`4^VdKff<^rATWx-9B&dL8%ua&EYU$xcDnK$`*_k$Y`8E;sAY+Z6D
zrfErYOz*{AADfqS6j}Rm@}1aWu<pWE^@3+&hp%N8nH7AwX0!R-mFzVo3Ncq|{Vv&w
zbe;Hjje%pk(&MXqh1VQ9o&5Y5jBGB11aUa=9Jfdkx%KS%Mb|^N4`Z&mK5=Yn2xw(|
z5_Z0kJ)+rla@K*nyVbp~?sIF%aM&wUq-ilP=F_th!QK0QJWQ}zX*a3M#o<X8r#r)`
zX;)NYuNSKRDsA6-H2MG4MMr<xKKsyW+~Fbj;HB8#O?nFdl77X+Gc?}*uRZNrb=lc}
z@2{KOx@NNJnqf5K)^po#OuRSqiu$c9vnv<M+FVcE-EuqTn*8&1vo>9cC|S;{oAR>w
zO61B@`?gDVwtc^%korRNhR=)7HXm+@T?&8v*x)AH=fCbZ8;@_k78LSySwhhj$*()N
z-n?^NeEPn$(^rBn)lV|!=R5dtm(7*nyGvCM>RbuBzf|pD%oWL6y|Y%|uZurDcf9C|
zMEK6L7k6AaYy0f#*B{%iKi_jL=xLDq+jUo@?4I(fUl-pObM4cW2fSOST@Cs-MJ?#M
z#O^7gx2}o3_PMuw+LfSpD!G5;4!CbjdMmU1`PGf*u7(8Zss*I)oN_hjXRw&zx~md4
z*BR{^ZO&f{I>oy2dQg$3`T_52!OK}E>Rb=nzu^7x-nRaO@f)uOJvpHAq$c`cj?LA;
zr`HP1W_C@x8f?Pq`gg8K>y^OeIUQL>T{TAqj?LV5HSqHL2AezA#n?_95Q({Vv4hp-
zO5og%6FNUsH$|sk3sC8JEVtpJ-_MTM%}XENx#-gv%g{V&{}1hJ-aOZZrLrfi_}2KT
z=JWfw&INP27*=(BURhhw5S*BF@rZH^&w~EWqgVNU<~>Wg%GYx}yEFLwRi{sCQ*WNW
z?wGoD)})CdSNS|yC(gdgYjk0Y+FT|7tB!MD-|@M|w{z<@pKHA7=caAC;t-;`dHv)w
zk1S{CTyeU)bE%xo701w-S1RVbe0IfY>HDd?d#*Sx-91HL{tDmDQ)f+5t~>n<Rux)y
zh0kvC*<;ropZlvVn|YP5ZbmG_r)%7*HqICS$6vDyJ!RT(#o}75k+a)9_j4DWuUKBM
zKP4J|#qwJ0DYc{5EW`Jz27J0=5xUg9!6M`IhR26Au3P>N)~$PY{%_IS>5+3URi>Kk
zKlichJHyU1_pSWYPu;QD6t{%!-r4J_6$bj9*X%A9iZPwI^MffS^nk(-z5|L8V%t*x
z%$)M`>^rY2&v$3OMNL?K?uzOa<MO!|n<v*?nmExs{_IcDp4peIq_iV=Hzn$Py76g7
z{v^8%`WLJ@rQF}f%)ekQ75L-XB>B%vg_Ez^WZypX|D?y26JKv$v3Zqqg?a9kviCPT
zFPS|FNI0|ehSw$2CjkX>o}Zr)BhM6n#dznL-J8yo{Jdsdb^n?`?YSEUSKT7#?^&Iq
zwRdLg**R}-@4F`8#on8KUEuYeg{CJHR$Ubc|N8FCiEh3E=Q$@&&g2&Ve(qFQgS5@X
zkWWqqGd4Uvb>_r|g2wY_e_jzfwPMq3#sq<+@`6?O>L-+LyDBoN@PtEW&J__=Hp%Pf
zPT#yJvV-ybnNL?mwt7g)oIGc|(?jv>d8N-4_1!mCIO^406@64O@%f$K8|Us%x+pf8
zi*3OMarHA-IC;(P9%!C@Rs6)&%@>ycoVV}hnJYIN6N}GYy*A_So|%D?8^z7GT@&p-
zBz^uycJvj|kD8Z662+3PiL5?7BYxJL-Lhpd^LFpLk#t>T--#He`YR&ee$LtbGhT`9
z&73*v5p(vev<i!vxu@qr%r%j>kF*y$^_E-{S$mW5!Sp+4&NavUOJ=wt`qnvVb*72j
zOYibC=hI)vZGP!{^W@i*f)!^94#yn-k=xD~bKukC<W=%#7jeyM=RAIGqi}chu_fPn
z+$)k67JOX9_3VLK&H1<L6{_17oqhXh?y;ahNh{4OSM5_STDdfPq5Y?dtOe7QD+=^i
z*4j1N+A+SYnf=OWlCb!RWQIm2t$8Q?%}#xsShb9kk+on>&B>2%%vWr#oU`QRlsuWa
z7x$jKk(zww>*^y`dlsJgI{nPr?CM6LJ&$HAoFFQ3_srMa^fT{f%sV=J%gM^>^IyYm
zpSd^Z{8EOnnsZ<CubOGkUvO?mT+I2UsdF!>cQ4$2N#krMPjchZH8Z9~%(CBn<Hw0F
zy({~>u1l<+?(I7DaN*g5*BT@aBr|j-PyE=H#J(m)|MvOcYIF4FZ!|c&y7Fm{_4(J)
ze`4%T7L}i0Jab-I&Y4GdJ%!h@ygt@gF>k7W`cjTf=O5Rq&6SQm^XUGD*#E&FFHc-8
zBDeA6(Q`M8HATewH{LpPYw7MOqCDqk{|pj+_Uz0ot?BDyX5Q%Ea@BX~%&luPZ8v-V
zo9A?E-h}Aos!~2@X4Rh3n{?*p=h#zf2hZHP6njeb;F+73>rc5)I6t>W)Ag4BnOotf
zY{k#tES^41`t<o*o75J3I&&*zsp~nNGq>)}JX5{-%$<;>p$sS9_p_c_m-Ie)V$Qlo
z!~PHVwtc*!Hs#E%rEz9IE9Xu)ef;K+my9-NZ><l#sdN6;bbV8$Z+y)2Ka29n<-Amz
zcJ_97Z1$HQtd7&p--_%0E4Pn%{>H}hb9UZdaPd&=nVZ2$oQg5C<NME_yybo=EJ7pZ
z%<VWPoj<{ICZ3#7bLRGDCh3SXC+{3#Qe`}MXET%NkApk-Hg7CS|FHdYhtBhvUT0q{
zNk4l}GG^=X4%L)1s|;5yxGvXOn0->X=gfk;C+{7d_^R*h16BKt55+p0viB@a-PwBn
z?4!${V`lC=#Ps3Oq5tO|U)r2Bx4&5I{NC9YchBjcdhSBk<9(Y7PYQ(1>;JZI=SjxE
zd41l`dvAZ*=@~Jn|K;(RpZ}cI7(bjo_gHP+5&v_KpHFT4bpBC{-t=!^&Z$lF`impa
zKfbpu>B*VLD^JaJdpfUg>F1+4sdM_4`=3raCHnN`#)>nKR~5~@A9?2SFSn$>ONt}s
z^}n3LTcr5G=FH=}sY@RxY0vL}dhe|7<TH<+TRqiZa(?H&DZGYfcC0>srZDy9uFdoN
zKQ9#xjXAr$+{@I=b4%Qnkac=bUHdMoZJyu#eW~vEoHMs$XC5uAIdki4?z5CnS3)kw
zt3CPM-xzh~_FAp!XYXHim_9wGePOoE`K#J<GQ-bo&uuwtbarj!o0%0cCojLbRx$PL
zTFv>Lb2rExJ%47)`57C}-VdohGvVIH(qoJVF88mTEw4TKt4i(GS(_GhpMEs){D#>7
z6OKIpAiL+5_0Ls*3_qxZ&5GU-bL>Rs^K+9oo_ne?i%Y3<X1M~htC+`$XO*+$H>u`)
zSSfb$`OR4d7xX`$dHyBx%wjF;EB>{0bJDe}ulmPNJG1WlxqE-&<`n$<b5Vt3RXAgk
znA(ZgHxK=tQ<#14@CMsAS5(iM&A2*yRhxMC4#Qoag&8c_9waFy|F@sW+<M|di*4Vz
zMH~1I2#WsUin*kgn6!4|`_C65lTMvFe?{H9Y=*yrK#XC{Rq2QGE9c)^w?RVO|NQS|
zXEyBKenISUW2o?)oXPsvHKtGS6_;CkV$VO*3vz3&XjaTAv0U>dW=6=5ixQhp?K!Y1
z=J}aDLX3N2PRuKLb7DJd%v$>y4}0#OSh;b+$Di*uGENOlJN5AV#@Q81Vkb&1x}Yv9
z$#nJn#{P5rv^Lh<6n^rah4bvboj=Z=nYVd?yu0=CMVpv%FR3}-eXTg{%)Xm~C+0l#
z-*BM!;G8nixRN=g&%U1AYj|dbPXCUL)6VVP$ewg!uYjXMMJD%&-IYEucg`$%bMwTX
zn+x3@u9#D@+=6q%!HB;}PA5+MxggzXuvCSSlObtqOhD2p?i(j%D;dS-6kF;yDwoYE
zu4%YE@66t38W(Dh-h7gDLH+!V+sQZhj-T6iY5&=EJ73Q^*{$IAXMU+oMg4;FMFH2(
z*x$P`cg@93H!o>yT<}3zGxFKYvl|U!s($?bk(4l}Ogi>|S<20&75x`py}7Ps8q;@a
z<IMdB9zK41QHwum$&XluV`ulv#i-9ez3=e5i2rlTt-DY9-`H+i$$s%V)5^Q&*Gs;X
z(!b7R&QyM;?DUzJ55Fd#Kl3Q&!v6CUe2&#zVeDt%vbm_d$?(Tcr?e%%+G8#;h|hj7
zhe1>4$%RdcHxAU_yrDgj!S>Q-^N$AAhtB;yY`(&PUrhVlziTriYR*o4d(A<A{)tIf
zW^FwASINS16Q7mw+5MXy%GviXyf-h(bmQ%RF&#|Dl1_c;&d9e}{48w8^e2y{X4sy6
zR6ox`(c_`vTZYhWXQn-2O5XVD?B#FscO{Bz-<whPi0z2Q;e~tUA}?*;c=o~5hP&<z
z%hsLm-ZZx}>|f)Xm@^Zep4%N=n71cs#=eI>N$0Mm-#@cydM~SN^290Eh4LexB+isD
zJU4spl<w1wKj)uWuvE*^bIUQs%86-b{=C*tKeoNI!{*F_?`)^$CNq3n{mko4Bg2jJ
z-*?(QmF;M>KYHf-`KwD+H@uk}ay9*~s?qs5@u%#zoSA(+_LRHFoRHh0YEJ|1pPw`T
z>D`kcLA7HlZV!&d9<=94ob^NTUe|(eZ_a)XnYw!4oNwwUOV6H}dws5~-lsFOLzjxp
z0?90A+1%5pd4A6OAl`}l&dv7YbG~_I&Z@WH9B-VTGpXTHe9EV@bElsBK0myadEc2i
z=TCg^J2d6Z+3$0%_ZS}B7Iyx7U-G%{Q#UP`GxPkM+_Q7`UQ*ffK=$P6tLNrSXOf9n
zfBKu?gtIIg?|9xmH}7QE`tx7s%@2`{KQnJr*X5mEX=mn7zBKKF@sUgO-n>{j`{GaA
zW6@h)on7#K_t~yj+c%UwJM(e*sclyzFRJRF`4~EL+WI|nL!Qj9J-Tx0yfYu?@1MdO
zac1Fqt?6@x&U~D@Pv!FtKEwW->&|_g|NidzuJ>!Vd^+<nc&g~TPiH<Bm1>%Cho9-1
zFI)ZT{Kw$!=N7)TPj#vMxO&Z*x3RHmUnL&@>^`&bwO(rIymJdz$EgL}JoEOo{?oi4
zXBMvZ5}kDO%)(W%XS=dXuirmA)p}yU<@0mZ&eqMG8GUBPsrgE`BX7OkI47d)?fJOP
zXTE&je`eSAbLYOq&7GsQf78i>X&*NoKeHw*{p_*zw|_kCz93;bbJ3<VVTWQ4?}+|r
z+G2a|M$+b!e=3@fS#NByi(^PqjQww)%usf+A$RknMUNYNP0zjFA$U6C<GKb8xxbGu
zb)Spec8!5aG)e0FxtOw3uh$%y`JwdG>t_e|9bMpFvBT<5qt>HOzs?@o+J9kvGDkzK
zxQTcSi*Hr_`gQ*{2ETb)T6xv-=K25rap%ri9iFvk&J~8PGaH`&J#+33V=}|y*#GBB
zXLwEg({(V~^u)0k?M)J^FVAmsEPukWwWFlHZ$|9K8LiJ2@cg(m;byXWd!g-3u1Rf9
zXD=x^h{Z_r^cdD&Q~o2=>J*lHS?&Cpi*bM5R+;bgp23)OzPO+7hWgQyB^!)yX#Tjd
zVq>%OyfYW~f4^b)qjC1}ha39OPwZzpQ`vdy{H48?OgE(3m`+rd-*7m8vMS>2W$WZs
z3(s7Bo5CV_uE=Ws$%#K+$hR-<O#XkS*z*3_iH1z4%5;o(JZd{zUUcz*Y5jpq_s(6e
zU^=C_@xkRs=O!jIovG<!onbBR$iN)?-;tri?PMJ%uiCl#2gwY}V;bh$ss7`-@OsXv
z`V&_~=g(k1G4svYD<_zE8z$Jj@jG+n1e5HUE8dK;{~eMU^!m@VdY_rHQKO-(??;U2
zpQNtKO*hV7d3ow!_OayiSI#9}IJkb3!G>E&=dJ{&pD6j*?i42<^S;GNmxDVlxy>m%
zdse}>g>4mTElz819sb#tG-t!p&qscINIHM{v#P|4NUMe^?>B$mpWN_K{*#GPT&HmV
zNmtv#hixpkD&l6&+cV*_W$TpxjWL!tS{^cq#+;jR=J?Ki#}2%Xo7e0VTM^Uh{Invr
z;d5*7kq4iD-hbZeyjS~o!{nXkY9(Smn0zW_6gzX(ET-&mgX6~=p^JIuJvfzQbmPmL
z2A8Io8YY*RvyBg9K6P@-h8<{hJs4AcvUy{C!;Ai#{Ia{7To1<n&$v)HYsNLv2?nwM
zZ9M`S=G6Xaa%GGC?|yvOE5;kv{X5=oY;%hjUiu-Kbw@12{xhAM8t%_~;P#OD!u;bM
z^Rpg(=n|df)UT7nu;<{P$T?2d^=H=J-*+bS{Moh9%g(Rb({T0CxwUJbF`W<DbT)PC
znXN}p1)SvWzF5I`t&(GJSL)GIM$gXsOtO$YyLPXbaVlf<+0@kb;Ju%BEqT_iAX`0G
zcK_L|(`VAlf1d5Sch-6DxyJmPHBBdDH=jB6IsIJFt&>wu*8JP))_10St(K;@U(2NE
zGZp1(Q<I|4l!u<;*}pR8`I++F=gtIO(G**ueP-p|<+64*D|c@@Q~9rWsrSz_<-5Gq
z(jw2S-1&Zr%cPA~o6oE)F5S8H(3*2AW48BNr94?Mll}OamHzdbqR-;bl;1t&o7k#W
zC3!sQ_PKKHauMq@D?@i4eO+_5yw+~QxyYIB=T>H>#(z2&{O;3>8IRADXForoac0Hy
zT{-9S{??tH|LyFGPkLL=#jzjT`=&vC5v$|hcBP`o6DvN>Sg?_~L-p{_#^@}+p7KiB
zu(K;x`R=u>JF|S%rfqCb+mz;?KD*-7RkgkU;?Lxit1Z=zKa&+Iw>0DNvhsx{$Is=I
zZ(aKQ^qHJ%v8PlFE`EA+Cg-)i_*Ow<>$B6&ntaW@f9+%H6Ng<5sq!}+4*WT1on^}E
zdCYA3i&tB^TLbFPoyopFb!Ef(ZA&eGs(nB2|McwCPiJ$!E>%7J=gi{L`MzzN&MYo3
z)l@zD^JeV1#joq1=JuXhymo)hxd}hd<opYcJu~fWdik0Bu$L!m&Lv3Cyt^&te67)W
z=kyy3&dxFR%ANXK_4x$dj=gK<EqHc*{nPLN{%ktCe){^gXG-^+wbs9VuDrVI+zrML
zZ<1fk(OdrctaIqe1ydK)M^30nWIA#8=qkn^C!F`pFknmmUsnC=iP@$Zh797&CyWi4
zl1$DS-#8XCp}RR|bIgpc+KT269X&e>&$y{R-}vdoowJ{g@yA@dG(&IW2f3sTZyRG7
zX3tBltyq6BadV@YG~=Hbt{rb~o>=sGPU`GgbN~POu<8TL#9RHdHkMb2e%twR=hSCs
zyfvR6@|~1oySrKa=ZRCF8!pbC;b1-|>F<W$Cv87YTrbVEk&$=b%&>U}Tzi>j)PAjC
zny|B<=`Q2cGv^$?A6xNc=1i@yM`=B$oHk6_b0F#Dh8bs_d6;7Uzn?Yp1c%ZE@22Gc
z4UVx1$qel$-Tz#Y{CRfEnt9pDCk$KvPdHQ_@qfZ~Ua6SAr*|&>`*6<u@_Em#Go)po
zZ(2XG-0+NNXxT%*nv-$IHr_htxo5&pQR!VP8Q0HDxp}&8;(@F)3Hfm+&-bM<PI44I
zu{NoKLF;s4`uYClDNMJ|`@T8Tufiz#y5q!+6a5pJIM4Ra^=I0CZsGg0zEjThPd8t|
z@ndb$iT;TU>}L|+pZLPTJWIO&Oj3Uzhj7KS`O+shI?dnUY}<UwD=C|c*XN99MUr3R
zT;ACK%j(Z~K445TJu#t|QR_g?&PO`RC;IQ5Xnzp<-+tyR+i#~&O-x~uJ>xDH%kXJB
z!@+YCuh;#!WpgsMLN@7a%D-<DJCn}%ugbUo*^yGB6tvJo-2OCk%2A0+Gn35!F*aR!
z*uF5Vwe7Rfo)bwCGtcfh`FY3L;LQyuXWjgAbWX1Q+!H~<pSlBgH#Jl?L>T|piTE${
zW9i4adC~LFOqrh+Gh62P`N-UpJ9lh78)Xr5F3M8E|K_vnXQSSH`FY*qRMej&wZ_hf
zMH_?_7RI*x&+@2C`fx$V_V9^F`-OV-VdrM7Ih8;2e1!U1&n55Y7Jl3_<22XP_p=HG
z*F9MMZ%@*fj$dqoG2%~>uJZWIDct}0Onlh$GdjO*&y?Ss^kwtq9~)xN#FwiYF;rUQ
zor_-`+4C>@W5ZXq5AKl`Tc>|p{bqhu`M-G<AE*8Jw(p$x-Lt&A&#Hgg+q~_3&D`9U
z!fP+<*PJP<oq0}S+ct)uKeuc=XBn&4|1SEBRjA(7Nb57XwKvyJ*>o=V)82EIZ}X-v
z%Q<*u<C$zwE|vK`$E#%e-ged7XDrJ#?YCr~$qt{s_q2Nb`K<42{lwm!bp84N<L)V`
z^Uq~(U!8Mw`p@%OyH7n6OFfglR_iJ6#PeD2Z%_QE{UPRG%=xVEnygpi{>+nHGs7?W
zY;o@WGv?2`_{}T%v`$XnGuLy{8S}SZAAH`#&i5?tJn`MT`=k5Hgs{1uo)?7voy+`H
zbYAFxbVs}YkMMP8h3203>Eyh==3Hj!?5W9n{=Qkl+W+@_#@*8|6#l-LeX-~4Tvx5D
zdc8mE&tzQPIoBZPjKSTVQ~USKaS57w#>jg09M?Oy&l&tOn_KwvOvY=wRN1CKZ=P#C
zUKY}sBmU0#yg;1J;+u_0XQ%v%t3Ac5d?q70^px4@Ga2{)E_Zc%b0!0H64b8rli}wK
zR^NO3=*gLk^;Sn^_hd}IntiVE!-~4>uo-fjJ02wMk}san_iq`C<+;q)vLDuQ@vmMk
z@n)XWc5hzo$}<_O{p=!co-tSzCK@pBoR;qy`Df=@_pGc;pJ^+rJ)bl6td0K9hJZ6w
zt80(`etM?r{rTgcpPi|CuXl9Kr!x~GthSwH*IvD_>&B$V$7iV6RXj?Xr>Z*tB>RH3
zhR5&LZLEK|bw-$c*uM=C69a7)wI;J{Yp}2X>951RA+v!yMlgvbcEZ!xJ)5qkFPJL2
zdc)NXuXl4Q#N1$D598a~bU10##_M()V$Q_Qc)`c`enYDRN6Z8UwLc$z7)NZq!T%(Q
zjqAYnk4a^MCwfkZi7Y$%bV?g{{I>?t{tb7+*UU_PG+#t~{e+f-EJ-{wlC>rX$FPWe
z*?8pm8UFCfQ<fhaG~`O3@0hS<yXc>!V;?OaB(?wfvizC&S?$x?+wAMZX2d0`Pncz&
z^1qm4>N&BGC*Gv5+AIEg^0$pK8{4JZ-_IyL=oz!+NAIjt*B&z-e!U^-(n*OOM_(jy
zZaA&{mVvoUa>Me%qc5(y@;N<`J1x0;;n9fmQnxl%R-Bib#%Ou`?dCJmQ#MW!wmz~}
z`(*gB6RhWCf+u;GOaHpa_c(8!fw&-><a=h$nEi7NYQknN{=DOw#Y*|pXJvIAyV4K7
zyJ=X!m~`a*^OIF;KE6D`_^u(+zHUMP=bevFaE2fMlzTd4veV6@Up}9RNIEg;&XKQj
zCzK;%|JVIL*Uk0glI=Z{QxS8Hecdqo>YHt$&rZlBBr_bEV`M7Hxp7C(_v1h2osn1K
z6p)=a|D4>bzti8|*xt2V-zKK`yj<?quIaCySjwM@U_Txc7JpLo%}%MuTcY>&9Jsut
zyVUAm%&dP;Bu^dxSaJN|y%YwAoiVnDIvipdn$O6(vFs{(ckIKP6;evhweMHT-rUmj
z+~`eW*vxYu7wwzBL!|VCXpCB?eBHC7-)^1!K5I*F`Hh&3hDSH_T2Jz@(G+M{8oOrV
z4T%GfPM_6^+wfqqs>}3<KW8=9%&>U8VUp=h?Fko*_RRQvsPM*z8#B6FV;fe)|Jl%&
zd{%w)hV=d!Ut(`CH5#(V{-2N%%P`+#%?WYSH?jX$N-8t_lSpQ0J^b%PWXuc2EgKW<
z>lW|{9(u#Pe#0KYvl@??c#_Odi5%d*Q1f0UX8y}JF<~n=++e&I%W$B2(sz{@20m`D
ze_tESAG2+kYrlHun@-M{KO4T)zxlDIGe}W%24kqi+cPY2{M#pe+>|)WP#}fz%z4$N
z)3tgZ6mOm*a4vu9-}QSIERuLI-TJ)h)T5729(hz8d4?mal1qKcVawCU{-4VEan3RR
zbcuZDb*p(tzuGZ!-T2QF%kcF<^1nC9jJKpd@0vA_<Kv$Vsm#d?^Ip$X`uKnI?S28)
zH#_F53veIfJ9TEpWBYS`o+o!CpRrl*+qd}M8Jl0Hdq1X}VO)J`Yx>i348Lz(y`<hV
zX?Ev>Gqzh-pPqXw^$g={y~#&s&k>2=clvp0>KxIj?~Kk~+<0bc<)t-e|8UM(AR+!U
zgL})7_bbk^dRCra_%?;Xbmo5f;~!onEnHoFZ_8Qh|8qoQmiz2`b5OtHjLquzDFw<8
zo=v`T<=}?RXKb&Dz14lnqISl1{eGXlzptIKeRaF*s9pqP%z>XrdyAf)V|wlL^xnSn
zjHvVf4k+{g-g@RAznwMt7WQ|ESJTr?Ulb-@pLf<~zqYEYW#Z3gzm6WxJ<G6^W$oL8
zUuGPA@-BDA%e3Q9=Kq+|w85}-%eMt56FDYacR#q{0Aq}L%*GW4c558Br;EqDJ~+q2
z;;Bc^n&1^?hc6yr(yri$S?GTBtnK+n4lch|<hF)B;J39tX|!qK%h_VmKO$EgSfTIl
zak_4^L;`cnz8^)2Uk^CQR&RK7?LqgRlWvu}`q^XuuSt$w81d+HgLBMQkH6Pea(&z=
zsCM#@{f|}GHavR5*s;*o_78JR<BwyD59(R%NjjXO%$Tcx#<3&m?l%wR0Ouo)i+&$q
z%42!|!RSPcrTmKvbKb<{9I$-8dExm3{(7I5sGM^S_Lda!u#aF$KI`&wVl3mwwoP8L
z&s%0}Y?OV!E%R-6mto(7O^ixgvTm(qvD&mf>;AE6yfedQopYOdZtmgK?OEIJ|M-#i
z!Rq6I##tY%-CMRro|6mX6aUnBbDng3`qbV{=iNSO@^V}M-STv<%Kl3Jef!r;Ra>Sb
zYjaL+|G8ZC{qv=DU;CcqN#Oi_#?7x@Q}n6+8P~h-S4=Sv`#&*svdqqp9v{!Se$teC
zl>9O8jN9{9L8hCYo!9qf>;ATIw(%LguQQK4ONm@|UVi1g<Ud=c)~mWL^GvZm;}$+$
z^>9+~<-m{It51D9fA)8s@;1-R8%c>`^8AOUJW-x@=f<Rul4sne{tI$7shcCY^3>gD
z)6TfgU$yt-xkWV_*PM4-Ba)xen0rp)WzAFNn`fl5ZnikDG(3AwWYZz5!t*v8#iw>p
zKC7f{eKz9x(T>|w_eRxqD5u;=JNHKX{~YD3dn8xX9DaG?qjt(GmZKBh_e|Su>ac%B
z?~l!uz51^#ejL~_h5tzM{}Z`CPtQDlDpD>s?*ERw##lzB9~;e&{XcZ=z=isIhnxS*
zP)*)>fAdKZ?y$0$j`}wzxeV7YiLIM_VDpLSv<;!AYhoEL#H&9rjJO>$ZO0Bpsf{9U
zVm56kIj(wQe*T)pJ60cJnYb};Q&Ym^BlBix*B+U1ZQc(KKHZAg|JDazo!H2#I3d7F
z?!XiMjzb%~V%{A3G?9(X`lRArnJug0cW)?|5WpsJBL4cHNryIGJUoB)fyc2A7-LqQ
z$d<nswV{SlE%|@#?;R&@ZmZ3$bDwZ9nf<`c;*F=TZK|C-=iNfi&qqE^J@#*A_lCyU
z4whY$>kocpjcLq3shiVw=);?jEAA)HwYUB8Cr0{0t~<wiBN^6(Z=aoE+kEI~!~vh4
zbL<EFTjLG{9Nkcuzb9$J!w>xjf+}Z8^Tz(q|8XLTQE=aZ@Xs@B_+#GBmi~E0@ZR|q
z+4aXx@lGo0JIi--=Y$z&_>XUmnQ^M}baBraffGLGkNy3e!#OeMlZ57k+{75k3Hh6k
z|G)G8)N0$Gws)po+`V`5|3h<5+|&NnV7&3f!v2$MuHN{%!LgO;!upRhn3$6p{=7f6
zXydlYrb<fbWsDQvZ$9zvL0s~I$$|{*Y1d-Utm!;{LWMWx)KM0l^Cy;BZ`hR-a$<Sc
z*)^qmR_#(_P+Yxd$Io5&_@k1FmLKTfJni1Dh{?>32M(S+u_^D{foqeQB@exIbvgU*
zl)yxmbj6T8AD2#id|=lpGjqX3?{6P?_<sG#bsag=SWXDZpI8{<XR@U;LVHhR_cS#=
zCr5_*ScY?N@5W8NcIr~M-nBWLjxiw&nPC@wllPriD=zl+gv08SJsbaR2<2wnkYpd@
ze!`_g!8++8$Ne>P>Y9=|Pqh7gbD}i)=G-?A6K-w}?hk1=zIo$Cx$pZMyeu0}|30&J
zL()bcIYvt+pOXfTI<d+Bv)R{w^1OVcl3)EM!{-aKXHTuqN=ao=?8*>jKe@pn$?N}#
z>_o<x3xe#&lPCNSj&WiC#IU4d_C@VAr)?DHCMl+5pD|NsID75hNxMHk)=hZNaC0tK
z{lD)AOg=E4=SVrH{O|lrFT;{EDr*=I$f#KczCLjA#unAHn+m#ba~wUfq3Yfx^*;|!
z-aN6*H{^8O#Lnc7*&il*#LoC|cH;ZGx+4$HebG34{H3#p#7l$wGh({V=&d=kNAi@O
z{gfL*FO?qNkge2|JGp$K#HI;%Wj|GF>pt9@bY|0jzL-TnVh+Yk*ek4+a^a(wjMd5d
z*%~EpHb_6ZdNVoZVy<nN_>_OiY*#vD-(NJT+{2R_e@6D^sgm;(-gh5-Bl^?p_4#eN
zC+w1zD%@K6IC}$Y%z{r@jFK^SNsCWDeDrergxj+wd@|iV@oU5h^Ro+O`Z*`<xipz6
zmZ9tS1^&n#68(QQr1nfWo78A<L__7%0W<r18{RS}3CFl?<dS6EsL`*p<p<-1((_Fm
z>H&sz|C_{%Z*KcG>8~J@A`2s{dXi8KcT(S-PdbXH{@2RfJoV@&i|dSgf?O%0Z<5|L
z$Vi<2p+BF00&|l5!J|ytKTe&S)XQ~2=qtxto~4X2ZD$Y2G0kHB>cEsVGwFlRzZpW!
zOi2^A+K0Zm<oRssW8Ll<hZt_f9RKk2tjwt+|4u(W_A`<zEAGg@b4NY|pU!!)kxhTw
zu~)vm7SoPB_v8LNi6!adjOkxXtHP2_+)w0g?09JX(xTUEM(ur`vyAbV&oNl`t$4pt
z?8mVWdeeJPoe|yo>IvJ#&XPZ8I<D30O=-C}(|Tcu`LPwtHWuW$ed1KuHz}}N^93XS
zpM%Y6KF#}2$9L$M9a8x6=WIv%jttZF3*;geNFCi^W^W{uHqq|enYNYxCz%yk`qv!&
zaCOrQ+s?ws52_!}w0V`!PZi&EaCgKxvDcec-cT!;`u<FO&YAY9yP|B9ex7ez`u)YM
zh_87cH~yJ_zAb!JcwX?o^P*L&JG?*qKPOsts`UM|1Afa{)~7TsIV<}9)70PdPU>tt
z*LHf+?Eh`bJAZ9HFIHwY^O$EzTF)FtvkC^qlWpz~9;cmW%|0)7-h7KmcBj{E##?2g
zH<?loc%5CrtM?{uN5b<>Cbk<{<koF8oi*#T>xt7v%)$rGsvLSXcV^xD8zG%cn%bH{
zf3}%UpZ+;qX9;8UN(P+;3_oJd-?-Y#bi(B!+sywT7jrWtJx|&jb1&yX=${!+lo=8y
zO=MJjbK~ga-+vc~9A^GobNc!5hyVUe-S=jj`S!APm78YzPd#3&zG|cXiqN_rwKhv#
zk4@B{dfad8(WKK86Mt?qUt3px{K2)U#hd=r1x-D#aeB%LulkvLo!(42e&zj<2fyA<
zIUck3>eZMtGs32vSf@ArY|-@N^IydteK2=!!rYi6_sVC!SKr}%)FaKOY3Y-nT~B^*
zKYgyndhWFklPhhfd<$JSDO2x{lTD-Jx+C{@PvKE*j6c5JRKIrT@drVw)9!6CUFprU
z;bdm-R#W}Z{GP3A{?&zmq-;6X9lN)=UH0km2YRWtvyNW9Hzn-Ju@ho~8)NMM&)9jE
z@$ZqBe+%Mbmdpx&^XsDEp`-)b4sD(=xz4OpFNZOvL-E?7H5)gmoHYD1F;1SbyUSo_
z(PT@$hBw!r%=<94JO5wI`F?K(pK}KepXtx9eE6O{F6RHFXB!vJ<mWc5pU`_CrYD)9
z`DA}~iua7V2@{S^=<D0@$Ugf(MnO>0`UUn+LKsAM?dFe3$Ul(v;cSdbhtH1>y9<v@
z5)@=GfAc3sXV;qp!ZB~A{H!=R^Zbz~e(7g4-&=MaU8?`-YuzS|gE0*Yc_*|f*c?sY
zeBiCcjAg4Y&V0b1bY#`7C)=`8r>tLp{(M~B^v^%+pD#Lc;L~Icfro|l5sZ&6pFeW!
z`I8;n>wlkZd3ru>yC1{)J#){-g};5pW@|K;A$DR<-PyR`a~9uZU|n<UP3*DVQ;uas
zZWB3s@MPbStj#-%Pi(rr#(d-H180wY{^>cNLy%`>{J+;H;vZ~GT2U;&d#lKt7bgGr
z%;Z1F9<y#jx%{0-iK2(Qj!v98`=IOQiTahBMUJ1`r?-hEV?x!lqp5Qa#4boUzH3F&
zii#r}KR<Y(X{W*=F@<r;>X++IN=nTT>D$l}{zG`e?c@zt5+Y;|Ts?mza_Yp}r9E@3
zpYDh~H~qGi_0ib<Cww-nn>Z`|z@B8rm5c26Pbj^4;;TaP{{W8m!-tZRHi+sSI~Z}|
zv{u>4tThi`rX5KyyU7vvVB+WLxA-dJk~T<xe&Ij+h5L1#6ZK`AMIXMt@chqD+nGm`
zm`!4$lf>3-c+ugIq{O1de3$X#fyalmPbAde_?-OWbi0d~jLpFZc02t4Z<5$?Bx>`G
z?*6k0v1yF|eEL-deymwDS6wXU_p_a`Z5txyKHYiu-;Jc_J9FQkRQYi(;py!ayvh<+
zDo<T}w&D1>1na+IKc|cFUdVcU;?miKscp#&=O4bfyXI%#1JRgAJMHt2zT1;@^2|ZT
zn9}3#@BMpWFRa1#Ln4{s=%$HvZ=dXH-jK|2aG`wBDutef@5)Xb+t{=7gyotiyY<z%
zCcb~6C-GqB{1xE}Tz^iyKF-+SnE!9Wp~4+m*CurDIcajZXGPrOsoTY$?<`&MQ~ahS
z$H|oQyY9?5?q=D((zJU;&RNE<Yo6_!e0<jao81@JJ$W27aije1H=Z1l2hw8JKWaY7
zBz;&~(1f9xHT<Y-5@P}5jH%}@&awOVATc@S;^_#%AG<eiIdK2nktu8LSydjMS9oBy
z-7%H*8!rp8=v?MYiE-1p`>4cLkfGLxh5vNrBaJopmP<Z($NGN4%@a(vJ0G3Y)L6Z{
z;^v*R53}pdX0BPi;rx#&N<A0fPhGYB-qEb7H(X<%aQoa;dEyy(<Ey>;k!+8Lf)<X?
zjEq@#Z%Fz0|Aw#p|KkVb|G#+H`S$&vXS+kE-8d|ivF6K%IeULrr-odaUXlB>C3^oe
zy~tZ<|E&BrJ@@n5{m<S^*;%xE&-JY7Exrqz&hEJp`m~Mj$wY@WZ>Rs8!lVCk`krZd
zwQu)be-|$HrEble-M@V1PWd)9Z{O|x*RL+M+p%X#UTmz|vJ2W1^{=gayITADzUxcX
zqSj2w|2jQI(CFjKYwO;=zPI3T-@fbFu4T5L`wxblF}S_%P5t*%c?+lHM}_|QA2U7g
z_Y|>|DfwIVPEK6dlh>&HZ11&wPjAHTzxM5n{{6QXd`ut21jqi5evl>m{&Hf(w8GCj
z{&!87v1a1VM>}0i|4-iWfH7u5q3@)#Vv~iF?oQZsaKnd#CNUG0nHXbqA}5@hy3_T>
z(nBWSwkJ*8VgKQK(&`82C#o&cnYbhN1mhB+Nqo<4c#Fm@Ic=aEGoxkqxA2Oy2Jh2j
zrtO$|??CwTWB+vyzTb8<Ol3y#9Q*kPza9x$ySXFzX0KSvoT`JT*G%0J^mg0wkB$3o
z90~cg_gcr}soSUTN?qZ$MP+X7k>L8sy`r0@?C|<uxi7({vwYu?;C*4LhvTMhU%Bte
z&7H@BJ}=~dbR=l^|D2=meooo`^i{BH&%Pr;`=V5*RZiKy^#7E+>qmm#zn)^taU^K1
zmTJxF%!}9e9i4f1i_e~2Tc=EXc<V;HX#UaCn}?Fte7tJ8`^4^#`zj|!AD`%MAJ)on
zwDCaq-`n47_Ix}WJ>g^J8LRcZyFTyel3jnsO7vLz45>X|nqwIjZsaU~U>M7=^Xud8
z9V+tIVpeoMjNSPyG3Mj_vojPVX2h&NXJxq{G=?>1|MlEIE4OZ+y3uRjaf?&?XOy1X
z#nUB{HfvSPo|zkx85SRMm6);3{@c}aGu9k$jk*!D<$;XNL637YPJO!8$L+3jV7J+U
z!*4i}K3=c<&-wA++W8wa&mLYcCzAjD`rCc$_I=v<EMk&)@uGw4_N?m`c=0U$0O&6H
z6Y@zXY;G9+UVr}OCuN?e&lh~(xo*x5#XW1gH~o;jn{$-!_taVW>ATiVnQbcl;y2Ul
zsk5JMnNhsp)Ss|ZT#tVX$y$np?BBHip{L}6v**5?Jo%$J>yO%xqlYCXbZY-$I#HQ)
znom~xAdl4S53C1m&p!#Xo_dly)aIkA*a6P>f7i`9$6fmED0}6ETOSX$v706xc)UXF
z5Xa|^Z_oB@VEP(U9~<`n!NI~4kN^GBD&DvL_te?>g&cy6`_})PGW&4S>rJZ;Gkr;$
z^<ZnP%`wi;#*=K0JMCEibL0L$6C59J-B!F~{Ti(kYL9oUe~=X8vUmL>?Y-;no#WQO
zer?s4;{BUSuT7t`dfJ66`!~Hl98<k#Q|N?PhVwTo4EOUKJS4&wvujIIT}ABwm>K6P
z>{gxV-?Cw5a^aqBvz)*3)Uuq6O=j4czNvNZu8J)m>W**NX0U40gdaPTe*Qf>p+!l-
zan0wXm2(a;9=OTGnDol}mzvy>T`n=^OxkZ0QlE%0Fz@2Y*->D@n6!&){ss3vVYm0+
zPC0gQep=W5#X3JU?an^VzQuLpUF<`pvpcwczS-Izv-@GI>5a`Be^hku5c~W<c;4QJ
z>cM-K?%wnH&9uB{yB<ztxb;G!^vxZ^XTN=AgZHg`<T*3u=zHTGt3G+I+<my?g$~Q?
zLw}~^i9P7vw`1=*PbSkIzFph6J)S6jx#+#;=QVZCFV#~E)o)}~a&(_sG?}4wf{|$b
ziTPrO6x;so=wtk4ef`eSrOWnQI(I~__|#p-{m-`VSz-M1#Ik+6iw|<`Tgsrb=Thj;
zc@zFLidXKrxa-f+=-S<TbKXp;37g(F?ex@|y8CbUZQYQ}uztho=gY!3F1+z`y3)_0
zp6H*Q%x{i7z8-r@KVfQ3UhMWg$JX!Kyzcvxo!^d5t;zp8b^o#Vc9XZ6POZ7W?*9I*
zQ@?h1f8Muc^;EqD8>gP1R#ScV_KSaK_Z|DLwr_FtzT?YVD{J;1+d5(O*$$6+d!C%$
zx&QI;*tegKrajqx<nx3HA7hWjg#X-oWZI5BQ)=!e`RzRtz2j!h`rU^=?RdQFFz13P
zcVcGmIDGR%%IWg`hc-?)*x@26y(29C$M+x3DW_*1d}8`|&zf1=*BpORp1ObKs-FE<
z7M?u+WpUK?KQT`PH-BHW|JBotRz0#Cci#RnRe0y8wRckYY+re<b;pxE+g|FYim2~=
zud`=+?Cd?uv%I&qeEYU&pZ?_ImV356{a3tnOXZ%e>-19n6!vX<nV+i4^kvcd|5IZA
z-b^_@RoE|d+t~`8ecPs+s(sqCHDqaC^O?QNx3&i#J!$lOZ=3f2Ltl38-Fa_+)W(=S
z2fn87?Ofd)%doi9pf&c0=GNLx+<O=o&AD-uYfY!$teqFz-FDO@Gw#@W{=u!szb2Y9
z@2fM5@$K$#>YQ!9zq9MO{6X!5X0Z$}XUy*LznU1c^G0Gtr+@9A4$WDNb`kt53;2@+
z^*f$VU`!HcWL-18_wR?<U)M~D{Z#j9&Y#Jp$Br%78o8sSc;}&G8^bq<KmR&sd4$LT
zr8PbM_x{v%YMp4%X;@Kj*Lm>pqNSW4mgZgS3skl5ocC=)_Qw9e>?cVKg$Gj4{`SaL
z*|brgv7CS9@9%$-3_i6m#xhKa{U4Uy#eaT9x^-NK%%9G{{sV<yfBau?@A3Z+yIB9n
z*vYIpuRqa5r~aDu8;+i!)2ACQY}om{v*Z7p-XOp9z3X4C`BId-f8(ix!rcp=+g5h;
z?cXqiao?6bUyE|~Z2b78X!5>oANOqfbFIV0ouOzAd)M^ty&I43T>0UB$IWA09cuDS
z+&dmHS-;q_hyBLaw)mvA$3JgOuiU%o)R*GE&hJO3B-hQ~x5aSf)UvN7K6|%Sek%F6
z@v!8s9c_IZWS>qDI=X+$=1)`2^}m$N+_U>&l3&d04VE97Iy|5Cep)~G<hKb-vMEW5
zEb0@*j`sRXb?JYoyLaHi#_7qY*X`T!r{mAh<Hy?>_ilP`XBV@3lSDGZxs%`J$|e@{
zpO9JU_IJ(1zGst@ZQBlScrG?A`M=Uyg@Qx|-I%T)_e9rs2Q$b1&-neQFQTK*w0|e-
z56P=C4O`#+?+q>%Seulp#l)4o*=fs_j~kzVZ0XzkyrDn#&FypQr>b{%geVyvTK!Y4
zqPuTnUw~HGg~rE>KZ?a|bYgaue!38`a&`ZN#l0K{W{K}V@GNp?w1h|FpZs6Gn-o6v
zglZi-xV+17*N@(_vs<t358c*%I_blA+20>Np0K?BA&@J}ENQ3Y&r6CE_Ppr}?Vgem
zniMl}-Pu!(jLH9A#WI#z-rRVil=)~!#x4&-2}YTm!xPT@c#_l=YP)^|Q{~~V)|eGV
z-w(w6P4YhQmFwj04)a5TEq8Zou$)@msqXemqkIihht=$fciNNI#9nnsTAR$kv0+2(
z|Bw|ehZ!e)n$1}Mr}Gov$2~DSe|%LoJka-fPjB+Y2YXgrf7X$EcTL}w36@O4J7*}1
zGI4tEoq2oD?KfXEQ}@nX`HXQ-%e{{K75iu2+WR6bZq@xKhG%Z<v9C1v`tW?~-+e9C
z_EY&HukKBc-m_`DMXk;$F0+el^Y?6gv%l7C-=y8k5BThx@_et}$^FZ}pL}<F<(Ixa
z%h%fJe{;C1w$ICZ&(eBLQFZVAOG=mRn`A$EKf`y2>923?UlKHb4}+%ucgOG3_bpj^
ze%t=(-yNsF-@bpzoLH|-o$AT^rdoO5RSa97zq4jfUggfW8}D563!S!SiPqE7l0A!G
z8r@cyw`Z5n-U)jrl(xqnU-(~7zVXpFo0!<Wi&Yo6I!>uO6O+eqrEansFMCX!(4j@2
z_bv08f8x2_=b$842JP<)TzpqH^*A58)^YOt<}Tm74gcd~r}^tuFviT>(9bwyYWxG1
zn3)~A;T5Yp*1c(|zO_bqf`+t1C6n!*b$l@vku#(wu!&c1$m;kR!&ojf!6$U{irnXq
zV?QpkPWvl6*KebK$}h$>GalOCNM@M-B>zq4w3~lq*H2@xSkrrbTc26h*G-#s_UwLg
zgn4}yf0sIQ{Xu52CkJlt**v?&DQBm|y??T=eOkG{{g>T6g{A*sr)l+Z=Id%lW3rDh
z=eIrlbYzKt{m!KVdyXu*bp4dt(PK;O=F8prbY#h?tEp?hd^*nb?QYx)_7sa%8zT7K
zV$L1-%-lG6-ksaw|5SdqGc!Nlr{5?oUVVhQHa4y^Cs4Kg-x20}=W2dl%=mL;$>n&p
zt^f0nFvq?<8mM1=gn4aQ@tz~hq03v6a`tce{%PXfZq*+xbszU^*_tQzsdr1zw|24f
zJFi*p-?)25%8xxqwKj=5?40t~uDWMwwVmU`hxS_@)}6fhdE(^kNgjS4krR&APG(?T
zbn^S33Fo93cXx_D+gZ~dJ4rEg&dOb{R*Fk^CdA(Re^Y8>hg1C~ChraENgWryKVjn9
z8FzeV+pJxe{bxv&bv-_Qz`IIrN8|h*S2xI<pRBAm!6D{6gUqD&rH%P9xeV%WK032;
z#HiFa-n-WI=uPL6XH)D&<#*0>k%?tkVHBg?aO_RY<oo<<CNivxTu^e5+jdjZf|^d*
zWBMIMQg`iNF1|LwI#IDv{OX!cN4_Kr?U*Y&W@)$X`S$SGoxL+7cXIui?D%NstTmmC
zksXgVKG?DG|AwpS3)7At;^~^mD*FCIXkyZd$*r+J7Ed^9zlS~MYlOh774rYq#K=r=
zZhs!L_1yg*{~Auo2Jc;V^DuAn)0?M7-*?I-?Oc9@V^-V_LARd)Gs+HHzS;5e$48To
zou1am_N<;D-o0m4rV`f*X-<ZT$KLK*GvUSlgE3EITJK8zU*B*(Y46%yYY(+4#ksCu
z7an_reS=c?3cHQ_URa+Ln6bl(o8|TD@Q1MsJNVB|JiBXR!6t<*<>uBOx+DI_cRY|a
zv)WL7Eq2eHM~pkNZ`AC$b8|)EhTRja-CwiXoZC^|vvKy+fYQ$|Rx&ch{78z@KOh@6
z{p|U!#jj5=7xWzV6A}~^+{N~P;up0IhgTnHi+GXpapxRE<`r|wc0NDCXfC)zLVEfC
zPK9GhOYc`q++=IrbC@-j;p|VgX-C?gJ_?(#tNzE{9XB6-?@+kDrXgNBN$H5haodE0
zVO|r>KO~iP#bm3rCtT!o+Pyln;)S-dpTtc4-8cV){U~^}p;DOP)33OgJvR@<teALa
z*U3%E`)+dObmogIo=KW=wtQwvj&V)b{zn=1-Mg;y`zO0^Fm+)u`@P~UKS$D&c}bGT
z_gs7X=2+YHxBFJSF4{BiwBoT9bMuol(q0~zV{890sP6Wji3-;_6mN)5Kf66*?TXn4
znUW43;O?sanqSuOck>5_#~V&ms2w!n@X^@#CHen>pc_Avj(>dHz44a)>qGjT9A{^9
zT;$oMob)ZJBcpu-N3%uZC5`nHb}RApKR@|;$K*9Vp*LS<8t-2dxpSqU)`RNTljnLE
zbDY$f!?vrJk#o+DKmnTwqX`o?e>m~%f>F<|EGcz~nw_$d2BDKqoBlLZf0%fDN9E5W
z36Eorx`}3YHuf;?aa_qI`iaq?x_fRrV~pU68?Po@mRH_6m0A6#aNozZt&F=oKF&zh
zGcriq=lOo$jZJ%&sT+j<KH_;}%H^qhJ1b^SDmy=M9{=V_+1|!W?3_uz8{R(Napj3&
z{|-g-n*!H5mL5)KX!y2TAXY(>`={`;TZbP0m>^>RO{91Enwv)zlCBDVIw{LxAvWR1
zI?F?m?`P(@otF>)B+)i?VVwvItEj;588(k*n0<9&GJeSBZgwhqA_K3O#`XPcY%LXQ
z59XX?Jjyt=<@)c4;{nU}^gemAxAmvb-c>*Mx};9uJL5y8tD|*T&r+S2v*zuYmX~}X
z<<P&vJ+msOaLu*dJMF68*0}6FTdr#IL|d=a|F(Zi)&7p+M;-OGKe&GV*w}MB?Re7k
zU$J|A%J!`Pb-vHC@?&4b!Xw`z9g@QKug}u{aPZ%qsjnxoE!sEj`8uDo{Qr(5?%bu4
zovFtAzjNo$DZ<Zp=dAr&*|X!N-qVmbFGbHDNwNx`E)u$_u{8Z?()4|0Zv%cFNnE~P
zW#JJ%ed~??|4m=_c3Q)ysS`^#UToR$>CNr!O>5T7S@&b2h0VUH(|PZI+A}3&sobqK
z$CHYxTTcA=vv=LkV85`sos0MEU4P}@%x_N^f320>zo&1Pj$F5Pr%2qM^;xMrK05=?
z?w<K*f?x6eh1JKCp5L_S-Qjuj`lMCLH_U<qBa$bGnQM3K6q}G^-?QTpo6?#tw$hZ9
z_7httuXKO4oHyxK_63(GOb7BW2D#4M8l$sk`p-RcCo$!9==n3{O*$OU<UZ@<-N`HG
z9#6Sy7s=={aj9(?(}MChizPSwpJ`dQY)@Ny(viCPYkPKw>})OB^X|!-zqj7Jds6ag
zop0~I?w!9xt=~W0zqfT`@9s<Udv#9kX{||beZA?<)Z3ww(<JqGeq6Sv_5D=U!*`}$
zUAOw|zSgO>X`Aj$y;u5lNyn#|hVy!M&)s!uPix63)p>WO*2PcVV|@~J{yza_{{PJr
z@6b=PuWj*td~(gvy{#cjT?2gfwWRM`w&{q4fBwuz|9iHGZM6SCXD;W=IkwXK17q#?
z?tGjybN`O>3np3b(OIK=_&|f1w%LmJ*1LB6TOf9P!q)W#-ixlq?cDCc$jG@c|K8-S
zNB6j&oH=ds*3|+cGw%EkOPb2}`Q?S=J$zQ{KX;y76SMG%cHIMkA0<=!O*iiqYOpM~
zVA&s47t^r)_=1i4eG4~zo*+=aXU7R<uNbq}4fYQgCGAM~vA^ML1;@rCpLZnum?DuX
z_h`jmF`*rE!VDW`A3wW0@6P}I64lctZoj`Y^CScNe(|q%J5K(;ol$V`fZdVr98>kD
zO#D5ufZO`;tPe3~KFH6y8D9HmcY8ui+>3eb&-TikKDWQV?Lg9n1(~w{*l%SVyc4rq
z+H!T|{?3UO8^3Dz%}!rXc9rK~p1Sjnglnh8&2~ieZ>(Fp^TbMq+AjWem0ON|+!r;q
zXO?K>#<NGP-ailP(CQbslTp8>@y+~>3wJ+v&U6mku=Ch1k=<=wJNZnX?<m~)>htHh
z^Y=)7-0(HBWB2CcmQt132L#I&#Gl<^p1hN1O~adcD?|UT>6mxSsc+UPwf*8pVqzFt
z*c;yb7Eof*VzKU<#kN!2FUh)N)|=$MSx2;fsLkFZZn=@6UZczVm}tks`Fq2cpE@L8
z|8J@7^LWw88<PLMUT$aiuP0{DmwDnb`Y*5Eo8mE9;`|Q2qg|E#C)O*+9;ko3Vb9Ao
z#`}2B9q64{@XPSPiTxAa#oUmHd@1qu&E>5J7-MFiI5lCNU^_GOpM|0Q6K;Qh95sR0
zRe@{G;#;Q<G45eb-+FA@!gJ}HkFJ?w_aUaEGHJu3p3Mh#8lB$}(6>j<UjJjZ+@8$*
zp5)5iz6XV37Oj0>v2(BC3azjObA3-Z#_jNXqPU@};rPaV90H4y{{2W2N!sJD7{kb~
zyk+5ull5=zDlv(kw`QpBOLM(1mb!=W<tvL_b;=uNaESe$x+Y?OaOUa#HM93ftyyrf
za)FENjaY`yFRnHxGg|K2b$sEHUne=*UP*H;sEGX^_c5b*&!Msjvs^e9@G<e0buL;n
zxBc1vY5JNEp8k7tecMjWuSc(M-SK|o!6`0NdMoEwrQW;pCV8#a^j5(wJAZ3G6?=Kt
za_ax>6FIat$((Ooba>G>y}pM!@h1|cUS3+E|7Y<r`6n|u@9tS9bvypFv&OXAAB_(`
z-m&!ldsT0%>ifHvceYNjxwCcm_O`2^`YJE&Z7%#fXM^3g-PM+J`;Qswzw`?0tA4k3
zQhDD-xs6MB^mlLFU2}iOzs_qL-^Ue~-LeXl-BH$EZPRgRP3*LyQ|S-l<UcN06ud_#
z_s==^g_q(^rY8UDIVax#P<^*RFXIfG(#;c#tmPg?t8d!Ppmpx<rX8K<^z3$OcRx(p
zx^wgVHtT;g@{e}jUw42xY1Ry`e?L3!?O9>i&!|zsxagq0erMf}Z!t60yEBwOI>__x
z$4%FRA`=cIrC$`7@layPmO1~Y7JZl8wD0jw&4ttatD}8Xx9rb<6?b-@=d71{va9<~
zzn#~+`K3|X5~=@rsdw^UTDG#jesX-lyY)XNFP(C)ujkbDRo^?6IyXf9+_CIzYyIPw
z0Y{Gs>78EFzH|1BJq)#bR7!PKk3_Dy@bccqp40crm#bd<^DX!D&(9n&EmOAbQG1tP
zed0%4%cbYhpH1ei{r6{2PHgPGUX4vXr=#w_-S=YC9@Ve+x9valruTH%-Ws(vGda`u
z<i52}%@^5r+{(K5!^~~RZuRJ={A@ki8|D3PSL2hGs*{1|w@qtJa@x=)|3N6mFsbtj
zzvue}3(_}yZfDuSb9}|cUmu<>Rk1UO{m-?)Dz0Jvn-$Brk`w;h#4^0ve0lQ>;jC|~
zx3?ZzwfT5QjdoAchW;6X^&4Nt9d2GAw(<R@jz>GyHa`e`+!4~dY}4D%4fmy!B<zy^
zZ`6=uX<Yq$#>YK!HyabuHh+~6-t={oc#nDK@mc+m(>Gl>G{3Vkd`-i%XAK9Bc11}Y
zIq3DtC}!J+l#RbuJgeHn-T5bJCF2nWc8(K&X06DqP4?dKT!L}qv)_lgCwyc$y;nJ1
z&TvKh`zO;aHa@(^uzpo~`oe#!_D*EV-S0d1{3mt$Z!=UL`mc!Y__1P!@#<CoKlZFV
z_q*ZC@eOZ}C#|@awDsNX2?6gvFijAz+O)c8;}ya4D<18B-?6fQam&FyjGaEuS0z1J
zd-dl5@&Buis%_x8zvXrGf^t``fU@qjiL5(!A6;P|vGMMo_M00xH~8FWym({7{D1qu
zPmIX>#J*sKN97_P|D{2nRviB1*gq{I{&vR-yReB;>`Y1W8{d9CJYns(31P1t|0EsZ
zc(uoF#y)-~=}qrS9#7c$Wv%?5q>cyQZmgJW`+MP^8{6M?gfJ+)U-0AVi62cjdRA!P
z?u`A|$#`SiyA!#~pB$WXbL+b##})f!cBCbBZ*AN9!B>#4XUmGS8%|H$)V}RZ=Y_2w
z*00_B@^k)*&-W|p4yyjynC)D;W7d<SLd+L#$&1D8JidBG`J;uUtsES7M;NXpPs~{L
zVfuou*+S}nE?hg_dSO@AwVfRiOso6tUw_=&vEy&@4r$Y}iHl~hnJo6aTk-tXdfkI6
z*&W~ieaQISsibz$Xno(s+>2GmKOE8)V#*TqW8Ra**`faPbt^Mtj6uwy#|IXx#O#qd
z_on&!*~o26Z8j}6lK6ViaL<zk*W`}9zG1?6B<4XZ;{l=MfA5nSU)ZH>m~rAN=i~#D
zv$oEC{gms^rX6!O?A*Arc+<R1Oroo5`xLTou<T}UIZ!8*v@-Ln;ik)d8!kN9!|N+3
z_HP51tJ2gP;xP;F+3#P`5V5g-<E5wR2Si2FH#}SMt@K9Hstoh?jn8AGCrFyEKcw>W
z!bIZ(#hVV?SaaU0y0@}3c4c{epw6pn{kiwHzTPId;s);)&21ColDBV*v{~?T+giQp
z+idnIO|Lu2*?r|?@64=y+t>ZeoflPi(f?0R>$TLq`{(CZvTdHYW^-Tjx$BxTm-9E;
z?c1_0JG+@jBKH4<oxgjU@BEeQaQVl)re*E!_&krB-}siEJ{ZasvwcnWvc-3&r8RDp
zZhidh(9}044bms<w_pGMQpCs0txvY8@bbsJUud_C<;I_ddOzRruk_-{`nj;^_?8XV
z>OYAwKU8?Mef{gb2VSQ4H&0#NyYi%*=bVL7{mrrajJLK%_B1ao-!f12fJ0d9K4q<c
zdc8Jg8!O7TNE~hao4z2sd_&B|jx||v541PF;GXEP=)lI#&0Wo1dlQ=&W9D|+n%<o8
z<mLts=^1bK<lkGca^kKNbF$)(DCErBAZO*kk~BNUb9HB3N5f;UC4Q}p8*WAD&ES)_
zyT9qzCzTB^7WdU{;7FSMG^^>q&VrJ^pXc1kn?K{0{mq3F*0jvco#JwH%i65US><nA
zJ}x{mdwt8-pI(L<Zky+<(U`eqcG*<t${vfI_CJL-?vdHnV_8({EgTVc|K3VL<L$Gi
z%04mLGBfu2xAiTl`@iys{`|75=f;O6C)6)*nR)%`%qu!;o_$Q*7LmSW-u$V#&OH|M
z*Pr@w^+{vVn<evt=Wdy?^8BKnyl)NJJqs&lPyRUL#JmlTXBXw`IWe-wL^1H{2(7TK
zTX`^9d`11;4^LuR9?x2}MzK=vQTd<lb=%k8+sd)~>9#dtB79*JXKmiPXzz}g@Xb@s
z%u~5kv@PvKjI%&^r$ygO6Z72*LVv6j5nsP4Abrlu>j}yK8Fo5eEr?}UsZrNBCE-U*
z<l=`lYc`fG2ru4}_Qq+agWRG2i)I(Bo7MJg+s2zhn=bEp<M?KmVC<icsczeSf1g_r
z`u4v5{1b<BZhVx9+hj23KrF+?*#9{l0{ni5cL>kjA-LOOg>v8D*_YflJZ311;g9_<
zZ4eWe%&@5HL+rnU8zxtDe6g?Z2uon-j{U#D_-aJ+1%Gz7<PZNdlEPbBHp;D#&aZ3v
zf9_#mU-QhPO|u@qy)pIHhJXJo7o2*$QFCW93unvkq@y1t-q|il;bzqDVm!A~bN1Kw
zAKE)K(`I~&UDNRK=ZrH)w`iWYAiq=g*T#1pX<eOO<sTR1&e|Yd`f>MU=@rR4HtphH
z^JZSsj0>ip^0uioAE~_Xk2NW}`UQ8}rc+59&FVjHJTbxW5YvW*;?Gx!_c9;d==*a6
z^V!ZMy>Fe>9q}w)F04V1<}I)iUBA=l*uxpq)}7=R+;Di+&yA<;->-a<-mvlPQe*wf
zo@)J%OdiZTJfBT^Y2Uxoe95}=dwADBU9){tw?X%AzOS2i1oR(t+xVkRDk*)}kv*FB
zyLZfbv@2xe7LBV;o4Od2c7zl$ajw~XQt<rAtP@<kYqp$Z65euo-<yVr`%^@AuGxP$
zNn)ei&pw9D9Xb^cmTv6qob_b6?9Cf@x)p1-@{8>hoW4cx;X={;T@`v;G}a_D?wH%R
zLG$bud%Nn5CP&wuT=Q=6pY8YetUYz};qgZ+6Taqbtd(0MkiGNbvbCq9?r!?odUB<H
zstD`Cex2>++h6*yC;u<wY&*4YwNLA<e^)Ag>)rG_aZ+q^+o_<dx$D(8&7YpVe^zPY
zuQO&FTTXw9?OXBbU)!naT1S1u{;ocdtu*1Q&@B7c6L(ntFnqu5VUa3ZU;0bY$J5U5
zJi1Sj(Q)~apIgf}$@wn59kgP?(iMkREI1YW;jaCrbzc@L*&R{eRDSu#`VW>fPO;0z
zTwTAitTFa~Ma;?z@reN&R`1!Oq`tA%?0m!F8!oX7tIx6jFXzAcv10#&5XP_=_E-k5
zo;^&f_uDmIDBK=@Xyb(^u^X4HIBU8g=26VN6V6OhG4}5#et$MY$hN!Dnsr*mk_E2*
zE33^mdTp{=v%E9IO0I?1dBvOWJKy)MI;8#}wsq-_#QKgX7WIC9p*cG^9xk{4#I?h_
z`FUi6q%V{2zZoGPVtO|CWQBdavvEUm<&HFWHj^5K2X`Fq#pJ{+XK|aT!qU_j!mk>$
z>ExQ1%}o&-iZ>*w?|5N%@5s`J|2ktouD7e-P?j|1fI#*hmQBz2OjhqGWh_r>ObR=G
zihbn*&({K`9GkaRa-{wU{@BFN*QVX@anF~Aj~}Wx=+3_+zGmYGZpJ-H@-qrOIlK0(
z>|D_>o%!z(20gYNX|FzbZg^R>=lYULzF+pIvLCVQetobs@%)7Avj4JAcqX3Sq%AJS
z^<b6Q>j$f^?c5-ASU9QE`qzgmOF!#Jw!Y8ay`zjF=3J6V(jL#)4r#uu)f<x8>yqZ#
z&sg;5`)}>!A6c!88x+GE8Dq2$u$L#=Z1~d7`-3U|hTev+57{<+ZGZdgknQdj9?!0n
zZeIDKN^fh`>)vEBUHuM`4U9?F8_i~I+<yK_)ANU`K5m;}8@78xM6+Q`w^01%4i&Wn
z{rA57GX1!?Gwl#V>6<-_0&D_zZyn^g{ximD$DH+o3Ol#Pe7b#L|HeNzdLHClSrE5#
z&(W<5CbO-acKYWI;T4uF>-Y4sOxcp~_vSo7t*{lpHg}at-<;p@V3U66k`w-{-A6f1
z*|!|t%wyVR!()1CPerx8>Ccm)JKo5y-f>x-p?3cUm&XmvwqlGA?!;xSI`etv(ZgGI
zs-<m=Fx}r(nl|GEYfS4&fz6`Zr-&qNu#?@rDJtUOOkdIMo)UH^w#YqtqI5*)pqkLZ
zd#o2{r9Ak((c}A@t2Q6@?65iJy<^w!gN#WoKQlX|T4bcU_B4w<>tqsSj%ML*-ITQH
z%`NAS8J#?P_OAFG`*G`or96A49XKbFb!)HTRQ_FEb{t#w%=TH#zw2#ljklJ_2Q6EX
z5BmFg+hZ~u!`J*+q;&B`{_dn(A}=~`t4U6p$S8Y*YuC1{`<vIi>h79c8!YnX2(MUz
zz%kDMsuI&yUJ4OOdfRJ0m0wru|K15pd2~;2{kQs$5QnYCy=VF}&xP%gKDwiOmc|Da
z4rh&TCk-xtid;BTZdRue+r=M@3%1(dV_f-H&_LqGNsUrPmz`hJ7G1ph#6V|q^<<84
z1B)*zA!|-=;ON>r<N76)kZ!JAD;>5|z5ekl)x%cUi_JbE%=dMI#0rtwE9`W7)?7bQ
z{z`QApN^?r3Ln<=IChK9?h<8N8YMJ4OU8NkUiP3>{JJZ|`E)n6_GXF3OiFhwVmN=u
zGkhY$^-a5DQyA_ySjhgAdi(O~6Up~m#lqj5(o<vj|L1y4$NYXK#Tz`USSBk9FM1^#
z$sxVUME+;kjg$BLCHZ{gxcswZUTg1-Udr#^CC%rrwfp+bxgxdyR>+FgZeBXqYo&PY
zB6&XDQ~QPY-`V8(`v7zOrYNo>adv-_4(dvM_KcAcni-)nNoc0P;?-TU_G>KP#GH*d
zc74-jYqOaz52o%q7~}omm);|1<=Z#f`v0?OyRce+U=mH?2=+L@Q{KVhGh?TQ^O>`z
zZ|V;4a)qzo;O8tAV6jL1#A-EG&7^%Axk~qYjy6TrmF<yWO-kO7WEk^m!TkD90jEx^
zlhc)nnbBv*$dF_cb2TY2X8->U6F+h+V4KL#uzW^@dx)43WANF4)oitrDxYrLv|M;-
zsc5B5<&2sXh0Qap3p@U#Br|X}J~6-d@^s}y2JsbV#CPwA5o8P!njRUjY^R@X&Xt&s
zuXYQpb{{N$Jh7qUc)%X<4;S58UjE)Iz5goP)VRGeORw+<FH$JA=jYwjy3lGhAFrnd
zH?Pu3xtZ%uI_^|mx{rHb&VeoWRXDf{t|oE_zKGdv9)893zREOCRW13B%Q1mk>U%dP
zT}|Qep8d^M>llyjCrvr;otoKMe1})>RSd2YaSYU0YR9i%xpZ&-Rx#WCO7|<jir8M(
z<>7bNlL_1}VypFkZQV9G?^y<-woj}$_xQ%n;;P=DBH`S$;-Q2$OU%JzcU1(_Z38Dg
z7xcFBwhx{)RXEhes{E2*_#-CHJ=@xC14VZp6^V`9tF`s2n&K5B)%o2Fy|&`<r}n<d
zU#&LxrHR_yE>oF&yIp1?@t^NXozCLp-M!Z!__gZX*_JAE?N(Wd+AkG2tv>hL+n1a8
z^k><K*{*wGtFv~ooyuJ6U-l-d9uCspON>4#7XCI<>tdZa<HNfJ49+(rmh7+;ud{i$
zdC7vflHxxvqSCi0b=FRq`t{Go_#fMSR1{CFjfuDtQ+MRwG^OVYHdMH-Xs%$~aM;|l
zyH@4xf)ks3m+!2WXW2jZ^2tTsD^~qz-1PD2YU?L43_2T4H*Q#-r{wj8rE6COV@yTE
z=T^xJeb)~#$6QI;`1O~-O_o<vZ^SZ8*ik9^Ns)<fqNrBfPIEzq#2BGZEP|DapR60|
z>^9opxDu1L;hVY89)`cJJ9qu85S;sc>Q%YLVMjkLpW<_N3jbBfm>KI|s=V|&efI9<
zEVk-fF1otApZ$t#oV~<jv+wC|r~Ysko%Fae^YKEPs+1ER4_3y0|1o`ajQLfc>rY&r
zSMK?1YRV_;R%t);(aVeBuXpjvzI11)R`wA6e=^{p&+TK^?SJ{)Ub{m$X{Vv9K=&jD
zx5<YR_*@u*j$75ZL|ZjY<FLMD)$Q}v-;>8#-s>-q^Ubva`CG;At``iCOV?d3k~qtk
zN7n9_pGe|jf1a8B0s4tg1d{j7jqTZ6s_;0(=l}bqYQC3Dg#^wjJZSP%JSA`=l+8S_
zmgP=Kko>MS^RCQXtaAC(T9xY6VugQ?JASGvo&S1a=Z%HMuBxX*Lu+~7gq`JDFOpZn
zzWzn)3Z2KFmI`XEmTUBk5KUG;I=3+=^z&p1{W**$Q#Axt9(ZYphpO%P63JtDF-pX7
z>)LIlk!qXYuHSYyPQ<Z1Y;QvDR<;>?6RvB16?44S`Yhd)k3T^-gx!KW`*QcB1FA2+
z9LcSXzUlC2>PyD6BDG3k^Td7U<UcFk{>lI3?gLV>oBsQ3fAd&*u1)_Jg+0IQIW5e+
z=1ony=C(mnk#R<anZ~4}m5kF4Z8R0UQN-jigS9NCVS~TY>kUjXcP`AB*Wr`G!h7U!
z`JY`k1Xw>5u&$qAnRetrY1vono9ib|T_JhpLCw|NrEe95Rr4fPIwai9@Jv>VKX&G{
zg64twNlW_epMD8nu2kxG<kZ{+o1Vx$IaE^rgk#O5y!eo#=f0hlQ!nMw{kAqlyOdw|
zOFFOa&AYRfUpjhfxm}s+rjh`kt4A)B-Cf`<QaktV!g4Qu|G9gMzk9Q^&Ru`zzvWfY
z+Kaio-_yVTSbBwD_vh59Td(kJ{<+L>e)O%7PZdlrpC(U?6Mf{O#m#tzHFV9(Uml0s
z-h7!nlSTPleD0)=nwJtD6~BvMJn~E4^CQchijJf|mu}{ywZ*F3s_UrGzv1rJqw|qv
z+FeNz@r@F}EgZ}KE-K+~4iVSW|2K7(ekn_9-1ocIwYvJ>4qiLj?z?4A-ha*gOXMf<
z=-#@!-+C#(?zxt~yQi?Xo@)8?>yKsU-j5w;m~YHXZnW8R`r@-QQt_*DZmHFslR7@7
zA+=T7Q$MnD+62>7o4~ob%S*(UhfQGkd~?C<2D>}cYs=1t1hKR}Z~yt#N`8e1qw)fg
zgJzq1)^xILoYK+1rY5xR^#R5oSCi(<)M)u}f9Dh)|2bv*&oy3JSMgtY<Gx(0^*pQh
z{FAFa#q+*Ff-RQO*-}TZqm3cVPXToA@ecQnlBO)*umk$%PiW?aovi+I^4FskC8qyR
zvL>JKi;rMzI%xZQr`MyIzV{#eh;f==Y3j$m!eyq`ly@Qle+(+~XD0qJz0r59cHtwz
ztPdG=*OJe$w!UtXZQpP}{%^;3iFqp){EqmtD9AkS<EgR<sxbi$n--TRJ~*_zpM8ee
z=98ROZv<CZ9uu74o%iR`p}om-_ivk-`uzJreeNdndH;`GaTmM5?6y$tVy4?#PDayb
z|C9HA-*L9?JoCPYnMMy8ciWV{xvBH$M0n|+iQUqxvuBDa?Ks6ZBddck>E?%JYiDe;
zk6XE7!P$vD?>DOLNZ0?j(pLG>jyG`}AIb|iMoil1$oORHKl|qkbEo)EEn58C?1APc
zwFJc*W%EC^ZQ<#<x@qZ}r3~G?S3a%r>u!B=_2vSme4Y2}O3tlhUE`$x?PzFc-3Gyr
zCsXn!v9<Qpu03A=gtPDK{H5E^Fj!Atu<Hi%S%$esBaXAoNaSPrm$WdTmHAFl?3>@o
z0?ZkVEd33uek#RQ{NB0S{z=jrBi@T!WGggcrXTccZ&@w4@q~>1qr<Fg7YT{?-*ICQ
z?Rd{3f9-;KX~emPmX0eKcdaHAUyop#k&?)C;O*BNH#RMkm3!CFrL^zFPR)lqS08Y5
z{2p_?fVFOJ!nXE1Rhpu6SMLf~&VOdl^x~+Oyl4LG3z^4%X4BUe|GJeM{8?IcR~^cg
zQC`Ybt~XKWOAQn2!r1W5T`DIoTwledn54oayV1~#@hp@5w-fsA9O;w9N(6s>Z4D1%
zUGBZ%xX7>S)~j<biT`@ZtNZtB$8=uZxFuEh_MSMu&+FBllnkNlmAmXGYBstu@hZfr
zFo<$^%Rh|c`G2ZHiTA7ZjHCa4Sfnr+uRJOH{%GmMm0G)JRM#F(y3tvq#1LGzdV$-I
z)jKAL{#34dT)t;l!fb~8BkD~o2Y+`@I8lG(XQ#jp&&atGCPs3tU0UnO&puI7JCd(=
z)7Q!VFZp|WHs-9FRxTpGd&)+$)%+(KpPeucpQ3x8rFF@nI+5LfzrU4D>f|VYQK~Pr
zb&Ae8rGP6UyMrRviS539^y}Ud9^Hx4Ge5le{Y1gID`Vr&uz4px$ge;6?B|7|%d#(D
z^6IXf!J|8!OJ8T(wxg-usU0!L8*e{kOq$po`()yZ$F+@&N!5?GDljI^W?}E{`t#vt
z2Mde1G7I~knbztPA6(<<7IOdaTZi>gr{9VjA?x}Uw$9@Dp7m6$_HOt7An{t)IbyYQ
z|4VGWEK(~!FO*L=to8NU5Psc@3q)#lb*$f?Ek5aJasSS>&0)JXWl#D!L1^m5W8&*4
zK5nvzInnztedQh7N!>P=gqCO&sR%83A@u2K)WUc6u1P|xHoY)d@nzPF`121Z<geMk
z@}X0+*6Om;amN?FoXxEEfGO$sgEmqA$=jLMAE;wuj7hG($&`}Bpt#^d>)IFP3ZXGa
z7%X?sT#^2A>cmL*Nbj5(K1Dh67PiODU8Pp1@H8y^LD@s8xfAAW6F#`$^V~Jzd=pk0
zCJDXrkn&rRbZEwlNl8~11RdBP<2B)%)&$0+;}LGlj^1qjvT7}Rm5QQHiF@0g9g~+%
zTKZ(;!dv^EtoA(mu-2&a&?CitFB{#Lr1^xDS5E(}(tBmWGiJu5eM!G3O0%&ioe*W2
zwd|%E>&&wk`9y=4>-om*{CoZc-|LtBqApIGj<M9Fu02>9#v`uDBiXk5fWk^{Nf$3B
zLFF%Uvwdy~m|ZlSxby0y?e(7@l*~R^^Mmo?U0;sao);_1cYgfhXY*#-L5A4}B?>Ml
zt+cFR{Lp`HrPYj>#XOdaSMgeQt>&}TTPYwZ;=i`_@BJ)s|6Oav{Fklc742Ft=5H4$
z#CTLBuyXG6Xpz9>BEdYJ2REMNmbG!3bo}>8!T75OPAE(`as2-Y7TGf<uYS&$p&}3~
z)H>02(R0B>q3I<yOD0bh$`v~0aY-;==!(jo;OGmltypE&uG%D8{9-eI?3+ECZ@*GG
zvA37~T$Xsns;y!bYfe2%-cW8Dspb9i)6qR!*Ii6fx#+%4cDm^HtNhAVs@K+k{jyg5
z+V-;wbz4=h-FsM5A9f<{=)=sqS+Q})g`(cfyxqi@r21HI)h=G|6}v_APxT7!U!(qF
z*<SH{tN&-!H`_VR+b^D9)#)W`xbU!z&#9wl>sG7&QmGE!vSs4Jl?S<vnIm14n)z6{
zg%l_LkP7>gwEDzfE}Qgab5__+WYN7b*WS}>ebRge&O<)GlK(yJ&6>l~5w+;y=ccvu
zE3@A;%}`=6@nK8)`l0efiYt@hzXQ6)CnF{?+J*!k5Gq%E!?JAl8mrwN6B#cBz3aHe
zB0IHVn(fC6FOyczQe&*$b1CU&kh-Vrq)#mNI%yh3KR8e97B%$|JM>f8rD3M8znK4F
zb(gqZe*QjU{JKdq3|G8h{P904T({~7TeYf>aG>t3qoQBV81I@As(bI_alYvjIdmNy
z(!;gp9pe?&`gNQ~*tJT_F5cNHR5$yo{OfRC&y%9JJX|-0=-w@yzxtu+N{`r3-R&D>
zJ3S^&<1}1iwJt>C-dW52YvYoZpBC}fe|1LGd&OBX@BVYL@ml|%icQJunIsio@-4$w
z#CN4vM2Pm=t1fFpv}aw^*HMX>8K(Vs`H>A}3=9mc3=9lB3?RVP!0`XKP&th6uJk@A
zVtcrD?uL0PL41lQcb{NW3X=L8q7rgRb@#=~BKgyoCSH%`2~K@?+@<j4Rk8fqkZZic
z7q81S>le;n6{E6y@7n*TtIA(qx@kL2eYg0nP*LwMw^epO-O5rLChlE*-hX<y4ZHch
zP+sAX`=Z|6Dtm2I_lgxs9GTi<`?WZCs_On8N28DO-=<t!d+UPmzZ(ap%6ob*-L$A+
z*Pk5+E;>D{W}Xzzv3W(ojV()=mF}t(yz^Xsj%DGgZw?HXV%{X>{q%l(!9`Sv<+NFa
z#GbO4lh0aNU!1x6=G=j<9jA^jjLm0xRbj@O#FO4~=wtPor(V;JsyXS#1SpDiu$aEN
z|9Gig`Ed;~Ps^KPNsR@2&xCwkt*^`0s${BV``2Rqc9XeVZdo}xue_0D-xT)kh^gxn
z0oP|@r=!mO;nRKlw{Y*Jr$Q_FbyvP%YfY6EZ8cfKW&1~P@71Frzs^SWTuAPk;c=bQ
zvujb+xsX?{`27Fu-4*?k&wue7KL6<xvP5fVz3tuf?aWjwxduMZ1)b5_cdl&}sm=Sn
zRd$lhYP;P_TY`Uy)$Uo^8XYCSx;S2G#yl(W#!R8+FEiqod9}x+mz=-x?30Y5i^|WR
zHGV5~#3xq8^>9?b*kby6SKq{$I<iN9ou6pWZ}^c#`1;Jq$j)2-HL5~){xR@Q*nL4&
zUEf#k+6l>PJXZ<|cV_H(AG0U@<R>-310KIu>e#D@ST0z%y{G>!)AS&9!HISPp*r^W
zdShQN)v-^unQC+DKKHqmy7r6h^z9dY<I_Di_1o&He7bh)pSCPD&vn%@wAQsxzbn7|
z`^B65j7MVD2qwogt*tn5-~RWm9uJM>Usg;K-l?(u5wi*d`>kEO8?%)*JUMYA<_}~4
z!>p_%2F1S%xHq4i6*+No1WS{K1ow-Tzd!g_d~iKGQC(Wk`bF)rPbx1ab{{x%{o?^8
z=kp$%dn7l6AOAjQ%9E$P+e1V)2Z?BlZH}8;t1W7N)b=HZug&MRt$ovYTBEqyeJtnB
z___Sfyf80Tn+_kjj)j63m$@G_ido<B`N!PLZw_qjy0~x3m8X~b{wwM*sQcYKS;evW
z$ak-7z6+(lCuDORyfCBIc*3?fj1L-*Gk@^1E;}S4bE@F|@3tU|nbY&vPFblUxI`pU
zP0;4wgSQt`Lmx=S7%tG=Jd;c9rB7v$V#fu4htf|1<|`VX9f;^ZcH+nRi?*viFz#^D
zs{5Gtkg4mDxc#9jxvpdTZ}6P-`MxkLeKlv{qLWXYmI@unWBs>l_2YS-ODu2Ro3u1M
z=pchu#i@x#?Ci-K{>LS$?>fbOd85$nN7o|j-n?lz!NtTpgX>RB$w~Hz7oRU=X-}v~
z(v{#?!E=1)3oX7M$w^)jvHvR%njcJZTX4zlLri<p0pG3*4~}2BW~CCtsImCwLl)MU
zWlbkb|9o)0vD4kY>`dc=&j*95*e<xOixEp&sG|MR?c5Lj%N_2o+Ea>H?k;#QcfDnG
z=QD{DpOaWtDKH;+_<hZS=g9|{%$3ft{5dPJZjO4)j7<}zzi<2$ct~{G(e#C#Z`v4Z
zgm}Z0_!$3RSomlHqrCN$o4O{qKE9m5zCymApX1G<n@Y^K{#WvT&zSpX%EAdT96#7{
zH%KJC{oMU>{hte!^O@rJy!zOw@P_?^k?iR!S=U-b)~)uNQhja1B;gIe_$nuzpILqU
zY8Tg^`3KC~h4=5Ckj%Itao(&Aj4=~FSg&zz7jw;DKY0=Z=Zd72CuJ*{h1<nH|2P(T
z&`~jB(L(DrK5t@9O0qE~owteozgd^3^hP(+^AA5}%ooqUsaDr0{-gfFt3=Kf$6OcG
zeY$vUqxq~u#%m_B^godM`XToJN>8n@GZN>oyuWvIQnSsD)HRF?d}bfq+RpgF=HuFV
zN-XS$Ke!q{+MX?UaPRvolIjeXHh*<%R<^wtdq*NQBlh1emY+X&Y`V65(uSH9{^r#m
zr0%sT?t6Qph&}nglO_N5CXwF@y3<ek-F+f(ukq8Gla=Xha%<;XU08l2x>aU(>IL}?
zpW|~beziNPe8V{=`{A`-riK-v9)<!15(^H*s3re*XwZ`PUHwtCLt?9b%bD0uD=$2|
zee7scOn;Bk#+%HK+wyN;u-a|$!?k|JY?ZACln<EOw~761K7GF9XI)&=>4Pzj8)W-i
zPhU-wnjx3?wDq*9{w9{V7WRGby=Pqb6FVp7`WlfH#Tz(&#LH{N#hkP{+Q6%}b=mHT
zf*)Of#Lt@>{r}U!u)~ZeJoMdGByK-<ZQ=LFj5mJ8*1Y8Y!4Wez+Mii#&DvAe-MjNX
ze)R5Sc9^q*>3+?}wMRU52uuHBe7-WNLch_*y!$~FTVsXnuk97z7p!cz*>7XBs;h|6
zYh&}C-HVo`ttgk-@!?MO!8P}8tnD|L(B03}@!;y8o7-otueHy=uQGj`Y7+0-kGBq(
zY&5*!s%<wZP4bA~nh!S_B#$fHZdkGF=9|06Z@un3<}AKrZLJ04skGS_oepai@2tLe
zOm0Jx^a}B=or_C1&Sd3a`X96G_+!TZGuvO^SU<@!`;O)J@4c~%6Izb0xp*e|;?{LP
zCfrR~xZ##He@ub!+ThFftGoaGDEhoI=kSab(G`Dg-iW<vTfDO1+zQ?WZoht>_A-^w
zj@hsxN3MF;GyRTPVi)$#e;u~jpjPMi39*x(%}z}CAi)u{XGN2QWs>27Q}^C~yzn?>
zBhQ|LPTUfQSM;$z6xKes;^oA(kGD!Z3f?5Y=F0IsGkNY>oZh5g-F@|6x5k?pDM>3%
z`mQYfx58k~CcCxQZr*c^yLp*`XX)3{$OTtV)=3=M`0M|Nw>R&&er`3HeelEoH4p1%
zJ)HN|cn9~9f1E<^FLbW|*<!l(D1*HB>Kz|rt{k5JDChg;#@5Q_{2z>KSKB|{AT}YU
zc+G{IO}4HK_V?C>ZaVt!oaH9>Nv-_uoTpc8vwzAWuq3uND}O?F601|}qx#UC*YB@A
zblrF_u&nM`!|_=P?x#3kU%M4}AohPo?EI9hf42fooMc_RA)@Nfo*#k9UE6&bgv;(R
zY}>fS{@<gS{tWu(wk_^3d>ygt==lj>?CQF>kFNOkk$J+?x;LCFj!84UzHsH)O`hY8
z(bap{XJj4|{=rZec8BHLnj=LE&LsbL-1eboTlXFBy|ZHOdhdPnAd9c|P0YNz-l|M4
z|K2}{IDgN3-i=lE{mnn`d+(Ku+0eavtJ05#+M}yKzpuFCsr`TBgpV<|JlSFdV@~{y
znaaStq4CCr3u{*VkLaq8kezt?<J127oA+0qI?&VgsQ>@R#xE5oxcoU59XZ0a%xL20
z*FRqV7i17*`F$`!P3W&p?Eg+jxekW^Cv%bx{ER;$@a)-^t#j{h+rD=F$|?7a=ay~X
zcU-!o;Lx*qi|W7K-16s+QqA4%4S}Ycx6kj8{5x^Oss&;<F6_uT`tj#+%`J;$lC)<|
zcoVb5VDh0Q$2SR|*#B^*`~HoW798g1RNlJa5QFv|l}$U?dsB`x-e}!*^F_J6_6PYt
zzZY~fO-g3?)U{yRYp*P^7uA)UleS#lzGvar#|IA?Zd_f~Ai*jX`-9<ba>v5+JG9du
z9KHW%;@^oZ3zi+UHvPuEV(JaX8%3gVr7zdH?<n`%WVYyS-Hgw3Hk!R;{eC!R!>h_y
zm7BzKHsmwp#!O1eNt%?t#rm$++Rd-?s@^b8U^&LvDD%4@am)I>o7R19jC!_xebI*9
zcDo~1Z_i8r6ft?l<}JH(#l-$BdfiZV@=)k@pCIWCU)Rs^xKlfSgU;DU3eWE-Zrr3(
zdBH=r&Tz5S*|q%<mt1B08*AE9S(9cyUf9s6Xd9O|;WR(P_Ucu>n;2al3S`yI@je){
zqv?9z%e}Vi8;x$<klg%3V?I-E#Mhq&=eOpT7BepR6~P$u)c;SzwOL<Wj$hpJKXQ)d
z$_+{1<_Da*_i=aQ(-@hK6}1)Yi!(p}u;seF`oXJ1j4LiZzWP<yx^sctMy@sAV@_x!
zb)>N;Gklnm{6FhJ-s2lS?HnAuceb__`fSeC+tNCFqfG0yzaKBT<)7QoxbCLbu@#!_
zhSfJGoH@vm^wOuzC~4!=%`0zm$>iUNKElRWmehNw>fXz{XSRK>oHg^Yz{f?0W6W2?
zRBV{{=T?q1dw$otoW~pI#BC6MtUlr5@tK_SHawDzNSYjTck;%UFQ0ASd@Pw^%jVFH
z><7#bhtKek60|-bQua_a@!*PsYvzP+{;GI=vf$zk>yAIvcqO^w((X?4q|^Gc^*2(?
z9=txjakuyO9TD#)vL{`CD7-Ib^$!;LKRPihdMsCbc)WYT%cZe4ohoHBeQi2*zg?cO
zvBN-O%hpY-9I<o4y`sBrpWP7^dE>zpk-9sDTR$E8Ww&hGW}OF4YdCJCeptJ}DF2V?
zrD@$er#(KhqkYe2^W<L=vgte3H#|RoQdK)_Ug+kX*OMPO#s1kKoV@P%*EJV*$=&@I
z)3`K|>y!HTid!?oi#PawyP3D=k^HkYt76x5s59ooSS7{eA8@=jC*<@E&)H|SVk5d&
ze3kvbQRoBffq(K#-y|6w_|0w@Gim;V4GSXgA57BNC}MRZN%YBLqwQ<klja@PdK@#a
zUVZg5t?6?b=0>Y-j+^VZaZcmp1u^j(=QSRV*`(P0;pf*ivzi2tF`35J&uNO>pvE7|
zaBTO6yK|bmSN!`bbMXJcx}?TxWn+b;Fu4yQH`aYLlK<Ac^3%}`KO=Wo^Bf3%_xePd
z!~vazWQL_lU0ZHg?w&t0_pI9dKOa9P&+*>6ZTmGDnfKcpQs(zRecO^dX^zYNyx%kW
zKK?slV_o-9_{RTU>*<@f$(`F|nDl2^#ml;*+hnTd&T;v_?*IJ@3HE8*|LR{@zis=u
zO<QGdZ(1;~aq$GULrXRtI@-zH<{z^`=5Gp<(2M}rMF(rvZ#(@s=5EKl`!|>-cH47z
zIQvvCc{<PK{GG;iZ(ar4{)tKK5dZjn1&7t6Q&VC(7k%D%H)7%8i6>=FtE^dYFzH2~
z{XNE8@(~;x+%|vKI?lN5N#xXy{r(q~pZr?cc!F2{_SRDyk{M>SJt{Kma;q~~@g!%<
z8C6ElpBI+i;GN7Ayfb9-)%A@o@srPRcBa`{vK4Q6|C7b?V!1n`VcFg+Po|%n7(}O}
zJ=>^we*5XNvKNPZ8ScK@`fbgtr^}YSxWO{XYx2P<Xa3J!`|eP*DmP>Gl<IFY4L6>V
zi2GmOIrC8XgvLKRts{RZnAW$HR35v_8O!+k=xmEkZ){>FZ`dieQ9iq&=-kSQ-ORru
z&q%KS+ZtwkIe91FflcQ12U&X<7tQRLdw=6esoBf|ClVL8osp_Kx^0GE-6m$`1H1cQ
z%=-RVyNBiOnubdUV;MR(s6Jm|vF677LuLm)RmII%yXN4l**9X$_n&A;esS#l#sA$G
z62HDV#g@EbZpYqP%Q+AHsNM6JJN+TYL$(8n$FHh)EqrL-_i{&~;(-*|h$Be?yBJMx
zBr|M$@ltu&j8&UfuJYM<?ZcdgD?ew8on5H-?#AK$?M$}{WV<(>`FQX9pOyW6jdyLY
zF?I5u{p$bf!GG=-rpKT2SO09dW*%2}RX?KS(CN<)`DafI`o8gu%=|4!)bnTFsk^cE
z_y)$bZAU6E?_vD>U>gq~<Nk@cYO7LquX&d8b=}_LJvDPTR@!X1WmElFDX!`2(L;O(
z6Oxwg`yg<5)pesaNjeKuxmjK}ukKj$vS!m&)5kl$-#jP&{E$|+Sbfez^|+r&M-R#N
zZE$Yo+a&pC{<?^jFV5~$_<Zfa5&opP@0V=cxyP$2yGvL|N?@lb<NH0fmu_zVU$n>T
z->me{XOvIv-sioi@pD1khPD4@JdH@(@=s_(P+fhmZW06cg&o$(yShG!XJ5(N#2|mI
zYC41AjbA(Fu>VgITlVy9_9C}UOsDrSzS)(%X5s9OvIkBi-8|cRogsVAa`x0UZs*@_
zdM6UQf#GcO|5fkR_g<{zxV?{Y&QAYXsr7HpD+ZUnTe*QT>8#@Ub2~jAnOg1nHS=TT
zw*PO=C|;hnmtoI&g-Ng2#lQJ)h<H3{kEa;JcaHrxu0>2{IQt>Cf5DOR3XTmK?Mr(9
z|NnG8BxvWppwp*9yS5y(-TlC~Vn*B1kC{>%em8EZ+`(NTy2GoY?q#uk{RQEBCluB+
zeC1}zU!ixeQ-^(X%z>hq?pTK29U|!m0uCfSNa~-sa+knnCO)S1Kb_cO|A#+_`5en|
zd{=P9hL_9Eo`{l)`8RjPhVxG%wcbQ6(7N%^UjEvW1q}TGGbWe+ct7EgxZUdsQuqE%
zSlKFZVV9}g-+k<1|6W{ub0%)juip5HhHNKIWRs3vS|9g-U-tRg7dxFcF04-9?=;cK
z?FnD}o(Jy7R5rv~U+Xna{qsL&d)KzT@vk4mGHkvYw&sjT@a@i7kAH6HG{1Lv!MDdJ
zIzx`1*fOtkj{P_O3%4GuO3G;XA$v_`LD-K2A9g4Io3TLr_0qt!hXwZg|1zb_O0j=`
z@6Xc>zNYU#ysi9EZ~lJuy+a}&BKuBHDvvO4U<eHj4Q1T-|38Dm|Ns9VFfcIif<~p7
zK!;Se%Q7V~#V{rb$1ujQCov`o#4sj3h+#}-<TwBSmsgj8VG0`q0|OsOJwuqffGnfx
zKac?o9kNU@Objt1NgOePF%KJJ89^EuS>!nGG01hwPGCx6N@5UZ5a$qNW{+WIiD6_^
zjbTq>`o|#1k;E9oaW_VeQ8>nqC21)G@2{9RM+W5uF>DN~ERqbui(?s81d|w38O#`B
z|NF-<#xkrBWKd&bX}vGV(Ave;D&Wb~%GS)dNTr*xnW0cgm?4>+p_z%Fp%&z77e;=T
z;}01er}VHnvK$v;Oz7=oKF+XRh+!cIBhzfgBqu&b?xg#Sf&s~ld@R0G`q+F~`xo`I
z^v{~W-am=kl&7lWF2kmY%#&SsVg)#3m6&F;aCs|o6esa;6?S|}ddiW{%p~6t!BNS?
z=_s%%hCv{?;XhZ>!(>JQp7R}#IM^q#?eyU1I?uLq5vR&zK2Hxmj^n(Zos5oC*c^j+
zJQs2HPUZ1b=H(34<@03p&6>v6ziK*5zvc|~epkNRGkM*E1g45DZsKudOuEONx*(a6
zmq&ZWEVkJzXY*(;o5QEQe6C38WnS&Td2Ic`^I7_R7x3@a6fK=7d2yjMr)chkBz|U2
zp-K;C;Y!K7ElCXANo*|ADqOZ44+SM}9%5j3V2Y7Akj%)Lq`(sUKR8L^ywGZuK*_8{
zjB?g|F)w2h6_v6TyCfEMEtWgZ%aGgfFo``WQHftfrgn)btK-tSGDjs;RfLZ-tPe;`
zW>~@V@X9h)&yeM8-CbfIR<JtHTFKn&qG+2WRz8_q=BiTkf+W@?w&^QYu}*)vnn!ur
z8rGZ3*77RPUdO9EYdxQ`ACGD$=hqFqnX@+XsxIMDS9z+&CDy%AW@!=&pZ>~CyvJ8=
z<~uH;`fH1+DCgF@y!uzRi3We!E)pEPLv;G&oxHj#r@h33U3ZDT_T9~+Y`({o)p74#
z(cmllSi3{^iw6rSH}LJe6KHYs0IT=pgW{1gxl1h0tDI$c7ZaDXIA(%Wl1q|>7Lz!~
zURK#y28T~cEXn^~u<&<@=O1D&UJ%0(qjs3%F#qEu_LmB!s)tt`VRg(rDm&j(Gnv6%
z?Xc@HR!`sK;`v_e-D-yePp~>qKFRAWp0A>?*89Dv`r%Witih+JvQ3wryyy(8<Fd27
z%Cpb0cF#J`r|jo)tJ84j1=fqRE@}s>2s(3o_EbBpu-tQLP$aL)Vb@E%`o5QW^v$oB
z@)lkN`Q;k#?vm?#`dzVXH+cWgy2-1%ME$Tx@a$Wn!Lx3Q1m|Vl5uLj0F0b;+d!qMW
z-se*m@h^B_Dy#m`lUH3N+^5r1ZDWR!Q{;;nR@I$C!6zMxSzKbiIaJ0v)Cw@ga5;QU
z(qM`C>*KuWk=$JVbau_hatmcs*q<zAb5sj@@l>R^B=q)`XKei;&sq9|U$FOg$?bc|
z>mMRg9+d5w${zTNZFcZ$mf5~<__Y1s@@m_@GiB?4f0d<w$_Ms-y9lAe`j0Qg>s79L
z#snR;uoNn6v~`YPOq7ge*cihSXc6=$X6uqqY+Gv%FZ#^3wWQ|olrL<KCP#!4BfiRt
z6!NW%s;@b`;u~B4%I_@w%YLx;Phpvu>Fx28*HQhYcc*HCq*In-%x%V#9WgOXtV@hs
z9in3yHcLNgQqcdC^q<Gwr840cAM2#<iDAb$nKgd%{nhMTUwbf+(eV$Pqfq`;o^`h`
zb{PES@pWX2;Y>Ks^1ws)S5llO<AUbwh9V`#LrJ{v1v&fv@vRloRZT2Rjrz|UKS7&;
zF@`hdVazW_)y=UCTY2trcJeVOGBGktYn5e+Rbgl;ZRa#si2Yxlq@R@{*uCq#$5eer
zt^kdgjWGo#F)pk+t_(>QG2dchWB)5fPiQk`+UjV##2|@v!c!s5ASUKymj9kvyIEq+
z2qfhvJ*#45zYrr7<G^B~5VIyGoY`ekOvm(4dyOXzvtyW&zGnSya7)^h<j-WQAQhv@
zQoy1UQ{f%^KVBrJj^&gzLkvsuf5}*edko3{W0MS%N@Ijx80!={a&$7x(R|Bo;GnQO
zCd@f|qJZmrmr_YX2galcF>~g2{MY6<%qVq`WyYSQ$Rv)9N&h7#J&I{iO0tPrne>BW
zuYyp_r-IoG3zK4FIAR&r3(h$9%fO4tBl&+k>rt2U7kFb_SOgW4E+oAxx;)8<!HC0v
zMOx`}(QD5c%>w5QCMpSBRpBz_Y;$G^6ixDa!y>Hc*di$Kmpz8zxQmL;d4XCLCdoM!
zmXm}lY-e7M^zL{fp&Dyp*Kv&D;L?T0Dzc)2xlYV%uY{5oF7Ik7V3B&^vT(7GRJzc@
z@|h30JO%RwSQ<}yFeXdni?Daeu(0wuWC*f^UX*NOV_oPH<MA~{LR@G;DR0xii;}GD
ztd5g8n5Q#MR^eb~nytFlIw6j6rL|&=ZOqb6hMf}9Nfzf>0z+dKBniYOGi>2l!0fof
z@@EXQPP$U(0ZzUzlLTh{Ve4bus=>v!wX@?c<JQ=<+Kaf^990?*>YSL!c!`I3d&BiD
zJpPW#3XC!LITO2L*J|^!_0QsC>Cf`wXLA(p72-=<sV(5i>&^90;p-&tG|9;<oE$t#
z#@}L;p0Wr>c$#~}GHfzjXR=gjWr?7iQ4l|uEz9pkoL`0bzIyO+uyTAA;<sO^D6Gon
zEGBSqWwHp5t!IHcgQpjt?aJIKqU`;Wwjw;eVpmxvUJ+-T7$PCo?!v=kdoOsKNbFj9
zNn1W`sjDnAuSm1aRFPqx$?$QlNG7lLVp;b7CD{{YWLC(rOkO6>Hd#%9SJzV{++n5m
zp-CLmH7>Jqt9Y^=boFH7=dzVND5OxYa4>12!J$nWJu3Uv6#2XZ_>x{Gtr4A~#OJy}
zwjt?Z413H%!zm(Mij!6-vpRdKa6c5VjN)<UxIIb0TVOB4a*xCn$v&$W?R>1t>bXpf
ztv5*Y*%fux?hp;J+)0MFFM3O{FY!=ho4P`i_5MmNwy9G@nKUP^(B@Trsl%(fOqVx*
znI4boY<=F1vkYV>m#Cgs;SwvAnXRetn(t_qp(wwV5ubji*?z-k#-hQqOhkk8vP?zi
z?lR-iU1=_Q|D}ak@N!F8<7HNS%9CBc@M*g&?6j7x_qCC&H@7vFJ!v<UZK`bjB70SC
zH3wd8PceIoox<KuLD%0Uy`0O`7(ORSDu%%&nPFE9bL@W(x0nO0%E=4@pJM;LVc})z
ziczz4G!|YE!;z$R+{4M7cVTZxjN0)l&aBQ`TzK7AORtDgJHEn|)pMmATd#=fad%VJ
zZVz4Y;;BcqV$_dkd9vQO^5Ro=wfo`CtLp2+t7`6R%IfKNmq+!AKWn#2fbdVB-cG;i
z>m_4Uj%#HFvc~=j5(!=z%(^f$M0U2VcyOpG>+7()BEeU}d39AHcy&D<zf2Jn30@Y-
z+qf)BJUB=sbkWOy(Yz<sVwh*Ybam!eUl}VZ{4!3adRe?^s9J(}sAkqhpJv_llB(N<
zVtiUFSidPY?vCO55H1qK5+alMQtW8VZ;d21<4b(rwz0pbB(piI`YcM}alRaSJ}{Nd
zyUYDln%dDzEE5CM*(L^Oh!uKjgmcAO#r*clWS{M77MR5{(>I$>+c$?-+ctM9kGAix
zqRtf|c|7{T`D{}s7l>C%SX@y#KS}F1hj~G!ibT{b2|)|(2uAKC_X#;0ceEdyx}fvZ
zghD>%nzda;Z0j%8tPLz?bM%tA5csa7gvb5T&Wkl`154TZgUeX@eaqP#HS?P<Rq#5i
zKUH#&{O1ta+40sP{_czg9ZMY3lNMyV9C-L|h47>qW?VsA!aHs)SW(HAFVerbYAK(6
z&tkzCjRm{@D|tH{e>P*~B*vBf4wq+~?ub$J6^mo(_`QO=n)#AKGNX>BE~DS?$+7>P
zlP1I@8OF@G>h0^owDyEX5Z9b*G0N;ST>qCO2{0!AFX))SAhbPU;)-uEO2-6L;xuYm
zI${d=7RG2h#Qrx-X6V=GYv@j9XiicP&=L6W#W3+-K{CVI*cn}ZGd4AxbqQk-T*Z{l
zlFT6eF=i)Aj0b}<U(9Eg7$pYnwK4Am84UdyOixc_VCG<8pYU2C#xllpt&#|Xs3;R>
z=fv!Vu7V%-b-drR;KGWJFKR?IRd#lEns#PRFT9`05bN=5(&~rTCosmi3ck)rk*HlD
z+_}n(p|(B9lV#E0Scb)p6K^E{FV5)jTfk}+-^BQfF-eolr-P4)RViZ`M;F6=w-}`@
z40@c=aUIDFjTw9S__!a$GPEyn_E5K4#Q1_iDCvFj|I`>Kx9Faj-wYWpR~OCpWf7cs
zzJP(HTp>v`Y35R;$8meKn3fkXCW*z&Tu^k|x$}z9qNxm7?|YJ#^a`!==cpCCC6v_h
zFe!`U=XZ{5mW_7RNg5M5H<U8-RRpLA9V)11I$Th19HSG%$niAkZ!$w?XQQx15l6vY
z4~3Z@H>J2F3EbMp)P3S@442-7szSy|dw4|qg8l^Vm|UmEKWSy=4pRwvhOii^7{erm
z9Z8-^-&mRynI<OxXPG!5DIsZDR2kzM7N*HbI!S!(3*P)sR$*vkc)3zma6@7e%VZBl
zfwc^K7fn=pTj8*XX*Lgg(h`;bO2P-^>*bzxaKt=ya0yj>tK#4To&Se)oY)q?_Wwip
zR)P%8brofE1(F0}emcaN7jiW+HMPsIFN}=gc$}oSVB7tqN$LysFvRcp>A;aRIcCkd
zj{iCbY8!Sw^Jrx}#mub4*uR~rUr;1DNuoymB)dG*s+kfVEOMMa0<jD`*d1bf{u`{a
zPQK9*#_*F(;v0)*z}gspmLwAo)&ogD92rE7V)_nVQrX5@B{cWZDF&_2tBO5pGJgoZ
zY>55$Jegr5qcB6v)7bxMu`CSBq^eKGGAK2(ZN2ERhl!m@X?2Wml9IMV!z-C-O)OG6
z;W6hVR<XG4iTz(E$!Oc{roxdVxy!Q2>4Cy8j+h-DO&%*B#i;DiV0m#N=4?!XaFS@u
z+KDb_Pi$z^DxY-dw8*~=R|HPS?6}~-S-O^K4`Y(j+l)txnNCa!VbI*U?xI@5+9dxN
z6Atr^0|A2H85{-vB?<1fcZqQiQ2e40<fL#Z_Wz7(k5~o{Mb1wuNeqIFuMLtqlfHK>
z7TOua#2m}=-;tv(JElTVq5U>P*GEo)vmAeu{9KY=Gw?D!koVgpped@5oy;)pq^e4i
zBD3VD>*|}-88kb(k}5dLKL#vN5K1~a;UfcMj6uxQn7=Vhh6?w&k~Cu&FI<mNOZpHa
zwCHh))WRl7qqiHw`#4s0g$i)A7#JjZC@yso5UCZAP?;+r6KKfsBgRSKCwq*NLctnF
z4NFZ9Ar{X`&4$MqG`DIAOI~=w5);O;$u#K<LriV#|Kymg7zVAFy5xWFld?FH8O}-m
zD~l0$9rHa&;CBqi`lO=_uf>u&z9*?^^nST;yMaCFV-hC^C&yZWl(HBh2@XyLrPvAo
zvy#db4{#VOBr_ZnsCSgeOa31yl&HY4H)*24L=NK^K?b#0hJ-CKbCXOL9OqEDrSmR{
zX~~VQkeIbGeg8I`VPs(C5ZCx78Kd;{P2s;AhbFb~@!V)+SgT+d^Zi2pM5FJej1vu;
z6k_6I6xakEXZ9HUP!NhWDCVe-RbkjI{YSaalc~_LMxn1GN%GC*#}8CIT7`=lw9~fC
zb`xl@RTSc3oN*;<QqnDpPJM<z4mSbKx@4FCd|b+moIjNeR@WG;XS`hiI!(-SqNQ#r
zr<RrCgeOTF_ZYf4_@p{+CUJNrt-WEqGPZ@G$sj0Zzr;p`ze(N-Q3@xMVw1!<Wt(Cx
zE;Ggae5B%{RK&sA)YN#8DVaehrDwu#%`FaVlNr`KvIvC6gh>2cBgphILE_a}L5bYE
zOpT&~T&z4FE(tmcDCoqzeDFy?IOfflt3M{bP-_z_c+ty{%qWngoh<O*C+WqFES8C$
zFJm5ba{b{DV&dgb;`kFIEwS@uQkMjeYr9z1q&HhmOi_{0Hwf=Y{_mZ!^f|*!j=vn+
zn36jF#zZKr<WpGdqQDr#;<<b8H5G>5KXnr?uJ2Tp<G2yC&P_=m;2%R~_?|0;$vdv7
zFh1PHX=dq=zUqwY)!Ht(hDpDlI&@pei{zwqtFpaujDPxd;ht-^rs_P|e^pOX@J5Sw
z;6}cFmj1W<u7$DouiJl_J&8H_|2pn{m!dd3PqF+yXs~n7)m2k?J@;IB?I)%V;b`x<
zyxXjSM}aZ+e~@R&^?g^S3w}uvOFEbzv*%j5rfl2wJy+{bsY&g*RytkxR?*%|SA{Ng
zX3b;zzVFIj>m5@}`W|M-?72~{>FRfV&-MCKZjbidD4ia<sA%7{Shtx$3b$i^Z<)Vt
z?~N#bxt)7%trAHUe0g%}m4?123oWnNf8Td=xtw0io?D@(a&7lt|9b4fsx$l`$Cudd
z+;`J2_x;7MQ|*j?S9i!1t$6%rm+!acXulh~TBC)^Y%5QG_iT^s`q2{U{)5d~v$THF
z&&lVULd0^5_FR{Z`SgG5ktV;Ld#`Ni?cIA>TeIs^%be+Zudq5U`^#fpyyrY?GQ*}+
zcgLL{PW<EZ-ErQNeJ-cxyZz@DBr|Ny4V|<5><sQ5vHQ=m%wQCWxj1n}lKu+aJ;$>P
zV$5Sg_8l|2uxpRD5bNfd3;Y~6yo-ww*fGy<$KDHf=WN)y^TJb>&F*(&4k^yqViI%l
z`zOW|Sq<)%JJ&GPvpXhjPfBr|u=RV=>J42TYB4vt7;iljV2ruV!RQjR@__971)l|O
zC+V+@PZFA>UAZZQG4Zu<633p!R_%upnUeM_+36Z|&}haBwf##anIumOaIW+`xri~z
zNqMzr3?C!A5exIKm6Mp<nME(}Ymszei($Nc<ZJ%91FvW7S+n}-3bSRFDUv7lu06Fs
zsAJ)IcD^YpFTSm;(7YhABrS^Ld&=uan>g~j)Mo5kH+^Rw$6=nG>yl3QD5dRN=gGO(
zX0c+E3fIpZj*F9onNkIkIqv%G<v+KRVc+^UOSc>CUpHM?bk4E|or1^rZ7k7S%Q#7Z
z*ZYw0v`2<}mIytxy11M%`NZnI>+Dkb?Dwo+RkXG)P>k>V7Cyf7oi457YH~f3rI*Tg
zOSG3oinUMTGGz$t*<iVO|2odwbJm@0e06-!hLERQMUD2YtJRjfb9vADShc<4m-noz
zH?rNfS7zp(P49wKV^XaBTFy-{+OzKOdbQ&|d)Ak0nw~Q9j{bB!dG?-lufp7WmhIWF
zdx~i2o^>m$Q~l!htX~zxyJp#*b=IOe*Rw3kvlqR|;!Bxbym#Yz(K|kS*M**{Tf1+4
z$tl?j``5*s%D=d8L&+)Cq`m86PIoQeJNqh2mH47qMs3bc?XZ87lV$!p?+A{bSP@`Q
z5wgHbaQ=swDJNp)?AbY=Ire`^Y|H;bkp~RhR<I==-%<1+E~az;+=f_&{+OPX`-&GN
zp4<6q!%Bm-8&C51&3M6heb1ckj<>$M=T7eU-q_j8bgJzH(}ION7Mz^G{llp+X4k@^
z3E~HZCM~jI(%!RpcD0-JlL<3-3(Z-<x#Rug;zcYCCu3rhx)<?(?vgzi%h0%RkHred
z!#mQ_SF(0Wy}r07WoA;tHP;2TI*g0%wCzrMxN646Zaq(qNG4;W#q4_%PF}I!|Nf2y
zQ_O~%qdW`RSMnW9W|%cW!ty}Q<CX6=7W1sAj4?81lw5HxiDPE+j_WE+k2n-9XM3;t
zZOF1qcEQ$1jN(TY6!EOkOMdV_J(*$kf(nHvNoLHF{43ZQ`8!mZS(4_mXhtS+NF@LF
zTF}LOplg53+u0k0cfOg-V0~Nd!+)WUwLAA-bdISONcUUuchV6RHUX!DsceiZJam%G
z_!@6n3bgJ!b$s`wq&>$vSz@(1j-K6nAXFuZA)jHVA#*C*zI{C3ckJQYzHi6IZxbb0
zSNwaJv}@}Wmco6Tf49XlY@eL*M7n>^=2w;i8-fe`lT2gwZ*=`4bnHp30{@1aGP^cx
z+R4<tXTw9rm=k+9PUDDT+90!YqfY;ZSvxl>GjiXv-0}I6Sn{UZ2W5ILR4b>=*}e6F
zmjXx37e>{MJ8r9W=oc{WSSiDCfa$EH0^8ZieVhll*v?Hoxo4|r>>P$OCqM7sT5Tbi
zw06gq7}KOZn-71Dso%4)uz$}6cJ>(d_Fd~@G8IY-Dr5GnON_a>@tw>D@z=)>1v6bq
zm%L!bksV{DRPL1YNr_o%g}=nUjp{oRPMFO|`V_N&;}L~x949Us+}r8OktBA)oFnPP
z`=rG(Tn8`C*ta!bBB?HU=hoy47CSb{>|or$l5|I6PhHZAnHrn+Y%qvX-Mg+M>4BCn
z`+--+CynL^?p?M+`RKkStx2(e(rqTnt=lt8=1|F8=3O(@V#GYP*X`m~SJ=I;!%xs+
z4(}o9J)MV>{~ypSSbs=dVRbCSd97TP|D^|WUdy(`9M)_|64}`<$S`MRP0Z#AWjuDf
zBDWZ7%qra7YLNWj^@8yamgI@2Q-mHY_*CGRe6p)=hxXe&O^RCuwlbfHV~puId>}hw
zN0u|Egtgy}&m5sPJRK)w-kdQ0`y@s}!gEJ8&xHjq(px-kcE{|k^*g3-`l2D`WK3*K
z_m1jBmX-P}78m(r6xxz5yokMEVR&SFN79FYokEOq3`zVkf0Cqgl7u(3tlQJ`X-C<P
zeVcFX+q8Y}y4}8e7S7$V+f_2ih>`i`Yq1T-=WjT5HQ8w2!r5CV?&v-y+H>>#{`r=g
zo>6bt7w=y<bL%aieG8sXvoYGcuyp3$Fr7WSu4?k$>^{i5XZO<mJ;%&DB@Fj041a(0
z!lpgDOXe?Gdh%f4vpu_a?wX>yZqLG>+SBhE?b*Hb_mkO;_fFW%G?}+&&uVYg<1_ay
zeCPW%VcMR(uco+l?OFKp+}rO@_Uv8hCpv59-i6kazn1RX^E4>`{j>cGjlS-mxo?4A
z-N~8z7v9^D(*8eb-|nST#cuE0y*!xr<Fh@BKX2%&=x9Ije-+=>6*KlOuHtWKj`iQO
z<D|s3PNm})c5YYRp{S!7vuC@zxqzZh@Sg1_6?pdOaomqj{{P62LG{c9`@+>v#ddA^
z$Y8#H_ofpJj=MG~E4V+p!{B>x;&SC?#gK^#5!wuKH|oyrTkk8qBh%*l#F>*8FS>Q=
zwv0{Z>p3fSu4R(65ZJeR=SIi9tL2h@o!`4$_hQZI{VTSfVE@=TFH=PK9P_-S2@{^}
zidu4Lw@85Pp4D>?w!7c{GfSkKb>76!dsm03?Ma+}^Z(w}+_8-FpZ0YMv~z4r)ehgY
zGIGZnm6wliT$JxfPwHM8_i)$Qy=#Kk@`l?yygYBu+EqQ%*e-@Y6xh4!S8QwGygh4P
zO%Y4kv-<V9))%RJ)~@tpn|SPI_MTOxx{4Q%mdY!xJ+*c3+U2WyyQl4yP(QtA{ne$a
z2|KL!ta%@9YBg`q+IPWWiR<>P{SU4$mj0gNtFmwH^8Hiud-tqczJE$8<NmeF^-|5$
z_N-g!HN(3>Blf>f%<~l<kJ+~{{iu0xEN9P#t8zPA<yWp^d=m3~R`kZ|jeF+XR^H4`
z-Z8&t=fwRBHt(H3mC3hegJs{o<;p9)l}i{?ZY2ND-?boxp<rje0%Oe41-j3--dMnp
zvvZN#hPO;<a*w|}UL~^UnDL5LMl+gMuBgyUYM7eBuywUE!@1c1dC3ev4kmqh!CbSE
zkKunpEW?~6CXU$u0SmhS#n}DXxxKG(*E`k)0iPSWKd_yA^Dstm!_$Nqme>mtiSIYu
zQ(V1Z$L&4aHecAYRaQ08xB5Tt3+?2pb8VZRBwMbSJclvnfc{Qb-lSUjmCuSJ8Mf^>
z#<OY13H}HB80C_7%<VtBc*h)9hO1&b=0q~w-dRxdU}r({|Fk_P<sYf9TF>|(eBTL)
zq$PXQ4OcQ&9}#V3jM>oearfki1H3hxmWg#T_8r^M6}O@1^8xOJq`wEscs5MpOJ1;I
z#Rr~U9kDD7`!tf@#VnT8$lTMFzT#Hvzv6}0bZX8NJm2&EWg=5j&7vrs-SI*KN*d?+
z5AQh3zVTag%um50n;oAgag+$n-C^!K>q*=G?+SZfC>|0psbNd%RG-7X;P1ZAn#n7|
zdlGK%dG*IUIe70YUjCSM{5#LZOgp&$oRE+#WBN&jJ#UmYvWR-`JMXQKsI=_d0ruS&
zk~%%l?tFhj;=qAJ={w(6gzvd<Z^Oq!90wR98gJO_IWM>4Tlens2l@HAV*1XW(B|Lq
zn_WEVq0aSx$3EB??|I#t%y96MyF}`=Jr}u>*75JWkkohZK>T+Jg@}K9E<8)RkYTg$
zyxa~K?>*-wcf3t<I<YtFK)pgm%pHkD?mg#X#BS}FDptGWyd3i(Y34JFlK*E5#8@Xq
zb2PK}BsuJO%OsPc(byWv-xXt_o#cCQB~Q}F4G)hzKd|!Pl8t*V<n9pryz|1%14nhH
za>yMNEZ+Y*eb*L=^*i6(-*<M|-q-bsjHi?T?|sde%piS2E&0j+GRLoTY(AdkG8EnU
z(ro9B?j6Sua<{L0R3K5h`^A%_ONM)QZf;Kg?{Q!?>-XdohNDvMd!7}<Y`n0*Z08oU
zpdB5vC&*8z?-e@0&My%oC;4Gg-@iR~{_b0wJNqyjS5?g+wwjVdY;LzV80P-#Ft0hr
zCVApQq*3M}znX)rDPjivd$z0=NXlf`ZxG4Ad{Xm6ZOo2S8a920VyyaNx{gYmlr)^t
zlzvhrxnbin<u=C>o1{et0(Y>-9FzRE??CRpC#!Y$oW6VRN#@10$o|`jS`WP?ye|~p
zRA4(Hqx1392d1RZ1F|=Fe5pD3W#3V@n*Eop4@S*DC=qCub8@Cm_zbrK@ffKir)?hp
z$Xj<zaP!`zC;M;wRMEW4GEu*?YucWhzfM?lSe|&umi#~Nr9dZ-?afJxC3|jMY)Rgl
zH2K*6PZux#{Cj;<=dJYqC%LISd<(Tc@45SR`bU<}$5<mLDt_L7FSI?DVc)&=_LY1`
z7C!emB3r(5>Vcd+_rjN&Mb_-O_kF(V;Z1w)#>UEZTS>Y-I=JQj{(Irts!`E<?$vAB
zeT(0JFaDIM=AOIZQzvHmtL^(X^J>lhd-vN8%ETO%vAn<M-rBI}KfO<uvO4GPy}$LO
z@RT0zy?6Dm#V*vE!s~lb^K;MRFz<!tEbC7)n(cm=*03`<cF)7rleix3m^b;ETPOd~
z{SUw2h%xDS-{JSDT4yK6(S47^Vz?)YCm%l~w)&N!`X%f6F9P>GS-*7Q+ouhUr;@Z|
zbUSt)c|47A=k{j{g3f<rQGUEm?9Ho}a%>aA#okSrJNv`Dj;9a)CfQ74SC*ZlID6;8
zy<gr=ODth5t4Qv7{F0R^pE1dJ{)0b{Zn@tQy}_6?@#B$){}`LCx^FmpurNz9#EE_M
zI>DHv&cgpIU75x7<O>5P|F=hzr(SGiN&3sAo3rP)*hJrhEa_*HOs3hny^vaUh{ZZ)
zewQ3GV@!r3V`0pUcTV~fS+^ZwyLCL{?(O|Qw;kguRa;tT$FX-?_k_7Ed9wG9aXy`?
zF?mhP#LYR!xx9r%S(I)b;a(NQ%f0Og*Z!D3{(VQd{sr;gTz4c(Yx3HuN4VBzUq6zm
ztMg?3kL5Cto@8D-!n1qV6y0S<xZnN@w#(RegnPBO>fxJ5xPN7(=G!0Pd99!7YI218
zx7AZSjw9TwPc?jhcEsb{QNB+#F)JST9ZX=FHPO7j_jX(d>yeg@UkhsG%4hFeGgUxb
zCrNAL=6juwD_$&cd;G6^+Sv`i9Qb0~XL29g@PCoRZ0SiVlU~d?uy_a8lt=RgH?sN8
z<9NfmY39KvNxD0aDKR8oWA4yg(^Y$OhgZ#ptH*Yj@9cVZ*lo_iDKkZ6R{mGZ?$9Y>
zlsu@lXUc+Fjzw%Sz2B0SM5s*t&|UK6zZ%2qBX_xC8MV899GbI~G3KC}I?GKnhaFkn
zk8K)%is~>X?KDU!STD5k&*lvw4_jjn)c%O+k~kdu|D}90qtbz@ga3;<*yeYBk+0u$
z>)gi3jSr>5!eTmj-*onT*xF%nocX|k$QN7|B|Gj3a)!ll+%EnT<Jr+9aiF`c<;Vl3
z4YKn33o;K0b|>|Blpm`+u;L@ngQ$btNi#dDj=OINNb5Y?uedSSmcc%jVL^;u?0-J%
z!+&ELKJ8qk`0}y=&xXnk%t?nj+666FIJ16BnqhS!VuM<T_pFW?^7<3BEq=wGD7IjV
zi9Rh=zv9uHtTXbADI9zZlNn>w4<6=>-{Tk4(RGr+qhm!EgWsF(nF7j-A|za5^rzfA
zA`p7+O!Nw&A4eVE?OF3(Vng}O)tbi~@0}Of(|KK1zf12=Nmr@i@dKq|hi2J#eqYny
z>GQM8@L|#fqyBvhr-?sb;W1J4_=={T$F|=&^0qOlHfG*}%r~975(i#AiBVlpx?-+H
z%%LasomF#^4m}WA_hjYN<F~8?g(me#Z7BY^IeMbO=OfuF40TOLQs*_3Iwp9VS5NSL
z(k8=t<e?Tr?Ej2phWW|=n=+&=k{Mc$<t?3i@Wp{w!kmlwIwdn@CJ7$PS$;67I%eL*
z<P#jK$8v&LW2%z`S#uLOzAW`WnzMIDW&6A-%2Tbo59IJDr)V2YQC=z)vsd~<@YnX^
zuag-rJesUvJ;6X$`L?#DWL?q(C5G7lamftRA6lx%{-37cs=1@)_|BPbhvqWPxi_Jp
zg~4uD(gZ%yN(Q&X8EYo9c`)i|81>x>`*nhgH!?<U*~uB{x8kN+sjA;j*XChl<c|HH
z8_S?HjY*VSldtn4$B6>w6`ZCOtTER=%yqaFqY}d?nRg`b^pU*AbIJehb^oN8T%4|=
z{w8VP3X6$fCDt8#GrN&t`kV`wrzlSEy)aGUNzSfvnV6jwjQ?UL>QsE3(69KN;X(2S
z@fW8KGsa95?CaPqev_eKV$0^27cGy!so%q@qR#Ny&|LoHqEt_lc?xEXoCjk6J0y8c
z;Fo7ii0O=FI6m`ByXoZP=Gqhb8Djqz#{|W!sqT1^WU-oQ$E6g;v_nN7C#XJO>3aUa
z#3t|L3Ec7(?_-v%a7yN$z`_1MMoE~7Rh*HV(?-`pf}!d0wzesn?|W{9N*L+vc>jSh
z=EoGxu+&2{5Bz(Vly$Un(*d`$$0~!KPT*>vceG;p?E~wcPm@dik^Jx71pbYaoDQ#^
zE_1K#gxtzA43E{0{oQuDyCCVv&l5>8anC0<%s!Ix{wV7W@x$xX4N7y((r3&Sl&E2x
zeIViC=S0Q{+uIorobP8mawFPccMjvldWq!!FV${3oS!sB@mK)=;~mQ;d+;VRNE+ro
z0v*8WIbj(yV=}|;^uxDT9Y1<TbnDSn-RPqebydE8dowZQNYIpb$0vvFJDOj2F6O|?
ziJr3_9Q`=)xLcQoSlkTLCokqtnA*-cv(8i?=0l9VM<b*B1@}{i5=o9X{H{%LvbkW`
z!f5gTeau0AQ4YzNCki`WCS8-5;QNDdTj}PB|C5imMlu$=eNb?4WO){|h$ZQtOH63g
zIzA>=6;VcRp9%91zCO!coql@aM4z)0AI(1F>dj(X*mJD=Ub}ullEyig_eW-~J~d6|
z$rRJ2-PS+9_j_Gfe)NY8TQb8u^@bt`-Ruo(e#AsIh$Oyk5M`H&*&!bD?8=UqvszpC
z%AP#3IC$>djMGOJU5=lmye~)pWYOlSR$<T2Y5gibI{oG`{oniM?2CB#^7E0!ck0#l
z_E#U#51;Q_R&;XDyrYZ%g~=Y9c4YCtpt3dBrdqx8`D*j;nEtC^9!IlAzVpWypN%=T
z`12{z+s77P(&YQ-e{}KN563p{@z{1&`}ej59gogyZ#$^3_ES~++?_q=4(oHy?2l3B
zKd?f7O^oAl17E!jr|&K7O$d(Zn&5nVl4jb3>}HM_)6I`lW}G=RH)q}ku^E<4eiNSm
zin%o5eg)^Mii3<XYoul~sC2B`P;>p`qCYFdZ?3IZWMT8_{@{6_uXWudclm^`Nw;D$
zCTjRIMD-onnlvNp{EA{}7VDJ_5iuYC&STn@v^_S5Vd<;&O%K0+PwM@#|LB1mN}s-N
zK6d=m+Li<Pj4_9PPF(nV*Txg~Z(KTZT;-Rc@Ui1b3P~}`lad(J9|*)uO3FQUVx>4&
zGV|th0V~{&tht^v<GMQYNx>uK!UyW#p5!ol_+sMwS;x$_pIdZ%zL5T!BdxhdkDk2H
zaq^4v#9i}_9A3Klfcf*j(wxrO^Nt-n*E{Vf`_W6Eo}HKYbj++R>E|*2tv+WZHu19_
zWJ^CQa_YjJS*?NF*G%<Z!s_^Uy4Rcwx9v4Kr}I=9@$xZuaNT0{J!%%6$<|+g)GU+L
z@$|9%sS|GcOF4+m)sdelCis(Y^%Q+g?P*?fc{nz$R8Ko@_SR_H;Z2+;r+Hn{Wc^er
zDLTdLWiadPW#@!~`LwScH`7aH?LQ}ECHY46*5>pc*PrY695E}cKD7QIW76EzS&EBn
zjvbiG`r^}Zv+qmA-kngF^FJ0C<)d+L>d%=P6F(k0V!k!|sVL8Jv$x*zO_4{;cYE>b
z&pa=rpQ`6{#C)xtt7x#b=*!$`Ud!V`7ap54bLPqCN6psWzi?~T5wq?2PkFtkdp-5G
z+w{?WTWIC6m)#!fhrb;)D_bs_zh}Bvji$R>{t>fqP1*U?$Iarq!q~z^gJ(sE1=nRo
zvIgfLGh3^A_|I|ETFE(HngxHpoTxu$7J6^r3#CN9*;7-PkD2ZF<NFhN+<f;G)3Re`
z+x^m+BafKB4z?9qc1}20PUG5fbG@gwi;nGk8DbNmQlR^N(hTcm690}|m=ttyhVsL2
zyJq@!zE|qJ7*Qeeu;XPc!-bpY_vHRqx!I#oEWcj5a;lf6Z{6x*R>$|#y`CPaSsinR
zW$sansWzR{*B$x)X{ujv5!2=~EOV#%&N;(0<M_oX?&nVPzF42u@rsu*X6rP^GcKDC
z>8dsMSMr?Nv6s;~DLTo`a8<?Z%zK^R=j=FpWyRE|Gp-zPwn#p(yZV^!=HnZ}1!EfS
zcgi1}J+Ce1M5ob?1ksPPHYFd>Wnzr!x{=J#a#-)MXYvu<`A1u=&2o=ileigUbKw5*
zgI$3KI(~MhC8|yM)gioy`OyZqWYHZt{TxTyAMZb7bMM|uIrhg3xO%U(-tD+5|NNBT
zu3!BUhd%bdUgMI$oV0bvpYvT$=D#@HTY2$>x%!Hi3#vJpzIGgBj)`~_BlBc);c2NG
z%rOT9gcrOwnSXFf$77D$6Dk>F*tVX09m|l^wrT}y-;#|d6)Sf%JanF@>@MjRwxjV+
z$3B7U3|xE@l0GNtG6?NFDzAS)|3(tm1c}dw^^+&3L?lfJOOEOKC&*xa`uM7Bu>%ZT
zPd6GX#(dz5IQHL#bC;@-<(g9u7I!T2NjljmpS|;P-$DMl43!Z%7Y-#ZJFOP+f1<wO
z8MUX2etxJ)>grA3IRDK-y^2$&50Z|@9LoB0u*mXYb|>d;#&E_7p5jI<d_@;6YrY*=
z#CFl;eDah3mCP%4e&=V3xu!QE_XA7Jj;qRCH4mOA?TyLlczyih!UIe(6PtP-?Re(P
zbg+qeJ7bc_nVOFWO&*^y)YCs;+;_$x{=hy^CDV8Ow4T?_Df2g8-njR6(pfI+^7CrX
zFGN=qpJmvy<L*Qz?&1@S$4{u7<S#s@9J~Jnhrjg6P0II<<y`7kw4ZZ8{^-g7{RcBY
z9?W(!UbNq4*AI?8`UkTk4jwDMab?nJ{aMRzWZU2Sbn)YwiOW2d`cLHkJCuE6!^JO;
zW6pCtJasfX_Z-WK3lFyQ#c-@n@-<j`KPK+g0jVR54G{;j=C2VkKdG}yvGAba>^qB%
zPssWtpOuw)c1w;$`iJ5B>KhF=IFcqb8Q)3iIrE_ZjFe{oN!A_PMT^f$|40hm)&E5C
z<;2>v7b2eT2+%p{wdT)+TOFGHwi9lPp74nItaaxk3nvFh?0?kx{{txV|JfHL1!5U`
zwfvubywce|q2Tz}2@klXyRRf3`hWIlj#T+Zt*SdW(vLGuI3>kaJ>lt}@|ArD>ymcA
z@Z)02KQL?4ZRL~f${I2g8RQhSN*ymW{C7-dIK=QifjQ~efkI_zR&n+Vm2+bjN5nEr
z-}#5JIGJJ1k(ettw73qqN;5LVsKx$wiV2A6<JwXnzSlqb{~3{2Rn@2X!)D2{D2g0Q
zir@I6xc%U#9|w+qJp5Jigdq39Z+p3qeB(%baYH=m$flcTctd(RKPkVMp4fCcH^sT<
zMBLfg{~w*GIzQv#A)XnJ4hjF8<MvbH(!HaJRb^%qzCB=!`E}!6ujCCCh1W4FKINQC
z{!o1&kvo~;e|?Nu(&}V}rpY%Pm}9CwL?tlCw4NyKfBGTi2JeZo$5k^s&c5nD88r7q
zVwb|Ti0wE2D9UO`sJ~?LSSWqqziQ!!4AuvhA6R03U%14`n6xIQ&i#S=fe&?MC$$tb
zVy1rzlF*Owxmonn%XWdFOOOmB!#p1L3r8<xU);K;^1#9g?pnS|K@2uAoUsghPco?`
zUp_da&x_|n%%uhD4E(YGQ<7~M_AF!)V(?1-sy9)=ok`;R$A<|PNpd%fgd;=_{)u^a
zVuC|5!%~h-691!bJTc2UF};E*X5WeKhie*=T9Xt`|6sbY<-_ZkHBX!W^K+!VnL6{y
z%=aG;EmmVt&Ym&z)6bxzi;sz1wCzlg_;(^gUQF<I`-G(PF_sS|-biqty#3ydB#-SO
z{GR;#&RT5Rl=0|%&pff8S%zOikNs>oo2$yU-27a-*hJRb{}_$W=UUs}cyR2J_xXuW
zPduK?QhhS}?AOjXv-Mh)FTbDl^<sLmiDmD>-G?WfIkT44@$L7HP^LH8$^Us`85q7M
z|9g|nXdP*JV)llIj3>0u&fxAkQInX^F)@Pu#AW4w5n_5#PgK~XFBD6}e0t84a&mgw
zxyETCyWgDtb}qccZJxnTt$Wiq=}o^PcJP^a(e#heH+199I@p}4PX9I`HI=phOw+Fv
z$(M7NE}G3Ud)AzDo0r<251r1Zz3JP8OPaj9t-tn%PDo~0Ew^RjWBEsm<h1w}aG$;N
z#(&CUKHo3>)0b+eh)AuO__pHvgr7m8U*??&`!z+*?)!x4`l+fCUnV@&+G)9GZQq{n
z6KXU?gRQ?z_^K%uoV7>xpx@p}%$bE{e=5vogcfP+|FQGig5`U@y>Z#c>iPEDgr!qu
z%fI%|{q;oo;I<<+H&epCPuMp_b^nx2TYrhD?LQ;w9kC)a)lB!>gr#x3%8^qx?)FmO
zA9#gV|MFGY+5c8bEb$1Hn+<WlzW14s+EZe|-zS`!E*iY)TmR+fdsY>0Sfn@SWYQxs
zUH(rjuF6YJ*@~Pz_UzllrNOMv=A94oRuifGHu2>Y-m)`IFN573QeKB2J(O_#$@1d3
zZxh2rg}zPSr02?~{hp=Y^utmycF{#=&Nu2_x<Bc+XJ*a2om!ud&Yo0ju<dO4l)56d
zFKnBw4@|tp>NtJVEzcHlmj1}2vpre+Z%^H%?aS8xgS9{Ze52OlU28&@x+MPm#g<)m
z`QDQr_lswaPTd%v$zz+o^VONKmq9W!HaT8AKC4L6Pr3Vi$b=&ivFAdxD$HU+zx5o9
zF>X+nW9dJmbxNc;_P_6qdh>5_H}p0z$9(8|bYjYzhx{EU?e4Cy7C*t1WV|Eu;LPq1
zzt0|+$sH@g(4Zr`^+{6dft4Qu4kxWTG;{s~QSs^<=6e{MwN5aoADJ1qf9kruvyERS
z`ka+6``UD?m37|B={=`(587=$_&>QRSG;<I#3zl?W7ETCo-x>!6m!nt+y$4%^OV<o
zYc5e|yE-%R$vNZGGi~R6SvB|UIYYfOQ><@h$9-$scWQ0x(}(e!k4z8Wf5z}-nAnng
zN2c%c=25kLXs-XIIeflpo6&ibc(toMm(Lo`RXe<C%9?AnMSiC~&U|*>`1D=plyA*X
z-%oLIeE9Lr^fg~o&l`HF&8qy?{8KB{cF~kI>$BowzFagrYnWNLHu{{By5za<XC%v}
ztj$V3IBVj<v*!e_$9-=vJ0mx@>sQKTt8;>rca*%mEUG-CkV)45#r>ig3?~(A7&opE
z+pz!e%C&qGJR}awFJ8H2x?b#=?0<*)pD<+{VyQXwLup1(l)~fsgZ(O+^W$b@%sbxO
zD8V=*JAcB=4Mnm4k4_LfVZFjpC#GY=xdpaoHF7^Pw0AEw-1Bnf!B~d1M<&cZdhLD8
zd3CuDdMEs2PBXB6VDJ7g&%T2xMumY}bVtV7kEVzCCoiAJAgeM%ZcUZ_!Rmcy3-%o8
zv+b*&xcg7X%RLFn{~J0*>pv)meat9Gk6EbScko`og!jeA@5nsb#>uFDpq;nukl>v8
z95F}coeP`ts7@~IwEgF^xon$$GR7nyIKF76gxbaorXpsMScZ<|p8quqCT{$d$ao?<
zfhoyoL05&wiz|uGPrf<PB4Bc=S&2dK`Kj6&;T9}2BIocV9sa!FTH(ey$2PX^us)c~
z(6}S0jU#E}yW=NjKkl%z7yG~CXvEwY(G&Iw%t`47N{;`y!<`hjw0YM=3$7;jTA3LQ
z;*wk5uT%~{>-B9??3zzaKPJ7~c&Imh(z~M{nPwkeB3u3G*u9R|Pc}rJYI*bW>CUsh
zHyJycSy^I^pYSO-c)Rbcr}gfpRgs@N3eWi7-Vrrt$+Uxu#BZOstvta0aNen#IeTXp
zaonHr?cI5YoI{2a7-Qx*Xq_`z&z)3bC?U~(G5X)J^S4eIA7=VEd)DdS8_yWm#7(<&
zuj!x`+lg~Ylk6v44qKDF;lJ>)bJul}&KO)*HDZ*We1S3h+wQ&RZ9X29kUVK^WFj#6
zoYncyn^ye%;6G7d?^(--8#iw9Ib*qjG3Mm=U6YxVdQMt>*){j?<V_#Kr(WECW{c51
zn`0;J&gzOMeS5NjtLJ9_8T*e1$`3Fleciw_|EZz8{=rFMN6($Qai;s6W2N?&-Lucz
zpZszm<f8TC15M{o*;?#8qxS5)&GVBlPrUx~W!I6UGnQxkc7{prXgYk#it)n~KF8z@
z!ZX~BA1T(|yeji@{(t@p%Z^rWP;WbJF2k(obH?mPlKhMFpFdP7X&$V8!20drwg-$!
zvNIN+Kc%UxF|m*H#x6ky<`1GVe_0-%xB9o{(6)+cS6_ZUs~)pC=G!Loq<J;x)VF`!
z@c4}S%V}J022OvDyskK}VKx8E;xD$Re^k$#X!Cc^#1k9YPb<whq7-vR|K_2;AE!Jh
zmTcZX{fz0sXCKd4Y&mPT``g6euCr#hXPoaok>PGJ@elKZxQB*GXN*35{Nube;8@s?
zlN_uP^H^f)jyIJ4`LW?a(wZ5fM<+&P$t|#CNt*8YFh(P(X!?i38#a$V{$ly@{_N`o
zH|FkAT<g*Lx<mJ1(zTeG=V#xFV{}SpINW{9<>t%g3rTE@=`0q_dy;1J#B8{c#`64z
z-%ZsMR$=>3WOF5jy`7-6@dn?GTT@PCI=D2H@h9nj{IW*#ghb=wq_o%#Q%ygGN&al;
z;JZHihUZz`%{O^>p4HuRhTpa?e}O;>L(qpmFL;ym^-o+<`r-M`<8cII((_YliXuj=
z2l!+DB}pZnvgKr8J)dM|d17tf+m@Wi7bHHO_uG0tGy9y}$@EX#KD<3~>T$z@vu9Fo
zc3K@jYxi`4{bUcH`7E~mPvXy&<!?Wia3*T)wlkG`&t~18mYZ$;lmGVl%Dl4)`O|;j
zzt<UJ+}yt*`9J%#e6Oujcb{Il?8X_!)u*;aKK^NY^y|x0<<Sox{s{i`!tkExyK`2?
zOA{lf=RZHcPvPmwhBZ@u#l-I0+rDQ?{-s#EeHXHS{tTOv|5NX&{gyKf>vvBP%{~*m
z{C~|v|8rjocWOVM_G9_FoCfo-srf(4ww{zbXOTVAGHlAvU#HJDPyY5|?z_E@SRXPb
z{V_|m|MDXD+>wcemS0{>|26H_%Z5q*I>B*`-Non4Yfn$eI&c2=!zK4uO+R8T9Q$8=
z&g_Kn*O&c&!asGj%<fgzIT`ck><s=#oqD2nOeX`=Pw0Ml<<6)lH1mbQr9010n0<J4
zwBe2ZCno2E|DH8QvHy=ZKI<a&__XsUgNZ9rPXun9u;J!OUY-+SE3`kp`oz?D{9jP@
z*OxD!FzlEq^_Zz+>*uNOCNqfVoHMPRedK=aNzbrp53Rhnw%9dpxqsd)wc(Ym{4W8<
zjVoULygO_1IkWYX1^4+xC2_Cz5q?>fw0cV2)06I-&zN7;J6jfi&TKiap3BUy{Hzn-
zpELhG_rmADe4;ZMlh4`e?)^FQoXxrYjFYElUe$ZbI`N$OZl9~JH4?{Wsw&3MU&!<2
z*<3$f?VIP!^^#l8ZQn7`^U)d0wOW&}-aBKy_We{f_cP|}cgH+s+jhqMU$8m%y(yWi
z{Y@2ap11fH^VV?LSzGH=+l=qeo_pJG`gz8D*Xgersb|bz+pDj(4h!g>x%G_2`rR>q
zr<6_0T)pX>d8nqJNc<V|&{MoAXUwn7&Htg4le{o_L)9!zd;ZCrV}8%ol+B%ejjzQi
z^whE6-phIR?&;i{e#U%t)Fj()Q!bx7XSMl^%IexP4qH3k?U{1r>ZvKB=he%s&*Wzx
z`nYM*twU2c#++5(dg$8uBOh;_JEd~q)w5l3H~t^{_<2*I<&Fh?$2Qo;%o6;3AmBkP
z!+A|_CaIjxQ(8=ns<Hn)lH<>6Z9m(x_Ro=*SJ(7TP*0w*YtE8gH+pU7Z~QUQ;`*K=
zFL$0XOMkqDGv=&m<<V!S&vZW8Ae{4J*|9UF6Ex=^aNNA>_2Hr;ZbwcW@QFB6x2I$A
zjRWc`o?eTuRZm-Y^Yej;40=aCZ~pN2(P<uK(L48^FJwAX{I4SET*04-JdaOhubB{2
z$bPz9>|`EKlJO1?4gC`$*JdOapUk&AZ76-vmN|cIhve1f_cNqE+V4=Z*s!quTz1Ug
z1!cu2GA1PdcW1aaq2+(Xp^1%0KRoX~IkEVx@a}Wzk7FmMi2r18JRccxbdqx`<Ehli
zD}SDhY-O8VVp=IBH`{9SnTQ`V)ILsh?mHX)^g;4}kC_U`&m>7K>F+;TcP?({?Hwl`
zo%f&2?YU#=$Fmwikw4Tve=I*V|9q5e@*0Ny_ufmaw6H&`c|7S%c<k0wn&OXFJ^fWv
zd_LTq@9~W<r#%lc#hmxNG>7q&-w$umN~XH=o+-@YXT2(KGU%O5n{)8gY429XNgeSK
zoWIW6ob}#+Dq?cMl(RlZC%Sc?RGqwa$2s4nPZ(BCd%M==jIR#U0h2fWKRP!sozOh~
z<HKPtrtFiNHZf;?)-X++ctY#=S)V4Rr1Md;Ss#>|{$ybOullh3#2cG4UXef57?T;6
zC!K3J8GYl}&ky%DZqPd9aL`U&`LW=EBML0MTdyT;jIv;Q;KY5}o2igNobhzrwF$RB
z{%SuYf7**FNh2odA;)ad75w(U7brdyh`G}G@w~^M+2_OdO|qPRbAIpf33I+nbR7H`
z*EeO3@xkwMhyGPse4GB{F>6Em`LmIm&pI#VPpYzi@KlHCoO9&~h7+X@?dSbik{PxM
zpYct4e9rfRsn0oIxf4!uj3=bIPt~&I&w6I$SaHVp_k^A8J_~&R%AE6c&_0=ZZDxGU
z#n}w!k~m`j=O@cB95~^#j{ia=+fI#!vpzKo(rRK)xXfWX>37n^{ut{?sfBX$&ICM;
zIUDfE=f~+@<{uNxf2799EXZ&Wj=3BY@Ivg7rG$Rc%Q?LBPja6L*S0>{!uNAR?EkW&
zNsAIsE~spbiRqd2U3?N-BMZaaA8F?4AFkaD-+1HoNss-r%(-I1KFsI%)6m^%=p^+(
z#@Dm?jo^ka8?6@zGrdiEeUqhT;;Q3k<ENY{nmViZ!W8%T^XE>UXq?Zdzki0rkA?D#
zF^iI3Y(BsEw@_ltiN!i6I@8W9o_w~nGy1O1xt6U4e>S{MI@6qUzV6%t&n%;WUuRd%
zKbMwnKSw41>;kj1ZBJJ?Otjp5ercxNgndi&XXtEOyUg}{(cX_?HfsgeotZSrF}~;E
z$up_pQ&-xbpE~F1nY7pE*3L>fleXH6XTM}8|NV1m*H7_Qok_hGE4G<GyYHjj$@TGP
z(*A3ThW}r`u;%78jnAEqX=l=2d#R=UJd?K1TQu|Mnbck0_WypKN&Dq58hZ0g+AeQD
zwV&tH-UWTVx9xoDRMmNBlGkd@KI(LK*Xc8<*XHV8_;e;EbZHuI&iT~)=T1+4vGeiW
zbLVHStk*j`<^GwJwdSHV=ToQKc5XVCTH2LY@#Adg``YuVdnX3?W;}WFujlbW#+b8{
z*TkOo)`<P2Jx^q=+nk<*#&d<|pPup3?i9=Xv+390cKAPxJ)iztXX3nbC#BCvCZEsH
z=08!w_<GXv4LS=sexA!X7PEE6O~aHk{ZCfCDBD`wD|({v{K?%XXRn&Jylzj-Op%{6
zls+zY?EjZye)HkKv!T0HUpziA|61~y&^*Zo&6BgbPb@#kGm(Y)RH$iXgYza{!3J-Z
z$K8$7mYdIBAkL<{W)|}a7Wu}+*<U(kn)+Gif9Uvm;QSMxQ~%EUoI76-uBwplzxBeh
zGt2YmpD4N;J?G@NxvX>dOkKZy%8I+MCiD59oU(Z?Taev_*2*o5XUZ6#U7pD^@&7{Z
z8)u7tEfHL<vZUrrQRvUJPdEKZy*5`>F@^8;$+kCVyi50=DOwvZwxsfm*X~_YRDL~7
z-#DM`bL!C@pVrLt3s*a;b^A<Fyrx~o?kOu`Yft$cXf&EXWyRlDTEXX+2NmB=Vg7f<
z>zB8i+07{{*00VzSESx4c`xRS#ksSkpSPWfzcrW3Z&Q{1nfTaAU8^RuoUzy*()aJ&
z<*G?P=lA~5s-GwIFqZMey(g1Y&n#LqVWHYYlb)E!&bR~R_SF-ezfE0u`)q3YCnm$q
zlV@*u{5OJq!je{|4PWZltZ037Zqc`MX>U6x+k8yAc2@r0`SfXrIF6oDm^b0koQ*XT
zm)@VVaZSf=^N$n0h@8xfJS14b_d90uA(0a^IOZJtc`~c!?8%>JvR?IW_B@~U_U!pL
zzfZ_-?p%>{Ay{VC>ywo;ex2Gm=luMcYO-PcD^`6z!%?}y<@n|1o#*D1ow=F*v*`GN
zsoe{-_N+V@d2sgQ6B9OyzhO+8wAZ<3f#bFRF+B@TFm(L+8|^Fp&*ER_#ye-u%ExS~
z6zZ5*aa`?S+`Mz=PVeyCXmX(YgT@Y3i^I%y%qz1$pPzSxap(Q&H!%}iEe~Fu(UHV;
z=InE~iG{~c&3SYtH&NqE##`QrZ<6Y2PAa9WNSG&g_IE+wnZv8sHtu;gU;4T2nZ8XM
z>&~PFbuvw!bFP2+ws{htbgf=>pXy(cRQ~5o!q5NHcZv%o&AEB`_?pA&Z@$M&J$X%?
zdu`-x%Lz}9&P<yvx$nmJDJS3Gx+MH~&HnA#Ct?}4Gi~3jGy8nU`_EHP%%3MT-TXx6
zrvpcSd`#<%7uTL8^kkEg;Peyorzx48Y(GEkg#GP~(Br>89u7Oz`Rw36cb4;hv(G;|
zyYFo0_lcd)&vf3txH0{wX6ua!>q`?DV>s7buQ)nk_UAJ(WqZ!9oSHOG?5U;Tsom>L
z&+K~eHL3ol;l^1ahx*TKn>zJm__L&Qn>S6E$;OzpVo%cUDJR(eCOIXaTyU!6;|;Tp
z3z}P-WX-E*3#Q02N}pV(bK!g3LXJ77)?AqQm}AEE{`+@W`rprBJUih;ecj3MBPy$O
zChX#Fdc1Akn*Gy1p9%e>%6LWpghkxB&~FpArA<4z)hh93e9W9Pz7NG#?zY`MhbLmn
z$@Shh_kWvEuXAc=*_4yo)@Q>?y&tt{#D~oi|2$*zvyHRPZ;-3scedr+nR}DZWQaa*
zww}-9Yoni{DbR9u*}7E4zvq@aB`tW7G3{KHK~lv@4Y3os^G{aHsJ8!SI-7INk4rZ;
zv7bL%k#=&i$`6Y-pN!AUuhGBIcx?ld@wxdtHXmOe=RRAWbnn`Re<x;t_&<|{n{odP
z)|<0gHa-*=*ykbe_Vo;_8w(^qpDD>XJ%b}AP<~C)wX_-Ljcbxt%$A8|5RSQ+WRMiG
zKSuLJ@|v|5A8*t%^*&R6wCiMf(x1z%-Y2VCcYi3FbGq-L@A;FR8^xwwoj>uLX!x1N
zn<wW8W}6hH?^v*OM*E2!%amg{KJA_vo%k>2)R{kxGLL6R9PQkq|LsDC&du3n4TX$J
zi()<&pFMl?Z2hOR8?S!)nRuezZSk+nGtbT+`jl9AX4Bj=UGKM_*&6#OO8w2rt;NT!
z?=32ObNtfwxyF0%pPl|~*_q>JXIDsebwwtepKqjHeZFPyxy5hK&oxV(@^bsGIn%5c
zo;*MGW!3%MbBlM!i++mybNZ#;%;eyu3~#2syjJ@A$o1u~gv0&*b#J_LX7SqpQ*6cm
zoSOSCNF>;7=ehq!uYcL<*7RwoU&NV)m-^F>ZaaP>H1FoFm**Bo#kxgKd-*mv_w~PN
zFJ9j}wJvYXzv(Z-PMIv3=&<A5rrkOpB=*D}zg{%aY|_T5FYou9kvwB3c%Cu&+y4_4
zM^+@9Ik`e@(&OqgwM{EZ*2jc1o2^--tp0Ll`^njiF_T|?O<@UatU9`jOD}A``lmCi
zQ&0J?Ie9GY)R7}BA<Iv%Nk0`^!9VTQ@;HZwaWmES>@a#fS1mo#;pZNW+kZ}+zjmhZ
zU1ZGJLTlwN#VeuxC#|Qv49`<P#>Z$<eXels)Dzy4!T-({zEk=ARG{w!Q_{~nE+_N4
z&lK)oHRs|&o`y~5)|RSmjgLONX0FuLHN7p5&#rkL#(HeqnKi3=?o2t`njd{;UHK_q
z!!v8briz@|baBnkGi%<xeYW%@W7PSgTVFNx<g3pVg-<ujdZM`O%$ncYskWQW6s`R|
zCH(c7wd?;+3FSIdwEbPM>d}4Y*1Vdk8gQm)>#Hew3(gen{TC!U>Drm1RfaL@GeYX7
z-#mS;`1G|o;#uKm_gp)hpvS$@jphGN))NzMAJ~zVx5V-$i|Cnz)pO50K631S&!+1a
zU&~gXOSpRT{A}y@U7Q7sr~h4>P%v@kqZ2l={|_BL(Xo7v)44Ur56E^bWb8RL+kf`?
zZwD`bJeWNH0fTw{5B^Py@@`y9KPc9lI#*`<qbHlpa?Z|G->dlVf9DpTGq+DpvR-+y
z+U)eapOd_1aeto8b~xtb6sed>CeagL=A2)!YR%P_j=Q`u6NN?BADVn}1>c%GH_zr5
zFPi>|^XCMflTCSN7HvEK*!uO#=Qj>sKYOsfs_tOn!>)x7BYIBzY~Ep7anNq#DWB&H
zq$?N?9Xoz@+1s->v@3;re_Z)I<>jp-$5*UiJQ*?TM7jHfjeTcsdQN$H)#!}+x6Zpc
zXD`G?B+dHOx8`7b+L`l(D~xiO4kU-I+5dU+#;&vHGS4KPICJ{;id)CeoxJi_?!>{9
z#<Sl%y<jr$=Tv+3a~VqaPO|YbY90Bh_4I73{{LAloEP|Gc59y~{C2MO*2F3NjPoyO
zY5tR7Ja^7*!%04chHLX#6Hm=GPk-IWTY0iIMKkzxTR+n#rvDY3=ML&ApE_~jSpV6B
znm;VQoD8Vkv-#XXFNTBj&L2!?oW&}dWXzFzXoi?<_WAbPv&;?8oj%gb(ffS9xp&=6
zrpX*BC(C6|FvetY$ew7EOFDLU$I~-yvu3fzOQ@YVYi50D!M#5-SROMzN<LzEl<B00
zUEiauZ8KQD?>WgVdHUif=^MLmC`g>T^vT$0-P!#cG>`p^&OLkPmwrl2)u-!c&S+&{
z5IJ||)R~&Ne=q#!eB63~=l-4zQy<UJbN!KObS37@NyDVihsDlpslFg0c4qUMq-!(g
zK0m2{cA-%Jxs#_)$XUsq*l;8Hf8d3q$4_|XO*_BgNl^TW<3}=_lMg)MU+4TuUigRi
z28WnSKd!V(#jF)S`t$g_Gwa`~Fntf?-G53&@Wc<>&iL!{n=~fO?v!SizaT5I|EzNA
z`K2o(I!`V=(WCIOg{}ID7bAZwo2ePcjS&8mN5m$d{eNb&_UoBeKhEB_>Wq39yX^hh
zFFW7NH<3wN-%xT=<H+SV`u7^$HqR*Lj@doaNTMmse#yg=31JrwXt&*P>S6p=bD>5_
z;}>u8@9rk!hP5A0KksB(zwqpfwV%)I*?V@|Tz`X}npbCERL*tLtUfjK*;TIZ=T7FH
zdA3^j{Dr+zk5k<4%<(-sN5%g6+1=^+XXd?~`f1addDr8M8vnO6R-T!++e-h>ztD59
z3wrEM+~hbj_x{zTqTxLYr#}}D-`BIQ@yxZg`u;}+zMYx3&)+s9^11kPZ_&)ibMt;{
zr@BozbM3WWs_Opp^WNK~{%tvbEn39Oa-wPcnQNh^c(<LI8x^niEkk>v;lDF;U)?@?
zZJAg^&ADrHMY%qmpZi@?&oukYy!$h!pIkF9p!8Ju%Q-6cwWmxPFIq&*Q%#i&yL9l@
zwf|>7e7lq^$2tGUil<`XVYzRwouB{a#^nvM=jVU3ZtlvS6L9m~{N1+~9(;EGqPK%y
z*#5|GXXk&*J@e|-teCT}EH_^%I633|IqCelOYfh)IQaw5Y;olW9lP(m&be54_L-o4
zt=`<f)#n$)N}fKIzN}`Z>G3n?ug|@D^z@mt;Zx6@Jb7k8-2G?gUxl+g5W6_B`pkm6
z%X!pO6c0TSn!Eb+UdNR4=T^%lGlofZTx^bI==t|{`o`q{ou9f7)?8I!N{aZ&nf~VW
zOV3`%zujBYoE9pcosePq@yGm)zaEAke;Qx?bc_1*eL*HHc8%%wXBLM~SG}|8+~Ujs
zPq{sOBAoqqsqM}+b3^u?ZL<A;VB3u&J%2U|rac##T6=!swTW(u|0lI<3OBfZv$gN&
z$JFpET+dHl`}}}u-X-48hju2<Ub1Ip(V>s4iuC`jUHAE;#pCm9@^7Cxt9pTbe&@Q*
zyt8Mf-QIhJQFr$lVaw}`yH5*GjB*e@H*Nc?+N(O1XQsV2+vvOP{2}wJuG4q^K7Qut
zck4^Hwk<q!?A%qYsV8FWv;W*a9l*MR{l^5K8^;f9J$v%@;f|fr&lfgWFkTRTeo5(7
zmc8~wakG<;pI=dZ;C%kfyRBCk*B0Hf7Ta)Y_iTZUCms|_b?VRL{r2z5t+PME!{+m!
zzF-o0;_RCrfsu3g-`=?*F#qgH+wa!}-_DdUpBQmw&PRufA3y)DsyzR0-QIKi{%%T`
z(jYbagsJK78~;xxENGC)e-krTr#$uizVqka7XSaWcJiS!JFW|!-s+z9?i&BzU$0J7
zn4a5x>fB|q?e1FoQuFOk?+)AI9_i|ma*p9-qs7^4B`3a2Kexy0G^6&JcbhL(e>@@A
z$7K2E%$|@UhOFyiX9X^aJ~T<XE`mD${{UtF|Jj$5?>3%$|DZ4Fy5P4Nq4TcuKd!jW
z*HoLd@(O>q<2Am=%9nZGG{+~+N$!_n6uxtfJ8Z_B3XT&;KAv+q_xvjVyS<wt(zdzp
z)lNPocJ|%N&$DWtcU-bJ;$i0ayzt){mz(p|s;kfJ+$k>ceD32@?2Qw|^=F8GGE$zW
z7M*%#=kh4tEKu0|h?$e1JuT_XyQ1s-+#KhalGbTm;ZHg>!@>UgRlai{zMk07{i4`*
zf0IpuRm_iH$FK0-wL9_Z_*HJk3tW|FU%a_^zw*qBdGmOF-;lVx<OxUPJh!cH=LCk>
zJ!tjRj18>y(1~j~Vtk_N+f29O6J=%>#r4npy4Iqix&5l)+0}b<&RoyGE);3Rc<k)w
zna%yz)o!1A79#f`SB&wBxToNZ$XN_`!)}PWFg!la!2ECFl|2n7p3SnB?Y<((q(0}&
zn-#9-8>d-`U6T69WWVsLRL#9swv%U{otuC5(ERVS-TKczn|tfr*Ub_y-<*9`Gw;k#
z{V?l?Yd^kVkx$lP=vXnY?E~}Qn+HBFc%Sss&^(N3#*vP+hVJ(h3}YjhF7P)RB)vGo
z&~tO$HNj}svzwV_%$j#%P3)c-vyMf~n3c~W$iOU_^ndc*C9T4>_vXfG-&+_O!MG@>
z_^RLU|1qi4&upJ*fBwwvsQR7P{Pt|i`m}Y%_3Jek&sN0Tzu0kjb@GSe`Zr8BMNf!?
zJXur!dC|NZkN%~pmo07+)0}+tNV{nKKA*YmW(yhLZ^@3WJ*A?*v+MbpyU+I(e>JK+
zb9d*im~Y4G&fk4^yH#(}mh7v)&L3U%^x(1c=kJ~mJtb;*=B{_>ytc_}&gEa;d9`QF
z`TR@KmnGgjoptkB@>}!&t7l#{`?vQ2$IR<yf6w0w@jG+u^jx8ni)T78uHKmQbJ42v
zXYQY>Ph#GbbXMx)W$y#A3^N|4W?v|dabXevleEG@X@Se*Zujd#EAKsu&r+N6YWBr@
zTN3WC+IIdy(lds$^UI=c#4?^OJv+}<|NY*!lNWVwoO!VF)Yg|zuL`f6e8FKahrRbz
z;q7PMKmBv&!I$ZKpPfAS;MDhN*M#@k9-VPg(f!PWb6209eZT4KgHPWJk{P8hS;ur=
zv5rVef0g|3TSI02n*(Q_z3RQeG{Li<u_N35@I@7-gZ0{G%TDO;bXj-J+4$P0xS6Lu
zo_P{JRrSrMm&rHJJl(x(itGP54&Qg3ek(Qon#}sDr~cMgWLJCfrbV84`r12H?PE^s
zp*LrqyuWir@%H&=H?JuEU3WcP^5p{VF!lqN9twQhEc<_A%#DBX>4zt6)Lf`=^Msc>
zBipqDS01u_XgPW*F0yIXo(%!&JuSX{bs|jS+paUDFzJ5DUmbg;Nmg_I1-A~KaGA?Z
zERhT+8qDpJH;1lRB>s4#$72EU=NCIxUUFp=EITvzTZ{VqGwFBdoJyZzV_tpkuIlEu
zPhzTBk`Fh2o^3II%LL;U-hXn>q^HlQ%-L&hz!-Dn!lgMz7k4pOtvPdFG*<h^*SN`@
zlNlQhl-riopLig1;k8^NYgp`mx8(WOv00l;88*$a_WC_{qo)4Z2iKQfi`{cJdD4~H
z+1BSCeBE}nV0PV1$A`tUoR3~rynO8Pv<VVjS3)ySUyVH}G-=m-CBf<a2Uq{9iM9DL
z)#lib!fP`pRkW|X(cib|*~TkT8<J0-Nxw2-)1268S3;Jj&1(@7zbEmNZR3NO+4HPw
zw_O$foOW*d=W9)=mwAs~Yy1;>?-Hv@u&b5g`Rks&G3!rEk9}Yqv*)^pruAiE6-Mhm
zhojY3SZ7~rvAyo;$*5pIPwukUMn}WD^TYgHpE!x%dwA;Obv8A|j{1vipBN_eI9FWr
z@nX6-?Yi&br1d9eRQ)l&!20t#+v&^A64zQHdXrvU@jkVoFgwNB;lSo<^N8}Kdsn=a
zZaUm}-FZFoqW1|ahbJ@6X`OrY;G&N+OMtrARUbn}?yWmsep}7Ev0-A;oG{Z$2Ibka
zCbMW?V>A63kUX*T{QrH{bwB4MH=T1lI)7%|)GM7oSG~EyyyZ&g%e6D!9AHY)e7NoL
zbstNe*qEz6Pa786KHGPtb7Rttxzj)WyQn41V7WRa=6b^8%U+5X%A8MM^*ouuf45`C
z^{#U*zST#?FUb77qII_2xB6zQs_OI8Umx?nS?M2fdf)LYIiJ$5)UAG@o*<BPb%oir
znyEVsukX2FcVlM$`4i43DgzJZ*KaCNdsC1Y({ZVIB9p{5!(Pve6-O_WC^3m%TiDQ;
z$n+s!_88L-qpN4;&5S6GxsqOawZ!fwL)kU0m%dl?Z(h^-`T2^|p1EaT^$y=~e0^2R
zZ`yV5xCUmUnvEB`t~FUETQA@^|MRJSS;Ow58x~iUUC949Bbk40N9_NE20gY0wfKj>
zUZf@e+MxdV#`0Mc{I5S?iMidN7Fu!t$G6s$2KDm9tMgv<ay9(8AMxh`@6j2Ps>>P}
zT3Kgg#8&8BPC0%tuAB4Zo(@H(?McR#E<O)~&R>uJ#POj+Znh))p9Zadj=yU@W<URN
zUjBE(zs}Bkj1wdj{>2DM_;GhGm$Y8s+Vf&r)y(?4KG(Mzzr68G?xEkYup6&-{E<((
zDY0ly)u+D5>eB)>Epgd1uYW1Oa%}7MpP6OTuKB!~zWwpi*PE_rUy7f0ZONM}+Fx`n
zr6hb}?p?c7#xi5yW0pIAI>cg9AJ5oX?sM(Za+cqFc7BSOQ?P#b6du*a6SCP?bi=2w
z|9J9B>$li*#}W^A^<QaOzk7<>!7I9ZSD$|NY4SDQ?XS(Q{`xs5f35XX-BVX|)?1zY
z{D;r*XKVL0-R<k9igI0Vc^_okzVBM|xvN!A4)$04n3s9>xq9@yE4r(6|G9)sbbiz7
zIqkrUU|y+f*L1C>Uw?R2cl)i*({?&Ze{;enhUZ*u{cHE(-oMx@x~rZry}Q8Y&iHK;
zGxK%5vLtTBn2n1?^RMcuayzfyFm(g-A+dQkTSIGR-&(`ikn!{3>7!Tm?{~69a~x2P
zRk<xcu``j0GilO}z2==v!dI4@Ua<E1o~uT84{_c}{(t3Jt=kkG#?E^)nm5iXy>)D|
z^@QHTEK?jjSlMrW-ScBptz_ra$cgp4HWxQ^-n(X!^0;dPkEnKI#kXswPgq0`7>j%I
z_Qk0&zAgKI*!uUp@|)M@t-E`N@xI)Ovixgi`a8Mf|CrVNIlTJR*-uCLX5K%sL;k@0
z$G3VvZ+v~DH+=e@>t?auvdld8-x@79UNOHOuXpu9{uQ&oZ?DW-Jxx1H=E0{k2co}=
zGhUOLICsy4>Ibn5cbQK$KHdG0F=j!4yz<VY0i2N!3MV8h2QzX0ZupsWV1<1La}qa`
z_@Nc5tZ$S4SxiX#A#y^u_2#Fe<rM-GFP%SNEdBEJgv$rt#60QP`pV$l%I3NW)(>rO
z*PL&X{V@Lw(*&Ie)oa_{P73~ePVMu`th7I;r>{Q!H!1as>+<#Cd0X!FB&^k%e0O(G
z!u8jFJld5DSAN{O^!DyMOwY61gQv#aW11{+@8(YR<9BTTp1aT9EB3x(r}>?G4t=wt
zH97yp++kndcVNyP4i?c%eY<WytXXsF_{O9YKC>()MF{?EKKp1x-LWli4s7T?{Z9N7
zW9O*@4aq(K1sAwXdur2gzxCF}JS)E2t&%ZH!Yy4-EOx|S`%pb`-SOK^j(jnm6a2&`
z$RA~UD|YprwcD(3)0UhU-7qQp+a?2@!(3fFiq~A(jxarZ-|=HLC+o*)F{eCOR^OdA
z_3Yleo6hZ>C%N}V%m(Y@zYaZ`)65#9H*rbx0g2lWs;~dq?y0t7(xb}~?>}wyJ-*|k
z5?A%=-xmZ5nS_!OnJr@fKYVVyb9LR;!`-nRy4OFixY(y)P;qoeMTOCiGY@-amNdjN
zEQ<Yq;m6e@E8oYSn5?&k@r1d7(T&UheoQ><81q3&|JaT6lPY?9rgmD*d+_LLMeK&-
z{G2hnyE2qEC{`rKoZ8X7=SSSlozfLqKMgi^SlGv{_>(wgLP5LTqpP(Y$L1V#WKxe{
z>Wmlvf1>8Y+>>eZHze*^afU7SfAxo$yqG&3OJ+T~bN5e2;4H!56y}4sPkE?p>=JQ(
z!tHTv?uxy8=Cp?EFWRYnLGatW*6Q!~58S&N`s(bR^_5pbcW=HcUwiJ+f$NXM`yO3d
zDD&^rU9q{>Jwzi7&fOAI+4$Yy*82rdP8vK|B6R<xh`>vsc~?5N^Cis<zBes;%i8s4
z?oFM3vCjVEiEic-U*|Vhh9)E=Et$JqJbyXYeeVO-yqA5%pEi7~ZaX(`f@JvT)|vCR
zpZdG2GG;><f70yVZ@5C=&bZn-@AmyS2fWm^vMNusU#)rh`TUz%z4hNuU#U4accN*$
zFvH<FuQ&V?{23u+{*&SS486}YZg%hdSbXrzwZ8hL&tfmuxbvu=yBTz!`}*xuZ+hR~
zozfV~@YkyFO~*4i#{b)n=bm^Y9}&wi^V}QtjW?Z+E)+{Y_eTD8!?EML8sze?z2qpo
zyZ+M?)rt0fw=It($o=~8<JhuUnX@e;)=b>if9u%fyOEaD*G%o|p0^-=+YaUah56^t
zzR~-{Xnvz_{s&2)JCoUC8P0no|F`pX^0bpXG41`iHxV08o<H@*ZqK*noJSi^EI3?x
z^47c$&1<$Ce|FJF{$J9DSY`hY)0%I!CxtF#Ggm)&h{0}mL*9*ZZ;oEB?s7c3X7SAr
ze<sYzy0=TmIY});o9#wxOknztYa5TrC&>$ZVecrbO>ubg$l;;Ei70`^F^&hAcF&l9
z<NPb#$OlVKz4A4=VAyo8JO47@!=#51A78#nI`eXG*83R~E8Cgp=U%uOcJAft+c&(p
z88;;Vdz(BVAp2Tp>+!QMt70P?wZ+#rX+64H{apWJLj?1-!>9HnEfjs$Ry6nID}~(I
zv+LLVzFM7_G_NsSR(lyouUgEVR&DL;mDP*c3hy<&y-<GqcKyw_&Swv*Mc!q8S99Tb
z&D;wo!uGVDKDjz#^ON&0c09V2=N|H9=bf5Qb1r*}-BIK2G>Ngk{<QkqSF4W`1!G>F
zvgj1ApY!GOu@`48J|6kK`F-a^%cOZ<?0<I{{G9#PmC>YUVZ(`gTW()@9aec?Z`y>p
z*B0zGyAw6_qFk-b=K~@|JS8Uz8MtF61k^Q#p1rc*-u}CZr|+r-oo-dyQC0o#!h$0v
zAD=X7th+I1@A~a`82?n?Wc<^4my3~mB2Tgk!|apAoqES_=IGz3=Q0+EITK^sP`7PO
zOw7Z}6&<V16W%4Qib=UqoG93FF80CG7@Zr>lUFpD-L<gpKhpeT_uH5o3q;dr3%och
zdhKO{$=R82tuh`a^=$FKC%E}l#~F`~EljLW!kAcJ^vm<#5EidrA-YF+LQP+f-`%qN
zg}$!^#Oqcvp9m9>|8R5Oox;<N%dG#+5m^6rVYkK4JtsNDSJ>U%@%7!E%JO@A-`}gA
zw&M8Ry|2&Q?T)@5d262Ns;_f*Uc9@edw18JnWq;p=(oM}`uE_X?c*10iypFy#oWI0
zX5KxERWWCqzg=0e>-^HKe)n4B-o7&0{v~q0*xYs5KN~iG%{e~P%KF>Y6{~_nQ{r0W
z)@nWFalR<~@xH|$yN$luQ|5)u-+TK0+*0)kdG}(ktniz%D{Kif&jZ%8uN&pnuLXTm
zYmtAu_QER38ScO5ialOoZma#N@Z8LR8$YX5m@oQFb@@F*Olij1;}?H2&Jg=2kUPu#
z+3^P!922abe_Jct{=i{vQpaae!&#v${YN`u=1egEx`3C%l}UI*QeWVMQ0cqI#hbY7
z7Fe#o;lJ_!yhC1lBxj0AiNA?u_^>(R2hW~aVsdXR*~2Eq{NDV!Vvd-pBxBKo=FW@%
z*iZ7#dieFh-=v8LD&?)WT&g={c;?PQ^@Eqgm{u(R{Gq@p@t(}j`C@zjblg5<a${N5
zBo1qK%?$+~JHN`S{r<4UlP8`*`r72e^Q)I?-}^ZI&xBsJWj$rrCVCy8@~7+L(Z758
zXM6A6I{);w4e`&{PTO>KL%BLfP9O6Qou5;k?w-CPm{qPSxMudT_?fFpB?K4Geg5Ec
z$COx|`*Z$%?EJX(mH*8{cR$YwO1r2oUM0-xc>ccE&#NlA{~Kpt6lL{|zACuhgsoqE
zFKhqRb^A}v*uP4XSG)S;pKEi)^mBQ&?@sn&n;kt@Z2J~g$N7i8`|)dEmgTLyz0^cA
zmrr}H*wss{7yn&Z_iE3P#Pn^gpRTU^{(Htg)A~6JzJ1(me7}F|jPlUU=j0<V1|Od*
zw(9RK*6u3;d0+3%toDB(you#;;~9C)NWR<i<oD0edbiEyV#c*u^8T#TZ(kQ!e~nN1
ziY{ySzqz9CO?Z{{O<7MH%s>41%DOcxPN=hRCjVP`;EA|%?}B@5(*F)h2FlOAV#uq1
z#fV2AqF4WlDevwpW<0yA?|8g26%V#F6%DrfDiU1Q-n-J2PubR#wcGA1OZUGmFJGp`
z{#Yviex9f}<NgD;Z=7uWxQWFu`OZXjsr8YbKUS;#_;ca@@d+#bp9tW*H)YO_eaVau
zH`q4co)&ZSguv%NH`6CH$j@K!{YA_96LZp6e3C!*=H{$PjrL)W>l;rc+5Sk{@Gj=>
z!oHOY;?LZjxn6b0-~M^gYsEhNohx~My{^mNEBmfwi+>37T$*-kuGFeItkbtGcL>f_
z6Up*r?Jn{Y|FEztZoaxJuk!CJdw)*j(!COR`lbYTpegI(psy^8ueDEIZK~%IYRao0
z_LZgk+ZBnG*TsYHU6uH`mG}5^hq+N=!NIYj!NGAn>)+pv`#Fuv)^^pVkIN?}%5kO_
z8Qq<<H15&MWLC$@lt~+pUTk>OoG$+Rq;cfT`JXSgRLrWBf16%3SF-+6?6tbNl1oj}
ziqb&|M7&;WYf8~v$^V`SMceK;e!nUaU3qQN>E&AY^6s`wzq^Pn`_7%J-S=lr&bhfU
z{%g;ql3BIMFE-Tgd7<)i*3O9&8}GR3TK4(hYPi|w`QW{4uk*DTSNpjeg1)+RIx|{&
z{`+z6<HTc!XJ4%Df3o%TM8(H<PflVxc{i~;=7P-MKb=*xQ^NLaEKZ+$ZEFyptKR)A
z^&8tJb0&%P{g*iL*E~*c_M*ZCvU_e%yxBEjwdkUtfP<VY`D^AVaV7s_?A$YX(y`fx
zu0DuLGW?h={&4ohq+>6X_e^-zH+TLMCeaJKHzfbx@Qge8&HsWqg16?JoN>5wW^Cb%
zy%sUQZpgjg_`}1&g3+TOnW5>0`?VPdAGcoNHeWrnal+mSyJl>Q|FhB9DTHzMCg$WH
zlbxfM2{O!#Nsd_^lV8bFJ6pgs=^Kajt_PQGHyFqMuk4(aUpGe}|MtBlzUeH9_kOJ_
zd@(1*g{8Z@{`#AfKP2}q_`?`;qVds8*83ll8D`1rP2%F1)%@iA)je0Oo}UOdT)5e8
zXTjr{b`w8duesU!Z?<6Xju+=P-<no&vbD95$<BgtMNjntUL~b<mjtAQ)Y&|ibj9ou
zin+kUlpN#c$TIt4j13FtnvXMI&3o}(S%*jc_eqBdZ#kTwgct8<|2IRxpK<ZIJ5zuD
zpTAf7@22^JRfl#4B~@MF(x1eb!MbAO)BjJH`8PZi4>P{3cQC2@(ye)-(lQ?`IDW4*
z+?aG{&&i$h9;qfh{ASCU<<2M=^XmC3=UF-%U--|@iBFlpa4+<5l5j)0(t?c}Yl?0(
zWX!m?;q(QQx_>PTX0}{myZLaRwh}`pA6LOispl;DFIUaHyXM@Y7{1<{jNZ-aqK{vg
zo#?TR6ui@-E<gXkGM3Xh2k$Stw=#Xsy&y5p*reh+i|TW4%$aO*(wKSAvb++r*XeWS
zByIR;Ay%{ag4xgBpO#rOU!A@1@Pt+1Ov~M!hFL~)EWcNOH0=G@89v|OcZxUH4VQzl
z|7KXeH(bA~?%vYEo&%;G(y=KfryCM&!<biy+nj8wn`wFU;wibGuO~FBvC5ugQ8jqG
zB(Lvg34d<#>MOQyW<0RBzmRq8#;Ju{oLEn=toeSg`te?o?VB#za<K&8n->uia@E$=
zK>pfA+l>wJ^Q?{?I1uwAX{O}<iH8lQ-`IOF#{G(|-nWb9N0`pX&a~Y9V?&cL<I+M$
zpMs|`_10_lBwaB7u_LpWafa{zk8ciJK2<48x^Y~^qr;%LBRi&y#q9PBqpQXb*MDMS
zZm`d``8T0(gL};0OKbX^UccEZp4_oMydvlJF<;J-x`#p$OdrycPhR@kF6AS7gi%{?
zM&LhzYYyKGUeB;PylO%#J9Eshe=)PHj;{=tin*uu;?%=`4Tjev^w#ZrGxJUQ-GlqG
zD;2jsU^BdP$X4d{N1mB?5AH8|G<B1<)ZWMb*BU$gBd#2~vuDP>v=<v>e=_j5oHV<$
zb?Oa1)Atu={+#iHJ@)@MubryOdouEVw|1xBJo#~sU)JpVo6pbacvtzTb@L6+35^W9
zZ^@Wz|4`nL%&_bBuZSCZA79o<^VR=Q*z>RBi4==-m+z~bjGcE@boK5uykF6|SgGG{
zr_uE{b1M4_+#+7Tp6Xu|R@ZXn<j(KbMl8t>f33SW_uFTt?g=)VyPiIptp4VP?1_S1
zvl4zqbg=g(pVa%lW>y=2t)<Dg58fRwVi_x@#4Zr}`@}J)XVvY6%cUM(i@8v=agjLR
z4Y!!vdkYuj$UW#<vw8z}Qb(KVo0tP1Opmd5Jz4#6%Fai#ID%6u-uz-MoEY`MBj!)+
zjGpp69dnc_occI^J(cbHbNt0BWiH-{jt>`BH8BL-*f-&9D~sjXAHgrD`8Jxl-S}8h
zy(jTU(1uelp3mF)@_q%+4Fz8Dm|UI-OKbFhPu%+aQi1I12cbU~A4rZ_;8v<)Go$jL
zCqul|1y=S?l~)fOQ);kidp2$NpB>(zPke$meHLte!uTQSOpL|k>s>RSI3_()nm%Do
zQtAxxIrIPCzWaH~J)^BYPh~bsJdk~~Ge~~pL{q^D0f&S?>FDcCX_}U_q{;Uwml50F
zXDX8=IwW??wS6j*w7mcMpED&%PYmk9q$cpYFZg{*M(gg8No=#vJW~r|m@N~x@9v3D
z_amp>|C0VqYQgp`Dq2tZJozO5wdAG#lWYrlB3aUUW%{WFy8ApQXR3(*`xsd<>#)b4
z=Cs+%)k60)ruJV=4Lx<H&HDGljMh1<j`x<&KVNyaKmGT_yBFuN`rf{K&5N!7@&Z=J
z+e@zPwL1~Z$Qj-AP^@!8!}XWirumJ5=N?GD_+kIAp(*8~W(VWOs}rP!p8wF@c}o79
z%nFvomwQjk+WmXk@%^cc_l4CuH#TuDy`CPg`=By;o9V+=`%laGYOe9d&spB6VNjm3
z@WX)!dAp=egWZenEN1QgyJXRo(=Yh9Sf~h!WUXSI{%SSL^u5b@!@Xb3<y8(`$J!md
zo~8T!6Ulu`KiJA&S+R+A@yg9Ci?`o52+!uz&)UYT|7tr+_u4%UVftLE(RZu<ExrEy
zx@`Hj`?sU`l!Nz)23KEAf4fDMdFl1*FVh%jOo`vK<oanNk?gI^6Av-(-r=|TT3}q=
z((BK+sP12WJ$|LBSoSef*6!n$;@=zhZdKiXCH?O!Q}OImrmWLXe`T3|Z#nO3Q@Q?g
zrmWrPzp`{+Yq|bAOl5!YCDz5imtLQH*;e@5eS<7twf)z4^?k4N=<jWbiv_h8uH521
z4z`3>`Fi@_EMGq5d!oU<_eFyDwq5_aDphUwwRLM|u9*0UZRdymuA%>`m-4PP3H$eC
zFRNpF+*M28@PE%(`~9Euc~@SE@sI1f{DSr3@3+&I2Bm0Se#IL3`|d5TG_C5ZX}Y<*
zxyu(mH{sWQx0m(hQr@dxX}d0e;MJ~fzqWqUPm``)FQ??@Y&`UL%`)EWKi@9@bpJ-I
z+MOr+8+j&tWBY&qxc>g$`{7YJe=^s7`N8VA?f#9p(iJxs%5J`r79D<y$Mv90{<q~k
zyQir3H^*N8xMBbC*n2whtfnzxua{nXd?!++cuD0K`-ygc&NrMn%(q|}n?uYd?jH^8
z`zO?;PtrZRAh}s5=0IhAM8X7ZL3V?rADd&+ZoEH#<CEkB=9?W?W=y%k{y_h1r;PNw
z6G?|-F5K!`kjNNwGw;U9h8z8tUd;b~gJbPc_4{{@q~AS}_5a1>1B^)~AHUCUyA*nE
zMegqtbM1Z!>#yNHBcJ{-Ltc4?HpBBji<3HD%$wcox<>up#3I8xXa8@v5Pgyt_i~=>
z`)etsZWI5ATsG2Na{s)#Lwo9!z`JKJvGs?&?cS;Pvo2}jpN}mU{kC@)#jaU?`P_2R
zIeXrBeY&q{l5#VW^-9Y6+c&RpJh&VC-^l&Nl1Vd;?Myro!xsDRMP$g_C6}jHrP`(~
zpZfIt)tu=|rp$d8BvN><@yExOi>IzG6$yQ_Q7dx!<>~oPRj0k_n!9S-lBQ4JmR-(&
zJfUl!y!hq&4fF2LHM`TAe{cTHlj~;QsrGN#T6w2#&V)yA3QM;w?8=^Yr^5I|{<Rst
zI=i<k#LP^}&$({4_eJpeJ6rPac1|jhyjgmDzejfUec6w9N~bVvZt1QROKLk)pC*32
zx#xoQ#{8=Sj7e<UlX6+N@9~?vX3?p>i@}p8`80aR3QlN@Oui6vF+%+J!88sR#+YNT
zuSFbTY>?acV}_`FUEzt<#utjselC1HE5P{_gIz^*%-v9*J3R+t8Jc3cZiF9;xfr4M
zZ=u71y)o}&H!$u05Yjg9!=fLz<{d4p^|{~vIO%<(*YzLoU+nwQePzoA$)r|y>rE3~
z@5W8O5*|Nq!oooD{a3;_1e~0DbC$pS4bP;DHx_UB7_%ZLyKUP8gS!T&KOL(6<hHxf
z@X?*4{~of$RNavMv;6SQN576=xHA8tTj9=)d)OPaXWy85e96h#7evGNG;DozbJNBd
zK6X4kH>{Z6U(B+2$#yK`cG}&&ADJ)w7gS-EPdaw%!tI5!t0zda=y&Y<`f<~>KE`_z
z%(vdYz7WAFz|EZWXd#Q?uLZO3>fBoTiNW#Ig_8`PH`LVx^ylB$Fh}s@y&Y4SuC1B0
z#^Rv9epBTn=7lV*oev%#y`g@?=*`met+%RvUPwIOSW|s$*Qc8=oS7M(mMuG1bM|-J
z4Y$`zrk=iS()Y!CGQ-b?Ui0KxkM~@^eSb@N*#(O~vs7;^+-SbO?RMz1I~(6zjyj&y
zemi^X-8FAF-Qk|TY^nIngMD|_-kO!Xukzp@o6Ca#mh4hdWE5t;DG+>rh22(``5dd)
zyqUhe^~2Y5tGXx77Pz@YyS8Uh?Xq1{?cXoha*XNTisx?&Y=1B&eLqm~W{GCe#-z6Q
z@%2d(xpSY$ZoaePZtWz4i^g7t0X}!u$@8Ji|Fbio%>Vzq759GA`8#Vu{(PFW^6`!Y
zb3>+y%(qrQ*}y1#z1v>!aoCAx;urVtTzZm8Y2laGAGBtaTkUz(Fgv|7rCseuP^gM;
z4LkexI~%Tc-#zv$<}_no-|Y?88_dp6l>hI@p7cE=?^>tz@vGf=PZvC#{KU^nCWX_d
zCHub`2Xp7^Jqaf{v+i$vd;75AA%VU->m?^DhYMRjdm4Uc-My}cBQEofO;p+cV__|8
zcFg{U4<`c}?yWxicgIP~TdRv&toGhrbwr7cm-zsz<*j9Pu@lvgU-*|*WbyRQ^4vvV
z<BxdAa$I<=ckg0ovg`+O`>?k&K0JPZ!QK9t!~wxO_4D>5)@S+O-BzFa>D=dg+fo|(
z{>I!{^?bqJ-g$RczxEHXX50CXF(z(y)BA^NGdljSxjT33o!ITCuB_HeUAp_}<P6be
zXQrnFExxm3<>ZLo#;w<j@2shv#A(a0zK{8DUH0<zQ~Q|zYK7lf@~w|~wahP3##u2X
zI&YUch3kF3v;FN#=5U^S+mbi@&rD`qw8g!sXydtqziyT5Cl%~JcB7a1VJyR?p5*^O
zTu!Nr%N^~Q)W0b3=jH1glH@0-TmM=8p;5VTL({ei&+}s@cdD13Xe#>kXm>{g%b6Jr
zYhFtHT<LCljd7*(`CV7#cl<v!&+-Pdq=3Nf4NaRn)wjodY%p(F5c4cX@z=d}?iq6b
zIX=yB<cp~j>8Lz^C!^~9-3-<F&joree2s|dxWFGX;r~ar4;?4$AK#3zjS!0cKOt3g
zBctH94}E>D>aD*&{OW)HqsI8;yFGjpH01^NZ<y-LF~@Pu{tl_1%lBtbzVkxh@td7b
zm1ex$DDam5M)_f>Nj--yY+zY+V}-H2K+>zEoeP9hW^c&2bL!@@BT=*O9c^cdk-2?X
zuxRev_X{@uY}qdQzU%ePI~VId-#>M2`H5*APbMaxpRwux&HF#@-9M0X|62Cvdq=n3
zyPi6sVA-qpXYL)STk83G?fq3bch8sIU0*p<LnTRTP1EX$OK-fnz5M;tx#2HQo$!~O
zy<X&B?WW{k$8MZF`RUDV>$`XBR=n`N@pKRKmWlVb_wLEL#(AuBe-Dp-`1Gsubc63N
zo_+U(<h65K*1!9la(B_Wdxzh=yFGu`r&-_R{%Aft9&&8*-6bK<QxYp$%;t2ixw}HM
zdqZT4vHIk@%TKI4f6wW0qx;`I(<SaLW$B-DG4}2X&0N<0yUQ=J_17)&ez|#8UuCa~
z{qLD+Gw!aq#H$_m{`O0+1oo7_hqg4-&*_Q3v*P8t)Q+Y1S8Th}S^oPZV`Av7HESjq
zS>Cg)yyx^GThV91zOr)@-hZC>l$*IF$zj2njY%s$#((b8(LQpAf%ovrN%c>fHTWiG
z{9rvH6mxgWp$VbPG50oCZg^sIqyJpTq!l;T6(rpW+%#d$$}idnzQ+i~OqzV}<Nv)4
zQP1zL&*I*d!MOe2`h7OXCr|8J^zQiG)o(jq&zbb=gvj5T87`Z2zTMe<XX}r8(bsQ|
z<=)+W@($PAe$K6@?!Fi2QT|l7@cQq5&aak}S~WkU=v>_2$NBf)F9Dl3Rn_<QSSio&
zXLU~hn16ro&X}WydUsc!zx&`?Ys2!I1M}TpZ}M>soB1M9{95_O`=6dHb(??cz=G#T
z>laC~hHhVaIIM_i_OXS1KUt+&o$p=Wr1kv3M8mB$l^{cBtrhoszVX@C-ttSl#bHa_
zS8o0h*sbw4f_dIm+t&-3D(>&yH%08=oqbE~ChyI;zxTPfeCS8ryFOdC_TIO=S+HPE
z;v@aLF`1JLv{M6juc-Naci$DYqb&0b@0L6>dcyhgZ+pG}+m36&dZN?r?EB?&blSZ8
zd-WwB-ECs7EcyJ<?(CiYm$y!MR(yX|>a(*qmu@}3URJdB{=DgS-J9;rznXsb;-~xb
zYBk;E@86jps&+N={{4B={qBRLmh&pt+@BXeN%^GT?VDYj?=A>c^=waFl6!Cd>nU-6
z@6Uf9B>G(^^>ymM8B$Z?x8I%rc53|Jdkb>&@1*A6UHme2#j<OY?JwV-du?mK`uuw<
z@64zSzPou-ipAZYub-MH-02BT%G@7w;nT)Dy^eEYVzxA1n>hdYoqgZ--S0NLzgazL
zPw>4t{}yaHVX}jJheQ3h1s7XSFB3GJq?!~W@#aL#(S;}eZJaYBxt%fQPJeFmor}qg
z4HEY^Pm1~3RBk)}X5W%2=JRjbUs$zqpS#7DxASlJ?QB{zqcLr6|J^-Tm!|DtC`gK)
z#C>gJb?dMD6Z9W4Np&PIn0U15N%n`>{~os{TAsSzzf)tu#rQ<u4W6-ccJfbbIl8lE
z#@+e1?oHly_59aUSC-~)oxl;gxk0Rp;grpuBQ^fF9Kt%J&o|^(Nu<TxU4HEIEZdE@
zC2ua{zxn39OU!qM|7+&>ep`9@O|RDED@*QHy}z)QA?bfCLs$BZ%td<U>nHg9nAz9A
zlY!r5Cifx+@p~(t>+D<<wkiJTmhN>CkIZ%U#Ld3@Kx_R4v7dWFCokx^bzgDzg68=T
zgznx?JUZj0z5K~H8fGyM1Yd7xNk1UmbMN*F?(d%`Tye`1p7rhJ#fMA_jO61uXBM5G
zaFn0v@Qu4no0zyI3t5a`%(%IU!FrKt-vpu9j~gcC*Dya2Gh!6Hd2>Q^%xaOxcW>Ri
zb2{$kjD5)hTe|*E6I$@l#rW<`(f0K#7DR5i=`XZ0tf8vCai`Vy$uh||&+s$HOp^U=
z$1&@ld~ed-o1RiBDSS+<_g3G&d$s1?D)HXlmb$No3w8ZoSTV$}S+ro`kGreP?p=EN
z{>t<-_jG38J-w>xPcp-VPhC3S&)hjJ%X|Ds5aTM-{S%FtIPaWZdE%o-#?Pgm1}Ar)
z4cj5r`tRl*Ul#FwclS*8Sg<qe>Jvum+j}?fjH=&ue_vnBjnj{lCWd@3V2`<fI(7E;
zzjyARshqjf_8jA_GatgUH!-Zgvw!Q&l8;;FRrTFDEB*5L*FTL#+)wY{*?W>va?_60
ziAg8!@0rY~cklG+3HtAE-r1dVYs)5&+?(st52&edE@oza_@awB>F&<Stg1J5_9k_f
zeBV6tf%T6MF*kRv*}AFw%B}lvCYblP?*0Gx?~ac-cXyQUzkeh;!D0eq()@%S%g;;~
zPL!B^`To(b-}XM)*lT@y_WhlwZta%ttu(m3q^jNX=5Et}dv0G%$rj&wBHrlE_W3Kj
zu1?PUd4r)gqVe<De!nF`{zdNddQ)}poqm63&AT-#GI!itlNifryKtUt_sau!R-c}6
zef5Kf$#+(L`ceN;ctxC+(8Zv_s|xxn#N=+Se|#@t!yndyn7T=e=1gq5cX!R_iD?~<
z<{UF0#DCmy;`zkv>uV;~{%BB|TyXpLD(02342O2l_`t*%v%tC|zph^+(RK&>(VtHu
z{%_fEGim9mvVV&|-FZ^Gxqsp5M>j8ge&oK<|K|4_H@2KO-!-Sfp|5dIZ6f!rKg$2Q
zW!KO6a`@eWLhTs2dv)vXuG=&3;r)o%{~N47cC~gjHps`FSTT#0F=kVLXXlH{Hz#=6
zX0LpA?SS9$iPg#+MIEsW+j{2zyV?2qMiQUe&Zdkz@4nqxx##Xn)t??qdc|b*cUW!m
z*tGD$v40;t>%;Dx|Jbm#PVC10j}0L&@7|r#Z+i9IJudy7_kKO+nOt73bLYY70Jk2-
z^>6QNul#=h)4aPorgPcd|GxUq(ub+fm^a?LvuE#-uUh>#_Ri>tdCHi6@96FOpSIpT
z_55ja^OmMH8&wX?x%Mpmyv*tCmtM~i;+(T(MdyaEo9~@2DH43M<&@dMf~p0q{patT
z-s%|mWXsGI&39+`-+y}l&cQPErNYzqgxxu`-cEdP!>Z`JWw-AgdL=4my>2UO@BKsT
z?;jOEy6OJG^7Zn+YwjHSy7TC&oco93Pl*NJIaI#&&Xdf|*8=VzeDAFrt@e~F{?6fA
zy|a&Q-#<KE?eM2Nhhv{!t^9QVP_3r>{?B)xX4|gZKj&Id{xkk<_Yc=<+KI>CJsexq
z^>puyZy!%?zIV8^=&k>qFF)@e(VKqv=e;9qgWZ;^-F<Zb?%aQSkFS1`<o+am%|xEr
z_YSWQmiPbHx?1=C-6QLHwI$xY+Z_Gec-!40;eMCDd|-9ncmGIS1ykOc{PkNdeL5AA
z@N%nm&F5z}l^aigZg^Ym_Fj^+ec#<9XWmGP-CXg1|MA#UAs;sW&);_U=q1+veRq#+
zVe9XAthd|qKW6^5^XhW(t9SBN%(*sQFV$52C!g)@J4g37u-W!6UcY4N@lFk{^7R`U
z4~oQG?2!4WKjFLnzaxIjZ^qm?IxS)U#6zFA{<PTCQR5SH=V<y#{j(qVXWcorwjgG6
z$LqMp)o1VDjGQTFn?3Qup6rM9=@Y*1>9D`C!|E~Pt=5_w$4<mtVlbRoKYQleACtB*
znb&{(_3zFxyRhUPA1j!aT-*60X?OeylLxDpUiXTddD%DP(6yZlkGD##do$<C-EB*6
zPU@Naapyn#u#TwKq+PeKzS%oX;>%mJBNGnZzH_#8_uY^CzHhysSN&4`P1~AV;gZ{C
zb)QV$b}97r?R^|SU!Hq&<@dE%y*CGLI?kVNKUIJF-iLQS{VuyYZTH=e@jICHzJ<S@
zZvXk|(TYty4pFs!r_*^fBY*BVf9-d6`0sn?eoYZ8-Et}PwVtZX?99yk<!81&U48rR
z$G>&!7H->f^mJ&K+R5N+(>EXM(E1qCx;D3mMSOS1P34TK`7Z>^W_)>^_|~cH?#KOn
zy4$AMTYueo=ggvLUiD=$yy_q`Zt<xf@m=+2>YXQ*+Dp$>{$hL6r+>L_>7H-B4qo|>
zRdVj^dw<05`>nhC!cICa`tjx%(*^M#clIq|5^Qf(WtMHK*I#<z(}imjl=PhziYDD)
zGQBrt+nSE6T=^S2S|?6%u57e4*S~)<_wMIUbC&+Nd0@}IQ(NvFSU-j3?2UuIOuV!D
z{lyln7FD0JkYTCyF2<maa@K<vl4iuP9aMW}vVT$C38uV*;z_fQ#6G$4>||c)55_AW
z+O_U}OS$py-U;pGt_z3Hl>fQ(A^G0P*Pkvlr>_wFeq;WG{*5PnEN@P_f93cmfwebl
zmrrrcd8&N#-r1_hTR+}CbGl9Yz2!^B@6S!cuDrM0-LUd_(gBP2AG;0<S;_cae7dv#
z!u*QGF*_!kZ@%;4(Np<rf)iK&*~g~DSX%r<FYIo|tp$Asv5&RxU0~k87;~Z}>)Hu{
z$sV_7C_Q}FP+q&{fXh6F)C7Sf$+>5Zo+^df9ei0Sk<u1@cHt+f<Tng+R|?&D%J}1W
zr-kh64U3Xztg~PJFreZ@x}y8aY1f=~swEzrQFY?D(Z&;f`+iLLZMgH?f!#5yk{J&C
zdNiY5=0Q(#&j0Wy9G}^4G#^)eWO(3ilKMl_8#B&szH!s?z>d`m>yEvDqV?hLr~I@B
zS}79$I~DpFPn<nJwKjR-spCuDdCgrg<$ljg?d?-UwHLgb6Z4|=HD5*YN8_`Lre+(x
zmV2Ubdr_V3?}pXS8d5`brY`>1`QhySpJo5<sIOT%?b`39&o$T2zcl@c<j&6**LB_B
zxi?j7@tw2l^*-`UoqhLg`SulJ!jeWayAK`S|K{tjoTstBm#%!9ee=lCXBpe(zRnK6
zcSAkrCg+hZr=9#aB!8~2*lPcO$Hj;{=XTpkdY5$7#^3oo|6t_bj}yc5uQeVye&<v8
z$Ir7Krtjvyn{)e9%0@=PmLu1{?S9kUP;`9C-=9Z{<e$%Ax%p{x`;qGC6*En<H;C-J
z`{mS&Uwlm4V(xt9yy3BdDdzvgU}lb_@A?1cUt9WuE0#g-Y@^KWd*5!K)VD2Ld$joI
z^5;=;o&9T$7V{_D?=*cAJM%JM%=ITy=WhI2^trxngN8!v|EdR1ejhDPzreR~<|{QO
z=6`-Se!bacvBCX@x)kH{M^7F|{FxL#`v7P0qc_(MGJan4DE-za<#n2!I}_5MN>nbL
zkYHcG=+P#Q#rHI#Z^SHWV3)58?GS&s<iz)6i4TWu*Uzy@yYprBtxGqbw;lPvZu>`$
zihEZpcQ09c$NJ4<yV*TOt6PqSZ@pW6eEC|t@+752j9=rX?p?CBs5|1roG0op&)>1L
zj$FRxt*}w(?DU5HQ+AYZx^w-~-VWZ)6FK{rJlmTsH*cDC^i!AHcP_k4;k3T>%AMb?
zY{&bL%l5}VUGiZ4rzQL2t$Ui!-+ArABJhTff%%QtQPycsWPhqLS~}0;zV|ezW74^s
z6*sgh?mjiTVU+MFmf`Z`>hL`WuSy^5NfLUju;Y8|!@7=#%G)YJ60DPsB)Kj))34TG
z-}BJn&g1hdAHUzg^sCkK=fYzg4`nCh)%{z+t#k89*^15QABINWdQuRR{Y3iO4vX_Q
zHauUkGxi7rtJJAPzSrGHsz0`c=G?u1G<Jc?yNZu1&+k-t_p$GwqFh(~THa3+G$;91
zuDojcB!+9o?SqT{r%X^@$D<$qgrjnyi2du;yDMM(U-PU{;Dmr>jQWmAJty|B=#F^o
z_Hl=jn$p$^{iO3v2Eq$M7v1c*^78qer@r@^4LA3i*Zp34<K$C`a_Jp6xBYuAzUj`(
zzx$p@`YAS+eQ*|dmBg9JSd*0eOls#-o}NW9_apDuJdv8eDEr5okHW@lAKUF(6@UNB
z?dOvB?tR_9=t|CW$*K2#t}lD$`TpLo=nV_DJ(W8*HE-44=l0o?pK8r~di<x7^3=NY
zg`bX1y}!@=Y+YExu9_ztrD}T}Za-(Ae)^5!zRt4FkUvY_T)Dlp<K|PHKijTl{GFb6
zdis_tr)N&?y7PJNzv6v4Kd0o)|K)S^-?jeSpFv{5VN2Q9dOOV6_k_d#DX;5wq3{2u
zs2x7Y|8dFj`&U!XrhZyCv#RuY!?`_k&&|7d>Eko@wYtx8p0UmKkvO$x=IgK1WpzHS
zpP5ywY1S0{MDK5BM`rAOpL)ID3s}`0Ui@ypopkfP`-B3&I|oYVf18-Z;nUS}Hs)X4
z#57kAi8o9ed}nv&*oSSn`8I{YsN-mO3IpqHANlnSx0#a}njg<%>^yRJ&kNT_Pxw}+
zc1fI!Jb&j#>O+CaOzsaJh1$GHdH2+A`$d`jx`oF+-+6W^dMCr5d(UE&0`zYz6z_i1
z|3vU@R{fGK(k~b9UpM*MNzRFHPE2aQ{r6|>uJk=jGxEB=Hr_vXe{TB6xqpsMiLX48
zQS;{AxsO6ij%9~h9)2|c*cHu#5&ZXLKkn$wWWBlj7+<X2t>XSwKb{<$zW2`akfkD8
zb3WdBCba(Z*@sV`2)+&$IdW~~p`2$zbFXsf-hVE*R80NN%w0F130p;9)!p|@P%CoR
zoC){cWlC>87uq+4m+{Vvsq3c5aX%M&?=7mnQTOip%ln=S)}`|3ZZtdlT<F_U+0Zq2
zUX(0VGd(hKk>#Bi{^d8D&hA<EdG34f+aPJ((|hjRC|#;{^3R<cU+3FRezWoX#=v_a
zk=H!u?zw-X_Wj`*pIh>#KNo)M?d$&Yso<(f-t8^Z_Mb=;OTT|3e!knsPtSyMpD<SI
z-@BFjM7i$v6PejhM7}?j{rbuC*xh5-Pd5kMJ07Jf)_k+^%T>|2HO~yTJ!dp~s{eGt
zzlj}NZXDj+z&!85GoR-Yb~pbS7WgwgsQY*KSYGoJpIF9w$M&zQc^>rquHF9meK+sO
za__8SeBW9U*>KtR_ljgSp*a19jIf0lZ=^nBy76U4(wu{57QPaXf5N!wYw4uL&!0GZ
z%?duZ^VqDN_UC45FP(Vq+>PQ7ZCd9ZUcTXO{{YnSJ@n^B%#vltJ7e_yX6DbDY4^zg
zNz6hf?m5NVp0aO!9${I(?C@zWfrlYKes1p-;^O(S>%@M={{n4xiw?Zr{7mMl?fNrQ
z)|VZeefH^Hi<yi6FvdLOzj5N#<_5$0S0rOMUz{i3@-t(`BgZ%U|2%)9eDvPspF0lf
z-1&F=ncc3M2TgB^Ruy)w6c>HpQ9koY=uPLw&5sZ5c)t08fs*8k^hef@LM4(Og=()9
zdh_bUr;nT;m}V)~zq!eLh-t>ecQ+fCY}~=$_2Ag?iIyAoA2RJwod5TL#Qzg33l=Vl
zujq;2eB3qu-!q21)fafv9(wOt{wevHw~`xYo6+9CdC$Bn?>@f$#N*DJIsMT=%bq&E
zo}c`A?e`~6I#2X|7u~3|d!qM~k@Jb3mGnne#@V-68yB8kaN7Rf?XNt)&NFJS;|^Q+
zHpM}5&5;`yKMC(?cs=V9`{vu<jx#lUUR8Pb+iuAx2R7E<`F1eoM$*hL?<^nK&;GzQ
zp(A|r6mRv1C(0T>#pzrO`NYEfQZD_bp6UA&)yeC)-~YWBH@o@M|IIglv@s>!`M&@A
zvFlo9PYm8j#oppCV0z;Ed}WSl{f*a;UfntV$nDReJ@apVGp}Kad1~<a=7)tF?yQ)n
z6?b?~``?e;DoMH_v43L!-}%Pj7|9rOZ%f1H^FMha?th=iEdJ1>_56xSS2sR3k$C3+
z_`u@(<@Wz}t>}8JGo|qCop1i3&z`ug|NN*g>52KvJsr>H-#9KW-&v;d`|HLzH^PtK
zDX&lMEt&gD_w}N(0}h`jB=r|e-ShQA($XhWPe1R|EqVC7(`IS!*~ZY<H~UJKPp;5?
z;`W;>Y0l1yvrnGfzVkl%>2uEf<6CFNtYPsk+pzKMGwyqJYZ&&ayoj+d7Jq6H`-joy
zPPFB(CH89=_#W(klsx^3*#`;J=g-U@YHeI``|%C7zduecd3*Yq#aV}ka_O5co?SR$
zzr`a<{TB@Lo@pIi9?Nj#iPquuKNeJrJ=Z>=mGn#^=kBX}l~2`EmZyD`-MsL_vm2Xj
z*>`wE$MojU;Hvu^vgYT+g8IiUI{rKA6wbyn?D~-Mdo{yG&R4>R{;puNfAety8{hxz
z2Fo>K3(Vz&?<HM{&%OUd^XY?d`=8<_d(!OvdM`#y)Q!8d`tzeKzMc6`tnRhAf16M>
zYfh&4zsD>1VxHLCe43P3`O|H}qN5#?>iTw^=X#`Xzx`>&v*g_J=WbzlR#%>jeeiRp
zLE5MEj}xm;{@8y;JFg?AZ=!j}EO8Fr8M=%y`)|o5eGEPOIBVG)LyNzUHD53I_w#A?
zy*uB}RX@}6oYA^%&w;-)*F0PGOmyRnxqlyOD9>10{j_<_xyR}^9^~J6@ihO`o&R3%
zpXU62BD7^n_14-a9{KBy-`76%+`DK&%=48`pZHdPe>Q91Q@`?t&+DGf|NJa0=Xq}S
z>g#dE|1NEQvT{q$$xTm1E=}dIf3wa!?Md&eJ$L(ZpYgxdOTHNPbo;00F|{-AcH}&X
z3D=a7|M_$3^O$`;PvyTo;olXc=6df5|F7F?)ctNRI20(B4H7*4Wc!pS0$cwXf3rR}
zQRfMNe7UCD;p`^@@#>zFCB?Eowq!pM2$lSJ-n(_izGpFhuFG#eiTO1}@8c7Jy{o3!
zoqQIvKG^s2wx=<6k&G*!tv`P9_Pl5O`~A*bj%x^gv!>GW;oSP?0@HPKKRpw;rpebD
z|1{>`^9q}X?QzTh?bh9}=-!%B>A#o#TPwo%=OTN>vVUtQo7Fr_IlA`z>nm{+DtbCz
zuUTUo{WNy(gx1#B=Yo50cXmrYV7)2o`7vkX(^%1@2&0(53G2^36O2|BSeE`!@`>Qu
zTThB>>n5Ig^FZ+CN}-siCHKxh{`5>RZ2O(d+jU>^zk6Qw>+chx(wWbae~6s@v~AUg
zo2`3vv!4q8S39biy;fuDz3cZYC-1FV_Vv5f%$p0J{7Ny5p0>F5-M42#*Z04>cKS$Y
zefadVZ@fL<UOO7LJNx7Zp-j%_Lh<+awaKn|B3wG%)#cL@q3iW}&jaG0gxBgl4P6)g
zRzLSy*w<4&e_odTd>*#X_vzz*&xG{6`wVkZo_tC_S$O_=VRqOWnR`zgo;-Ekz31+{
z&vC0YYo0i~@2#J!^Jmt*yZ`fkHml$H|9bngimTh6I)1x%xBm2kr%$u?w0__8obmeY
zC%K;vx%PF{e0*wsazWKo#(V$wJ)QpM8ROk^n?FBej5_yi&BRZSpRUpCzFBy`yMEhK
z%fE6rUiRPJIaB25)45abcgN4_Ir&HY@spX4Z6@zbJ@n@4iCsJMS6uvM_<zd(H(Vc-
zlb_n%em-H&;?D9lv!6fjoMK;pLFisX{l}U5$D$Y7{+szE>FvZ--TiELPtM@z6P);G
z=hQaFq$lw=pO#*WZ1^ny`+<Yygv{4HY=^vi*k1W?GG6_5B=t>aVfxeJ)x{H*|63Ta
z=eE_x_MKiI=gbU9er9#{#;bon4>q2CWGSB{|D=%V^^A9F4PE&UmBJp%&3RC8(D?HL
zzUnt_H>YwXWUq9$;dqv-_q_KYgG(~QfhWChZ#dhoKGSsg|3b}mmCsBqBe{Maj`h8~
zDDIi*w*#9tn5=$aRgu)*xvsTiqQeswt!F*kCN8S}cPlcbBVx^pPkUZI?&P{}^E~7E
z5{uYP&m@#Pmc3tdX7gH${Y5iU{vC~bz4w{+os&E<Czn0`nze1kiRUv8?s<@7G2@8#
zbDi*CytZrZ9RA#%RCy_5^Rtvcv1Z*{l6Qso$zRvo-_yPIV&3`3+WbtfFSR^>D0{T8
zJJ0pgkMHMJE_<f!zllZk-jUg_wa+|hJ$FENSL~{jj5ZHje|&uN<KDU_wsC5YrB(i>
zu&}T1>3Op(Al~R<=O+f;MFIM4JC@ye*tv1XV*9>_Z#dRji3dOJjJwow{(0)Bx*J|c
zpXhc!Y0q5!gZJmIc+>wE3X>WR$n!r)js3qPKK)6{JhsWZuOCi2wzAEthw)L<gx%%F
zPa2Qj?vVR0`Lt2Z@kv9I5)1d17=I4M2&UDRo1f^UKdHU<Tt`ZC|ADHT7Yl!gbtu|?
zTl7q4&laBfPm|xTHhxfkZukGSkN2k@DcyeiN5Z2g$*=F<`l<i#Nb$>4mljsOWz2cf
zvF=IZ{THUmPjugU8>H7AZ?Du}V?B50j{BR}nnl+gYtP)@(?0dpVqV6W{O5M6*5Z#Z
zusmse#`4n0{{2(s>CY7BYOP+BmHa%0`*E!O6NUDq)hG08H$PEmj@kJ0SV2<p)4Jai
zd3%#OTw>SEnE7Z0zx##IO_hBI{&W}4P5JffadhJP&i21+HhBD4Ve)y>wzQk|f1fyX
z^rlZ=`&4%7&E21$Mt$s=nEtcJLfp=0V%GnDi=>HVAD={Nwlu^)iBxC0u}PEh-h@^C
z9`b9p#><_EWcs+HCoyItpJ?*4jVoJ4yPw5A-2AbBH9uGIlTDFNqrF(3eeQccMWxaC
z_gdS^eT!w!KcBknS?;ElMO{y3x--4IH|3Su@c^Cww}1bbVQyaE*p|}Cq||d<)_!Np
zr$FAPb2^w7FMKlh>F1}JDNm!HiU(x<)qfs^I{&Z0@c%cvCj$cmXk7q9>T`pod!I+$
znfI(HRpS1=FMFOxU77c+<ks_rd!Ad&O;zZBK5wPX^UB<3Gb_(OtD41Q{cL6E<{g`?
z%Q}~Re#$uSS@it9PgLXIu8&<F`?S$)VSwa}h+_-%XLi-C`M<t;b=RE}vwlBmy1Mha
z^V=i+*J~%OJh<iR#=ehh{@4F~e%0yIAA_iJO_AXGh2mw$`hVYk>ft^AZpG;%{dITM
zFZ)hEvSHfgue*2cdF-)c?(8?uJ#yZj`t-y-c&aK-(Zz3NPds)Re@%>h;<3`pZbjtu
z%iHt+&rpp#!72K@W#h~hF;Cj!-=3IYyZw3FGta5#7jxWbO?Rzui95L=nQ<e---x6M
zvo|-acXN0kUiQ@URb<kODpUPup5JDk+PpUKQuWiOs`)EZ4?3JZqrN}onb%V7&riCx
zPQ4hr^VH3nALn{%UcUTxynW}lm#h0(dp<pDD)C~yRJf(?iPtKlqmh+Qyk7e8>RSF>
z`A>S;na}IB<DNb5d~<2D<dQY|8(rr;Yw|mI?v#tg+XergzL(uN@$<ZAUekY1<zenD
zvw70|wesrpPtUzRFP9C~dD0vndG+z9=U$)GAH9$~V7;X#`C;Z0@8!Fvh=#8b{km)0
zljf3ncN=V;H^rZ_?_R^J_cikFsZHy7&rLV`xM>aV>CkykMZuD4$0stTKk;6=dy4Au
z=U&r)P4TmS<~4us6N^31`>gGs@!jn2U#t71H-C-Y+qKVvwr*%P?)hnU!2bD$=;i;W
zPpkd&BzL(`^v{^%4+C#~a;i6&8xwt{t8C$Sn<tC%pXX)jKTF*Ir1<HRP0UY=HGgiF
z-|>3SYKFseC$;UWd}4X?&B|Sgv5YG|e|;h#vVfb_IqCOGhKiQ~=5dTm-h5n^)U}88
zb64N2N$ZPmckao1VtHa^+@j*Db2oh_T3Afn{*#gW=F=O$o>*xfp48g@#HyRowx-eO
z+K%Gmx4P9is#d?c_k7{^UY{q{va9#D9AdojlRxVHI;NU`Yl}`ju|B=^^ue>wN+w@<
zldRKFC2qa8M)JwQ{r4|Uopd`n_PO=*&rf&XT~l}R?8f3lLGwFxOFup>+VXJM=Z=Y7
zJky`IK3(_x3D=(~PkRf6IBoVmJ}v0-xM|iY{`iRs8xPI={HXMVkVT)#`zcTRt<OJQ
z_xuI(hh&Bx6TLk?{U&{neilFJt9-iV)YR69hSg_3Ez66$<oHCR`eTXc>Is*clm7RZ
zgrEG>q+Pd;`S#I$^>z=l{huw{d+_S{=S6+b_H14h=5|DbZw<?gSC-FrzCZB&*@}FB
z4S65Vr#qup1b@D;+j`oQ#ZPtYZ%z>{e!i_H=7dR+%Hh*9#GcJseL(5vs<WRD*v{@Y
zzMdkezcxufdFrO8>)-9uc`$p@>4M6S4+=l7Q9LcmZ2u(1hxy6+w+9Pl9wsvG?@T;#
zndx~-|C7BNpQQ%5JvisQ{@l||3z)kidMobzShexyj4Zcbe`5VVIS8&*I(75JnzJk7
z`WO}e>|8(Z;rh)h;|?cnkXV0X!R^B5>z`k_5P#?ShR^&9vW~62aAE%kqXpS%Ym}!x
zW8PqPSEor^?$PZ2hTa`pVn45myS9dP$JSX3R(^f6Nv7}7`iEsZwwC5RpYbs1(G&mD
znupWP8VXN(2zmYK@Y{EinfKw;=Z$X0Pd3!8`0mOq9`-2p3A65npLZ)hd}ub;ewO-(
z!TG_9jyDssm2(!@Pvm^lbdR%g%Im3{f6MSEb>H-Rc(?b*o6~<^e?RR|AGRjuo&Dt}
zE5e?n)!lzG<?FiVEB@_yR<1JHHB#eK&)$1a`peRv#4i7leBkl<kEzLPLR0swOnwq0
zn{;jU^O#Q)??{!Lf7%;&{X2i`|8H)M=04A79Y|Ul%W&*T&oPb#bJl&xcwjK^VHdw)
z((Aura}O^o)p-)|euKE}#zxED)uD&H4>CWV6&%0k!>-DQes3N$^{-2if4xGWvQ6Ra
zGe-TVSH9O~$#qXm@1Jn=>GaJPY^0Op7<$uMIV}#Jd46-=la|RAufJ%;Z+^PJbkid3
zzx`4i!B5WpeAcEn|CMONwX;`*{J!<1sjfaC@qFj8vu(S(npW87Br`lXeu(MX!kDMW
zzb|_-Y0cBAcW*uwTDpbr)r+u+9247D+9t(v%<pepe!lSr7hiqDQL_gJDpxi&>|WEj
zD)PzH-?dNL^PlcJ-C>aQ_QINHQ@?(DmREQG>HhSN=#59z^M5~?dhhxEZ~vYZMM+35
zd;aLtlUaM$HShoa{-f3N<y&e$t#>+K{cQ2J=Ton(dwS;0qAmRg6`q{QySQe}ljhLr
zdslZZdm6VjZTU`f+x`h0>x{1M%Doz0`Ml}(<jX(qtg<<IaLu|W&0n`4y>{|R6R3;P
zzk7{QY;MoKx!?M_&s}@o{Lkkq&$Ts1tNm3E-UR8&RckTdcrZNjN%L!a;ky>sUia>+
z`S-kORj^-h+*+g6-UX&{8-DrAa7R9C-tF_$^WKxDRYu(x+@@$cF2DAqd6)6i2S1-Q
zt@cXepZG!U;q&h8Yo0dW-T5~A#OL_*RdcehJ!!IfK6S~v34d1B|CrCRHE)f%c>}|$
zRjXDp#Qpy((apfHREU9rfu8{cBIRQQVjjjaG6=*lCNYMo3oytss{Xsrz`)?}Q<f=-
zDTzT?i9uYDh24pjnLUP;QM)6CjY;%26L&`p6YCNtL5Ap9hRrd|0!jaq{<HW!U}W(7
zCCe1U<Pakg!x1CMmMeUZLGE`aV=hCZFhi>cJ3}X9Z^MHa#$-l*7UxBO*qlXJ*Z<{Y
zUe91Hlr)Kv!I5DuBdb6RCj;*TMuwHYlH!t>9T_EK88$I=3j9xEVu`#f$WZr>EmCMf
z%)?|x0Uq{@|Ex1vV%Qm+Ib$BW#Qb7lk7eLiU`l4#%4gxoc#1)hks**_hAdMoLrV-N
zLnkwH5~D)w|MD1p4n~HHF&s(yF<LPTHpUdhxUecPy2hBsgvAQ{kB*6B;4tZAl;&t+
z<}hSobBq1&!xD3zL5?qmg(1cvW>ZXf47)(g-IyaBQAUhhll^0KV%QvF|0l#UG_pr=
z#B64gPKn`Qj`_+^z#XITErvU09+R*j_sJ}dX^a9f?_v%!soO9zOt~4uA9GTWA@&lJ
zpe`r(dq&x$NiH!lV(MoYUa&9<sf#3WFeF7LZA|(f!@`jCC`KvCCT1l|(hrV8p_osM
z9LfumVq-WIk{Q+~F>#tHBrzrbPZYG~WMyV3<&BYYVG&Ha;F9!Cq}qf*Gl+wUMPKDK
zi==RU2V)Wo3%|0+TnzyhZAQVJ+)3Y(xCN2~lQ?)gW0YQVlr83*&XQ!x;?0w!(8+8o
zB667HkOz}s9>ZQ0VFqT7uS!XR)1PoCD#bF`9%FboVQDO*px9$cBMxRhE}<k%$v_!j
zAr_%slD<NX{N6EaF<gS4E*$5XIV&e5Gi(;zB{E%!l`YUkFiCKFjLgEzY^?KJVi>;1
zJP=41ku_#t%I3(D;38Sh!8~1w>$@PApb(?vT_JXMm85?ReVnEZcViS|Y-5%R$hru8
zO%nLZ#917(ASqTLmSIbbq8gJ*%uhLgPEI9Gwo(D6?W!!LoElwRY&-cG<V9F_J`9v_
z<YsdgV*9P=$0N(*&nGCf|2`vYtO_Ha%zZ|0FJAV3VSgpI;5!TjtN2)^uH<K%>M6ke
zl*e62BakPMrIRy~X*LfNr^2_GrxN=GC9gziXfS#zOjMqwGEs@KN02Q&h@Z!i&sju>
z?=PP(pYtOQ=PAN$u59mJI7LK6CcE?UIO{Tcdx^47<g;C*`9O@Nf0j5~|11e!+a(Mq
z#kxCrL}uS(W><)1<ki_5$oN!}M_W_u`6?-vxhtjF=B||C)m<*jr@LH^rGJ(@-`-gY
z;@K`jU-^ZTCrL3X_=*;GBr$Tcu}G_M*-9u2{gO~uIGQxkV9_Rx4Ytc&Bxfm#l{@ey
zy-Z59QtnXflIT-X%T?mln8@_dpf)j?VTGo4g^Nv=GOMeVib%01$6evQ8iETFV;NTP
z++Lx|+P_kbtzSg+nYt<KMGa5k|4PPczbA8x<SThE(GX;tx<ZpR_@x$~s)|~kr(l*g
z>-1eZyvi$eSs$*{W9t=B<<d9hRW|VCRo04Bx#-KKc6*Y{Y=@bAx{C~X8<!dJ=?3d9
zTI9LhnD_KD6VdS5rlO*=%-AM-@fru3i-upe5DWLW6kY9W#izMkG<=0MtMf}6(eP!q
zti8+Zc$8<`v!0*jARexwbJJpXr}{yUzj4m(3^8+(q+(n+Vi|TZs>S~2aF02_s+!E;
z@F|8R_TLK@Qw^3Fxy6$lxxFKD)lMoo@jtxic{xVyWS}#v>tz>PTbHDy{1~;9uCA>8
zzHV&&OJ$d?aA&>f=^?w+-f~G&?rQaui#%C_mwEB228$M6@n&`2;^UgguRPC}wRe^u
zk8)m?KkNCb0F{%TfhSYURZfZqn)2!fO%)F=oTO5cU-&L7n74FN2=h{p(@E-I_zkaw
z^6oDQ<1q{l7iF9r!D}cQ3ci}~SCmNT%4pW<o-y1fd6eyAO<5nt-4zMF63?r=BtbmX
zuT?ejlIbDO$fqjjBfkpyv{z_<bF5Hij^T3ntP#T^BEKk+Z!&+(-{nbcJ6q!a#%MPx
zdps}P-29;9e@%u@GFyO9hK816N8EpJjasGW8HfHiT^9-$D9`Mc5UhLnGovF#Q`%B&
zvTmw6bE(ry#?qLG2>~jSJu!^-Ua4%=0(S%$lQbDwlUx3KG4jN`a4`1x*I?Zd-DLHJ
zFUH;ak4QVa$Vw-Xc1G2TjSe<~Rlj5Ef4}QAj&}&V9=w4gsqSmcbeEX?toajs#XA0L
zG5k#9Pwjfm{$3zPc#7YXKn}xnwvR##QGB_t8+mh+_+mUA9+|Yg6w69#zduQIf`CE#
z1ThZ|4%;sEMVzil>{nkTv0U}c(B;fe_lRW_;AL`QYFsoq`M<Mg^rZ=m1xu9}B=l^S
z3TfIdw%B@XN=!Wqui$k7m6!=n3pHZ@7v`;XY*1y7Ic4!eAUdWeK<-yZEW;u}16yW0
zhFH-4g4hOz))<A@|6UA^3=E(h2LC<$V?sJ)C3PJ@2ku5Oyk%nIj+q&gtP-=Db1hRf
zXXEC{=Xb?0sKkKwg2ude<UA3}Fpq)5g(*w2v-#{KCf3RC8J4>+yb)&-51Mql=c`F3
z&+a6KtR%yj?@4t@juIioF`N=A3MXS?lLS;aVi`JSC@Lf~{11p_*b(zSndN^v$C-;U
z_AW^uCwyRDY2guLz`u;KONk}voJ2rXmPnXOOeRO8LBLmmZ!vWo-C7MvjLH9tk~kd}
zbDWIeiiu(B6SPcb=t^?oPvX$@V~}HD*ctOaX>p7Y4}-4EwxrIEBNN^*PI~9@zHc&P
z>6UDfDJ(Y>7?Wz5Zh11~33|^AWI4g`fHA38kh8sup_NZ5$wMw?MUY4Ge_xN*h{+1?
zV*baheBr>IB#<PTB=RjdM_ofXCWEVsNuP5<($|<ePp%mPL5wd|3YlLrNqI!abSVi=
z>z<Iz(8Bn2#-SFjyGaW<x>W>Mc7IUhI*}yGb7awDg-yBauU(mnYq(EL5`3~Tl_|a@
zA?<ifC9eWwQkP(DQ;(1yZ;XPV8H>WgScW}{OzYpqEHIjwFqwn#yNH2{;=Me+v$kCm
zxzF}-pVeUZNEZCg5U;X`gM%YdP-N0CjfVWIygQo&-o`TYaqi@ZS#T-&zkp{f!#;+s
z?^<FOuif@vTjR=$0)IZ0Dkcdl&k1V;dL_J+q~4^<e46x(QCMK<mV*pQUy@j2syus^
zNUvaIb!q3&=krJsU`=9YkpHR3VXKj(c}r09#Sso~7S9cW2huhiVPH(!o#Ynt+L7Tm
zXOilo;1(7})|i(Pdt4;_*;edRc_;At;1rL)F|jfmHa4gfx~VV7_LSbBJdI<uO4Y_^
zEK@x<ykeNo%<81@H0j5VW+lZt0u3dC%4v){*qA*zzq1^lx6LEzHRo^UyH3hl|1~Tm
zrWCo^Sz0Ywa7^~NVA5Q{8%Z8&NfM$5IUg&rxawHMMHRE}XV^KRHfA12tjrXF7*&o;
z@rew~e4LjpS7jveowq8nS+aDEfPA&9RO@WEx!qE;7qZM>RLT=C#;~wv;t?lytvH>C
zWnwaps+_S5=RIX?S;8%2Ldtk--OG7wOSe?m3*|bv6e#`U_$kEjl;i(hftawEHA3va
z1d_sHB!tpmGoCrhoU}E`lO^ek*DJ=DN<pQ^j1o^~b}|blWU#Yva(rhH)rj#d`LN>W
z#c3+c*&8^1PGaHst8wwb1`fsmvlB^^xC#y$aG%)I@mj+9uLQFKb5gnluhJWi@})e>
zCkpmf#_1o>ULwoRyS$aVJLV_XMit9bj&2hrx!I%^-+m*&n#5eoB6uO={|!yOi5c5i
zBxXuqWdL8*8N<nNkmEX2%%q71+;`l)3^c0Z0y2+GGPx-z9i1dvE-or7TD_M2tc>Vl
zF*(u2H8P^YE3}e4cQ!e`UMc62$#L<S&`~AlH?9H~mA+kAUE>ud#AwjNsmwp|1s}V@
z@dK}2q%1WJ*p(Q?85M4Jo@Q2$RyZTtaY#a%&8okFJ?TS|8H=ck;$<d|?<#YdRc}}*
zaon(*BvUM?Ikz_Lw~$KGL-orFtV-1ioO4y?3$baMGj)GgiO~u0JF&`B(Rjf+quo}r
zm0ope-!yuiEc_oP30`)PiZM*uA#hyf8_#lv{7FeH`2y@xtSt(2zb7%|tK1A=%<pW-
zV#-h2kmjPW{H@~sNs7WM&XTV=>hn%>#3(&gI322RUWrNJvIm1Ur^8z=|2aub0vB8v
zW6T-sJvcbC6~bBA1-oM&CpGCku)Lq7{=i;^A=cuz07uf~m@l#a1vQ-;UgoxPYJcOF
ziDeMl&T=r=X|hM`e|2_wl?9W`R|I_XJi)>y5c}Vt^F=E6g*g(hR2VgPxiT^=SsUY@
zWU3N#z`%jgUdYH}`iX<vI9Y2nRx&0{41CRKAslJLQ7aJ39INo}c{0N$Mrnqar?LOj
zVi}fszQ~J+3j8g=7;}Pc=0#4<6ehLRF&(~1IxlX&Vv<(js#p=}$-FUOzrZH1IfhKi
zN{nY&RNWlF7p_lZ5*K>?p0i9_kb$2?!Q*}BhKrnEz8Qom+=$Wtz~A{aJW!*tszOEh
z789$Wr|7vtT{};XTWsPS&J*4y#W{u<%;5+T;1Nn<SexV@W14gz#_7jH%cR2s98L^|
zG43Do1?pq}7Z}94F!W#eS<l5bfibCr^A7_zhm=Jbd#uZUM}{?-9~2!bV{RvX6mS-D
zRAyXqlT#r#f<O5`M{<l+RMX!iC1(LSMkkXd6(-ItF@YQxJ5DkQ$}&lJ2)_7yjv?K}
zaE`)*ok9uwKh$uzBxwlDx%cCr17nO@(tCjwEbJe)zmHkc`SzHQPgBq_l@Av+DwLiI
zXod>tDmwg2@(?ifln{$B$`n?aBj9|pxZ_8RlLQC5gV~a-UcWSt=EfrexhldOolF-5
zIVL_aa5>k=p?)T&HkRQELspDdQeCpczxPR5F|HqGvV4ya+mLj}DT#qSX$8lAmoG_W
zAERVFRAVY;erliaAjXYxZ$pZpq=2AH(nJPM<Aj0<axrs1TuxA6sXG5IX^B#juh#jB
zlaE^1ZVELdOvs8!x-Fp0F_$qpM(s(ASmNbIRu#!(!S7sUj<gDk7crb|+Mr}}L!ctj
zQ}U7qTZXPspqPkpl8_tYVL>zA&J2e_x38Q(9wh(IYGi3ixR$h<LCK{sj!#fPA?aq4
zmw-cCU4zV5A43;o=}R1T6F8F8G(EM-J>CYe3bwe!Z2Nk)Vaby=zAYX49x+U}I6PeD
zvD^@6zHx~mJ&t`!JKusH8^t68VU;8U{trwGC(UMP{=uj8+(VV2-|;yIUy|Z)_N2hX
z>5F_!LpoUcyLFDgNsLrsyv>uNq{(o;Q?|vE;d|o=#Z{A(`Z%<I%{XFU$k^~#Ku+qB
z86$@!W6ZCZFu{z8i~=qz8a+#6l9P-%A{m&ILASpufUbX?%*Z<_DPk#SzJioTt3rUl
z1CAJ8g@~#orUgv0+mj5Fgk%MxHlAZX67z~dlC{IofhFd5=f;ktH5FX*v?hCKbQpcu
zq0%DIswgNJ8@AR$K}{$o;VhFlD`&wxW&LYO3i?U2V~i!5o31EKwu+IH=;h$_ka?Tr
zaU<rmz|q2AF7G53?qhi8qRB9Uks-?^O@jHl!of)r)^nsXZ=LAkdodwega3t<1n&#4
zZoU_eEK5L+d!fRSV-e$NbmN6_LWc)qQeh156W<<|?yZ7bGGfC2S@p{JD)C8i-Io$j
z%8(M%my($%WtHSGK}ySGwv>V9K@~>L_X0{nDpF3KJdBnV8#+=wohlR_3fRqxIn&2y
ztGBA3*LKka9@{wUW0N!_E9#iJRZ`{zewj4Ud^N+%o<EZr4D)xn1fF43TwKH;KjHr*
zf$1FK0&`V7KWJW^<SMjMVV1x~6^?kuT!-ZU+{p|L4E{|TZ<GILDy~xzd|bGNlPh1~
z_R3@lhKtD)Ua&AG3A!Ii65&+X!>GJENue%gb<$_014<hhCYDN0D3svlovg2tq<+St
z-BUt&fun?`%fgNFNeK}yu@$R7GJIfJAW<w3BPkLiA@XC=M6n!x-8}|cPo~b8aP2{C
zOjpOh2@HJ`XUy<)VdUPc5jjceW5D*#wI(e7lU3yeI=#2b2y9aKDB_4!{iWovHc6v~
zF+z+f>3=fA#0gqSTC6kox-bdu(dM00;me>fL(PSeRU(7aZz+4@<u6m%&${$5p6y{i
z>*B-6y<5i1d((!>!dY9_Of^~JH}UWa#w58g6#<(q6T1rKqmN5PcSLKMbZ=YfB9NHm
zbdpInKlZ<GjDu0q*<^-Yj*(3a0SjZe8on?v#{7-pOX~R;Gegv3FN0Xjn;79Fr8g?)
ze;Dkjn#O-ksgIMRi<?8qk5go)#9L0TYYd5uF&BAQl62Kert{wu?&I8;EW|LupZA_0
zqlXh?jDFJj&PAUU3KuP?a`BY>$K3pg;pV#;n^}tOIAY#U)Y*E$V%~!Gi5gEmgGEI5
zB(wZ4Uh*I|W`g1ZR)!7<|HgxnLb3k?INvHHGi<Yra)|ktv?S@d!@|Cm?tBsw4T3Rp
zF&r%M9s4c*%~WMy!g0b*Po?PG#-l~|3@5MDWZ+HGPX6zcH0dpacH%FFODYP<EAJ?Y
zT1oI9=q!?2d3S*miv_cB%$p>YS4kYDTB(<kGS;x%-ZD#O#)>5qHM&;pR*3!YtucAh
zE5UCPXC#?A!kD=EnFLiN_&nBHFvZ9$C{YoPaqv-lI%$dW`<@0zt{1a;PAVod@-rV-
zUyv3gJSmLfx8c>sX-iHps#s~vVO!`a<zDe$B4N_bLIdlW?#llRoSRtJlt;w;Tk(bC
zJ=b-qBo)z^6E}IzUR?NTZV0EQOiD*GBOiD2OOE~}k<Bc#MOfVDiSk)KbLFv&xFDS*
zX)m=w%S7eSd{KVM=a$U1E0TTnd=~Hvo)oayQtz`c_{}7zV<(v;QdC&EJ33F6SU5ac
zct>KDi>Jc}p#+N#mtO@|hb$%tIK-^jaFcNor-w^P=7DQ2f=^fTF!wENT_k3Ef^Wf_
zDLY&iyKUf<5Q}*@v7tWkfzG-E#tZYVEMaw2S;~A^LP$4eL&sfC2^GzkY)Q%!@A33I
zFBD(K>gl_jt$Qht1<%GWD@<9PS9&t{I<1gfG?`mEO=n>SE8Fy}Rjk2UtJ$Wz@+z-k
zo$9-mSJ`}>DXZuDxqQkpSD*dcS+QaR>qO6u%oBM8vs^As;u4#xa;YIHkniM`O|0)j
zHuIhA5)IwLYdC8wuVG%+Hs0!A+eJcG?%>_;xs!XpSg7ePQ&HjFcX^br>|u3WvX^<P
zOy&H2tlhKr^C|nOZ3#TUdU5hWUR5zm4?bp2lY0X1V&W=7rRF5LY;<N)Rtd0V)@Gi#
znK6mEBl+J87DE=fxoU^F-8E0xs2!YinE!s$7S)4&M_3(a9p!a5o2=keRL_{Ca?t%4
ztEcaAw(g~B2Unb6?e#p#>*}5obWBzKVBjg%;LE3Zlzq>zI{KdFRW?5dI_qI7TX$xw
zi0a|HfgL3mSUo3S6wmjVkdilT`nDBGDu)+cVvSvPStNY+71sH)u8M}|WnE)^sdb&L
z+tp6;2CuH~P1(7Z!uN=T``+Sh^u5hCeW~vA6?b?Kzq~73z3iSS@3Q-B-9e(keJ6Pz
zhz8GkC?33|>5b1s6}7E9FF0TP#Hw~T$#*i#1QrR##$3Y*Ib1s;`7Q)A#t8ih>GpJe
z<SBMKVPVwKE*6=`e7=)vv?H2SLe6^HdOcxt<okE=DUW|<tcfd&?=!Z3-{&m-rZ1MV
z_q(@vyyOiQsZ@Q)x;EF0W$ubse7Y-Nv&>!ghEI3-TVCCH@7Vfhz30)*()z%oEBv(M
zV<z)Nzv+|LC<Gm4Nnrn$^t7|<!bFpZg9jR888)skUi?=i;KV0A%g6gpuw_1+8u*#-
z?xZEF#B&ALYkXmI)Rgq#z3+T6^DB?5y2Wo#f!T{vGFEi4O#Q|-b=G&5sadCfuupxf
zb!BVA(;2bvwVv#Ve0%9o%(;$ai3uAM73*Tu-x&8LJ*hqz6a31IgOxAIWM;vUx8^FH
zYD_UIF=ql4gNy}*k{zU3V|vynt&rSQ)xjboev3uY;9$&)9+hSpof%ONH_ne?e($3s
zS>bd1y{BM9sYg{5gYKl2lB+(l%~jxuImwoH@{(7J=Ub=0lRmsWA^P6YS|#Qkhu}tI
z<rfFd0wysYSU*AghVGozMjIu0W^QMEJY)A7(<cnCmz|mrbA6&`%jb?`7gjKN8b4uB
ze5W-l=BwZBx7w}Aj2oWz9Q)zf>cQgG%DL>^F3Ij49Sp2oHy%G}?0Tg;=flZ^N-8}y
zjAql{>Kc4dVUbd7xW&f0_^poT#6knXNi5EVN8ajrvpP@aV4f<}8uL!)Xz~l4lZLg8
zYaafL+1mSrar;}{N$lG#4{LC-ZI@grIpM_48JcG&oZvQ!{upU7L*gx~<9lsC4TDLp
z(R?kMnK5q-X0ZnIu}rO+{#J)|YS}yO=`9wMrC0O(jCrp+iT(dX#<yD9x;&1aI@8~3
zvpSYR=l>zo66_8P|NqE8flX7q*HY(lbmi?A<?Fx0|7G@~i4(>6tUdT7qWLa%dhQWt
zb-edhD^wzx@gl3H(tDA|Rg$c8!{2Jn;?tF8y+2PzX7{;0YnSrtzLaJ4T`9+-yIh{t
zvHXozsb5#g!wFt7jFLOwz11y$%Q{(^?dLn+({HpwjUqqw78OOld#k;lwR@R5Yxgn@
zweLmmwLBT7>P$W9`TMQT-&?%O@3pSp;!}RB{dX4cdVSvYx87;RPU6zt+iG%hks)jP
zG9x+uIrqM>E-!npwUy7f?5*~GUgKrvtf!Y*h=<<;nZP<7VFGKnJ@5NlZ?&#^ij<md
zRBBw}sNEd?RyUK^H~hU;HlOeJx7yjfH^bj(=}XOi>YMsjr|zw2;d`yDw;%`9y=Cow
zqqR>}?W9=f&Wl2C_2PLAgM&q<?tY`?B^DYS%IdxQt@dT!z3bj+#fgXReybBN8oK+v
zR+L<2a4fGfNKW+OySG}=Hu^ymC380Gz15XW`1|<o=64cr4KxjdZY4cS>v`Us@A3M~
z8`;UpVy@9QeclPres4TE#o~?Z&nY$gUP(`^+c9JEL<iTm{GLH;`*!NyYW#CBX7)r&
zCB2X77meN~P2w|s%g`C)aj$2gEKhdN${lYNG+p^zU-P;id&@cDk+aFB%RJ00PM$u#
zCQ)M5dyAF!ZHx=lZ+8VW&W;J{j16QdY)O~ip3L~<#^i{$9kq<kNl{5QhA|U9IxSXB
zI>sQTQn%D_iDmN+t>pg`>Y9`P7ksdEX4uZ5WY=g?baKP7iM8h@);znzlFZQ5$Pm|=
z%+NYBuQ~R==ZbHN3=b_1HvEnK@Au$A0pp7OM%@>lKHR!f*sy2A>^BiYXXRs#pGk<B
z!geU;mcJ*%7uJ7AzD${3-T8B3F$+)A4Z$7rSU$4wADgtLbK{#{#zQ?jeK!8&`Np5D
z@ZUF?VF!y%)0@c;lmDkY`}y$eF87{?KPGq?KltYIeaEjgGdYZ;%TD!f$&}$b{_^A`
z35gk-EDsv5x+^)ebWzeojswRSm}l+~oY~pUJW(T-q3h)CQw;w$*q=C<G0EH@_65hG
zotqeAF7S0Qc`aa3&zX6!sFQc6yxqi~Hw9PCaLGvGNh^NueQd?Q33Dta-Ec`d$zjyT
zsdMb5d*cN*q2&L5eluzr{>uE{ac)ua1*LcVW^WwYV``Z`^-OV_xzF%pmRRHE=7q+L
zF@jYRom0Hjx74p-n#mz@uQg}phDl7K3)j8pxcSyM<`&z_7n}!^8G3F$=vX0`{DQ;q
zjkD2%W6!VlP3+0#c^buW$Zw?%@8cqli+5&9FVyekxXbapgZs7$M;OPK<~I(p|4&Rz
zj{VR%XQjgyCF8}<jC3x&6nOUDaSPv00XvE07edJ&R9+N3NM_i#>GGL9@muo6*9vr~
zaIEH9tG>~mcUkg7-vtMIZlrItDn3}a?49YU9Z42zK1@9DtzF~HJENED)05vC?P@*L
z9<%O+iK5Xf|7Qo^n^}H5sp#BcS@o*#*v5d@Ruk4Ui@&p;7?|?Ld^Yn5+u~EMZ4C;m
zUsxt<ZpfFo`$O=Ud8<ad&W{z}czV{v?)aaZ{6>$rp@Q*Aj5b5%8@--c-ycq0{5NG*
z%p2{4$qc7AE^|!&Z+ADOC}!bX9qWgiEf|y5z0jMz!LnoZ!-MY)l0G-prv!U%h$>!S
z5)sSL@QJ6P>semRd-Lb7U%z_yAojmg!<>#RhFxV%3m)HjYnybdqrUNBjP*k$=A=JK
z4wkomPSlt5+JELH`$Y4tNxq7V$CFNQFbR6zmSWQse3bNY)wKz%9P%7;OJle@FL6E0
z5><=&^26+{RlRAFqQdH=`3j~!%t`O9jb+|imCMI`s_9~w@N4FKtK&%?D>Nl9emr*L
zt@#TE$+zau)ZUmLkI8=E;lLQPV6&**q~`E9dVW7|SzIb$jG6ssQIF)!u1Oa!);(JH
zMs-rhi-|TpE4uzHJQ#E004p0~OsDe2j>b>N-za)soKUd$_wr*mw!DivJ7slNMMF%_
zGnE%R6&W{9>WKYcWVPbtf>y_vm^m6Uy|3lHZ+1sb`qcCFSm%bb3uKe-o!F7|#y|F*
zvMR?($ystMXFY$U{rT*MnBzOoNG>?v)^+i34`*P{d&x<E`***S2%NJ_XwSxpA=9?9
zd}eZ&c@-I}^1qPzJJ*^W(J{Sm0u5v8UoV*B|I&9>f$N4Cxfx9J4;=kCvG|>@|MZEh
z$2KIlu20)it<&PZn)jMz@6BS%1xw$`_W9nd-uZ>caK)5$Z=+(~2*2dH*V#W?yOZVa
zoVPyfmd$x^gW;(Qmmnvj%#NggZv%J8J$pE3!J1AHf36UtgAzAC$hAaoe<wd7{^(>c
zC%r8KPFs><st?|sQ@rvpgPTrwcMs$3zYJj&$7aq@S@eoSa`r<0jcXMdH|%?SvTH?^
z@lLUG9~FL>IK(n+V{tjO_UNCOH(U>sp1f;3_15`l@&e%#0=;jGQfJ5X?C{?9-|P{;
z+zfR^=Ieiwg7!V$5x?v>bJE!ui|&PCJ2MX^J#T7YT9cGIzr%LVva+|qM`K?Ee`M^i
z=!}@=%@Kd+UB_phQ)-2Rue&yJ^e*4tsk%m|;dkpC8G&hRFW)y;m%ooTJJ7px(S!HB
zr`~3&^0j_{*Szj+@)SPHHyx{E-)0xF)xK@ce^dNRBKAeGrSPRUz5FYg{FAr*J0xKE
zwq*Z{{FEo#U;6pHFMivg<MTA{+1rxqtli7r6y0km-+4~(#45d)-`HGM>vc-rTbj!{
z{e3a$gtB$4-MMc|{8+nZzbVK+$)&3;vBkl46R#mikk@eb`{F1*!`W|3;(7PZep6Jn
zlVR#Ln=@}q){BPvzAs+Or+gN~e(3wIa66Z4%#{aiH+>IXTM;NXH|4Ou`rDFgvU8Wc
zFTN(04RVUA=CU_MapKt^(^L<FO;!f6b$w^Q$<Nmm306Iv{BrTzlDb^ouVA+sUlV-{
zat6q4MO8bwH1BqTtmah)S*><><vmsQmG@QHmp@Q-H+xeUK1n1y>B7?TqaSb1cw4+A
zK*1-$=WUT^P^(Y-v6oZc7B69SJo~=zWK8@O(<9H`1g&B9^?h5E$<{ylZ82-V+1vc7
zH$=`pUSRnw+<oDRSFCgM-xhhX&7J+Wcnh!Y`$EfHe%-glmw2nEycetf5_pkM_sa*?
zi_6{@G$k`^^qwigYx}8^EqlhRC*qnPxB0v+%;dS9{<7t5(Ir;LXYX^DaM|)*o^!G8
zZILHyaPW7z{dP+@eA%Y{V7-3+=PFK*YWEiRWAj4a`-Yi4sMlP~8FF{iFFwZwTTin8
z|0opjn{Bn(8=sv8#(%6+1P{OU1vMA{GOzs+GO?NQlEL`}+aJI8Ieq4ZW=whXTOYrb
zN)0=Na@HuO{m<p~JSD#Qt?$v;m%h)w@g89`VtD1N-f(2|`@$6~*hONFU3|g!#`n7A
zk~#g4Hh=Eg{MJ`CX@gNrV9XmI(WD)lW76LEY)qQhVXz_RwWnj!;h0BjkA2wj@GaxX
znDC@a?Jo{;B;7f;?XBzAcW>M`?WiqaeBmLs!Eg4BuR^grPh3y;H%P5fQ<aN3kp1{+
z&<oq*H$Equ?#!@FU-5SGi~F-n{cDn9j=uGcRn_<y{Z?n+I~%{1mp4suYYe~f-d67^
zYyVq6uNm7<P1*j|S9PI4`Y(y2f0QJwG;Yqedv9m;lvnqypBL-gV(Dv3{a);4nydD8
z>LeNFnLEV)=-!@Cq_jgb{@qQnnG?KZ*?f8T?tJTaiC0(et?x-5T`}g)_UCVGUzh*e
zbn~5^)lt!T%6Gj@Rp#=zUMTQCkS}(UXCK=$y}NJy-k+aR_9ozK-r0Ha?`)UL9*TMI
zzm~N-_nqzB|98C|E8o2dj^;Jq{njtO;_fNcZ|`ltE!A^~c@wZ#H2m8;+tO2}QtxfQ
zsXpeaJhFD;#yjr<_wxFdz4hBKR#^7le=BP@h^>C|+Z)@f%UyeN-rIstdy&h0S@Xs&
zT+?@7_<R3s(TCsP`eloU#=o(xJr(*0WP|$goOgDmKVsD1=-hhexT)aDnKw4i-@9ke
z->~^a_t|$^+unwI2Gwk;h<Ky*_fbWwNtcoVbIdD?ElG9LcZi?wko@^Z^;Cz-#G4g|
zcU@$UnRz5B-^63@2{WgZQ0w1c0=C39zFxiYt@74)@%bkkbokug+b(HMu6d!<)fOrK
zPA<Q*p^|N966;R0H+2V-8Ff0>S~RYG+_CYc_~#j`Cqy!EJ=onIb5ZqdtJIHoB3=v@
z-x^zbI{MxTt>;gA|09-R!3l!|rljsW9~&i;^lhG`bn3s^mNP?FY=U0=JFfRL`3rX{
zY<tVy^Gf|{%JGkOJKs0-#k>(-d-_DC^86?1A3NV>_9ea8b~yI`)K-zC=4q`--4~~w
zeV2OUwG_+mhspoFm1l(gew&l@=A}#$3peL`+0$==!z}w>rhhDW6=K}^xhCnIWbTA_
z7K?UXzA3PN;co-RnD<(nv-=oN^8b4qQdY$Ddged7M+JsV_xH?DFgyJGB-7Op+n35W
z-UiwIWLWyXd(#_<y-YtU7G9WK^HyT^+n7%$=G-_XG3Vu~CsnFuNpF4ZI&QKFzl})F
z`R{SUa1&SD`Mq!6c&&cI^ZY4$vgFgV+Qn}Ia+02(o&C<&{#eY(1C8stl`oxn?>C*P
z*XDxBx$fD26dvE+DyUfbqrPK;+`(h_-#SeFA(c4qox|$hpFF4d&wMl6_r}qBE6ZG=
z-8xr%H<$MPzWHXxBn9z{+{Z6=Kfb+a;}_wI4-0#KI=*pO@6BU7^^L<@o<FPS%w$;l
z<;qt+>uqlw?f)cIcJL=KCB1X_{d>+K!Nw!5nvb`=aj-MuQ~34LSL~s9@Ef0xpDs9b
zFxFRpzN@o=(e9<wrVY%Z@0}wzo85WiBleS_h?Pa{rB99415TT}4^(tGyF6~F#Y||~
zymjvhHuksn<$kXN81(zz23&tw&K~oH{SYsE()XWpcD=RlI}`Xf=6!JW#A%P$y$CvC
z{G$JTpzjxkwMCI#Z~c$-GVFc!#&7CDS;GVJeeZqB8J@+w^EP%o;L`WjONoVBVQY+E
z)Oz=~9?~qz>)yEY9O&nmf8hB`i+0(A-Hu6%7a#xeU+2NqJ8!*f^&{m@9=xaE{?0k*
zWJSaq=S%x<-V)Xjn=|{(zV|Lmn`3H|X0pgn?$Leg{M_u~y^NT-tlg1D>~CCN8N}3d
zoG~)IeP{c_B$Zn|H8U!Xb#y(to7~Y?!S*y|iptG5PRnDSS5)!ZdcSd5%4>W2jdO4n
zk8NbP=sTBHb6@y=;6MA$`|7+mj(6U8&;L@YfBn5j<i}5Q9xeJ-n&15(;PIa06K*~F
zR(ku5{nYn~Z?}qkY|u2n{Vr+WI~_lUV5MEWMNEf$Wf+b#<Q<7oy4v}0e%gzS$xKgW
zxNT;>G<%!*kx6{vyG+?>o9}G>cJ=(*xzi?G(P_N>gFmLj{ISnVb;aEb`TeieJ3~bK
z=gggQ*XWJ*)IX6&-=?T@ILv-GpXp3Tw8EpFw@LYx94T)W{_uO3c!Z;3(VN6;Ki{f%
z#V$CabFlhehuwsjKE_*b6Ll+PI=;QnIketv?#<h8lh?h`?@EevP~rW=b<z0Q<71uz
z=^Q_dF1Yk?|LQz_p{$`QXGZ!aMu{0Gl3upoh<RIhgyrypH$~rezBNh_7kE?jn)PyA
z%%7y&?}~4~x4HEu?^>q?%e$Akz7jPtDet~*nIm%LfP*WC;kLIq@f>Mu-ZrpDwy1yZ
z(t7m9^>^+2ocHhCqLrN2z4!j~-fQc-thcrAyt8=>!{2xpFWhW0>0zAEt}E|V{oe<-
zCMm!8cz)JBIffIflm9CSKa@;<Q>`8UE<#=U?&Ox2?<3!zz7~{pQ(Jk3*ulx~MBYWd
zjW)b>tf%_oy2tM$e+Tg@Z+jE5dWoSSk73Ww^Y0_ydy9tNd=vR9SS)nk`$+w#yvlDQ
zUVEt@{P{j|-xSg8H<4@Yo{D8dbnbf-zIuuBK_26tlXt;7!y!7uK{``qtKT`S;@z0U
zGI7I<KZ$*7dQQHJ-0q+D>0MOmDb=X=&U2pcJ^OQS+FM7XyQ!xgFTIPpuBrNJ(#z04
z4eZajPPPPlOKwq`|MT%%`;)geX@pLBe)HYCsMk|;JKj56=JM*ki;C8>GkpK8@#dRT
z=iWN0WYw#0nG<*`_`UPT*q4z%8`c_64?ec>=o{xRSM4Tj>OUZ9`sT^Z{VTq=C(R5O
z&F=h}bK!vWzs{l?ns39scGTyDz6pCY<Cn=APM<d(Nrl@OUt~Run^1Ok;vQ)S#~m9t
zCWS9hx0}IxB<aqM{fA%qt1}2xPc*AxedD{e<J+DI$p?}aCp~)e^Q9Nd0k-ckLjCJz
zPJAaG{?<GFRFonk>r=_<$D33(oj%g?-fQZCbw6&-EKE^hdE@!Js((YyThF(5o-F=U
zx%92))aTK{n(f@r@1@PiI{z$M)8=gdOQH22_Md+C?qs9&ThH)WtW){frxv{vICgUP
z+7D6N-zT4c=lRWxN3N4^-+Rx~Z|jbTKGVDT)>CVzbkA9#n78h?Sg*fz4z+vXCH(fR
z<X+afZ#~~m<<ouZ>diWH_j~8b4okj@o_y=Fl=W%uThI5$>o&df3hjKcn)$uU?>lGv
z*1dNwyT0%C8?RT!e_4ON_uQ9a`sAPglS;O?9(Cqdv-Gcjm7inqmp?LR&eUoNn?2KF
zB9|>qX1sRj<BEmP-zk^9b=l84zwEv9R`Kv{Z@jKPZ<RRt-t+rX^~RdFUa`)}j3y`h
zSsn_N$NrDY@A!IzlYu#M$ucjqi>p7s_0N`7JN@2&sac858~?92TX$`G?_aah#mweS
zK={vIHV{wK?Uer;|Jr@M{(shf`g6;4!F#_eK~53NxNTy;2Ij8){Pc;1!E1rd>+Wn?
z_hsvw1=B2NL|L!B7<u!QM}obFl~>(_r7AC<O*~xP@8QiX$sk|1{_7;A2Q$oUvln=|
z-3VcDytlZ$X5*i<H=6x7pS_8G+xe1%gMIzesWoq7-gi~LS@Z19soK6bc3~$ur|j@%
zW{kPTy)!uG#GIIzIlT)VyWe)6d}I54V@j{hn=OlvzKK$aS~vgw#5WFapLFm}-jyiU
zw(j^l2X!xwoHsGA9d+hR_-OV*>gN*Ym$5l-vTwvPuI@d^7_+19$7_c*t8Sj?Nbj6l
z$avZC5#MvhlXvGl5D?@`NdBMy%5k!g)L|9#`k8TkjfRY?CY#@X@07$ex#N|y@MHsz
z`LCRr9OraKZ20}y_m#`%l|Jc{PMlqP+bjK@>+QGG!tbp)QS`{wt>esuuwzRf9*SvC
z>VIYFJ0bVqtg?jU|Nbw`Cr_x}pdxxB`G49wQ;E-ewBz5ICaqree<xqeoBGonA-&5b
zX8O*4_F3W=&rGjg?U_n@VprVQ!1%bQaz<M5#MR$sXtPXkWsF&LQ$g_v`?t4RTi>@V
zPp^C3ym4W`^LK{X&95tx7OYSbz5mW=+q>dPLTqo1&vz@`cwaJ2NPA||$Cuh4+TWY2
zvY5s3ti13<DC~`$;fvO9-AWaVEq{#P`o4Wz^gHLhh4cZfeT&|kpUBqR_@**tMTyRy
zA6YyflNULyxuk9r`~ScXvlk}G2cl+QKECGFDYKWx_upqdeQy>m)p&1-!nU`Wb9vUC
zm@RecO?m2_S2eGu=ES^7``4|rXGX`*O-vJIL|4uhEq-65_QdM)+x$+h^tlp>Ph>;h
z$NfJ4YMaD^gFj``?vzgA`usNk=e}df3=JZ)tZt@6d%em3Rl$3qJLh%W52lzmu~z38
z-vqvo+r}#SX6?U-pFe8e#(6VZuRI#JQSk#~%<K4*48kj_xqb%4yf~gR>)6YO7bYif
zo@{);b=&)dM=`JREs|d4&z@lQK3{wO%S6VqoEr<by-#XmN?P}#Alc}}t2^%tdOpAS
z`Ys_qhAHMvq4kT`)f)tInBK%cW{P<iSDn2v&8#I#e}(2JX5N>vCz-atiJQi(F7v85
zsqS18(+T;6kT-E`Ng6SW9tQA<mOT8k_V1nV@7@&b-NbO?ZQQ%EhnI>f!rv5x%O>5a
ziFsF$`ZjL&^a<u)XBEFMocra0cKO@9n{OMVCQaKm{YULv-_V?nR~^STD!=zV?(wEm
zjY-sOVZ++(?^eEv){cMMsk@-<`0E7rhl?X$NUqr^d2^e@?f34%AG=>Qo#b%dqCV@v
zG2Uc``7atdLUU%!En30yepdAxH$N`XYn#Mx#J+9JvRpFleT@A<<7?YRZ@uxj{`95D
zo=%eqj0Z)T_FJ%Y@2-pKD>xCuCHLaAI+NV&cZ#cC$>t<8yqL(=eI;qZwwSk*w!d|L
z`a1ZF2*b9wlf*ex-|6q<{lt*EU1r<63Axd4?SH;IRrWz+(}qX=G48P&KJ@f|oF(uy
zWryHOmE#B2b|1ViKl^o)V8@Xi!v9{HBqlxErm!~VZKmBj+3GDaojW2KZz|Z@?-HMM
zK}_Pn$?g6BPQ12nWBgNo{$=Iaj>(5!uF~myUy&RC#{cb`rFHvw-d8OZ{C6b2=1tK1
z_jB)UWs3iKAk}2g9Ir^FLtEuv$G&a;#Fx5F=G(eAwdY?%sGpFNUnZ6mp%U}I<8pG$
zf=bp{h7GG@|Cek?N!zgLZ0D!PAGU7XuEs8&^y2WI^}lzdaxL2aR%QXKdHt4*f2UgZ
zY~`CXlY8@r?H_$M6dYrGyJ_nCjHgBKw^wcz{B3*p{q8ptt4=@LFz<bazNBT@k!gol
z8m#-uy!CzN())Km9o_Ubc<a0L<#DfXoqJ||@o@5+%$4V#wxw<reE<FFsyFX5c1{rs
zeVe&dTl}r&q0{d(_O|ordcVo6)qA_AdaK}m(d=*UGh$Au9ek5nH1%un>G$cMQhWX8
ze4G1n`{p;9SDt@;@#xl9_e{In7hNR{c3hb2|IIymyWr}%Gr9MxA3eBt!@aNBCilOx
z^iF%1si*0Af%kU(R)NarH9arc-)20m?bnKYpQ$(f@0GXx-mDXMzwMnAli{*_(^kRh
ztj=X``=&mylaFr|(0TRkNnFFhEvz%&raupL5uWxw)0=hj&bR$rcy-_QYJ-L|GGA_9
z$tCe-YyV5Ow>_t4&g$O({rp9P^tYLs`P<`;y}Z6n;Qk~&9?6#N{QJGt|5UCF-~2Xn
z3hUHwZ!=OZ6is2B`aW~%rZ>@tW8Oxe^OToYY?<~hbN7*k?AZ6wr=PzSPcePi*w*Uv
zHhQ|*0&bmvH(6(6UaCo@>Tl)vc`@zt&5wt&XEyb{j1qTVplRE2>ex%e7uiiQmlhaq
zZ2128QbzBjbIJ16Cq0(E?K}4}vMXbYgvqXz)h{aDcCTe(eR8^M((-ku54853Wc6ic
zj=L4H=1oM-f(d(O?v|Ko^~sFMe$H3RKMTGcIgxmRDYIn3k{ericGg{fJMX*Mm7u3C
zd~d>+YH4mRw&Ahf%A5MGxYGaa@_BCx?KBm|d33$s6)k6-dFK86${(|AS{}@MQy9jp
zZT8UI|6S2?UTvE<g?F|23WDD+nEmvn?z^J7q9LE&6kbs`-EmXw)5N+z+j*~~y{*%K
z7g6r1rVz+i_a=Oox5~T9mws#BN8I5}W;E?zW&DGyG5LSoD*1M{1utd8{(o3~L*T@z
zhXNCfj-PpPYumd@KeNc6?<@CBv9)~@y?xyjcj@<4@4a19Bj3dA_EUWZmhznpk`hsS
z7Jd0`-IJJG#w#PvziWuQz53<HcQ)JKtvKDVamJhGZ|~y1AA5fKMYcp&J@>?=jP(t3
zzFqT83DI5|clO<$oEurb4;IT!+?3z={M!Y=DJ<G=_P;dx$aH`uMkD6`#XP?M`7hk-
z9*X=~sQ2OLjalxI6|dZ0zGkib{ch4U&hEDXd;Mw-NxoW{l~lXuCbR4tf9r_~VK<m6
zYBo8<%!tWn=$JF{j_l3<qVez7{(f6=>+NNg6^nmzG`*{cVrt#gI_Z_48iT>v_71W4
zzPExHB3KV^Nn$_z?jL6?qxQmPw_WiJZ@#_uKGM+_(X{X8E5?nF6;DiIf9G}kB!_OZ
zpEQHq))((Lo$9#y&MPeapy|W;FD+a-)}EW-btq)^M_c>qwey1}`1RD4ZFSxBuJ!A=
z-KB3^#A7xw<t(`Q<?;K!_uhI$ZGE$Q`Pw%gcYnX%sh8aKViV`_H<jP3cUSVvQ01J-
zWczl7+1nq_-|X7`_ri;tOD;{6`1J10!{nF7=U;fwXRPjd|8DJ{sed+d{dluO>-gl|
z$#2`DzP<I)Dt`0E?7+j>2Y)d{@qBrll>fR}iRpA7L*e{4o8J2D-Sl>2?I)h@_jRgV
zvcYd2Sgv^XyP0>!+q-u1AA^#v&3$84^LAPINukFJ(mM8(v>Qx%vt7Mrxme*1i8m#$
z;~WeoiDt9Sl;_^`cKK8}?o)4y<L5JV|CsZBws!WLlJ6%y`WiB;{v^FGuKju8M$hfH
zZL&wtSaiKF*{<!~qVex-vDN7ojhi=<YJS=LdvmR?Cgsh!iTPb`O163lJU;et&cdGl
z2Zo~c?~1?cZw|U?_4Up2UqARasU@1cxto>r+3O?Y13}}DiglY+|4g{i>EX%ve(Cw2
zJDi$7*nQigwykmU>}ugTuWxS5=)e17+1c)Ik6yQ(eZkVT;IeJ^??x8ZZEs7yr95G(
zcvF&J{rl9nQ+Fd`wrgsih-EnIY5RV~H5tKI_e{1Wy<h(DYf}Bk?`ID<{P@sb_~vFi
zd(4Xx^Zc!<F%@sFCDtbISag1?D!0??rreIosme{c@d{gG{Jri8od{S_xmso>ljxhv
zn_n$CppkUH^ZxT!CH)*PmmWXR5ZQ9H_5Yh?OSQNkZChXevZU-~@Q0W$4{zVt;QsOB
z*JG-0OQP>LF`iET&--w{ZwB+dHziv6EBgMd+z@s1WyN8&r1vGaQ{Qr&eqR#*{7&hq
zj}vFTE!leN`Tyx}+U!3jPmg(5QvSSSo8bkkO$?RqmW9~8FqB|Qnse$={kxLu+?tw6
zb0P)S%=r1|-NuMFrLX-MW}8jSQa>@3X|?u^pic~I-!BW9_QqD{{nEK_ZJ%D@v%U7F
zbloJ0NgXV;Ht&{w_ZGAlyI=WsX`R@-FOAAy6lci=zqy(I{^Hv`jawq#U99$gGcEN6
zLyBYft7#j5EO|7mXLE<v?3KUl>)5*--n?PV-6FdFQwMYMCRf)PDyLMe7M4CfkbnOD
zO#l2h=T3cNHSXzudF&kn0|P5;zMp|T0cHMw=KLpI6W<?N)7X;!{?E5JeOFIv8NQ#>
z$HZ6jzW*eX%7MS#K^<>?fB5jf!Le(H<nfnt`<R@3-W>bm^JcCJQ{21-Z*KL?y2rV3
zTg5Uyt($!x1=qZtcW2p~1DC`YqTkHhY5ey1&+oo#-pu=Q^G(4|-!F`tG)x+G=DnFS
zUsxmHt^Vsdk1WEHw<W7jetTro@{L=pif+H1<GEq+n>QOHCHBtP-4r(C?X^#DX9ril
zuZ-NmGw=PWQ*T#adV4~Z{lB*)NBZj<Ka&5)G>L8am-KNV)Bl)%HAxYTQeiJ1^t^oZ
zN!6YwNqo+!$H8yzykS2fw;}uhb46>}@t5yzylbnz$+vk!#l|1IpPk@vXJkzY^Ke@@
z_vlaCWi0u=@1CoD6R}%*+vKK4)1vl`OAg<7*M0l-f$pvT^S8h2D>`X-VoCUonYx?b
z9KMo%mgUp?Lp7Q_%FhqFyy;#3|H<X*E$o}#^j(hCJG;dD?cs2>tCzIj_tk^i2z`F#
zJFni-d2={?=G{Mg-uM2Iyu2j&ncK@}8$s$%iH5&BT$K8@@2S69*u|&5OX|L;?|Be&
zf<gVAz5lc~hsxe&SH3%FmFm{=_N!L)gF>}49+TgGdGh?`%bujIhHLk{-TY>&f&SuG
zrS>0Iyyey1{<bf8t>_G$_lL}rPF^+QRC)9D%U!t%e>T7W`m#@UW8Tm6FAsN2I-&9Q
z@bV**{6gOK_9-lx^RDgvzl}HeI+@hZzU~#>SfR#ra-C9z-n|Vk>r@nO?sk53o5}ak
zn{Iaov2Ptl!WF?R)^ED^GIag9X}<H#ygjeGPB6qZ)LI|A)A*J3@4bd_!&leirsS^F
zd3D<E<kmRGtrp+jbban-czfgEJo(3WZoE0Y^ZwJ{xs5Up*}nR0o09GK{@KPqE46;U
z@7fs=J2jg%>8Ip}*tILG8zt)Aby-cn-}y3I-uvx2vG;vH4OhMCUKx8=;tlV*Q$IR%
zU0J<<zwN5jl+5pu^M8Bh7OV5LY^~`V4$A+O=-;I?=Z(~xuKBxs-oKjm?(Dg@ub#X)
z{W6$ms$656_q#L8S!Y&AzrAzs{r9<#G;N=XslPk(atg2ZyY8u0k%p3Q&g=>o)i2v>
zUU&L!W7wPSbv;wpe|fXHajToAn*YCPwI`=$Yd?Rx;^zDBSLeN3Z2RVPxTcI?e`o&t
zGf%xm!y~6=Ki&RSJm*dKQ!h31n{T>*=FLfFyl~(_zkh_B&4VxQpDqfYHZEX&^=A#!
zhkFJ8S7cvn=qy~3rz7#=%DJmdjf<_Py}zXYRQ21Ni!1%rzEn=!sq_AF{3%npHxqA_
zPBJ^7_~p%n?eBv8bk;<EWiXBUnf_>#=bMkGZcO@g{$<q98ES`pV&2`%{l-%C_U6+s
zTv|J=65igs_f7omyL-3ZKmBxX!j8!tYA+8SfAQaPQ{DEr|G$aeUh(>5#r5x^xs4y~
z{olOW-<9^5sbjHuq(<b_bl(q?^uFY5{K>@Fd9#b-$)DAGDi*G~!PRr*$IXt^<68sc
zCM~q%I=NMEYeme5-Jf@c|LnQ==Vg7x)&On$H}!K{m2}?hR~6`zd;4ae_WK6wFDzlP
z?;3V}`z}0ZDsQ~mq`Z3*>^2^fIyu2&3G?l^D<310Zty)$?qD<5U&t;tC!qdSc-)Jc
zlnI%g$HcX77_CWtS7Y#HS7O-v8lx|FX1@6~>1X31-Ocaqi{fULR>Z!nn#i#0oxP}-
z&#PmVOs=2!1!5L51#(F;+?yjbFX`>^Z{OMGzH^$I%EkAl@_G3emh^X(%TK@gSDgN)
zdTA=>_7{H>xu(VKw|VzRm1E*b-p5>Delk6JIpO@99bTK;Pl`w^%<jHj@(y$}+aq_*
z_j{Y2W4^HdVQW)=@^;Jf&+luCroH_t#M~L9|F(AT+qF~gZ)KkS?vOiI{k%7?{UkX5
zt$iP*b<8H_{i|>#slRg=7Rn#}rCQ0bcEZ)82Yx2KUHbI*6Pf70`Y~_6#oH%qzg)WR
z?YCQ<sUO$BUeX+M=*^Ppw>HYm_N+Wn<#KnzwyHg`6DyCe-#vS_!)Kkhuk{(NKe4c>
zzh3(2=EXm6m;R}F(sV!U&9Z61MvWWFEg0Kw|15NxQn6I$<?CaQc;77ZZ%tbN>NP84
z(vL$iKNi{?pHiu3`Jrxhm+^x9AF-1wkG@>S_1ymL($q~st<C{LdnN`pF~+=HD*o;6
zw5m?Vi7kIOBt4u^HT!e(=9#>eua_yZL?|48`IB*yK%NpK@0JXiKHpdG8Q(6O{)AEU
z^}C1X4;b?^CY^ut?uTZ~1kU3Vs_QB~#7qr4I&qWry!Y?*zkgxA9{FbZ&$knN(!a92
zvz|FC{u|5bx6AKs@37yqb;Hm1AO0QbI6e0(TS@h{4ceE#F;{NiaQdsmx3Kq9w6ZrS
zm;HF~WX0k)=hkn3bARrNhigs*{d{xob?PSG_jfk#oPFs3SJCjeHxqa1yt%cNi*?Qi
zpHJ+?Z{AkE`tbRK^3C1<au&L8Vo=;(x$VtU?dpv$J~6!hs`B>}L(}J&4V+UZN2k8+
zUBBk-<=CHZuYdX`{PhrLO3d2@CbND%s^EHaX?4x}+sBh8oT=U<5HaOZT-k<iYmR&~
ziP1jt?MF=CCn*=99^MslHyC3Uxt(u}%C|c)JNwmnApx$#d4C_jzS^-yeE&@Gj+^q5
zGk)BCGj;m*uRJFge*gKZBj>B^Nye`Y+F6@6zH2^M*_nTK!sWUoCh@;7&-yd;hV3=|
zaqjgQ;U7m{#D7)z`Sx2J!;X7fD)gei$em>B?KyH!?W@*Lwwv3_s&Bvfz4c(HO18=&
z(K6KuGX*b9^ZgbxA%5fQKb;!ao~<yyTJdj2&jyy5#tdn8{hLx@3oSO^IGWxilfuM5
zVUFpZq?dEfJZbbYz5k8ThvS?2w?@~^-<fS+YJbS+Vfw1V#QkUD=3`7V&gmcfU~onx
ztufGk^Eb6GahbtiG(CSf^E{pTCHdU6-m013k}H-!S9>$->f<-7@-Kgn+xJD>?&s-G
zXX5u<JicMo+q0&tr?Ra(ldm8A-S#wB(wU~`C)eeCkqAF2DRyS{vzeD_zDvY!d%NJx
zn*$|FMMHCL{@(XpLO=TLt8HH;)`^Ja9=iWsVlS`jr#A;KO_iDa>1O=n?-IX*M6>JO
z99UO;_e<oP126R!H@1p|ui4lecuThS_cw`GQ&kUtl~}76$>}&z<nx{KpBuM+{w7h{
zrMv&h+k0<sKJ9HY`)(EernvB3Y2D4IHQyxWng(wABJovI?|u9?iRGrR-@HFibIShY
zH;L<-w)OUJ4}>4vaNy`FWyjL|ZTWL~nm;|%eDqb~^!JeTkN^I>IdDbq>#EmN`<AkL
z|9!iEk8<Y}5y^=rwSV3o_`+Fmf+=SIR^y6?o*&;HnEb^!H~XP)*xQ4r{?}WaOnJY}
zcn!;`lt8IB2cIU#F7OfWpRhb-^`^H6FX=W!ZvJlb_Tbdh?>3*{-M^Bve&1J#P45nV
zK5ek<<?+Zj2UnJTRi66hp!n?1oZm$CBL!BhaeEZ=?%?w3+ixcq3GV%_bv^&mw&0dI
zVeby<P5v(Y=Fs$2F=r2ky*adN^2!xDA3l8-idB0%&HCM;>Ac#T-W<Ag-EHd5nBPC&
z9D1pjDjKw<@agTZA9CLvTB?<*CiLde^4(KZm%TeQH9I1i(QIR(;(dYgisb)sU7lxW
z9PG%Pk+eem`kW6c6W{&az?5`h$@33dd)A!Z^zQi9Ra5M5zd64BU68HwzAqxHby}}R
zzCZpuSnb1~@TvQ++eBR6JgHIhhxVn9AOG!7-?DV?wl^R5e$zYol|wYDdwTF!liS~z
zL$^e;Uih&-=is$%Z$6fPahdw^#o14#JHK;$`=<T&8^^7$_H*}m+uncsx$or%mJL^1
z|L^end!(72Z-sHyr)I$&s<S&z*Sy{@H}T;&;V!{FZ?>vd7}X!Fc_Y#(61gJ#=!@yx
zNxL_&ZA{v$GJAunXmf+vqNIN-Y(K=DO|ouDKfZT`hJN0+;(wg8^lHAiR4&+bc5Qgp
zpT{e-AAKp^RrA^PgJ#UXiRIk?_QX72)RnZmrJrfS?tZov?tk|z-1Fi8s^xtX65qUx
z`td+2QTW8RjY}6PG4|HKd-wM3(e<gVZSRk*{r=|Lv=_%rPj+v~TK(==RPDa6nQPu1
zyL)Ws@^?q$Kk4btTvxe$z4iNb58igqsd?M?d&&LdTh;QX9!c3W^TN)r9h=^FT`TLj
z{W$h(%bK@`DrY=x{O=RH@xa$36+7R)J~+GL@SIl{wrX7c^u;ywlh&+BZT)W!?zN8p
z>X!cY;QGyP|A(i(J-qhm#s?eb9)EN3_OXe~-|fDCY=3h^jjLLJ+pG=A|J`35RbwiB
zy{2I6^p&CKI{NcJStq?edaZ8Dv~zEd-hTcySMUB8uG3t}TPAuxeV4D@-?ZX;?r&92
z+Zmkm-{!x0yY+wZ?>luJn>J4_sN6H<=+^yqpB5CqdHZedf_a;_o!<QB?bdZJT3hF6
z?LKx(W#bk7z6IY^w9dYH|Msut3Y)LI*4N&>efQ}>>+`QhzjvqX&e)lm;^F+}?b`V<
zA9EiYf62)@^vR@_G3MQy`(c~gbH13I{2lhiczgHC^K(q?jSP-`%q{z|HpSq=N7K*m
z-d>mfQW$9=GIinkuA^x$-kn`!@o0+g*_ox*|F*bUZ#rY?d-2SVZ4;~81U?lQf63RZ
z`?7kc@t53`-U{C73-+(wP|_6p|9jTK@|Z7~U*0(GOiudbe?p0g^Fvz2i?^Q_=T?3-
zKOxPe{3UhHCv$eqq~Du7PONC&<YD#g&AVOSzL?#q|2AR%=Wk{;VGSE>zL<qazuB6d
ze!+d8`1ZG3Jc4e1*?8)!S&`-K1N8@fTzeUQLv6#Wvo8W3?NT^D^KhNnK|AZWVr3hD
zJnp^o(fSzQrE{y8vX<R3-23KT?dc55w|<fD-~FC^*?wC8R~43i<K9Y+i+dE_zTM(c
z{QTX!xAi9{q-UP~@_y47^QG32GuXerzx6Gn^x79OtNbmUQ@@FAJ@-W|IC4Yo=5J9d
zEvMISTY0mi=jgWN`rGer{Y>sy==XU;+I;DSZ{FPU@nDu*vuD#?<%NRx7Hmtmj{c&M
z`aL^R_iWsoKilRkdpz^LXz&-0o8P2<mwkzN`fbVVzVA`0Kf409{Q7w4^SrfE$G=M6
zzqY;6&)n?vf(u{os~N>cuT9;wq4IOs<5SK2$qed0yf^e%FNywkvZZEX<hSo)M=YWi
zZb`46|26RR*QDa^tV@5ti|+rlwKrVun}PqA1oZ`W^<PDEzga{&ME?6C`by`kRju5Q
zXJKAHmdSh#j@f;JapV6T>T)c5Vm`0f#mpJ==k)<5MwX=IF{vLJ#NY4O!vDS{MxBB4
zY0Si{S8u$Y_T%_Mk@|bvrat5R7PM)h_`GknOP?;1|K8O3*Xqd+)(vl6nK?TmVwl+l
zS=c$GnE1t$PQ8+O@XdMim+9HwUtQmRnUlBuTl|+f+2vo8j=g&Jwf6GvZ&^0qYHx0h
zdAjX;gUPDw)fILd&engE*!i_&^2aBqzWA*1y!VCg*ZHTS)3?W7-xqUN`Pvu0T}6Kn
zNuKP=|H5}a{prTjU-;t6J%47Y9K1Pw{qk>HH*cG|KDO?5Tg|;MeCvWmgXevZ(oa=A
zIA#6Rs_d<Ac7H93w-MdeE41dL@y+e4R`1>V@9ox=@3;2}<$jCWc_``6S;3U8tMt2H
z{a0P|Y3h2f$gcurUn_P#IcWP);obMjH*a6O{aQAOsb7t6)3@l7Q=-$pL|tC4vcl%3
zOU~>51>ZLP+_R;iOz&%jFQ4|?rG?Som)_bIo9%sBobC0wmvLKH#YcW^*!Gq0`%=~U
zF<&b0zdbO`|MeWZTMf@=9{bL>*6bUv(QB`X{{O!5{gO=jWR*OjbLvL(GW8w5K7QrD
z7X39ePkZySdEY`$Pq=sEtHA1eU+Qb#Zdh@A#;RF8QmXH_Mm?R@Z>!umxn}SGjUG3)
zMz7uTO>pm9#!jBO9n;s&S#e`=<;N=w(dp;R_Dr;Xyfte7r?1M}z6tF2ed~GeyTHHL
z>rG+Xqj$%gJ$CJzpx*Six4sB$e>X*B!o=wBKcrXxJ=-BreeJuh(f7LaN3)Oq33&QV
z;C&FU_P=dWtBY2|-uoi(%lJ!N>X-19=bwHN*Nha?{~r2kipbuNx<|hVME}>6d+Yrr
ztoD>>@b;*B(0wys1)}4_E-p*<YB(ovcK?-2MDqXGJ-^%kY*ak|sX5|fs6@!8j>n%{
zPZY^kUr>l(N}3qO%apYGfK}y(=o5k;lFls77gFxB4vEo!QEs=fz*lwN|G@jxzNhG)
zWu5qMV%eiF(rb55aqapdz4c$Pp23q)<98br&bD#H`GkG-kp9MQzisO7Z;O4tmKa8S
zUA6U_<5Ht<+oQiZ&Wd7xeC~VYmTy~cesjDu?Mv;wS=S=YepN00R#*4uYtP%8pXxsL
z@UscW2>Zl{eyPgVWB3rWBF5+wE8Dpkt;#nYCzX$Xo4ol;P3ArBgEQZ3%!v5n_38UG
zn^)<lzU)-A`7*7OVJ+iNd0GE&vGcyooXPcl|DG8)n`2}bc24e<;&8h0a`83SJx-^!
zu6%L3H~+()&mY~=J|5`#bdh7<o6EZ-Kc3uwLe1ia^5c)^b}AWuzV3G+pGo+S;0C{A
z-#*Md{P4un>WIfND|sePQ$M-s@1K(=m#>vgHJb9}`GaIeqwfm6h7)GQ&bb@Z;aaQ0
z%gV%_eqrT=N#+MxJ(B<Deo>fzD}UpEzh@n8;{Ok<+raoBOKI|&-5aecPXBF9+NgW=
z&4NESRxEO5ju41tXl6-l`;pAB@WtPQ|9w|#Nw2kJDLc%~e^CB^gkvnj4GsUpN5f9C
zO=Pm)*?eDtCuSe(5{0By$qdsOB!qYvWH^s6?rx4^&^i$y!Li`6)`8gnkuhEeF6)<F
zWh(yp<jv6!)&*;tC4T((JE3%Z>cje{U(}z@Vv(4Z^R4G3OGD?^I=L?|-%mZ9@=bmD
zy}x|1_qI9T{MvO*Zsm+QNegG3+7@41_LuqTP0<vE9p6=58F<p}yxrZ|^K(PIyVSQe
z9bZ(YFj%j7^Ks6h)0_Vm=d_s5Ofy@o#K++Nd&1L->*D9P1zF$z-Z9PN$9Ltacfb6P
z{G$AG)0cgBe|}NP{HU3nv_|Yy-M1J&t%+qHRG%=hKi2=eUQb@xQ*swaw9@V(j^uB@
z{@r!m_<hGonQ15e^R8{_SXukM+mo|jCi3Rjkjm}v^1mg&&Hc8iT#3tj4p-pz_xUTO
zMc*-g|Nec-j;OjXe*gSM?oJZ=E5-iRf9|^{68&GlzS^DpVbi3gJVwk@uHOCifH!8t
z$Bl<$4u9Dg#+|#HG3Ha1Px|+bC)uUH`T1L)ob<oF`NntuiG`wHH@{Dg`SLBr<N1~b
z(bto1ePFy$FuN!<<;|O~-%fq@?=I@wv%R6{N79Cmo7XJZarno#&D+*aF|~{Rx@p^s
zFPk=RxZ&)=vBT`^#(np`Y&d;$otoE-^*j7--rQL8dHtj+rwF#Kw`{|tR{h_+<(7KN
z`Aza1B3tVFFRI_K`M6=y#?&wWXZp&1YWlSI<U~1+-4kDZOuD9afBKj2KVPr;67Xl+
zmkqD?PT6_w)5O|q@&4<+d|$nJM%B$P0lT$sT5S1tdD5i%F9BQie$Qo@`)$M9NepXS
zP0oH_UluuELihT=DRo;eoSM&D<;l_?V*YK<tXo?O^kesZnSbkqK-bO{;d?rt7BGH!
zvDtmgg4sV)jx0!DFe9@r@1OnsFY`_=nEd5Q*-72C9Us%LdTsyyeP&46rwE-D#&uVX
zKW@mM_q}H8wyzeGzs@+`c;x10vo&99Vv8brzCO<3_;O|Hw->8*8dimETW=}<Lo|7P
z)4a%ij-Mw!muAfVQgLX5-NKly3$pXSPCNarJbvm|x4oafm0y4UWp;J+*Gr#v6zsj5
z-f&m`=Z?CSE6;x|kAM5+`>!?M19=%^{_Q>>bW&r<_hrXlep{9{L;d4~GaJ}%IQP$#
z=#RMZ{_l?!o9-&|ow(ljx?|hN3vTxx@Wn{o_+W4GEJpjs>x~be9D86dZ<u{a_u7U9
z!Ww@rzezfO!i!xv=JoR}GCRMSoB!kElK;9PCil#z?;ES1|FE1;)XV;9Q-9c}EoZ-O
zY!gko5Oa0U-MiEOG2i~<v31Mi>8CE;I8mYh$M@>ae}b`pd~bi>b??ik^4<RgV*hyj
zzG}Dh^QSpG{s!IqC;fDvu&V#Jle_<WeB1sl*!s?)$JdUmR1nSnQu6ot(>I^K6km%i
zn*HR+OtFVK@n6q}t3CC;{r&v(XK5$DoDZM>^zO;;#rNkw`FV0>j`g)KCBM%9^-cX!
zyngqYxjt|H&18P|SEFwGUyp6yr)EVu&srgM^iEdFx01J;S`D85RonF4<mSZtN57m8
z*H+c9|5iL*?&+p4CD-QiYJd7t95&Uye$)4oYimVa-fW!`^zU179N)K_+owGLGyQ+s
zPTliYowBZ;Qj<FQD(l+!lDzy5xw?1HGoQvT_;!Bx=>w0t|Eu2qdj7ifR&MQ$SC4HM
zeD}iY`6A8;zb#Xj3&w0-QkeYus{NB2Tm22B<o3$-Wo|n8ZpDPs4~#J<UUV9m*ce!>
z`C5LEG3Mf?tIsa9AHR^-wJ|<f<x+P$>!lviI_9ope=fQ_TI^~ud4c~q+YO(d@>-uc
z{nx=XY5o3H2BsHm?rqv{ctz^ohnkcnN3X6lKgM$Q%7zQw8x~At;r+;8YIFJGzbh^?
zAO8$iVX$ZX%spdCOquwFz9TDjwf4oizTsw$ThRSyWsBPszIAU3j-|P&G5lY{^rbmA
z{mYl%xBg~+*}i3B=)E^@_5VI?)js*9IXYDB?(+C=U!H$mzUj-C(){V)r=&1{Y1#U&
z=;`X4`&n17ow|S9yLX}Qf@M$Cy?MKG{o4=Ckq-}AcrVxx{pD*?SID1k5&QaGtOm?U
z!N2llcAPltw);ll$ro`u*yInfpSbci$ufHf#~P6p`G;=45|_4SWKw?-^D4>y@Soy`
z4^*_Ch{Qiz@U&oC%#F)Mp`Hugu3_5X%VZXFWc3ZU5BX=g<Nj@1_WDW4*Qdut^E(CZ
zeVMrH^xv?QFB8}P&*^c#x$(yN|9oXXzdXP9uOanMw35^k_4g+q7>4~7eY@q$dSyw8
z6S-fG{+nv{H)yh?n99S{uRecgr2jit^Zk`KL+D@6pZ`?9ZT-HTQFqUbdunSm=YQP#
zcbet?ISDtvzOk}YHE3XpV|tLb`QxXRbx~^`WTyR;{PXaidZk|a#-E=mjx;Se+2~%q
zA?8Wahv23po|liV%6t$zQI<6G)0>S)D;`Fk|JrBp>__{XJ3H1FFg55L(2SXslzic9
z_m0i7A7UoN<Q_bp{&J_S_E+O4|0@&z1>XMpZyTq7&rjQn5B~ny;B+E9@?Mkuu}Lkj
zmj0M~w&$Z*3*+)nZ4op6Pf=wm-BCZ4rSxN@X-m?N@P|oLpB$UWSo-hTOs>C+JsD4j
zEnwR7AThl=)%+9t9>s?hv-i4g-MlYk8ppz%gYV*|Y-#3;@p$F%@yq{tk|zXWd=8jD
z<~||M^!r7CN0$u8{;EE=O`;5jGiCc9d<-v0y3+LO(?qWaKl@h&n^!-06Sm{!nwQI*
zZgXB#ve0>NW$=$<#odoTb01xFlAr&>YsRj`{1x{_<0c+>x0r+f@tSY<&T{`^&F0}d
zQ0H;@K*NOtO&i|6Fpy@E@0c(4A?8!e%_Vul;*Jd5mtxEqF0YyR;lDelMaL%Ir!OaV
z?Tg#`>CMmi2iAXn`*U96)FT3??M?}3KN7zAyTRmRGuH#gq?exNO~sR0k}k)*lob_Y
ze#mxkqFC&ImLCTV7++jCHdAAvw{!AjU5nWNe~wgg6n{Cy8^dxUmSF=&)5=OdX1180
zKR+kMHLj?4VSJ&%F{|uS;>0;CS2T(D#Qs0&&M4s`Y46Ul`w!CrF^-w*PRFcS7W2c9
zsXs>lPwfB3iliA#5}h5_gzo0X<fU9V$!M}DXGg33wH^0AfB4AV@q4BuhlDUw%!l1E
zOOih@_ceO^GDQ5_sp!HmJAq+-!-pi^*#8b3!9N&Z{A|C*=3{YSf+o`@205#k^yEGN
z?Qd-8l)Uz=$?N=2C4Q0pCuVdCHmO}ox!ZBX;VlQ#;|j(Di`O)kscQ&)ba-w3!jOw|
z<C%Yl_BC$awm-J2exX9x*8L~H?)Ki-uJ--w?x3^DeWh;v64~EA^MC%byC}a^Zl}%X
z<w6_T0)H0X4BYzQo$E@)Z`*cf{#0`O&bZw6-?Hf!%47Ge>`Pi1_UB6EvoDPEPqoVw
z#jX6X=jO}GzxTgw*?qb@;?AP&yURcQomW!!WxL+#|8rFj>Q*Ln{7;?4B7R2Z`?i16
zr@a0h-*ZE&O?>I;KRKU*IE!sW=e3A$e&GG+-LLs?_u20GJL&mkE6M*^>YBoDS>{IN
z${%I2{8RJxSJAW<alKL=>#{Ake|lZHU$#>5M#(|fiOR(<x76?Xpxu^q)NsB{_wIim
zwVRXwJ9Vn?CNn4<7I<<*;Ds2=jM<Tf6JOpwxI5;BqyC$@FZz_vT;Eiuu=9&<Tzk?=
zD^?W;4+*O+l1VXtzUY13=daN6N!Dav?J{|jKU?pHUu!cs^=HntrK$yc_D<aT<@x^K
zM?MKLe|;_=^C*EMd+`KD*~EwSj7i`1_P#$NP&)TZeBQsW&#k7KxP5)TU0eQzB;)@N
zJ2*cU7bkt16S-nV$>Z<3+pVW0Roi?@G&}N>|NB?n>bn*()fd;QH$@~ke^k(1GD)|K
zsrb(q-SXUB2Bz=7>V|rHr!ef_*P@X3CT8!4Eg!$O%Afty(ZRi4x%FS=r1zh7561qF
zo0*t4Lq>E@TI^RHbq>#a^*fXQeAj7CI?uqbuq!EqLDVxdy)&dV>E4p=jg1WTN({&I
z7*3zuuvsZ^_FnbkOE+0rzv;5aXm$U{`KlYP_M~HnprE#DMGou4iagzp6EC)WWno}t
zi@Cu4K#Zd<X6xOLH?7kD%(k3xA^E>;FN@X74U9=wJG>qw?N9RmaLWFEo6M0WhOH(0
zQt$t3{PyQn_o0|(iQ^%=Qf7B*R(x-8Kk<;k=!S3CLiX@ApLLV=q^8@sHuzhAd|ac}
z5NP*vidoRyOZK}G%Dy~pVOEYYc(p9{$I=ykt%d>zpG=tdMR#u2{4eu5?QZ<|qPyHr
z(BMPzzqj9X&wbl4O?d@}wf@&<@nv7qK7G+WHUES4)eZmow!}XEZOmVj9P#g6a)Y?+
z`meer>I#4Uf8)#j`t13p?`EerIf`{KReXE8bMwxmM-ybvZoO0Wd%?xtq?6*}b<EdW
zt-sZ6II<CS{=Wfb{{O}4*$qoebrwuBJCP8n!rhcK(LnyIZtO3Aj^t}6Rrh>(8naYJ
zVN#{RU$4E}zC10OS{R&={GaEWuBbvxZSuc2iYw9=nttq*ef>@M$Mx1+{U3AcuC~73
zyyZ^xew8Dq{!G3$*UR*Z=>2{FC+o-ZTGxHKbMHTo|EHBLf0mY&eYv^o30L5WOa5Gu
zb=Pukwgmi&Xn0+`V%a8!``@lT`>|p2$u~D{)vUF+`KMXy{{Q2j7%CTMcmEfhn)|0|
zE=T#Frl09wLigLpw+KZ3tKIfT@X{s@&sOe=i>aIU*KTWLU;gQ*i;_V6>#cuIa;>>q
zc<vKt*F#o0#{Cy|-JET@`DYS8V|KUsx9_35Yg+hI|L?Dk<h{0o`TW<=y0<NytN;At
ziMjdp{>i^Q{!_m`oLg7jS^dOolGEPE-nd3?e~C+fSa<Cg{4gnwN$^L$k;0O5*(;MD
zW#rj+<h2X!I&2@oA2aR4Ge*9o&oNEE6^;nUNY4noU-9lnRQsijDL;H?7EaE+pz!F%
zs~!9adP#3Ot!+EApDBEE-f=Tgurb<}CCT{3{`WCAEHhKCd^&dHfat$@X58{^V#1SR
zet5q8e24S%Nt4I_7G&R4So-(%hNRasCZ+8t-8jQU>fc8OF{U4?vzXaC_*ujyKGfVz
z`MJFDeLK^K=C6N#s8{S+sdxY1@_j8%-@kqfzTN7Yb-PVrTZ`+qe=E1%+?I0t)vpJ(
zksQ(=Gtd5e8GgG>{o9|HTfcgg=e_@ub92w!-8F4$xBtAk)v8hE_Siy9|IhP1t932b
zX#X+I{l}}S{m*pc+CQd2&-XUG-QKk0#LM0%b8enAJo?4IDtx*~=%<b0kNyZe{T=hw
zqwI_SYrDyB#r_CP|5yCC=;xIMOYi+T7XGyLdiR~xxBWfN+wh$@8`d&i{oCECire;t
zt1Xn+|Fv!Eza#4>pZBqmtZ8(*@_=>npNEr;J;Syg^zeHnRI&5k%a;>bxF5;IzWL&R
zt@f1cp+Cp2Ef)=``FC`#$%#)dd!65k)Tdv(bNr8Yb=<Ze)lXUb{~dX)B0Nj&L-tPR
zxW5ALrl{()7_Z&8x#Q}w*zf+?n>2ZU9rJv<Wx+8n9f_DT|2O{B{IcbnYU}URhs<`a
zNeyYSGFRp@?uiL*`rZFI;CjlUxL=Q-=&#<O>EB{?;8)D0n9v)S?cFx~_@e#oaiZ}-
z$u;Xfdnqx9E3vd?+-xaQT32vI=m+PCuQ8qtyA~XDYh6)u?uh@dtNNQ3uKONztL|sa
z=a#>9ib;<vq$L?+8v8eh$No>c`INhTcZbD<J4`(d87j>3A7*vmnc7fn)5s#QCMkZ!
z8<zbIzt~h`W;q%)GbR06@$X4IgZV+%6JK(cHwvt}xu5B+(1wtplYjj-B;0g5VY=z`
zhOAAiX8dfP$FSMv8FSer)8Zpa(e2uN$&CM3JY10UuOwkj%b%#J*R}~O{$)+x+;!lh
z`TvIB>DwRJR)2IZ`zv{>VYQvw(^vmne@|z1e8#csukcD{#@#2jo@8d9`(fU%f2BH2
zhwEB@zhCnqNUcMyjd{n0pC8ZvpW@>4CFtrZqqjMg|0G`ed{r&`EAi@yl))2wH9@|&
z$~zkQSFhgoe=pn1JqH7CehYkW{gn6eAMxc{Pem2C{oC)yyZh$1z_s3@rIj-sPbjJ-
zfBWR8qtu)IIp$+#_g7BSn{z^TE^1X~wV$P;9Is+jrde2j^Wz%Emy?xN#P&b5xv>21
znon#e=PWq5Qd-rN)m!Z=OLyEK@pU4+cQs8}r)zy>nLe*wDT+_|_aCvHelG=2t;yK_
zFFXE}Z1|t+;q!TvCs`i&5_omp?AW&7Ur+xM>p$54v0-V|rxVM6-Z&Zj@ZgLrvCl>)
zoh}D`+j%W{;*!Kq4U!+5)i(TZQm;P36|k0RK~LeAM{oZKH>k`1|M_dfuX}$sT-(V1
zBbM*&?iQivH!{pO#yByyzRf=*_%7z-gPy8Coaa<5Us`MbQM$X-R%6c}#V_;qyf%G{
z^nO0aa{Bjc>*LM-><$ax5&N-~x8kPW!VueSe@{POX|rw3*S{uVkuPj+Zr$7<aN<tY
z&Qp)ubZ-9MaXhT!|H+3z?flA&+NWFfDqFa`7#zbs{oTUq*ruJ@-OR<FyRB8b=G&f>
zjr(egH(ivwG5fIVuTLimvpS3R8JPT3>WC3y5k7tJ+~*mW4EcY&|JLL)v9za&xs~I=
z#dw7uNw*v1*EiN4{?Op~f#Ypd+`Kl&+}}G-@pv~_tb0*<ZEZWp-v7J&d18P5+4b$$
z=FA!``+eJ`e_wseGO<zip-AJ*>n>cf6OUf`c8dFB3(qy_sgXtA4K^QVZPL8;wN@~s
zAz;Vv#&5~DPPHFuSes~ipynV`%!MUdpSUv{n)D4AlU}@h_U*xuME-{6@C447AHRBX
z7TWAN`GVnaT*H?SoJYC*zO`|h{n*Sm={IkT`VTt;fw&6|QzkQ~cCPuq=O|n9hn%yG
zF|TiYay<Vrf7X%^-%h@^2CYj!&LlFuNc3&(d&7KhcT%Ix#{V&&;ueG(H~4<LeMym*
z>3tvLfx>q?*#944t$#FQh2=xGI)fkaJ^#KN?Az1P$shCluhPZEvg><<+L9R$s{Bpq
z<ln_*S`m>X+dIMbM)Lol8`~b29yoSG?8oMgl^q+^ZtVYWA>C12|D*lbtcF=N5ii-!
z&uZWBrh(}Y(~737x-KqvPPwubQJ*(2R#xD6{K$Xe-Um!cpBo;XKlw=S-o*1C8y!A=
z-0`fLDdwQnn$EdgcYbnNJiPC3J#!D+4#lJsv2R+v<F5TLuDcet>->|M23<^ldlon~
zY`(s6Li@Zw=Jh=nn9uSbWK3$%OrO|k|EX|tKu4vviVV{lPNPpOQ&JjLD?d5;W<?*F
zdMd$X;Wc#!rS+ZD9yf9ucc|WLSnewMIwiu%=69#8_08+&Zieps)77YJJ82qApukR!
zf+v%XoQU0X;i?jY@ja#!ACoy=XxiUc60^Tyu4w(seSfrf8nMUzulT*9T=HaLk`#lH
z#e+}gm69?)<#+{?|NO6-$j$yf=Gx&C4WbPf-XGwI+1)8{EU7hg{l^_G!h1eCf9}32
zU&P(ie=?C_V@%8oW_w1)ov{pEvH!!Wf4qPEZ)aBPO36zflK(flvDqYXYw!5iHbFh9
zG2r|Gkv^%HdtT{3dhlCze^2G3OTx0N`}>oB=O!~<I43K=COWB4<iu5e0VNB$6LTvB
zW8NN8FT8lfMzLX|&HIK6{~G-x)^ylMyx)+;&J^=WPr#?)Y{i49M5YO^%|9|TzwOXB
zSn_4Y8}%05E5{Bj{+eocbHRa!$rpG(e)8;Ya24JC(s<*}3pcnI|75to(tXXWsAo+*
zwKXd|KM7bhb*A^Q|3B4YQuOUlh}HV8N_9blja7z89qY7HnmH;j?Y94aqWD29!--z|
z_cwmrcxmFg(n5u)Y6gdMrN!|T&YKUuIu_HCzv@k^?anQHyf1wIRwRE-ch{)8_R=MJ
zcenW0Jq@~%v$D@0OsO)G`0(k8ThBJj@M*1<oBm9?_Oo%1ZKPn_1ub`mpJHv+k32Zu
z{r{FR{U*l)pNE1EeA=bry52l=|Iw<m`(sS^gO6#S%S6|BCwD5$QaEJOVm1BhqU-1W
z>E5nxx3G%;bIJSV4ULLvE#~JQ*d{W@oY<RU)!U-}-rkaF>A?$}0`C<B8DpydN522l
zuzp>Ouz1WY1?Mk3_LB>eSy`;#blm7?=6us4e7o=QsiYp6|G#-IOk#Nx)BUnTiR0Az
zn*ujl%}*RtxcgD9u12HP?30zj$GzN#Cpvf>VPI}G*VjpMYc*fP)G+79M8iEjvR<i8
z=7~%PtBy`!IVO3u%zIj!`Kr2`ndg7CPBgjoQ+m&i-)RTL<WDjS*m$;bOxyYRzRil=
zpI8;TWwqZdzQAyZao3hvB|#fv*6!TWmLzkVOE}`}!TAj;KaV_QK5*n?L&Lld?)!>`
ztR;e*VorO^{Al0N_lEJ)Tg%3`28^-)UVZrRiBWh)qclT8L+rn2u^n=?9oB5CFE*rn
zRb*$9i<{eUp4T_YPV>hvr5_ACA}6G*?vdZl<nzSy$Acrrb8gziG@6EeojUu;iMGca
zGg3_BSU<FHeDS3J!p-oAzik@wat9A=%HQ)WwNbN`wasWbXEw{~wMj~T2^VBK+9oo0
zZ>{pMcrzi!wle5fg=r<D<OfanpatieuOHy9-;|I)%i$zf->aL|_g<WHY1}K%v!JPV
z`|g;wfLlMZf3-|z^j!4HmqS$S;EsRaXA~+gcF3CKShKIHhM_#7ry={#&m3jO%D6)f
zdF`ylYyP}`p~f)%+S7)Yy|NAEt<yw!BJ`Se_v$w6u6Wf@6LI;#hVye@CU^FH-P5i$
zwbknRu1QJ@Cp^|^v7Y{QO8LLIiVw^FvYr%sc=c`7$rIl`gq>)RJEC3pyGM5WKIIsr
zwdY%`Y?4~7re5Hb^>4MBKjV_lrLrW4BeUjKZcSYfcFZOH{g){vaji^N|EBbDC-BES
z{4d@c(<U<Y$)v6p&F6ZRzux~}S-E<TWl31OR-I-*55INftP^t{E#2FqdFAF!J$EJ9
zX|2{;ox*b?5@w6fKKC#0c7IaWpS9EXbnNP0@o3H0eZ_Sx3Vtgz!rHArHKd;46uHN)
zf30JeD>Hvtuc4~;n+s3)xa<#^AAjR<@~2pvY4Ayf^l$s@j(1K-`(OFv(fO6Hf9|`k
z?8zu>|7+zZ&5J9T<(Vc%a5H^q=-pGF@^ev(j`m5;*&CGptUO)$W83DNHcd%?Go<I7
z`12>O_Rpt%k2`#18#4@yVj0>QzU;X#k+wKZ`A>#-`bo{svwIFkM((`)fidat)e{FT
zTP%W4o^bzou6omkeJw)IzT7e2)Wi7a%YOe9A5NaH`{k9m4%qP>aJnb{jBitm;gp4n
zclUJm9E<&Ww`yWv(%fAv$8V|StN0~57RadRCo{fg<@-IO=jO~quDT^gQYMomRd^U{
zGx{D+)ty)nEAjqI!0S%a<o%D9ribk~oOAoby-x=>?ub<UUoTL4z#_&o_J8`v`}#sF
zYO><1Zx%%C@T}Pt&s22tX)MFi-<?6pQ|3%AN-k78alGLBp+k9_6pMBy&Awq|&RETr
zv?}Ik%<2_3m5)MgZvNRMz}AqhbijZ2f~XH3hs+~8*UK+4jp0zPvH8Jta+=_w62@;a
z4JEuuFWygVd3M0{#)`(Z?v*J_7vBC4PWrifLP_$q>8wmKO$(U({bE)#SbC&#7fbj~
zU`qNfaPrYKmm*W98&kd=cy~BPv%~IH;h`JrzBQKjGB+rfD_*SFb4uc>%)Vc@*YM2x
zBGI_u|4MO-gB98{cjw5q*iHXGWqbPDMyvCuwsHOYKY`^K<A(>;Vh^Lu{_SY*W8Aez
zc7ax_Woy!-mmLoGZI;+t{!aY#|KYh~4BMMq`}q${ID6#vwwB2CMgP9sY;6<b+Rx}0
z)4(fsDX#TdI}4j&g}{y5%8bmKmcPEARsHts$36w-82O5mzZaHGyx#CdcuI$Q#qCQS
zfu)}xbX6>HJt7##*!1Vuhg%)V`8rxpu54gTI@9f!B@rXtu>Qx2n8XV=6Qd6p7&n}?
zh{^r)<oV1kZPmA1XCITA%JJ*z|J846TW9DVQ2hO1{*8tMds-SjUuH;0ePZ1HV8;n=
z)<w)WPcr2kIsLmW_;zcFl+?bMGr?<HL+);pGCAt`ZKL#pET>mo8~2?l+S?YM`}h6%
zGyU7zBldo8Exo=*vT?@Eme?mBIp(dHy5@rT298@s^X6F1T)XMd$Ii!<pBT;_d6M?F
zc2mo;P`eZ6HA!ME)pjTAtN$IpdCaqW{lA;jKOJ{7mzokI&Jx)&>Fw>7)z|Lr&;D)n
zR=@Jw(dX+wB(d~bR-Y8feEMN>d(w9O$zM}ilJ@>maa^k6oqluspHpl9PvOz;ymfwm
zc3r&M-@gBQvaT){3%+ryHFnnR_OQQucHOzzl9(=hW$ztRR{OiTwtjyW_}lxPX7T<R
z+0{Df{r3rR{Vd&^+9rg0tL>JV{qxVs)u&s9CbuPRJ=a=1`OnGi+E3-C|2er{%avFA
zo!H?&{8PT4`*&0QW9x*eUv|Y#6Wsat<X-KcMH8p8*!DLrz9aK})uvwIC;v{a4HjKp
z_w~rmNw3xAB-b=%M|?ZFG??|{wYfa1+3nYl{p|dD#D1&I-A|p86@O2hZhts`X7ADO
zN34%0Z*b6`)KR80y_4JY9>b?26B|-ndi3|N%>7W&8gCxEx?5SDTa6+1zgvt+%%+&S
zxVfC_4`TlZ$DFwFDp}}&_{NDA`VUlM879u+sz1@xu6IL6in+ceFz&^Xl#Z~C<+8sw
zmftwI;lZS&{I3V6)>~GrI=ua4hgqG(QIE*YnXZ$kn@#3dKjZ6}aI@9t)zQL3eR5Bm
z)4#22G_z?*sxQ4c!$4f@^ADTX6ADgVh<eTR;m)mN(mMM)@;3-~?8^JM(&2Mcn#GNW
zn+_z{-8{-=Vm)K~0j4C8q;s#HR8A1KO<p1RQtrm{30dNCJcl@wA5N&f`omyGp6&cg
zn*!|DyqKl*pyAu88ELFXVsy?W&6F@Y7|{}2H=+3WU$f+i3+~#q=G4ypxcKjy7TdS&
zIXh2=KRDi!b2zER?%uSP-0y!krM24S-wSK?z13<R|Fk8y@+nLHziIl~M~%0?T({@%
zme5CK*MytWj}%`JJ|My;_Pi;-#XP@{<Ao1919QZ;8;tFGFE`7s?s)GY9J52MW^>Y9
zZoNHwCpcEL6vnZ<wQh7;-&vdfu)61f`lsAE6A!c)@3n0$-pUZI(|X!$)}K_C=w?IT
z1D}t~+#LVE(O}lUPuthF82p{rUgD?m;9HyFngb<C6MVua#5`u0`O&iVVT+{s?1f7k
zb<Fb{b;EYHO>VI2lG{9UQr-m4q}eQoC!YWMv88azgy|A|2M+Q#6vpH?sP;8%VO&sc
z`j5F;bwbij4(68E@!MWH9TIP7-S_k0R}0fF<4+S9=Fd#c|F&RZ%AW3n`QqL!`3A|1
zx?LV}3uo3hswQ!SMow`1pCFqg)?-ont)U~^t#czgbJ$^dh1mZE4FBb4L?-WH*l;2*
zX=j@4wa*O~dJE^V#(!>-ITYKV#6PRLQOfM3{Oe8)=9ZYw>WRJAe%un|(29x3NpkG8
z5VdX;)?w@rvU|u<mv_+kK~hR`g9v+2%suHDIqk0+F5GSAkGVW+$&I%wMUHUrF#q`6
zcTmc{?8uL=9Y<&FQ=gF+s`zroi5uHvQfK7JeqfC`nsl&x-W@MrmVcW%7tU49I$W3Z
zeU{LFfr}jb0@p7-+R5-oz+$<~WPhfb7{dm=PaI(@j1HQ`Oll15o)-0YqNG%7#q$27
ziIeXN^OPl>E1Oo_Qu+9x-}$z>XP<5tw){NTUHRbf<3^V2M_BC+O$y)mxg&x}GwGK7
zgwu76a?Ro~6)f5*Y?dD$ZQgV4c;tjb?>EkT&63_Qt<+|v7tj2zWlTRgqG#-DukBj1
zdE(l<YZL0vzIvGb=GEl;-4atDM8)(ss>)kRNKR_1Tou`{^Ye_V{JMhyZw>@1Bs~?_
zZ@t3*+l=b-4`q)tPiXx&k<lYSak|CHNvr#;C!BmM@c0Qs(S&~y&dc=Iw2M#ccep=U
zfp^0m(Vr5U^Ow3Z3F*xf`YGY{L;cT$S=@}TcWgW<e5r}osbj_ePZFUgXU(3_R@xlP
zFjJy<&%v~akHyJM3z_8KPiS^yVwiYs;^TIv35x%pFtJbi6~S~OQD&yuu8kWUnKtzI
zHy&%B&#1fR!S<7k+&`IbyqbG_uKMn$?P2eA+Nvh^Nm}$c{{OApR<eJ__KyumBaiZL
z-XL^Bp|+_wc|WJD_M0dF_SR>fZZAxob8NntM(V=<{f^VybC({A>3EsYKkI1u6OGtj
z$NyRSN0a7v1poiomi@u2$FctH(ZuC*78x`g3ZJO)w?*jr_O`4m;b9GZA6o;0#M(3K
z7#n8kv}SI-s1ToGY10<4_Lp}T&#|2nJEbC8GJk#WPwEQS+QbmknlUF!bj?H?X~x$b
zVoI6W>pQ~Ln0rpQWk`0kd4tHQZYR2@v#>_DWqfM&o8Iu}ZX(MB`&NktU-L<SIJg<O
zFC5uCcV5-B)(oathBGnKSXwgfb@2W>$<p7F{)s*IzvIt>$ksHjq}{h$(k50`t~y|U
z-)6Sfzb*#<392$&C-|(~Cm0!UbUs<w5Ol(A&IOJOmrI{8#Q$ZPd%h*5?7n!6^^Z6E
zT2kITnwi#;y83f}w)XVal(nZCI-hS0D14b`)|N8Aq3ckgykg?9q=gUS>)UKM-3e(+
z39akNUV6VhA<Xi}@qfu(lRwY#soLY)Sv<QxJHGOMOTzQM?C`kP<u_tp&3t$CZ%D<A
ztw$Gb&s+0vuA_HYUry@n*0@lWEf>RtEae{>|5`s|0sE0yhW8!K$wzkEG)HZ^;Wa^}
ztt;t+OzDA&ScXY6x?fpL-1qq7mIK`KuRGR$TsJ}V7;{U!k^7ODNTJD$6CPC0NjdO<
zS+9a=#wYpz4P2EA1kXPBqSaI+!4xCPV3zPMN$Z1(Y}md9!jDBhXqx|@*mQi`31MeR
zhO{@Y@5Z<N7icKm+!}MXZpOY<8^U+250JdOe_u=B>6!QPH$5_KQSVhuTBvRM@ntbf
zyZXt8(M@W{)EXB*Z_<7Ir%hG)Bb#ssPm;8N*_DI({>7innpNdDamnjXu$sip_+Tyv
z?}ztEjVgt+S|<K%NuDRrP<H;IYX1an`T5P>jI1dfzHNNfx7%}7Z`9d^HKzQ$(big-
zEZGwL`DXM)CJBa_dmjonr2Y8OFl+M1%pR57Z5j9WwS?3(z4~@=|DS{Ve?B>}tv#Yt
zi7E7fK+Pw?57Qs2q@9U6(s66z-Q_ob`JO*kckA2Hc{yz%|7)u|#Ll)9M0<bmEwo*8
zp+oEDAH%gQmG!azU5_N0G@J=rBep-{LgnU_YL8$3HGjN|ZNavWGtOw)us21;U2OWZ
zR?P24lFARGv;DchCN%vK-}oVMQ)h1No+myZxjR2ve`^TnXJ5enJ(+O=qv$02Y4sIf
z8p>=tuE)d`Jp0i$FXG&bFxE*)+j5>ZtZ9o6x%zK`=;k)<w28|;_GRmR{n;9R`mdh#
z)OEtOl}CBCrS{wPE!q|SkZ;1IHx0TGcU$t~q}y~V_*dzPr%zlmXV=MgHLK_Ms`iHS
z?hcV->5p!WKe@;>zi98yEBbPsSE`Q`-MzQ6c5~~u$*uA8@3)1WUZdQe5OVB6UrVOn
zAJx|Qnrl1E1NruqwIsaS$~wI@ettZk^6le=zbEakZ3&sWX3n>3^_%pXI(?t_WS8#p
zm#MCtDEhHCTkm>ZL&AsHf0KN)HU%7CEv(=6Z04;GYM=YF^{%V?$z;!*6*u?u%1tfq
z-|OZ%=vTM89}PO@=XX48!_&xix7%%@x39Ii-D+_Ny4m9XdreztZkzjh-Bw$5o9Xqf
zF4JdR>}OoyC~wBtX;a<mx+ms<&yPm|8y}|5ThJL=xTD%e<WRU<mxRHMO$FsY)C4(R
zUWrk<ZSX?Z>*u)-JNkF7eY7#+Y>)N!wutoVR`<Qfs;AyNn8?N0ed}^d>GnTuo^#vW
z((Bti(|4Wtvx2kho6(<z=Vl+PpIf%WuI^su#-FDn+B~&4a!oYtSa!3)GnlV4ZovfJ
zm>16vI346YzQA>zL_=kDn^)T*!4nM9CyqB1znqp;#L@X@s$3)2{$+eQ2P|9-J=P?(
zdEe}MEn3N3+L<dm|6$Y9^`g5Q#c%$sd~?tzqLIUw^RZl0n^;n##yyi?4<f!#$axso
zptF#zHG0p{iYxzGe9m>g|NkH+puZ?K>EVIjh95p(Yfwz)pYd086DL!9qgTa)-t#*?
zOEgKzw{Y(1ZrIymb@k5-hxy)<pD_IRWoVn-=<oK!t2_Ezi@z6V2VcWVsid$MO;r`|
zn|zf8I>PR;A2NQxm?Yh3{r3n%f%*)w?0em3_MGrN(Y0#R&aTHr=Ua3hHA;MJ%?<k3
zpe$iHL4N<mjvI4~_wKzhNqM5iBz>;<iAI;5j?Vi3tCQjB#+jFEn>%B!I`Yryv^b$~
z{^$S553BafG?~|ECf=EJNzjGyz+T&Xj4LY6Hrw;<UB6(pI@8+S3*;U$#r(eiX64o{
zCdD_6rb>*O`i%;4ozWHU2Lug&JigZ?8!>;uF4+#}r0D|l3r;w;%5C^-{(EW4;T;`f
z$t}s*6^t=nCnW#O@SPXI6A{p;C(KcG>!-5nuMnFKgGmu;jEfH5md)Q8x<`D${RtNs
zKQ_0XozU~UqOs$1<5hPyCedpfQ<53iiElpM5nOh0N8z*+pJoc4o%N70=2-2~2g~Zp
zT8q6Tq{Z6PK9w=-{K;`*_O2GE`b}(dE9J76tYyw*Vf}G@hvH-={h53JeAu4InADp0
z@Z<{p`X`)uFP_WKKDndu``NZUb*2qU4GS#ZaQ)a6#d&>`x3o<C&dqU+-pPtnbbm12
zI(dA8O5cK%jSIW-{xGiE%(aaF#Ma-BJMtzxIlE%(`VA~AvIPHdS-#(Y<8b9k-ao%x
zlN+MWzX*TakYzi+<?>03*2H2iofA7gRV-;udGjN1^UcE9Q$jb--Phm3UoLaeFUjoa
zsb}>^7TjA<)6d)#b#{joSMs0#=?51S%$$%L*KpK1=Ai(S*u=*_m}0b>k~;3JP*Q73
z?S0jhc78U`jSG4Go)@b&{*3Bo)@=!FV~S}`=?!1G=KJS^chs3G|KIB7U_SPr|96XD
z>9rXN?gAZRr_BEMS4?V4ocb`Cp?S4({F@mO{JS>_9a_|pW_j}0>P1T<CM-0K6X?*Y
zIl1K80@ukrJ|`8<wiKR=Y`8DG|4!?i1M#NwU(Aq@dDBzL<#qVtkJ$FS?xvdfH7{PP
zF}>Gsi~HT0sh8cl_TEzc&ZG$!>TNnp-t^A)m-jk6q3?fFP#;raO+!vtOWe6*U2**}
zoo(6rVaYcaD(+>vt-WSb-Qv0KBD2aiMs9A;F8;Pe@aLNr@1k!<Bkkhb)6<s<O`pca
zIA#6y+pQkf$CLK7ot|VMr4iO7@qMyJTo=1-q()lPl%rktZVF0uVQmlIw0T^*y-?j<
z!|TnQJ$r84U9f!bpQ7?>M_d27YCM_CHq%>pr;7NulhuEYx5RSlnkUVg%5UG(fA#0N
z6}x`lY|2cWz4F=p8-<@ftvTHKf$3<=W(#$NWQKzl6`zh+)EsU3e62O}-yYQY{{<-X
z|9^|V?UmTQX5)$<Jw4wZ>iy{ZFiGV8N~zGql0)A<|J41t>FoK<9C~3#>OQaDSM?-A
zGx>qy`H7v!zqS-w|2*3AbIqZ+$2&95{+{z_P0-ghJrn%@ep30^c78LT{k#fp`xGwa
zpEqo0PrMwP^MifC2HWmm*I!(SxVzwJ{~E2}J?kyJ5|b(yUjLesFky{F{VQ*swKtEn
z?%ek@^X-oBqMi=lvsW;%>Lj&iiSs2NTHKoH-^m`q7}Juu`sSxst4>ricQs`m-mr>O
z-|mHNeQU;^cXxeHFsy&Lho61_D(=YU%x0~a`(6FtKfiYW5Yd!*e8+@m{Y=+Yoc+D8
zd$;9;%}dP}V{XpbJtOWg(}LftH*#!H-(avpNbYl3%#`A9OEjV*o4t;<<rO{22?}q`
zI)7r-ypFPuZCPg4Kb?O5DfH)_8WH=y<HzAxhK@J=4AOsEGIfKNzhAQWMr-Eg&IxvQ
z4SUs3rEqmzOKQzL;Z&8kXz!t<#tiA;n@pK48MjYv*vQy1qbh<qsWC%&I#cKV6>&+k
z9?Gv?F(Ylm`?D<>^Ezz)|9JSU;LS>h+3lY9PqpS=`(D{JnU^`n`e<9^j(|kQBW=sK
zUvND<Z_$xoKksZ^pIzU=^R%bG{+++Z;<CNBKQ7leH{U@2Pb<&O<r>TVX8zld{GaPs
zd-7U~@3)S&pSmlwdC3WtSwGKcO#CZ+;z5%Ak@mYMPaIjIq5r+}Lc$zgYc2aD?N>dy
z{Ub%g9xr#fp~B)7{B1_B3k$m#3xC<M_Nv|YuB$R+R=4fBeWc}O3QKci$oDBvYQ$!r
zYjM#^Jr<|GC#idh-J^!qziZ}LPKeo>e#$L8BI(Z6{QS1$sVlN;-z<>}o7lmf(4MNh
z$%9qAE%kZFJ^#e*lLFp9IMew#=V|l4*7P8@_a9=qlV+4BuL+7h;mycBqr7{E>(QCz
z-3Ph*`5K)>U$1+ayfF1>OI+~Jo+Z<x7RcOdFW&aBtnYVO`JQH@<3^2PvsX37Pd@3^
zqwuG_c<<4==}ECYOXHP#8NdDRS?c7=$)vI~S54qY+^Z=}w`ctCYnv!;KlRhiw#3!b
zckJCM+pm5@Y|V_e{_G1Z1)|H6{|l9+{JS4<tn$X$)<kQuW4j#kZ~pE3`H|z3M#Z<L
zDB&BfNgoVj4ks_x)Z3KN(j;=?f#{{4Sy@x|EGT7+;g0<u{xhTNfV%5Jl}D_~zYl1L
zpM3b5h5tckTT;{`ryoC8eC1_|*_80WuklXagU?AUu@l|HD|YYL@}vKd_W6d{>pL>u
z|5>p*_OaNCjbAJF?$~vMb;b4enN6|17az2npEwwEamo6XH}?H#OaHXvy~n3_#tT0w
ztzP=gDuu;tYhy}3V~hRPpD`PI%<nNao=W)`@Ja6>(}~{`A7{4M`M$6{BBJ#DN~^=X
z1MAH5JGoSDvGH@Z{9%fDuu-XK{kPB4RRv%8Bz(Mc_1J;)H<y~P|FeNd-f(wA@I+q4
z$~AHSXU||db3^~fzFwvUvHw+muGVN4SeDGN(zY$;q`+c^4^2O2SIOOAIiME7{g`3n
zqN?<!X~$0(MKpxFb4c9#FgrPEs+}uiUszl5x7Myr57*1;Uo5D5(7b2)@~1}^E#21I
zc{xS8;?7LL`V;Rs-8QV_lZ~u+bK!=tLE1$B)}XLsOTQgHb(p_lb@G;q{cD%l7FD;j
zSyncrbsV+LUSRocq2ruJvCeJne-9{x@7b_t-iqY##J|lA$4`8y-}7QcGr!#LhSG|O
z>y9=)s8|?ee~oj+Tz1BovZ$82Q*Di#TI%L*U+bT@eX&#MpVo$uNu1$bHiBDp&Lma0
zW!-G8)SlM5a&K#5aaddB&j{%W#pl~=&c(ImZELBy9M_VkmA+HILzmSjNx!9bdt2Vz
zy+_YSyba!X(3XGw?xQMOFL1eYM426${m5G)@%a(k>8Sy2Yh2H^*7$|B6>e&)nY=FS
z?(enj4O?1^r{6zv$*X!v!1CQ|_T7%$DZ6o5!1?=a8{Q<fmdt&7Atb#g?#;&k5v|$J
zx3|?O^SNj5eEF|u_0w0A<Yw>O|9#JrfJ?EbRQI=+c&}CET)Q+Nc>WExH>aQfxccbq
z&!dn2E}i;jiM!H8^-i$>MwQ2H^QZplnS1`@lVewt8r+WWSTbL1(x1i|hEEsG`Bb@i
zPEu9$M8A(mW)#)6W_vPC?J})-&eETy-&&f<)^C4gZ><-vwq(t{B>^kFc(rdH+xKz`
z@9z80uiC9&k)`~wDtk|0WJ}r0b#EtRe~4WZW}h7UzrAeft<N*7l5ErdZ%i%U)4MWy
z?ir(#EoJ^{$KUVoS-HKfe11wx(m9))1z*jU9(%np=+Tko@ArRinOIZ)wk<N>zipy_
z_>F1bCaynvpuej%V!KYur1wWzZMBcLf17z#r)|>S7Onk%=iJ-WGU@qLmddus{Wk5B
z=YE*9<`~<yX1!M7L-uD|1Sd62JHGSwv&Ckj`K=L>pZwU^J_UYF+I0KHiH6A?FU}ob
zU|CzS<A0NWep~79!xJvD+`YFjW6#RT^BNZ&ZCrb!?Zd>Mb}e?F8|`MbPksKaH7b15
z>tz#T=1uex4}9P-gQa+0%Z%$hv$OuL^KYA`$~F6u$Ad$RI~QGd`Jv$dLuJq71xtSZ
zJpSfGQRE`0qjUb<JS2FwB|1*5rL4Y@^V-JwM<*UloS8JY_Nq-|$h?-xLJYBdk{^~#
zNY`($`nJ-y-SmZ>PGe?-SWKJa+c}?>^(xEn-x#0X)_Zc5-|rJ2{x(L<{>8HAVC06s
zjrQ|@2)#L+S$J%gblECh-<e&XSeSQA>RNEt{2`-M?EepIU4J-SyTtIPA>ss+UUQ)P
z2@a8O4Y4<34&`p{Tdr5uWc#r#c6!IMBTVM)jd5}@+6(sx<it2K$NH#ubP8tAK4JJ{
z*_s=tn`2TKllIJreYQg`R6=rwnf1m+YfKNMPrS@DuO;48Eavd*`j)t#O?)34;?h=#
zDjr?p|N5e4#L~3f`nH&TEyt2XH=HexU~Gvy%oNiSJD=-Jg=s_0(FU&nmfg&eAFlt|
zk$S#uY2+c>$F+MTug;sk;P8K)j;J583>QKflCCCQh-GMNXb4^;^?L(T%!<d=VvAU=
z&3*7b@#&8JcWs(p*{$%-ZaI0ot>WZ@&+ii$lNyilZjJdS^+MD_=8IXG)DEWOC-}Oi
zo|<lvaQB)dXHY|*q~P93OOrb$pR<`P@NuQn(bn2x$*x<wcce-*>{OoE%p98CytIf#
zYQnWWOK%!hY?ZckVqq3fI?fS!?~_Y$$JK8KH{Eb;nErWX!^bVB&fYj8r<^2kU_#Qi
zq!LE&M#G~&-}P^p9saQIhyMA7imnx(u5RAYs1^2VS5L=}72Nh^Nk=x_NIJUd=E29(
zjH?^so;TLpnlYZL>kv(Ps8Igtz!cH4hYbr3FveIU{Zz4+>v$y-!~NkFfBuG-Yd&pw
zmB~|kx}|37#J`3wzJ@jD%e*j7{>HH5e?by=N7T2*!)qEcDjM=PHZn`y{+6_{{~=R{
z<i|$l`OVkQf9Tb_;T5~P+<bn+y5=fZL6@G0DTfbUFyADgv+Lxyisd;^b=xX*IlC$u
zrrqJ&yhl6Fa>DxlhB8fqH%|>GFA2H6t+lk|-`w`KpIdW_B3lam6!!hwwB+nQt^cY<
zO#kP%Z)-~o)yr;JGp{{A!ehfa+n-FS{Th39+w<<qviP^;=C)?PKi``BIbJog<X`M#
zA>o{JjQ%aT=KkEP%o)$O=6Ew!7pQ-lxVkmx%BO;;_MABdbv7+Isui{C_qjekwBTrK
z&b_jOb$bpisF>j>>))F5{O1Mv{X5hj9h&K0ynNHdo|f3?BRN%CYaa;aA2DX+jA_Zf
zl-inoz9lPsO;Tg_M5ii~)~w#DTY?Wy9GP>zIU~Ix>->T#yDe}0c-;`U;bVs%pW)Aj
z1<kR?|6iz|+miWyxBj)5BaxXu4>+`Ety(i@leg%+?DGP@+cLL3(3p2@hy6F7&J*X`
zGoK#_+}P}%e66;oB{Td;&nc$=f8XV8dceCUt$L5&%1*B2Hw?R0Pnk7mQ|Zf^mQ>Nc
zNXA?9H%&j1)Xlhn?R~|j<D!u)yq#{g`{(R@zC+;S)&-AtzB=BTRwlc7c7sD}YA<uc
z+@lRCZLtiEsphv^lgnnD|Bw>9!OUKVsUh$WcSFRF*2F&_c0FzgeaLcn%JGIT&o`8w
zZB1lnjY;e*bbE0B<Bsy94T;A+H(LDq$hvb+-M2;kkHrqS*~hUy+M94N#{XgG!I-Tl
zK4`6(wc`%gtMwa9HoRD%&wME6!t<84mZaCoN$s({pWkTwIheok%F7r1kKX)Qsnc*P
zNjGVt`&E~RuUKC^{;?wIK<?qB+^3Vgx3xyfCC#|mXxGq~czosJc!kcSt4w?f{xSQL
zyguf>pWx2OpL8w8vA;ul1?%6#NoEIX<wS43;NO$A_3cr=?X9h*kEDJq5S`#-D&4t=
zaZb#{V|_cX)_!dDuesLVapXsh>WXCj4eUuGO|8dycRXkMpCrk@;|2eoqz^aFY+yPt
z+w!K2oZyZR`Zu5Kc|Rc}y`?_?bW8B<)_U&`U&9*Lemr4c(byByQ#r3K;@koLr1qM%
z-`WC1V)k#?kiIEPetze#FAuiswuICxF=amZ5M#+1I?=hZF}us@$%h5!3U9WBe)_w=
zBmU}~9oHrXr%VoS%xy2<nRwlJN6oj(wm;4{mK8Tz#dSorZ#-jC9@)73T2pyTfdpgB
zoNeK!A3u3?s&z7RNN4N!%@gwK|23BHnYS$}x}kD&V@7x5%fBCIZ?k&R#6JDtinA@1
zw~n2XetzxPwGAQjF4l_9e|GHv=k><^80MaBJqM?@Wyij|cfxS>kA_VjpKw%8RNu2V
z&VO19|K9mqy`Hv3obP;{d^~CT>9(YKjrH+sjwM|_IqTb*$kROn$8UF3y?OViaz$6q
zk$b-+%>OYq+<J4)@cbsxAG_|!3D&oG-fqc$K27q{lZ>;CJ^69%**n*?wz-J1_MaDP
z3A}&1alUQjO6Ie*n<s`}6lZmfp0joSsxVO>xryKZw`GS&UYOc{V{?1?_S3C-x4yNP
zXH~c7|86b+y_L1MrF?JI?zYl5t>tg8^D2Yn>YFB?l6gH-H@zii>gqMUd{5f5r|Un}
zlRT0*|6P#znR|QUo@Q6KmES&;*dEi%_I*!V`PW;ri~Db_yYj7{<J+7qRd<*BUf8oQ
z&ii>w<ks(P6_Z=Dw=W9tS-<^{kvP}M<nsznf8WlZd*|!M`O~X=p1nBIV*EWtA*&_-
z{o8#BQU5*&e>}<Xqs2zQ`^)vlmW{1-<<~a4ZT$7T>$vCDKP^$;rnP0PZOhwwWbWKJ
zP3LQEQLER^)R$w*Zq2`ubh<ri?dG=pTV<_Lum2ujxo2T&{hrpWuXV>(Z)=T;&Tq|p
z`Q})`wDz3spPHiPH^|A({&A```l{9b36&oh+X`njY9Bwg>fE*V>`x7q&kt4{Z48~?
z7Jc{JkFbb|aklmcx;8OPZs7UZaQE}kb(gAtoJg@aF)`uq4aNrX&l@)B_5JvK{&=GK
z{~dQ9H$<7947|V)-x^hI*)%_5QcU#I)=w=J50V)VZYbTr7}J*K=Gyo#t~Dw<Os2v2
z^MZ@|WgE5~Kf$)z;>Yi6mkt=t`7y^K{!LTrNr&{d)On{)#7|`2bh!8E#YNBV%AG%0
z)YaZTT|L=h`|p)q$6hw{Ti@$F<H|61($71LJ{^1G1sPUP-#Cd~e?^Uz*@>e{oeTn3
z9N%t8S{BRDnAX**ee_^j{Sz++-IMOglNwC>-5Zlde>d?Z9|*VolhnN`Xx_wCw$_U`
zDKT#N&E)ozD|f?Y`8L*`W$Fjsh{v~NN4F#vA2{|WusI<y=~k0@;g1PPLXAutj$M!7
z@91skJg~@Af5EbAUD^+&P8|-v!L*9=G2092<E?&42VdT=Y0pVNX0iWp()16(*_(=L
z4=ibBjM<rZmHBGS(j?0XOFHMB<d6&y`1w7%?%^4o<|3V?C!NerD2UBz5M3i3bI^O^
z`wdEZYxp;;<kI*M^EyWE#8LeTf-&k6!3_)l?rBlD@$lKlw(>0#O8$S|(L1ZT;`qds
zyFLmv`p%zN@Rd16B<YS#jBZCj&&SToZM9GDop_eqR(HOk!tPs#_wkkry_?PL=T9Ua
zW@+wF6AYS{)LOl!sp8Rz$DbQL9&Olte^2w&4NT27KUPGn>3ZH5k^QH6!_Si!ZoK}`
zboOCc_O$~&dv-80$6QOYUJ<^DDW<J%OD9|CjbE!24JQUB3C=&>p|rWN{)5DULx=Pl
z8V{>asN5g<qVCU*MeH0&J&&h+Ubt31yRGKw!?wu@Y)fv}ZvN+-bX}#B@kN1c`oz0C
z#LiD(`4@3nzoNZ0ZR5o=+{~{hob_OMpp^dY)Ki7n|1nAl-4~X2vLC-BnKnU-LyJ*8
z=C=KdvL~ucoEtC8eqx%!eqdwLjEobzR~<aMvAaX`_-XzwfzCb6vy)p2RJB|3%{skr
zx3^cemFMqoN!#1l?5p{D6UV!zo=45)<~Lc=U-EoxX__lndhgP`EW3-*`x~_%?MN(N
z(-42cpsq1B|C2z-ni+XvExG5~OX_W7ez&FRa`pXQKVy4q<@}_Wze&gEAAI$tuBCAG
z-gb`oO%geKa;<J3U88xkVBgWa_1}-L{;YbaV$vMJyO!%&*j1Fu8BXqR+JA!KVoSxT
z>3@E!>$jHAJv8V4n<FjX=JL4fJls;(A~;K&EBDWyroJWLYuC*8yZ0vV8m9}NKyX;+
zV#Q2>=>Mlumh;%3TC?W=Tt0g(tAhOE_q%>6d7S*+RuEdYplWk_`QhVBYwM$1%Par4
z<;PaGmi>M|-Ak;o%rw8HEc6fWFUE-Wg0mAnX0?=UzqOzw@7gPujmOq(+PqP=x}`j_
zIlA~{QrUquDGWP1c}2Tl`nDGQ{lVB!F5Gb>W_?@1-D?+v_p}$NGx6I0ife26|F5m!
z|EY%Fw&ee<jn98(|CIZD!czZ<UxZm>fxY$3#bL+SZM(7T(MQwCL90G3-_sGE++2Qk
zMf9%|9bqlyx7Nu2(v-X$vb(+D=FN2*XK*Spq;BG?(r+)A_wl;9na-ll|IPW8H#cu=
zDL0d<WRh;rKYw#Ow_f#yDy50)x(yxom^<dSvR*hL|BtJ&?Q`Emt*}n9<E`bluD?Ih
zvi<&x>h1}fZ_H{f-?{%!$D96%e)iUF<#SsL!rvV|&^))Lz^c@H^A3iITV}CNoHTJy
zOF_|e9($|bZ3QKg3l(=iTXyqEpYNRGajgYAy+!8EZ7H9A>dei)_Okh>x-I({`d7>r
znZ3Cs=e&K=`vZ1|`&J4?w4QX`F~6*-dLonXoY&#m-Yu0kTVmeYHf-C(=+aW{@5vCo
z`{%JwH!sZ8+LXyKaqpv+x>W6!lBunk_h)rAX5K&1ld#}y>A#bV{0-{6IukZ6I-uFk
z)L~tFgQ=}jb@h_6DeaZ9b|)oOWHed}&#AC*#Jq}_-N1k1e&`d9tcjcLH*zvC9b9#@
zwMzAUTUN|R%|iiopKd<y^zYhJy>#t_m`8i6KAzZIe{F;H#tB^3Ni0uR?Ws-PbgI4d
z&qMPMznbQ>e~o?pFE-_Y<KZIz+iLe3ZIZb+R+@fD{vVm#(7u7?0*~FMLeB<2`53X^
z4Gq_rKj}_p;63R5`Cy3Mw~4mONlK+Z4;nWVIL1sZXsEl`<RQjk$0)nzZNquzNiW>r
z$TwsrKaA@=b4UKukwur~8u=qX);6R*VfwW2pf5YO4s&bz*4Cc8f99N4NIF>|eJ^Ih
z{oZfP5r3Kz6k?XYaEW6+u>HV=WQOI9na_7F{k-C8RoOzNivJDqiu@m2XE)|F=AS=s
zX5J;s`+@?JjJr?WN^0c&Jn3=PBZ1(Ljp@N(3bwTsy=m<_DNx(!CE2*Y`h;BEll<Za
zkEB<})f-|aH<teFx*@zN_x#2H?SGy8drrP{&Sr_NT;B5bWO4&%<MfX&7q~yV;46DC
zX71l@8}>e*`ThHe=}cu!O|uXAvBzAo<SJ?Mu%GqdM~v5{>fVVGCO5cR8h`7wwcT!M
z{Jr-0sy(d@TfLi1?k#(~?bxbKEe+eZAD`m=wzXycq>eegp5o_(8)KRlX|G{CwT$~u
zc5_Qdl1#^eKdnu*DL)=u?6Q3%$H0EBy;1b_hTa3SW1?Fc?Vh&fPJP?b`1#-QnU(de
zjZ>w#bk1&j+m=7~ZA;VMmi+iCNv;zuoa!BY5>2m{th%yCx}|w;TVeROR%`tfDVco}
zEk3rElzrRi_olU}^jllWww9*r_s$i+E!q*XNuj&7?b^+@QuXY%w#s=Q_HS!%x_+;{
zGV6Cs<c+`AKOI@&T^`vcuzBW%{v#{G*KKRB+IC>Y$2PU}pDQ=ER(-$S+I0JQuin<S
zhR{8&%}<Z4nENhBG`noh$LFi|v^F2TcB%ES$c|6_%lg6}U2n;qe{acb`>(U)s&BDQ
zz13E(_tnVq*pr%@t>w%0P9`eH+*(+f_pP;Z(}!CpW4<;<>s@QDIJL<Ay=QTSnDV{G
zrZ=sXOIbbFwpY&GG3EbcvHZq*+3MEHOxCIYp0`xooAYtqpXILndycFKnrd6UY3@h6
z@JW@6OS~G7+pb=FYI4&S^HdqPg^T3hA6rp!iuKI#724BT`ZskdC$;L8pE|nHI=WSF
z>YtVyZx_bezdpXAMr>he{scX>FPrwamzU^PG?vAlDNV0#ul!oqR{qlX^gO#4(dm2I
z&KKT&GrM5^WS>9n<&zh1&S{)_s(9nZ>GON`{`q+G=aT-nyL4M8-3|TSmVLW*QqbGh
z{Iz?}6?x0GOsZ~4IQhkJqEhy})=9Toe5$Meux@Layr(s9@Aj4{B_}h=Tk@mlwMbS7
zPbxUS*<@X1Yx4TTG4TgHpRLWXPyczaWlCj!OXu&F+|xhjd;D7ZaMr(;q}#32f_}Fq
zz2DX{V-L&1ZLL|?r?pJi6Xa_3m?)j}e?jGkn3m*O6SUVHobut}k%C#R$=|(R#pk>|
zuuNu7bK-yR)+v@9^N#Vo;9bGm*O+bF|DfdHq|GO$cQ>v-`rwK5&6}L1y~g|Z>|*FT
zuvpgq$l_02QzuTIb?|O)Ka=i>7<S{9`9E*&x36#M*%;fN8nyq>deQlft6EaOn*9)s
z+tF<Jaz;`^e(u68XWLS<`?}V>Y)}1O*0t*m@1lv%TXJ%%FFv;GXulTInEU7AlZPxZ
zExEbxTOBsHrry2R?3Uh^y7znQhLExc|10V~HlMvTVgHR&$+GumG-u2FTzQRAAnJWG
z!^EUVOFOce8ahpncc$eXm{Ij-Lqi^uK#a`(6Mv3z&RDnmfLV;?g7UhaJx#&Och|o;
zzIOWw3*U~-|5|dMaOFIBxcSoY`Hh>~RXLLXbVx=n(m#4pF@2`biHTdkOgcR8*p2;<
zV-`H{<ycyGCf=7}#k~zN?Tj~Wg>F2NnHcl+r1i%W2Pzs`6B!#4+NBz7jvnaK|Fd$#
zi@u3Ee<p5ubTTJ#Zo{I+Q%SB2GB+HX8*EM#guRjW>HEH~ZMvGBL`>ZJ2@5{%?Bo{t
zD3Kw!vLkuXdE5U7Hbpda9o=xCZxY|%2OnY<-4yy>(>n9-?F-^>I$UP0*?fP`4X!SZ
zzzs146E4i2xbS;T!{mRR!RHVClzQ|3fLq$mpucl2u9=be|8emQ-Zg8IBPU#L7gyMj
zb5(iG%gGm$I*t@Kp8fOw!MXHH$KEVhs>We2sIbaHz~sc6B<Ta5-GX8##5wlt<mBU!
zZk!pjsYm6&!7JUZGuO%`xjbL0*y*+A;MT{@3Z}g*qDco8<SSSwJUF{DhJOzytE9l|
zWy$9lek4wKY2~*1+S+9wm}0nB2pnTvU>mVx*F=S=LnmeRI~9-3(Y$!~QG4&(IX`&L
zn9bk2L+~TxvTkXgvg8|Hz3(M*{yd+&t+oIC_l9l#D_p<-S+G@^Y32SC#gErtsy)}<
zd;Ry#0NdAX`6@=w-mkf|xxMH8|IMv!*X!CUc5Z5I`*i>Pq1ibtJ@Nk<D?VQAO+UKl
zeb270){6KypTGQQt4NPz7Co}}bW68d!o=I{-JJ<rPo%$0Nju!uwtR1+^ZX-w?DZSk
z{!I+knfbqL=B178-BTMY<5L(8wsf!l+gO>@(zSbYdu3mHm#TeJn~q~shg@strpk;n
zJ0C@~wtsByh-hqoXx!*>cTHP+O1txQt=FxUUuxry@BY@_Q4;<zrsLGw@+SwhcHH>5
z!N$sL#_EXJ{~jloi-+yKTXA-Uciz3W!1VJio#|)Rg~lD25w^H@V~5)86CeMaS$n#=
zy+iB&s*9B^9iMhQNPoV2{<qeSn6kDe>&o-X+8R$Eo~XCy?~2r<yG`ZyT3as5vvlv+
z+L-tE&ji(ZFF9=Xv~0S(X+aMk4|hvb>>GxTNv4N+CLF7hTPWJ!P~X!0H1S_dQrCiy
zElno>tQYu4WW}^L+1-nod4J=U7q@DDHKqCOdj5bdhq)p2+l?o#cRo~3)!h5(=%Qz>
zEt&sMUaEY+)7%wv^+DE}g}H3{N#)XQ&D{Er8f-KUBqTBRo@i)({C`EB`T7&R5g*<q
zPoCDd_wR(b!-6f1$DU5;%iGq{==Z`pMVf)Rq5g1;;-^XaDQ`JuZd`Hq&)3IqjxXN+
zy`|==*nyZtPCNaWhAHP4r}AHM|FzctLCKAMF$?b;J$N9!vF77~mdEW=*Xp!Zo&Irp
z#eD6!gDZasHcUMGaA)?XZvJ<|n_4QLeroBvmGt71N=Lmc_e)F0)elbJT-ai>;A+wb
zj(-PMe_YtUk*{&uvxi%cp4jofa%XI^;G^wFFJ7C~Hf_6ZOMQM@_tq2zj#kr5|CZMI
z?K8KZZmSNeiRn}|>*Sr?$+u_k*Kh50C7YN+Tc(E<eOS|e^4~+w<88IY_4^n2A8)Ch
z+~~QnB`j+yv)Y>WrfDr9{{O!I+u_;oGs7qT&%zmxUmg~H-PV-4VY=)w#uFB2*X<K%
zVmFKF+q5Aq^+3Jy7bdT7+>K3-V;;`BU-NMFwGYh&F^4vko!c>Cmu~i+OE+q}nwtI|
zVJN$JfM<dkL*1pbrt5c1yD?{edVX7P=-#HJ`+wS+(hgpfU;kux=+gtSYt}L+UsUNA
zYbp4emEYQX^Vs#9t-bM2--m=p@oN8UYg)UxRaIC<d-MOk1J{IBIoW*TE!w#0!2P<m
zbk)@>WjDyStlHjMcYT&zTl3efr>s+-?B1WZ`=fVdd(-=H5x#E+e}8UoLY@CV!0`V!
z&v)2;0Jo>}YJ6&GimN@hSawUFx}?ef_NH1*Ue)(|ZhU^*x;pp$(M^B;wVQrh^RMpi
za+&HsCtH%vuZ`U|MYg)N>HDu>wc~Yb{x1K$r+43z=bPqt$26tepL_nG?M$ZjwM{pV
zz6;&;w6$XIr+1-N?^_$!e{Y+-{n+gLx7w!Me%jLdc5~a5H*J;sw;elq>6763*2??O
zAMLdH5q<2#%|`#Ke@Es_{_tsGhOBk7`oEPmt&9^2<M%ui{mA%uQCES}n=9!*j?X>6
zph^4F&m#-ZOEK2PTv#@d^D$HGo@R;4BLz3x7T^DObl&T|trNp<w|4!0du;Fa_Nwb%
zzcPCIn<gE-8vpTNPjcIo{bnD^>RPIPr*b*ACV$-6@%V32>NnAY3qCj5&3{<-wSw{3
zg8W40=`qKf*iRg*`tj>@a@X$fPhu~>JX5aIwkx`&X03`)9CPRUldF>(=a~Oq6B876
zVAbYB_CJp<@|S!m*T8(}l4eef@lVFc2KFD{8fy9(UtGKT@$ot?*66bgVQn*C?`@ep
zw_$_%;e+A+4fp0Bd~m!`>haTk^_vb{*DezH{KMm4%hWv=jQbh&|7>iU_3)u>|G~FR
z#%m7s8cgi*EPE+^wq=uaY#ig&qi0)YL|tpEuRh*%TJi_?gclqVjrRVXMtj~JT=s0y
z-13Nv9Vf)A4>W%2SlH+j`7(SW$2A7cH=Qy+8hzxo4<=q)G26A{;3SDz9mfyViO)Wx
z(KyR|ck7}l?G0Z~Z8)oM*JyZcOG~}9K+?5oFF5}+lzm|AV%)&^V2?cmN7AXJ6G=zz
z#WYP{(-7L<m~s7cqw{f*4cD~GE?V^_KUi}8&BJ-m7S3;Pd9*8b&BM)yCjIzPDEFf|
zN3A9N<L(8Ax?2vdUE5ZxreuE2zqNKUmx)TZnBsIMNv7$YBI_SqN`7H*y+XXX)}Kk+
ztm*0g*4mRywhgJ>Os;=c$yPQje{+s$%Aeb^*^TjU7!SVaTXC>{bKAk>hWlrqEV6#P
zg5~Iq)m59c>KpbpCl#+?GOcf$`-szZQB#v`9McNHKMlq0NeL^S|9$+m;p)ehIpxvE
zzMMXK!~fdGIsF?1ylh%-OiU`+c_K+Pj<IRIPUi&yk(fIIIXe40j!gK-z}|Lu>E=eo
zkFl>8K09&eaqKFk)-R3a;St@fx8F4GzOl0KW83YPq&W(2A85y%+NJUJhF0T+!UYMR
z6#6#JvD&aBCg$9Y)V5vA_kQ43;!5mqy<5Ft&4JaA8_)PE#eFiE)FcqG!g2Gdl=j;(
zKP*gN&kAAtkhJK0+e7=9P1Qn0ujW3QwdjWGtp)P^rygIp_ju`nWAcCgm$mOZa-wzL
z>9D3nYj$dV+|;-)`PP*GYi|60v~phSVMhBOPE9@$Of4^d<ozh!*z%$!X3vb{<!d$u
zx!>oqc*EB4s<QRvsa=oq<Qkr>UwE-Y(}<CQyMm)>e(U}VPfI`V;E_xE_iKemBGZF2
ze<O68Ui`TFK&GAf!n5+vC#1TrxcBequ)b>3_AIq^-rU=*k0&$CP+^+F<#Lbl0rTgT
zb00Sy=#6Q4m>#y|p(XF!nCughc9*wwcgQq7IQpXKH7BQPGK0>lq^3vz4(}DzIVZTk
zrS$qsnJ;fzd#{;w?C)-P(z15$=A(atlViGC9-n-b`TN7(j;ocoi`VRXe=F?hAG?h_
zUbD|6q_>ruo^N@OJKZbZPP={E^CyS*o@<#_f3;JpX%4^VG$!$u=~v%<o{({IF5llt
zf;(NFb>3>vp6zB@e}VT}+wF}L$|lyHdgZ$NgsMT*GMim{p545zbx~yQEb+NNpWJ-A
zzJ=o?Q_QY&8*l8NfAjMBqo?)nt>ORp!Y=#T&%>V|-0X^AU$b;$xspaMr-19~H_b&G
zlK;E!DDK~IF!?}-yZE)mEB;0>EwE*cO}e*g!D?2)q}^ZKn*R%H)c-kh>ib;TyPKY!
z?~~K~^uF0D_LR)wCpYx3drBVHk`0nQ$!lM|MQ!f-KV43T8MmwL{&PCwP}|0;xUFhW
zv%8xt9!ke=F>!n;cD(g?wSUdsDW`K5d`$1&qIUgdO2G9Cg5QrA?BqY$67lTj^HnJv
zt7h!n_>i-HQgU}^$MTJ#dXWp(9y@(@VdLw_mTBw1?f9+#X~wEa!s$)ZOka0QJn1l_
z<?i&83rkP<s6PJi#rochJx3Q=K018L{F`=1!^e}WXLa)Z>3ZMca5cPT+Vwp%KB_aD
zpJZnhnBV$vTkEv%-(Je*Uu)g=rXwfq#U<0Ea|_%J7KBcm$bW)s^BJqu*6CX}w?7um
zja)FZb^6(rC+f?N{g_tK*cJPK&7$s;g?mp-%CGAR+uU`On=yaNz7)kN(_6RpS9qLN
zS)kL<#KgyWBgrpm<?ju0?u!d%sW?kap6R%{aoWTXkIyYr|EtMJtp9)XWaPr{dtR>W
zKKvr|Pvg{Qjn~h&O|5^_aOc5AKik=FUafSl-Pk_u-t<pfj&4(X_qFm#z3T6`&Ec%c
z|M}*BdH(2T?Tw`8H<z!OaA?*Rwb<Q4UDxKUe)Ipw7Dd}g2H|6M>2dAfrS{Bv-2GGj
z`kR+*vm0B=H-7Yqc*S(|c$VGCnX9&{y(?XmFl{btcWUyAZEAn_<*o>N!_+!$`-IC^
zH+Nbkzi<BT7u6Eivh~;ZC#(JbwNHKhX0lzsph-khTSl(-#L_I@H=BB#;u|N{-@Cze
z{8@ZeS*!c!X`x!11bWWg)+-HbvfbR85f%M2a?ZDZ$4-C!r^;o$a&!7a<C)9OH&*9o
z@mcTQqE<F{p~0KBY2UY6EdSG4xSVJ4+9$UzP4_&M;^2GomhAd1w%5v<0`?sHS@!J+
z^YS%M-d(!cvUTO2O`_er_F121sL6l$yjWd+P21Khib>VdGc9?-zocj{Ub@L+>#bjE
z9(zwJ*ed;PpH^4COLXp<mTBLwwaxb~GA--p_|~>1_~sVtty=lV-{-e2-2TMjSnG!4
zZSS|WTwA+oo3`HjhsnMf3jY@Dn9QNPJo^4bPs_H7{~O!p--~>De(jIgmVVW1-R<+e
zQ}=#iNNeps{pm+Q%f6s{ZT&B&Jz`s>5<hw2#pWsJ7kDu(kNy9zbh)-zgJ8@_#pN7Y
zJM)uYtp9uD?y6M{L1_;zi9d<iqI|3=Gxo!$*tXT~EKH{Vnpgi|KCsG}CHBVgvIFN7
zk{MRBNXGqsaD8)=bmYO;i7p@Shpw41C4Hep^5=$b`5P=TlP5X&TfSm>qowgJrZB&0
z+8V|O;g+38-%puv_Dw@t*1gT!?i`u+uXWA2iQQS(n(~kSeeh<fbNH#&i%D%~r=OgW
zae9H4RQJXgSC=*Zab9_&q^`ZKgJZ=t?S>fHO;;Wm9=QMP(1U)H6|equ^v&;-INIE*
z^(m+K;M#euE#cpqr&P8ankaF-$>eP70q<?CN4;g`C&d0Q68PG(>f?r2+I9z9?=il(
zb++YjcgsR^`}V^Tu?!7IKR<ZW)o|kIqmz9chJRkM{y5=iZr^g`?V|Rx4<E1oz|nSS
za_i!~YahO_?6mrQvg~np{+os8B^vKM@9ti)*7m1BMPsio6X(ALvmzK9c06f(v|#Jm
zm+AczZhYN*@P2pWqUPB#IXrHgT6^L$c@D2`T$pxg&HX<gKFH7bDYUd@;c^v;-7m~;
z3jAGssc}*acgw<3yWh)Jzim12e0y7u|NHiZ({CR;Sogc-Q04#Dva)+kGH<5m&u&>5
z|4ybU;!XVtH=z@C8orUcKfH}R_Avi;+udtN9#;QbyJ^>xnaW3xZT-A4EU9VE(bfb0
zsu~X;Pp-Qbef!vns@tu-_V1UT&TX4Gcbe6imi<%ptlKsUb12k?ufF4ua`N`scMDei
z`8K)wY|A3+?QI*U{%tw5<=w)+mHVePefziZ;^!j|Zr8O<JK46#I&iC~c<P;J|F<1|
zc)zT5Tb*{x{<mB0%lF-Gonc)n_wUU9M$d^yvZXCPziCTyIjTCXdWos|`)B*7zFQo-
zJ0-BQb-(KVE%wEwk!{X~4=cl3w!eDYdSP?xw)yc+eV>jzZET#?J|ldeisi90#s42x
zM?U+$_Vk5m-CK0N&U~a+zo%t#@RP^K#iwR{zt_6WtE{DPifTyXE_3Vl?a!Y++4{C^
z#wJ~(O|9F5%i1&d96XfVHe>EozMPgBvA;xg&%D0MqyMk9QCE{kUsh-LlkcHVdt-Db
zeKhV|GU?s>|DX5pOxu`ZpMEm-&EmheUR<e?yHSuTQ`)k9`S0&5=FM*Tpr5?)cl(U_
zRX4NB=N!$?Kk_HE>5>6&jLR$`6-j4F_kR~|yfSXQb?&lQ%MR~%XOFx&u}O)|QNL}M
zXJJQyq25)6^$Si$uJ~lUCbaJ3_VX>fPyc`0@^<&DTW$UNzuVqc*|)CGZFyI1-?ILE
zz+ssa-Dl_K+PiJqlC<(u$I~<1<=a}`eLAx2RN2||^9R$k_qDv+-ZEozYhLKh_V?FM
zzSz{V$*(InS$I>NXncFhrw^Mu^7<#7{qx}9i3bI36Rl4c@&E1F_~pRV{zmV!4e!+_
z{9WBL$)nUTC-Y~^yEvAQ)h*jRyNmxlTl#sz@>w6sa+cKoZu?aA``G>s<_!$1R;^ma
z#PI*G&|L<GIr$6>3<3-w5XHzHBN)RN)5Oid%#p;%$k@Wb$r{7R&GCboH)%RUn7V*0
zqw0ShkkU|DrX(hoBoPLAzND87Nr_2ZNgOeZE=l1G%=`@OE|Ltc{0yclq72-O;!f<$
zmjCuMSTcmkGQ}_@F}lPEGla4;<g)NG#6FB+SBObWW>~>s<)9VAnEc<HL7e3kgEUjp
zf0h_F27ksRK9(2{CiV+S?;IJd8DsnyECn7XF*7tXwIn@c6ii}gV(nmM;#Of&_7G!G
zX6SW^VQ7tEoa=Il$<mm~UFAKKF0*mRjF@}|$%!m?JG2-UhqK*P;%2<-62r$}@4?{A
za)H79ZHy@+Q#DhJSj;DZn42-23<uR5VkBeuW5gM_1un&yG0dOH5EB=}nZ%hi$(1EC
zg7NPphTR;AT=^^v?8%Hej7(b?V<sp67jovQ<n&~m5c4NSmLZ-|+e3%ZnBlX_F$U&3
z7H$?s7Iu{w#*Wzkg^VoujFK)4bMJF%JH&wQd1WwiVPjxE$-u7gIp%u|gDOKzcMMC+
zuULi&i(>y*F<3AtG0cit7Q+<N^qbK)mZ62gkfVd4j4`I^B7=2Kj3Z-=f^SR$Q?^nh
zgWn>CAf^<~CH67FF%42ln_{eEelWaK;E%b?sKk<Vp5X&~3?EBOD2LF6q*nql>M=YF
z%|`@c!eWjxIjOV6ykX$q9+S!_V0AX;N0MGk5+`@XzrzAD8j+%59Cb<GIevI1HC^QS
z;-7Sy>z)bEE0LBY0nSe`j|JQmm|_gM8<>)~Uzx;AO6rPZF=S)nUN9kvk>{016z2r-
zKIWw4B*PevS24UoB{fMCv}6Ala|H`*bm53+73vl^9uv#NK8+=bkKqMlECW*~lh~D{
z3$ZQ?4P1>28PuI5)MFC4`h_?;IA+grh!IG77Gol!>A?{rDk~Xk63zNAM(DeQv_x=B
z(^ig*cS$mwQ4UFJNm2~V0-Q-4V&U2oIV@s?!#RF?2r<oOdL_hJCBXZ@k%5^lCYgb=
z#ejkLWfH>`ftXqbPA#P*iL4@qQ=O7Bf~qGZx;kPQZcXRd$njNT$^T>*hHi$JS0olX
zY)g`gxyGR1!LX9y*CK&m9E<}0#FK=)I5;Ga%yCH4jyav=!l0z$DDZ({mY@WKAlFZh
zi302#JP#%Rt%zlN)L|!}xt?K>hcv@7g^HFKj`c~x0=6B33QFPvt}QA-F}E4`T_gmO
z7%N#mFkD&~lita2Lr`=g@9jl#e7D_`>bS2nN$gHK858T0G=t;nS4km(?xd^CpOPG|
zB{Qr_TB7)0i1{5y>0E{+?W9vl(n(rENeVj!p8W4rXq7z6C=u4ck#r(PpOxPs=}wFq
zo3uc0468_qdLYNzc)sU?wi>eQ1$kZzYiJ6(B#BGR<>$zA5#?aJC1K#gsQ5aG{go2u
z{{+==Ps!yRJc{3il;k<Am_3>HGcRV~R*4bVD|MEGG3Fi%J4=$sx1=zIoyvhMdd#9p
zJCZy+W1cZS)%x#JEp(BI#jt@fNj3R@A#+4S%+pwgrHaiH%91qdm~uE+Sc*<0DMho?
zOl7c8a#dtb(r9Ri*%ZSP%dk^%yMs$C!(qk!Do%_M3~QXC7&dH+Q8*#QQ=+NC#He*=
zPtxjS2BtX-=ec9PB?)jRJ&(~6PI6&NmYAi?Jk3dPa!e58B84QTn3pVfI0aT}{NspW
zc^_jK|2sy+k>i<c5}U!U35-dyf@@XkJ9bF8CCW8$@Ee3>B?%d781uSSb*iv3#WC`^
za<H&eF(!2!jWH2u(_rEEaAB=e<ebFNr@L0;=Y*sKk`p;Dx(FWF!>TUCv690zS%6_)
z%sVC_CV?347%5BMz=mXo)k$lU1VkJ<ge2Z2iQQD<6Zk(-@>!+?yTlWRq;D~Gau1ZW
zCY%&t=ZJ~vlD^WH<iMY#5c}Ut^1Q&_nD+uoiyS%F1(GCrI9+}z1SIowb_;mbN*Ss!
zq{z;5Iw4`sn56JSAeNzzL5z<jMnN&eGnS!gpFqXCn8kAaE`m!^RF<eK^mQ@>7;nnZ
zGUL$aGWr_RY4k$iz-*Nt9+HgP9Wq?rGs!Qs*|12{B9&*mn82@^B{B1u0(G`|#8~Jt
z91v3QXE?^I5~IK*uQh8z(%YEDjJz%!i_&<~rIP0Avdxz$=~~1xH=V~@E@qx0zekKJ
zM~ILFM+BE+%teETNqn|jGTg1C^Hl_NEt5SRgQPBS%u`7hSt506q5{(wPHzRSj5++C
zfn3=Oc)Y)4$}lS_cvN%xdU7o^)O9>GN$8INpH#hyfS6~|M8{h^oZ(EGDoQyDEkSQM
zqj_RoG!3?7@x+#fWb?$j=ZMYTDzrRf7JqQ2Mv2JWtX!VJml`v~=Pt_=nQOHwUu3Rw
zLFf%ZuCD?*EQ~5iELJv(e>@YTG*}d7t2|Y3F!ksZS}Cyh8Kba@LWGW)L(-QdmY6E8
zcmbxEZpVt*ola{R{8=MjDX=6RRcL84=5W?Xa%YraaEf6{W;iU!5qyLroW)b)uV<O(
z8iw65E0Y=K#x%Xwc)^*Zno#M%#1O$KBV-_#WY5Nu{GZX0;Xq8syQIZT@_!XBcdXRQ
z6ZVMhD3m)OU@kUIT15Pfim4>W1C<{!3FkNjgai_g%1q)=iD8zO;nGlI;nR36t>CKC
zpy6?SN|9PaMyN)N-iuBy71<jSx=Kp~;#8V4i`fqb3N+NJH!Ts@xUJf>yhKJtnUCw!
zT%7{~PgHbe*k-!w?<wVpT`MOyIpd~5^A-I)A!R()-P#&SvPZ7)+J}_$*t=Kc|Mk{A
z6mUl6pT-tP!4AflyBT_Gg!DUpc}$m(@)39)BQ3?;khC?)GwDl==Sc;|m`X`6M~i;O
zq?ycuN)ig}ESyT;E7CPGx+XdwU=Cc)plsr^h(}PNMbP3b^Ms@mNn91D69ioZ=DwCt
zWce$>&773ZS#dREUDDD{6FI?&m1bu=1m(FIoLy=d+~-RD5n?=?{QBoaB_2(N>m~~(
zB(X8f4^nASP-9JEu5Vz8IUn=CpwUB*QC4ClQ*i6^q`fgpIUaRP4U8U4F%uaTYZeAp
z+06(lWRVqDNUBSkXERMpTvj-$n*AgPw@R(jfq-<+K!wC6t659LI8<$CEw3@L5$@#t
z<`VN%OMsc<wo4|*{byQoO`2?sF|G{U9p56Z*C?!W$xLUI*sT=!id|u!B9~>7X5k%A
z0}Tx~rI{j3D$xppEP{s^0@*kt_!C7xBuz475e@j0V5q>HG?!U*Nm68ieyL$+E!$tA
zkXO?TrrA83Ce&}RO5uBqPDis~p$21zH>;?>S)Hn%S>`MO&X|Wuf@h^vVhj@_4cHvH
zS*w%gNjD2MCR>Os;mo=gsro(1lcBh?QedG9hr=tcRh<z#924GJ*ez-3%zejE&v%|9
zW};zIsEl;SW|f5dg8Ur<F+T;~np;$PaAf!!%BipmHaFNb=@}T^Pf|CqP+^EH+!T;B
zIp)8QNJE1xQ!GQP@l_V)b+HWFIa#)6MJ7%5i2bk5F3<JsQov1CLzW%v3bFqU6eq|n
zj;XxIX}DbT#@ZMc|D;5xgAzO}-#A2=WAs~M`WV<<V>-91NFS3tqjXxp<+FqV2V+tV
zV`1#S39phFHZt;Ob~1oZ2xCxMmgM5YHbLoPfEGKGlET~=z9ip@b(8Kj+~Yi5xZP#4
zXZS45NsNnUnof;XVPTiu&ZwZP75m>gmW5#&(;M!XZ!R(14d<B@G#Mn4SY!SqF+0w<
z%Mo)<;JJ$dL;h!mV?qL^DG^5|8eD9YD$Qh7VPT%w;&jep-+x=7mrQJ;NpF+lIujif
z=I1i_Dy&WN?=baXjM>VhYVoQihDkrhhC@Ro@l9Zvqr%JB|D22glQ_*5Xe!+1_{ta>
zqsYnOr!YD8f0HA_jO>^S20oPu-U`2%_?Sxkl2#@u?RM~V_L$nF=P|P<rkX3><An8&
ziar)b4TafBMw}Wtj-iS%N|zWOFfI6}q~US=9#@2mT2iJbyF`Y=`<Nw3|6>9Lo3y@(
zC~Ej{Bq{Pag)&()CM~?A#QD5dlBp?)v*bsNlSYvTyMsbz!mK>|X2lIE91)$uof1J!
z|DVi=<T$sW=S&PkZS4Q#n5-BrPKBQuACv!eyiLkdNP0cNg@sdYebOC)r0+>;9Bf}4
zXUt?z`Z#O3hiXhhF{8Ue(t{YcfQg)fN|FqMNlFt1bc{C$DagglO)_;1;Y^(Dp|m7P
zDYiuQJja=)7Pgy0F%KDPTq^bJJOq?h^NFqCb5dAU#Tb(xJ-JnwLqMZL$e@XF%?l5K
ziaUYwPJxzLNr9q5LTUnjZVgG_W9pLs^D*D|NaDE8Ai_|S{O?^d!+OQ6hSf<7hXffk
z_!#|?6mBMYC9N%RQd-HN$+<yRMJ>sgopZ+|4o(hbPl42q#gc21Cj4+I`FB=esY@H%
z4MEl$PTb)!b2#lfV$?cx`FWRhweu~T6v4w0C%~Ab@jq#j0mFR$Pjf0-0;V%2IbIgv
zOHzEyekrN&fzRG69W1?z1TM~H?QV54WQ@tln$^jjqrxR=@zIm3U=q8<-wEtV{}OsC
zFDl%PkyA2cGHb|VjQJJQ5tby$Apg3<qGh36a#BPALkx5B|N0muv(E0x4Aw%-5leQQ
zkD1gu;jh506$UL4+maSAN;)KMPcrOCaACB#%IFa4@`^!`b;ol7mYClL*BJ$p)+E&#
z&7S3`)u<6OU2>aBiwe_}MH3m?*abE>tZ-41Vr^Q@)ah_7Nk6G$c8qbv^D7cJ6s%(8
zB0e&49teAzbR$OSw8FDa_IH8_tosD`G&>olFy^HR*n3=8U|SHV)bjkXLZ4EX*g*l_
z7lHyN^LU;}cnTlnP?75n?w0FTvV8MEpvINwNz#fGA;n7qlV)WKOyhYIxZrFO@0;Kr
zmWjH8n?z-Tdp)_INwCNScS{T0mlEs9kdo1tQc{s|k}wLID`j-vi6co&%8NHiNkJ-z
zP4Qu-L_*2!U44A^EBblt#U;+oc`0#;$9mZW9_u(6jjEiYNfWu9d3FXwsBj+^cpw<<
z!5FlS(Nl4`LeA=#NgSaJs-AaR3a%%)8ZB}$sz|a}C?wFnBZEsQ`H+ajpJbK)nTneh
za!k}b%GiC>pi#w;U(j9XhQh9eYO5V3lvXEw?%O<Rp%qs*!$cSLV=R$BI+9*ni7iZG
zQOHjEXz;GH_wq)$Nqpif_(h(oT->IyJX3*7?5Wpev8S1@yu_ah+I#UWPS|86dVkdv
zmhPn*$4rcuO%=H>yt9MRDoOOdr;|YjOU9E+jI82Ml?uNw?l@;F`gGb=md#o`_N%7z
z+Ao^HV;{%mm}SB>X(qR`m5LdckjPC&m85$r+fRghTKRYib2_?wQ8{02l{9H*4`=wv
z<u07@EG)7r$}H>(wiB7uc@*uL3_V!AlsUU+Eh(JE7pu2wHgD{rIXtm(7G|^DFV5Ae
z^%I#pm1DKagnJwtuZqnsna8qm(xZ)@Z5M7T#B?P+m@Cxh*}Lm&tO~<k(bYf97Vh|-
zBw-`?Vm?pkq+cta*a=<u%_x-g!0u>jucttx(c=S|LO(t}@Y&<(e51hO=ZzMAMv)i^
zMpls{<qK@t&-Ms1p6y{i>obw@=5EdNE`K~;&2<y{uOu)rW~Nc+j!2f|p9xJK3uPHa
z)=zpEp45||;=#=Bop5TB)1IUPDM1wv9ZwO@87fS|Qld@_4gc#sTphqC=RIimNM=~D
zj>)J<N~NJxMSby3=ER1lu?)*&|Cj1~RtbEX&8BpWAvK06a}r}jA=kRKN)4-Gjwcx<
z?DyE3vHwK5(+Y<_u?#7b7Mx(3*<hq1@tSdaW9JT**#G4(mvgLUk^UVc#Nye&aF}UA
z?0=UShNKUiEeubRJ{w$6V2PQ`_(Uki@>${cZvrueF)IQDUrvfic#$;WV1&xa*Nn`W
ziA7)5DlL-J;=7U4RChxwJVxNcra8@xjHV_}7cX`di{Q<e?D8<-NOFUq)6cBB7?CaA
zFZ#Z5-f4N!6SMqN<%FdD7UCaQcTCJU!^tt#Lp#Ix#mc^hKu&>RiAfD7dl-{Ck~(=*
z82A~4J4M1jmM>vl<Hy*>RA8L+FNRA{s_}cq!O4PCvUCbIES)QJb;2$cjYLas54NOa
zjqJ!9O249#COSB-_!j%WC?Z;j(^^yRL}x)gXZ7@n7afxR$1+GvIuUc_!NiVMha~+N
zj!hF{_QeD|&q`I{Fk|RG+&M|3L!*OJ?L@<2<^K%xn>aX9IASLMjCFak^=U=WmSwEB
zGoGu={N(+3VrZ#|*LTNQg?~xX2GT75k{Z?~Jx?;Mlwj{zb$~Nwc2aI%Xb0o><^1d7
zUQ{_1xt&b<ZF_`~;neX)A;-?7tA_QHDmHO!=9=AMCeeP4b0tfK{G=o^fh55s(Sk+}
zSDu9{cow?yzhLYU?0CR=f62vg(SVgJ%}#o7$5aM?m0(SpsIQQuo&4XYAxv^X&IIvE
z|2?O7Bz`z05c8zqu81Nde-f|aRGuWs34#19NgrnXVoG{3@z)DM23hF*KV+bb1AhKL
z6KF8Ya(;mJN?C0-->tliB2&GX6}(uyOy$*OXT{$Ao5|V}$<aEe|1$6HwYHyf5A;m(
z?o#$!Jt4$~&5OO3M=we|e93grcmMkLFXgk{qWXNha@gLGDDfw(c3#KT)XkKX4PI8w
zs(QI}?ppQ^{+z2k3|@UV-CDE#OSZCRuxMUm&zK~%pJO$D*EROS$kt1{!&x6>^WV5C
zvL}j1kCj_jbl%+j8tJDxtxr~p-dd_8m)jJ=qIp^=WP0%m-mP0~nAQsU@#?K*2|m@|
z7#1aewoAF{O;s*$al?m+B@+DIQPZ=6q%JI3Je6fz)RYUapGaI|+qqhle=F}PeipMO
zC+C_L$FyX=6xAyAF-UE>m@gNx>a$>WefXkf;hVoI$w*$)of6dl*tAYt#B^<*42#iL
zkr+PdE#i@^snaGVnyXx5NzG=B_dm|KT$X)lblsNRAojc7b|So2GgYEe`Gv2#d9!Lx
zx;cf7d&!2qYxe}ru?nu4qIilW`+C5p){9fGuxduR6!Wf0T@$apmi;liyUyGGsVuWM
zH8?Ck=d;CLNY?q1zp>k^ptyZAm$poHTCjA>^vO)JtsnMp5n0VFJB3v+{ai=HA)OP<
ztU7NyUyCV(s3>HGig`+#GqK7Tu4l=J7h_d-oUY6AUC3)MOCigoPpz|JG+BNu6_sUQ
zQX;PTZc4ya*7zbR=QineJ+V__4)kbxvCdoSI^8QpVP|jbW!BWS?E0l_|Dt4Sco~<o
zG;d|+W{JPXmVI-Mgcqy+TK3eCt!m48wa-qETg%6Oy@V&r=h9RW2L7yRq6SmqH21RV
z$OL<_>a1lsbp4IjXNyBJ9?o;MWv@iIFaE@|xMj{%Ug>H7YIRwyrq<l&pD^jnR++L(
z>fbz{BpbDu%{cLM_N}&3qX(ZRoi<^Rjq!eBv}JmXb}Gxf|Cb|Oj%;F5ZdtYWlJxN@
zN)c=Jp1h!_%)I31o20Hw6PdS7;j31k8pgg;eOf#-N6k%z)}@&&vTtrG99ibUs_Emj
zUSCs1F3VGEEo%T@bWZzqw~han$GKSi+OvY0HOs#LrFOck-&MA)m$;USbgpI1xTgNh
zi)B)r@!s~u&#&<dNAalYO0JHRxXSA8Wn*o(O}|}YDyvccUN08Yna?i<v1@9@aBk&Y
zDdbeb8eheyH<vBAr%OARpZ!qH7yec+euvms%X#O9vHW}0BKn2rsppqX_Jvznvg>r&
zHI*+tWpSJm<E5gi&9*$0NAHr@6VbOXRqD&8#GIEn^+U}%qc3t&Ok|5tReWp9N|`B3
zxaO$7iWb?wRK!KaLO(HYslK>=!1k8Qm)|eR4HmJ}HTt=B%64<f$qoV=1pJ@f$W-~T
zw*KZ3*}Knn1xd-b@GO`0Os$t+Wa5AHjk;|8O^4&{C$x2W^rW`tU#VMa{%)!bgM(xV
zkEb?!wx_XS%mkV5FORL&ZM(hxxuMaNU)%o8Ir99x#3HeY9n7pP3{`96Q+49L*onl=
zW%Xlm%x3pp%Py;LvP5FNZGQ@r(LCYzOP{=AJvWW(Gw<q4I=M!nJ}sup%`R=_G1Na2
zrgQCe{l}^7!GDd{&Yirf<?!@N>_38-I^|O|S<0u+W#nHR#u~qxRdXxP(~!b2-oM%`
zopW{9$~H~&*yyw63rllkYl~ef%i5Nfm6v!zZ}AJaw|vsp=hKyU;WN}MRAISW>XLOy
zl)1HKCCioACCr&DzxT@Pw_g`jzVYLt(!C$5_p(hzGc9k4F4-FU%I1C6&G7rn{&@48
z*JioAmc5oObs85>TZ?P8(j;qBj&<x_Hhp}Pcx@8)w8+aZwHFMzd^vvUYuRg)s*fM=
zG`zEJDbK?#?&)iVysIv2<;y>1SMK5PQeEvW@G?hu>lb0orR?tZZ$eXyqVzR&mDkGZ
zU0qVSlJ|bk$EU2mW#`W>*Rh@?+x>%W3yYm@s-IYnzOJ=q=SzOZ;+B^ui~XK9FA;qh
zCc}M6+Q{!l6iYLocJ7f=^U`1GyCz>b66KW;b?3L=OPzux3ALinuCUfj(&9S)SlslQ
z{GGUC(q1+jJQ*8AvR|`gOscxD*3vq=DPL~)r`Vb=_ofuau|8YPqp`(Yk%v7><<fR0
z-#4vC=gO>%njpDt@6{(_*V~Tx@~+fn(wxd-&A$JVuIWjp>@5z9MEFZZI5YXJmWqh>
zl>4#XT3RAkI@dCbSM055*X@XjW>53_qFDC46ji@6rB&<rwzaJN$G2T#)mf!06|=9+
zNYHh?i%Ysv;B{u!XKP)i${$h5_nXtap6BNh#blAqS7rU9c=B1R*0L%ub$=(bbqRYO
zYsRJ{9JMdy`j+T)vTh6Y;a@L0FLti#dXeT(*4b{SFWGHVWjnf-MYCs5u&nLtt=3-h
zyI0GeT{`W)e%MysjLWaC{PT+vzrp0VZjP$Iie)y>->G@0SPzAX_GX#7?PX2XH4WmC
zSvN;Dgl$z6zbdQFdewr<JhP(Mv-x*a`NYb(|7wf$4nOU)BHBMzm36E8ovFNUP3BKi
z@8ZjeV~NRBlU{27#^>H;mW*tch4%Ai?cDz+L~XKJb3mook0V@pH(x0qcQ!n<@7PMy
zEjv{@gKzKuf8nNr=-Yrz7M~8<EoZS<qUk7m;TrGsNoofY#8;P0EZl3gEycm}P4S*3
z)hWAY_iv9XTCv?;YOBZ&cJ6DubwT=HR63*hwyQg?W)Zxmek(|6r|!W?Og-ZLtQn_T
znu^!5PQB#o^^#9-tGt6MQxsQOkl5yS#g(i}rt<H$<<nZq^Sz|}68knU7Jb{2<t)pW
zGRO0NxfCzw)vL^6oh@#*mR**=Y$|*0)Wnrj*lXXEybfl){@O<BI@|Bpa&1dlueVjl
zOo?B~w)_gKRF;T=r`lEbJ{G&J@-AOmO5dnAE$grrjA<%e5z|uo{fPBueywXN^DhZ8
zseQ|0dE}}8h$+UeT$??0YC1P-(!I&NH{K}hoXUQ>Cwq$ed^=O4$qq)^lcvW#of7j}
zb@FpI*3VNy;}*=^V&i2~^=V3!&J?}1Jj;0XCaHPPNbNZ(%^ah1_P_Of7FU}v^+|`9
zOlM}b54+}f=e1myDp&jVFRJ2IEU&l9=E%E7O}RZ+eD<c+C70H+9lb826vfVMWA~bk
zdn$|j^$6?m9z`wLJ8RwNinL8)I@Hp=d<uUei}e(iPfxnMM0m1UxcBnO@VH%?XQ6FZ
zHC1OZZ*dgY%6Z$fqHNqlqxgeFVqcdhEn(GR`Rd0SnVtS=>Au7J_g-RIzEbqVR@N%f
zq9E0>Y<|g??3y<2H`%-TCt5pheq;M8wj}=S6rU_!m8C4p)Sh3pb-%=$FS=io<<au#
zzC266JaN6nYp}Iq`Xz4h{+o?5(bwdQPw^D3OFtbYqAYKoEx&0itDxMAIF{74Db~@f
z3*Yzdy2QFC?aYzQ&-Sor`l%cLo|IIw)jaOO`z69BSm#}nWi(pQJturAkJz+{|9Q@a
z@!r&C->`V&epZXyQ)VAmXkT<ooBf<_KBHO0T65L>1(Sni_b$C8ZXCqAHg?)7p8BnP
zcjBjYuzMMlF|*dZ`MCXFW!SN~r&x0Lu8)e6Jswlx9a*|8HjDRzr%=GnFRW*Ow|4n4
zeUW?j^6|216J)29?pgbxa`tMyrJKCPOEm3zw(cvE(F+q@t}UKgzs!E>?r$Odl@T`;
z=I~vXkBeem&U<jVNN@?S(kIrKsaNLe-nyo$xKfolX8Xps?Ydid7+JZaOq;UBcPYOS
z(0w*lTvXik8c%Uh>YH=>w6^@!Wi>6|b-#aZrfuL-IX)Kmt@2A^Z6`4{)IV}jc72xB
zl=JNDwrunNd-+O5o2RL3*|--?v&iOkTFZ8XJ+G8af8CZj!R(Iv{<3(v3ak!2J9kS0
z>#8X6sjvA$ue!8u+dplx!5R0Jc~ALY&$*$k%l}bGar=@lZpWiolJ>J^c!^)%d1pn@
z)~-w$=e7I`c(S5+`({76=*N3-Y0h-Jt*lp;->+LM^PazHs`~$V?Lq$bnXCbsCT{#w
z-s&Hn_9~D?XDz?XQck@kkDiCkayol>K3CkvNmJsU+`kkhqNXe8H$9u*SeyOwUL98U
zU(?uPc(tzSMFtsJwmk9ld&uwPEo$TuvTo|Cz0dEJUTe8$t;tq$=jqm`mm+$fFfy&0
zR=D8BskF8W>(VZ)|G0auXMi{hQ{AOQPuTfZEiIgFK8a1CxRk|c8iRg_jvq_I?5X}!
z*pANX^=B@b_m=YutJ){#>r*T@vt(c4e;UQF{p^5u)Md82-m9OmEcfJ#VBwg=CdF#U
zk}>HxcXxR2u~n;A9CO#$%6>}5B+5leoulIAj`K1~S9KRml5OQzRCD)p)bQfZ*&@DP
zCpxFCB(_TT>{`D6tY5F0^INe6M^Brz)}&}Ef6eO2OSq4-vR+};yrkt4tF=<pyTrAx
zO00UVjSl<HDW)y9VNtTn*7B82H`2I!XKfI>@46`>{;b#EP8X?L>mw~Q=_-$5l(?KY
z!&2U|(E0~ayo+;huH;>|)%V8Kr;%?2&zmjs=yull)0?|^%cCInh<mLaej<_HLa8nq
z+nIHyuxGIMOp}?#Gw0G4wQLrz{I-txXH$8jS#M?gdwY7so;&5hGHG?YfaA^w?p7{&
z)47$*9vxW5%=)#)*^B>GOYKs2<xnvZFY#2~KPy?jOp>19sXs;ifsNEFRn>Bz$faMZ
zYYn%k&(pmSrIxhbJZB1TB7gKWCg1({w(uLW<ZYVL9j?W?B-EDMi{;$b%1nNNrR=v@
zQ!lem{lybEC3gaAa}@8a?JWI8dw(ux*&NEccH5awKXHcDERmDs+TNV=V(D3{oAiaP
zFiUj8$=LaE_j(vJWH#&av$JMTZNJC6V46=aOJtTVzc%CD&r^8!h^^A>v}Q_ZdbTj3
z#{6De)b}W!KU`6}Z1|S4-WNG^iS^g2rG{HXtyK?i>N?w%XqQrYy+6ji<fY_?de8Fm
zqS?uX)26!bd1Na2VM^wES>6M>+m1-d>%Nn%i(9-eUbJeu%6!q;QM{h#cI3C_KYe!B
zzchx6_2^B7`EQC@Wc@BxOv-MmOKFpKHJ7Q|qv<E({QJSnVD@d<CRz5^|19Ubtn+5d
zC8o7Gm9J%#Y>(FOe12)`o9nD^Cb4Dk>?_-K?~>m!7X4eiee-V`h)r6yq>Y)iW?7t`
z*m~7%(<_!;$?%d*yuD1~8jEZcJ2%gH$>$=eECF|&-albFv}Z;j>$%fRF@2X*xdS~<
zw{XYq*}Ig7QPuUx$?aQtCE3NVv6xn|ajUWfJEVk9(cHwf=IE!gQ|$9vVuPaCdzema
za$b4-Q&<#xJge_gpPV38O>Oqgb9J}z6hz6%M2Tgx&Uo4uyL$=OYnQT1?5S03f@?*L
zdDo;SFw9l-6VAM(d!6OwYSB_vR_lkEQ(4`6s=QcCy}3P;etXH<i9FY4KfSW&2aEev
zJ>Me!t=pX*DWCbeO6bPdrw^{N#NA@;n;4oQ$~09(Q}o9bRw*ymBfK_RJj|NH*(?of
z*=y@hO;+1$Eux|}nf-+FwWq8vB^kEef4i3DAOFm&tg=z;rt;>mSp}a~3U4{!CtVZ7
zG$~*Eq|=)Iw&F_yzAh`bt#zBs&B7e~h3$kFi>92vE|b^NsX6?fTg`=^Nbe3}J-V~4
zC6sq-_W!im3!kuNOq1EiUOSC9QN`~Xzr!t&!)=8>=1%Za_n6(*wuQf#ci$4`Hq+cM
zY&umu{-2Ljs-z}ePrlfexGN~}>i5)@+&@|OE#Yxuxf6Ep;3aiwmH;cZn6=NCc~+!y
z`L#s7T*6(!dQ|ns_Z?j~9TF!j@O{W!#rk-u-tqLdrQ*sw=P&cyX7TRY%Cg+tbqb4n
ztB~qTUf~-56pc?yL|jE{H+5WTeKd_nW?Ir_R{cr51)6K8=*?i0T2dgv6TOwyXzF40
zwfwL7XN9ob%2MshW!>58;mMLQRqj^`^R1R_SJB?}JRQL@6Ft)&$h@1`COC<?_Gy`_
zD&NFnkEQ$?Z2PC^?%_;7BL6z|l+A>z%&hJaQ9PDgS-Yc@9vC$%PX7@lF2#N-oAslv
zUNP@~T^A+4n6+$q;sG^pH0SacT~o<CrBb@B$AhoVT+xf?gO12j7TK-r+^l(Ctf`tZ
zwtL+st~Xpeg=KlKxS#yWlaJpnY5!I|_n@Er$Csv8r>N_SKEA}~oXy|8l|QksWxlu2
z=XYmpy+yUWH4fz%E@eHpwDO9M*H)G{d|Yv?vf83oE4d7(_WFsQ_hw%r_B_A&&=mfg
zI_~GzOJ(rw{(Qz##dXzu=YwrYx3(p@ACF=^64lqnZ>-HCI9I($>|)WBpIfg^@YA)^
z<$Y^u@!(<g>*l?c4SUZ{V!yF-|Hlbh{kM*PDQWXk?^VBX+QF*1@PzioB~IO|Ik&K|
z?x;R{DD+3SZ3-LfWK+@ZZs*6|DvzTW1$9~N1op&#{qbq7Uqg!8<TaJ-tk33n>jtkC
zk5{#u%Cx_drMcyTYNz&f4_%HHC)M}O4-2<Gx?QrhW@2`l;a1h<ya$$;+1pq|vs-Ao
zw&;rM3puXUe_>-$wN`YVO50NIU3U6cS@*0`5&WuqS=(;*O6xtkK2xvuvuB5~2&x^G
z+{>mZwJ#(le-(>qNJw-P|1Vziwd~?c=9Ji*ZWZxk_noF($Hp2bG-2A>Dlhfwna}U~
znS1MUxRu)2tzvn+#OEq+@pR$O$I31)Ey&cZUCXwRckwkF>nc0Pt$bT;tmmmdy6s|Z
znymEUO0vE6B~ht4JEP2U#OJ+?U$;c%3`@)vy?{$h@hlCV>>0ZH>sy(Z7~P0F6Dlea
zrGC{~Hb}+EMmf4cx@X2;ql7;*C6yyQPBL?I{8>HmWMM<McfY;txvuk>cCwGVZcXLz
zmXlo1BC8@Nud#XdY<+=AKGRqPRjmZ4u4HB{3AoPE9mIQ^FGrhai|1$i>749duhkB1
z<^L}hv&2t<N2`QAFRV4*YVqY774fIm;!|1uSWa)%Ig({5>u`O~S{6Z-q!4?NDqh=E
zIqkLWm)MR<uAVcu_XX>6FPoC3;vrjgtVD{mmfDJlESlzgL2dFSo*-=&yX?7sQ`t*4
z-7)!^DgJHotk<r8%Vu|9ipl5Smt~u}msMQkbJ>m(uTppZEN{^X_S{*r+f-RJ**34e
z^M!@`I?Kh^CGM6iU7rQ5SyPl=rBrV*T`S|PVz$MwYb}eo+nmYjnJh+GtK0pS%GEDP
zW@Tvrb*@;K-xPk?qq}+C&Bsq9)#u+6nJskv$~rzTmCSoPF7d49m$9-bU&|lO6Y9Ti
z(#;vN{(4NOxfbj>JoinIKli~&tVfwervALdDs{?j(-*I~Z{?q=i0U$I%j7vI9=?^w
zq)++2mru+28~+=kR<oq8ZA~rC5`D5({-#g(w=0oTM2&OaW_n6{iTYh@(~lEAaEa5+
z#6gJBdb%#lM5i4k%&d1$O}%c~7<DbF&C5&v1^WVZ`=0o9+Cj?3>8FCGi}EpRvRHfm
zh!Jp6W_Fu-gtvFKOjC-|>LW&)YgzhvE^Sr+tiu|mGIRMEt;u?8-ZQ2yb(LrBUn-%&
zn>CqhZVUhVFD#DH&txoDN-Vy1QRmzl{VO_4dHh)(U*btj-^229i+&!fU`oT=v#YGP
zvYLu>hRJZhmc6rtwMSlU(=(qSBUZ=jdp)-cNZ)aJBK6{l%%ioTahJsAX|u{MWpT7S
zbd5D*ExY~_`_nq1CG3T(uD+k5VmW7Ln4Nx={h_ri?*5`zSp}nb<k`6Og)C-nwCz1K
zy{=NN`kKjvOF|8@`!d-zHMtJDI;>^6%c>uwo2u>0u$2F`y7W@N^Cl)&ZExw#t6J;!
zktgfh_D_3Rmw3%t8|uYxC0BdNcpuw-@6C}c%In4Jwz^Lg{Wc}lj!DgCPu_abOJ7+Q
zW{G^u<T%KlG*>m9XYs8+>&}(6bj}R9^im~x_V2q@si_Os^5$H58O>!@mA-H(Ta38T
zR`yy}qiG55Y4fhJ1RJr&_g#Ojl6F~r@26`g=IvKIyy_=A>x>J+1}!&UciuUnE&d?;
z*^Ye^XKr?iQ<=HSDZT$=1rx`fi(;P49CLo_p5U6j`y6Y=rm1Is&Saai%#c;;Pvi8(
zOMJGdaq@dkQgdbJP+>UAw91qDg!XCXE8nKv`qYsz|9#M##e4!stQ?PQwRdD~iYuA7
zT)wE({JfX!Qd95gTP6MUk8A5FeeaRbXU<!z)5;>am7QDUZq`<v%lg88bKcBlnXR?_
zPc4h4f9#p%tiOxqOD$D*3bC;}#je~Fx0pBg8vooV9(KN5eIdWky$YHvM^E>hobFq6
z&17RX>%~lEp?xV^S-*;Ij5_D+#iKX($4k*yOWBwBB~NBhV`SPQ&H#$S9r-;9^Gv!F
z1g!(o0+Srm?88nAi62Rg&*WEpDdcDqFpXI!G&f45M^m=rrP5V<-&CVry;8T{JP+3r
z{jHlOZY8)<S>ir>ij{T0$geH(r(&)ao%5X}A;7rM>X}M%mB7N|v;Q$3IVEl~u_$8+
zGiym+)moK3swb|A*hTTaEnU7`WnrjjZB^Q=;;D{NIS2IFSKj5FBrB|vJx#HE%j~&R
zSQoNgj4KUW%eFSy)hCMIkZGZoz4BJxeJtYBm|kAp5X5Tg&8*2J`)=#05ZzoW+vc_U
zb6Ga~-xXM{^KmNsOWsgF{=~|!%vw*)lY4}IZDHTvw8!<1<XrLpL82R@<TY6Yvqk==
zid0W!v0lq+ZFlslZFAm|4O@A?^Pj!OcKzLw4W7bQ3f59$w=Zl<|L68*%~^GK4^P=I
zkzMX)t7V&(m>-s19K>7dHBFSiW%u9xm)KLK7v;{LkYm8vb5hudXA9dcUT?4Yrn7ql
zS6>y~;H58RCtlsv;nOE5C~PI(e3O~=&AaTqavrKlQ9QS`RVHPgsStm6Rc2ijdp1jI
zrk%)3rfqwlJz=_XRbO{1>xp-bp4P0Bs_!v#uL)nfMLmXXr=N?)T$OWL_Pv|et}VR6
z+G)h~^y|6h>=&N|+wMK$$NN{+hGVTrpvk(gYHzeygUi1%OtR&0KC*UOkmx0Cku#yD
z|D$9Sn0|PzE4L|`E9)M`8uQvDYAa9f?2OCqEMDwO&T8oLX`B?kH|=CE_Y&6lZ<7|!
zT$6A~-8stq4?p8-QP(Lv{>SwNl@ENr81>|{>alEItxUsLth1)>xy!VBi&>+8Y7{SX
zCd=QYGLr1Icl(T^L`0M~MzIQtL{4JuVL$inO!ZsVPk#<yTf&tse|E1}JbV1M71i?=
zZQa-+GKJ}S%oNM?c@DN~S>jnbRavJhr!BwIz^-$d{d5%T`y3YU<L_9?bEmA(Ud!Ue
zvhA9R^wT%RJE!tXe&LqA%Cp{zpS^#QXy>&htf@Sb;VjQqUsYSm=qRomBEl0T@{M<H
zkUlrdPF0@ty=<By+oM=_KD&}~GI>(_=M`=lO4VO7V$-5TSJfO@zSk)%hGA{Y$=W$y
zQT!4r_A|en@Z+zL-Zu06l$f|_Au5v$PUig7y+7T1^9;_uQyyE?l6h|5ni08j%9c5s
zuPw4UKgD5_g!7*kud+$PG1B|KNvlN2P0`i7%*S#r{e{GKiL=*^#A&h^W%q`8vUxE@
z8cE!I7UId?&r))88K3H07Ud}=dzZ?4s{h=olEW&iVs>G^S|zXBv=g7MKTv1dZ+W-o
z{sZ^Ln=e}>UAb(Zq{Vx6rOJDjl~dVnS{8m$^UC67SSzwwy(f3_1~2gv_B<_B<?^q7
z>v;scd^cqBzgnw)OYPjYyR#<Gaktv4dyS>@)AX{bESl4}7N^^J>3?~dYPW^uH!ph>
zyYgu!!RZ#oQE~-5>HC=qOH$lZ&)kgTd%9la|1@puG_NogcW>p5>P4$Wm`h?DZn;05
zUheOyD$lk=msR;C+c{tFEi9V0kL!e-tsYz7%?wP6&$NGhnzf+cW;uJ)R@Qw6=a;BA
z%4bbw*Kz!_g*{lD`;tpaCR@f<mYDWOueYdb&GF5%G@Z8L(c|`qEjOnbPisCl(JIL?
zeF>YXO|2@EY(&)NZ(%IfMQu;DS){tVXWFdY!e7ZdH;QS}q}6^AS8tm<VRP9p<Zc@?
zDcZwn?*B;}H_wvZe6i^0=8~gpa}Gqa?|3P~@s;&{mH$pv7VDnZOGS3tBvpAb&(nSC
zeRmRHDFa{o-}hH#;<7^$SH?%F*`0lQI7n)fePpKmwW+){{61m2K~cOZ0ZPlZoLr*p
z#2O#Q+L_H_x>coxPclqp#ibfvmd8=NyX_*cYVjtXf4gAnu9V|T{DPuPD@3-xwu#@$
zqs<+9g+*|Z@=`X(IZM1)taa^w@8$d6$MF6t@7Y^&$~_F<Rg|i%tOM##cf843`gfD8
zOx%>`-sK$p2HrwF^LJ+Py1wO6ymmK))%TL~NtT9}OO&?qBp7Y+X?-re`r2NdB)-6J
z>(BS8v8i~J?~Ya9wq983Av^0$g^xK;tSs7Iy!#?{;uKH%I%zeA&EYLCgq2pknRJtx
z^{C}F`^BqR1h?|8mYa4-#Qil(M!49yn}-Uw^z38cE@97m_9ZV~Mn0S0VJ*Lm`~q+z
zppP|D)kbqFZ<ENCEiwmL-MvbhSFsc>x!lvcID{qswb|jV<_}qdwfv{ZX0mpA8tdjn
zi7i!E;or&CaqaY_yi2FpmmD<-ddZh{mFHbh;vU`w-m*KDw`Y}A?bSQSdT|PydujP4
zag|G=2iO*R@yqjd2kqx)?avl5(3MwETxn~gz|^@Z+FC06()AVD_6kc`mzm8kWoxQZ
zxuMIxk}W2br^1S*VJ%w*OVYNsUt4(BMQOdsTVALu^4KJ5E9(_@@oRirvRU1yU$thj
zm}J)}o%`n8jVI00Q`oH8ls`>dJbi(Qd%Ur>Xy;0%2Qd{}SRU9)$9(tB)OCD6wSA5V
z&otZ8jsV|%PqNw7){498Zr-YLQaX3e;!i5Owr$Ot##%dN-(;4~X?pH{W-7WI@=Z!i
zpPY)AS>vzxt!6o;yKcL88#nvYonLLXh^Lxt|F-S=5>_eIvU&ZFe)87szh`|?`L4p_
zlMIVlnwNI@@a!u7Vx!9YR@bCRPi$?StxV!p_OJ5wVf^OHzFSXW>CxEj^Y#5LR;hnF
zL6@vkS*&NjE8W7H=UP08bthX}7|Y|etjgEetT*2{W&V=I`Z`a2Q7Ye8gXR2duJZ2l
z68p^bo`HdZje&syJm1g2!2mn|AI#UX$=k{+&px&2>pgX;n90*s?Ol#Y?|#(9df`#3
z_-c{1YvQY-WEKdWs7e>#EB2l};F660yCp|U(mS7h)$vzdx_TP(5<fK+{&3dc+3ez$
zQnm||Ca+UuaWtA9uvDhrXRdRW>CBT->zOrsRhF{pUuW^HV&mS*swrNyX|3uU+u%6!
zdyy@_GXHn2Tfwdw!n$PHl_oEiXW6Wu*2Yzfs7%vdB~}q8GHoqu`Br|7srGNZM5MQ>
z@W!PmoDb@<JCQ6k*+T0}&RoYR-emXnm$)DDKlfr0RLNh@Y7rirbBllSp`gVoPgJwL
z*;94(^?7edS}$z5l>hC=yeW2*`+`}Php}?+ywX3(D0%V(mAGS$@}8ITu9nORm}K-*
zc@D#Am5AqT3xBeSOyLP;**u9wiY?$$!<K6sSTolA<zB*Kv}U^U6y9rbCHsq*&h@tE
zPEmfp=W}Mkp+%do9I}teGX1%i_u<?UyS4J4#V>~1CcRp7bPBumSIgbk+4ig!-5+9;
zn&le0mR+1jFXZ~#DE=(onx#HFgIG0BGl_56I@K;Uj<s{GZsIiNTDD-nZ8bsZ|5!|y
zn%jr62o`C@>{XwyGwD;y$J$Jm>zZ0>tiQL0^eg|IvQNO)`V#;8Tdb*z{a)DSU6I{v
zBzwBCJc@k=ONlm%=2qUOn20bIWl!-%bNc;_tn%U}uX~)k%XmeueO{{2*RQ9SPnF#&
zC0}Bb;mxeW@^@`q*OHJICf~l;r{-N<GPUQFh(c6{+qCKOzO8?r?mE1&<z37x8;hRi
z=lkbxeab4{`r2E+WHqaxikeEs;>YbDnpxx{Sqtw3SoRqm@?OZynsc{jwaB$=DxFd6
zYuFukw!PlUo}_*&%vUN?e97f0nwso|m%rF8=hM5+@^Tf+#jWOP{C#1p!82Rmda-WS
zRocH)b}@^l*O{wxS$<!#?OCa6f0b9*i^soYQWU=}tKeGJ;4s$rGq3FPW9iS-`FOhJ
z#dH^zX-UC0I#yd*-?NFwv0l`+D~WBncgbFp)pyeOv#jC<^;2vGdCq37m6N@)Q(e$X
zv(j35cXn&ulwR%3v?iazxl=x{Ec3GZl~rbx>n{DxZVQiu=%KLrd6}ZzC$~S!`VaCz
zPnfL0&DK}D!eZsJMU*p{p4pkct<}5ya&yb8vx2uCO=x6hwbzMa&(##wS<5afBl?u9
z<*Uaf_5Li={H5&fwwh0vzpv7q5@))<bn7L34KIBwwT8@?**l|`fA<Iy?Rm|1F_cF#
zTUR^t!ou@gB%^1yJm1P1%(8IPdb_DS_a|Aqn_6ml3Q614x`giMWsl+sUHLs{&ejOk
z|CjjUP7C>c^N5|q6lo`-|0RUyg0^_|(%dK;zN@l)Zd=c<S+!o|_E%QNpx2Abnzhy}
z-^z2ujxUTi{q`N}Yqp<^-et7?yu>cZx7DrviSk~akDuH>?v4GxWA<&yrOl#zS45Sg
z%znm->dJb~b=|_!FzI5pXq(ym%Jic*JXc9Y*`4;a^O6(h@GdfY^=8&mp75)pkx}A>
zytmh~h)-KRgIDa<9P=8<uQA<gR)~BJV}1EDRb&gRwXeh_G3J%~CvRbI<2!qW$M%-V
z<u%L8wAsJD-@V3jiUhCl+MIV$qKAzn7PMq|Ph*?5cdf|(5SjZ{Jh`eo0?o`@)o!O7
zU1NXg#j=0uu9d8sS4Ga}^(Vhy^U)`9(ynWVRUYRzc`VG2op4<wN$c!t%{hrGHksNo
zYSWgoE2y5Y`F16X^}<gkyRW@kZ&~xc^uFHw%{TMRAJ0o1dSS+MKC!c|TIy+;JxO#@
z#x4V!i~}iC9==%RZ)F`ex#aW86_xw$xn7MwZSeW`A5GTyl~(0f1XHZ~xB9;?(F>mR
zEqVHlrz`ypzD-J=%E)1@wq{Gf)5jkkUu?R&@S^$iX~H|iMXs@nYpI@Ixl%#r?AEe7
zECE@%tUcy`mWpg<%bO;2>zmHnDAxCDWuwD*<6iTbPvvjA67xEQWwz&(dn}ssk4{#-
z$`bEo9=_*a6pJ{I@M@OeORf_$*|V>*L`Jb3Wzt_Y_1+YI#+JP=gW0EEQeGFT>B-w2
z*<Qt0yp~^>XYo?r^`@_uaJ`uNFhrHni**Tmn{N7>t0F2@A`;)XoK<nW#BJ?mS1?H?
ztH3_MQ&iLZJgcBqTH;!@Ms}mHcyCXxG{%M??lUY6LF%tqlXkb({QaEcp>3Ky^IW@F
z!^FzIu9V#H6L&72*}h3LZ&#y|LC8wa&Ft6bt}FXrn)}v9YW1@zwmM5>)K%HU4bJcG
zoO!~+baz}@>)UOuhgF#`zVDl_!YWe9p0{h!6uVX25h^T`oIKaEx7j>1?=h|Hy?(mK
zF{jV{&!;UKY}tFuck-8&b>$!H=jblaVBfZt<>iGC|2aoLJ5G8uVFNSknYa6|>FlUt
z<K8RwRmpnGJ1dr(xAwDSiu~Ja#?KmaXOEKGhP`Zgx=aDD*GAS%;^nRR$i`>0{*Jd$
z58v%<mWzA&^mxj`SbwiJk?!4L(aXR3i|)QvJhn@@S>|5!7Yej;Em+I)gNG$bhvTKK
z$QE{Yk&0_Pam(l2vf4Xk1xs_*Rh#T8*3+KKx39;G+AN)N>JqQ^DK__Bj<P78-FGH#
zWlde;&dV!&O<eS~3$LX@7tcm#_QFL98w>Beyyhhm;P%HVr96{Ii$#B>=q*;MsjT9>
zjdQlhu)AMc5^9zDV=JpEt9Z|WB?UX@oH%)4S8dGqSY3xc$=^&T-Y-9W%wD1QTa3N+
zno}XqFL3<1?68bQ{O#ncC+rHlS7op$sGqK~o22Tx!|G+E#oGByQ*4r~kLL99sWVwG
zz7WI~Kj-8XlY%f6V|@q1<^2XWZA+cjv)&aCU#~DxsB;1{t9?V1{FZEc<+);l(_*8=
z?N-NL+#3IqwQ5@UdX`C-*z+RSU0gp&q*nLxG>@I%8gy66?3S0`dR$d@x7Fr5(HFjM
z?h(phnXSsQT*hiE>m)w&OFVH=>XVtmwC?zPWr^`(+jeCCQuaevMYS$56{;S7S*lQa
zX5(q5i%-@EMX|h;7uV)t5B@qSlV`_Lkz+dNF4uQ>%Kc@0nZ>$rFI(!K<kNjTp3&cU
z4(iYOaek^wmWa?w<~{PtS8DHEl7CQBX3VhYV3Bpw<xf|N>@z0uR?K`LdMHZ#)=Tv>
zTV;5!+}$25uV=;5a8<|jlf(AKvu1VkP28w=_s3-8KVt8rSlV{>=q_dMahDI~HBtA7
zFaO#yiMQ3GdGYMx=0LY=YdmKAwMBU>54(3Tg@L=fQ>9sjLF)W_Cr`^gJTJCdz1%ZJ
zr068Wq;AtL^{6;eiPf?`Pt_K!R$9W$$HO@HTMjqloay%@t+q^?JhkzPj<)CNODg@Z
z`E;+?UXPx~bb0PRZ-!rX7x&(IclVlE+9~xn)0g}>_sx}mX5`x5ARd8h2W|`U&39YM
zZptF->dYm^9(hq*zotsB&y{7iGW&8N{^#5eA6!g|e&BohHQ&{*EKM&}{#|1UP&a$_
zH7)m*&*?4V7cX7CENdw@C!=`I=1Y>3d6cqv^)&nHSvcmhq~<-jvwW|S#Q(F~=8LCJ
za<<`LvxWb@?~iE=OIk9#>_xQMO*2_eus5vb*Ao>AW6=yclE_-_%^b|`p3Ne@m6cn{
z>dv_>s#0aeTjf@<)Lv!%?d509vPakF;#SsF-d|pAu9Jl8m|euWivAu@S55P@$g?Os
z`z@1ymZtAN*21ei2cy{D_*_39aB8i^@7s%tyBvyruV3d)+jYioDOYL9l4#bOR(E+k
znSXJeET89P!lvh_%er5+*Wp*hR<~G_WTS0DD|;Qvn{0#n&Hp@=Tch!NYv4)8nQzP1
z_KJOOdekj;C`IISlW0tf+j3d+>6`+ub{L=DcI?c~bK4`YB%YofZ0)jtR?YtOrTWYf
zFU!}kHBD7tWvQ@5=9r8@6#tnh_WLSKx@Jz9vw2G-SAy0oeL0f5RCQsf%;zYcjW&F_
zOQWOIHtam^S!yHh%{@`v;&sc*E9`1fcH%5Cdqr&G#6`1NYUi?bu33A9b#0bt{#q7s
zR_<v+2acv_E#+sl7x&}!U)vUZh2_L08*vuLEZ)Suyyo^X)0A)fZ`mRnW-A!PzQ<eS
zlGudxywj&~zP1sZr~k@qqBVEx1M{i!wxSAOjs!*V$8t@JQaj12rYg6i)J8DK$SiMB
z7F)(iQ3X%dLq2(vm^t>$*s?2p)49z*&mX=LI^`70`*{bWCUMI<e&Z5eBEaoqr|Q?)
z&^CXKw>9gYD-qLYoR*C|E`Ofu-2At?yADk~lE|9zXY*M#_RiEJO+Ck_FZKCnF1%G<
zNv3I<T>xv|n%Pe^d5b$cW!h8qFJ1PS&&+BcvsQL~H2c1lX&1hxHAS&LwF@wcQ|sUB
zrJ}>0xBRH5mrAoY*A^3%(+xV)JmW6esIhM|(ly-oKZ>2hP0vbIR8?Pq`}tPcgL3Rk
z*dzIKmuj5~yR((`tK9b`&d0yry387r&30=quRM!poO%As)H_?ui%K6yv1@9xe7*mK
zYic^v71ly4woXs6K*f9WdQ{Z{|FWcd@rNoJOj4aGX58;J_cH5@OrFZQC3!prljQj1
zS)*)X&hs}FJ?lQ*TKa2!MCp_hab|}-89v&_tYYcgqIOa|FUmefRp|O$mIj%LaUzb<
z8~)ccMFbhV{kuMj<tRJ1w@`C=-dsbEH7`BXEK*Ne8hzf=>a*$pdX-<7joWWeao1%H
zm}+Chnr+iwwUqbxHQlK}0&hy2KQUczsgmJ$?qaBCW?lCt?)v^oQS4JxX8e46;gXu#
z?={n2s<s~z<qLlkl=^GhlDhQNJ1S1+t+}&%ZZ8#?w1myn<o|SO0b9Ez_R1nxY}WHe
zFMq5#Q>*OlrC^;Y*Urwdo@(mU`(y8A*=1g?!n(rV?OT}Iv{ZCg9(~PYzJ*7a*F1_n
z?=)B9ZFap&oTvD+y!dPE_q;xnrqg@WQ%Qv--dq2gY^yi3xJiW<%i77Styrtx$}4a3
zpTg%K=cmFlo87eYe!ldz_me&@ejm$uU2dPI>7KPL_gOA1F@9iXKb2h}ozaU;U)|7S
zDSIt@Ver|mr7ST^`5y9jO<8uvIb=z>HP5c8;@RA)Q`Dlw8p3$~oEFG_%MjwlubNZg
z&AHglMW1Qg%-L7oGF)K~i*m1tlUOSv9cp{U>+d`JAFp|`w#Zwt2;O?j_|=Q$$CU8U
zD1Nt4+bFYZs%&1W_AKYt@>E`zsr6#<;+x{B-o-X!sZZ%w*8N&F_xJK`WjlRsO4R50
zS%xQrzuz_Mn;PZEy3)Jefrn?U*t{k3lDx@b>@k<jnR#+1v2wdFW04JFN!8WxE$>|r
z<hG2ZP@Apavs**1RogKrddn2o=Tl$W&tAd0o@1>Fvu59SPc1uz(kaI4&(uxUD%`p>
zJV@r_T7DMQb9GDs^Vb<qF@E!AV(MwX)^nTc6VGkE8!f7{mL>O^O!d-~kJs5V^o1sA
z<|ni4+-fH!bmC51&~}-((^RwLnWwM|+Kb1@_PsvSbxk~M5^Im18=qAetI^A;22<Dr
z>>4K7i?e8k@yNes(VWU2-x}!2{veybaw}`O`p;=hr`rN|rZ9E31peB>a^248i|(pR
zF{OH^vsr_6;}iVvrSdqp1bVT$uby&di@4AfV?R;LwQNVPotd!NZqffvYyI*=ACt?s
z-j3vzNL~8hdMUfU%B67Du*#!zf>@Qc`Fr=ubcmiaYw?b5X;>b@`s`h+XWmrat!>_4
zLb%qoc&6K3V)a<Z%xW*VR_*cRmRllUqRNg`iP){Rxgay=D;u{LyQXi|a<)mvPn*7L
z$rentW8%FU#A=r<%j?-T^|s2|g}Off)|#`K@A?w5K8r<dr$E(H?kg-YuWPKfvR@JB
zxyCLSWK~`h_tGvEv=BmH!Rq?5xz~f(%U6}y1@XLFZIZu5ckR^1OYGORcs*6c>R4Qs
zvNTLRHchyNb?PPlrXX(>JJu{#wXLk1*~P!uuwHw8T-f-3h5MtD3VT*v-#v4u<jYQs
zQaQ6;)NxWr-*jfb>2H^cZl2EfYK6DBCxc6})C2~(cI%Uew|YD7V$v^SG-~1UlyG3K
zy~JV{epZXMcKf`~C47?<q)Q5|(wk+PqBggiL^Q=Mao{TTRbdqoo2tq7gH^D*DVL8W
z#bA27oC<694B7J5+#NR*T5~5CZRlXQsv=!8BgvC}1Cvy^Pmt)#y17wvrp{SdWVgI!
z!OympVLYMJ)+oMxzwXn1dyX)6LA9+<GgX7tpG_4x!E<<;a*wRo5;Y-qj?l70H`glI
zrOMC!tiD7%n_sM?bX%0WC(BDuW>c}{RV=sm+Ig|BD%!Iqi?w{J>rM7%FP^zKrz!1z
z!sNJT&8jOb`*+!_+RC%l_n{YWcc#dEPf;ObF20pwhZ$6tDLGqBb$75?<;T7-+y3!h
zmZRkxBKn%-*SuT(&D`Ro)~4_mzdACfF{`&4PCTakYi7ak{1yL-_~!5MF$%bO;k^;}
z>YX7*R);P;Q{vt$&bxFi|3h}cryL(H+^%41kyJmwB);r*-9&Hwxu&60!g!_}y4TF3
zA=9{$#Z*-CO9@k!Dqr<<mrS+Co?2Y{KdEr6WXYJu5xAky{^t(y6|0UOxY#{sQyA;-
zNwPd_wPw1X9yD59mdMOWwplOfTD1JiNv>BM(KEJW?n%Ard9?4!WM*Yn>nX0!C$IHq
z4O``UJ4Gm|*U8W6fS2oS)@E<_+dQvV^L|$~U8Jx<{ctz)p_A6BZ0A}JZk~Q+&-;{b
z)9qy9tp0kHe&oq{=~A~vY%TABsF1^%Y28~*XS1)G<}}CUlGR$7Q1(xIwtQGrDs##8
z{7bgrDX!;PYOk?!TiK?lvM*^V-^yCTE8}H*<fYx0Gf#x4^Bl=qD|3fWY{}NWK8G%;
zd<c^L6~~#)Td+2K2fxzN_HwqV;VhBf-P)aoS*&-jirl-T_-#-5X5UM9Z_ZxPUNtGK
zzv@Wr{4kap?~nTYJi2bKnLDM#gSU#N&Rcz%z1)k%<@<)E{AW`2ZZ5d4Vr<OB+TUEW
zy6>urz&0DZt-Q}!#Dl(Vn6z0b__>X*)k&u2iBk_1o%mjRta9(yJyt)No-H*$cgExP
zOTOX|9+^q|)^hvU-Tl<E#sAuA>*T$YK0Mxg$7=mn{vw<8S-RrNT)Wna6|$;b^3z$Z
z`hICioQU!lkq4(lT#ls9c5e)GnS7}vQFn@$Xup?rlvug=OK;z#?yIZp1h27OzuCg3
zt;+J1+b8^ZikG@g&BLWKo5FYwu2hv+QghEnFh1ybRliB4lE>CFFQ<wKUAevfN^Q=n
znikb}$I|BMvigSHk7c<PB|neVH;B!>=6oiL=~mW$-c!>K8y+;@V(u*RC(3sJ`Y*N5
zbsx=}Z2bSI+y5mk>rGv!JF9H`xrOzDyU?^cZxxj|Tk-XxlR|9mtXLXWvS+aFU)!}r
zt=w@X--i%^OX_u(q^(LE-|l)ITx#cb?~@SUq%AXrq_VH7oZcxuJN({<OF{uAA5IHp
z@Ba`KrBlUYmCftsIpMVRHfGjI2F}ULuiQ*G(_OS`{d<SMOH~!7aYgAAY++TFE1R^y
zw1g>6^^Lcn6z{rMdH=8L7km;j>f@R`t@@Jb+m$v)x2jmqYYI~-)y|zimo4CHc@V2+
zHt)XB+&{a_?5~=?&18A+sd~)J(&lcIxS6lk>ztok^+nYACn?V<v)J-2o3%emC0v_*
zb0$v#yYg1smu%u?Q?|Z;Z7urI_<7B$%YijHpWk1<5XmQ@ZrHMyZ8xi3m~TUry$IK(
zppO~$`j^-<So2ORE3Vsc!dl^fW6lYg^SifsGG{m!T~dx^sanaiXbIPx>D!lzDU?V>
zuU4D1LT6p%T8k8myIVp}?dzSie?tCACNH+@ttwust2WFnbzuriu~>La!+TB1dRuqZ
z<0+y$PfEDizxn$&_qfC6o>_kX-pj9!+N*Em^8ZPCw)p$Eya|t2P4*6$a^;$xezu+O
zQ<Kd8mi+F|EVB(&tlOkRb6EuMJi8`y(8zk*?Yp1Y#s6NI#jZI`?}$&KicnSg<F%~I
zU4$pI)t=j7zO+t>b)L<k+I!PjLe>~%@z#6tPM7{7cI6X~YU>tHHa=d%%4d^Y_0OJL
zKl8O;cGw%v)pedd`)n8RF3R**SX!^Bv+R<rgO!NON`)oc6t~*68g1D#EkJc!>`ODw
z?fEK(D_9?IKQk-X=L&1Q7wd8>yP)72mi?KmF;iI-+GD2K_V3ZT#%kfII*lcAZcqB$
zsMa@2d-Sw)W5a_T=JJ>;?)}rdbT7-xE#@Cs;=@e7c^xY*j*Q}Y9d=5KrN@(ZAG31E
z>!tSPSEuS0X};NW@qA2E$*f?*HE*Y<E!lO2HSUsV*b!}Aqs+{eUZVYL-Mo36rcOJ-
zZV~qPX(n6hTk(Jow~jBeJJC>k<DqrXg$t~2vRE=S<z_wGrry|N<#N~O_oSu=#s@Cs
znM=N4x$mpWBDJ~LlUa>nHY?xBy=}K&c{1$ueZTkHuemDBzE*jj%u_wzvvqpev`u@Z
zrQ)=e=Q4w@O1E>5=gF{l%rR3e^dq}p^q)EOzyHi#fv<5q$5xBFd}R~25^r8B?=8w5
zCiBYsLA1*&Z!sg0{`E53*DT|mCe{;Fb3T)O`BEO4lm(Zce4oVmT*$?9LkXLgmWl3C
zp2|P|emol4yjwH<rFhR)8vmSPZ?%%ON9V{Emg}r)U)atCsWJa`$kOv!zWsqs*!{DY
z;?|3#{b?;;!Z!6f`{pQF{XQ!%K67!2EK$+DY~rHc*TiiuDe{Z*N3nIXE>|`AwwC26
zFJqj^xAQh#!Cl55D(WuD->5rtCOehiuP1nEh^*T#lMhdslKS_rUBax)YrpgXtNGjM
zS>79rk9X|w49@*6_N_T!V}rs;f%h{+J#%<?lBf3F@h)p#)%tJE*5gV6e<y!E+b3|T
z<+_pSx5?)x344ic=sp{>Z{EyrFI3nqiZY%z3s3Y|VjW}h?Xr$$7AyBjCOekde=@30
zvP4c<p|#QG((Z|Cm|4v~N3m6|m%Y8zKS1Z^6~FRVEZ0=8y)Y3<H8E=yw_Ypm#WLy5
zGoK}_VSDx$EQ!(C%Eo>Fr@p11b@$hm%~Q=@#mg@^84!JJ?g@UkM@^3=xW!CgmdSf(
zZtfGk&>+(-*{r@xbwf>_uUe{=VgB5YkA3CWW>vYTvhP06;f}Ir<?YK(+2g6)#pWLT
zYf`eQT^=*Xl-$Fz%2QaCO$x$nJ6H3q|7!B~+T(i@ji1kr^mY53mDm2hEp{)z$|aM}
zy1cP-{qy8@Pg4qKPrcXdn=SThN@YUFj?XsTMw6I**_VhKT`TFIxh`~xwdUS8ai$-R
zZP4pe+WzaLujxt4TgE5NZyWXAJeJ;T{&I=YNz-q|P3OeARrMd(N3qnFy^PX-In^dJ
zROxNr+oMW<dV<f$JgRv1xI+9@PtNnL{ediH*W`P>Sf-eK-tKevntYBHYu@bsD=LMT
zM1E+qOsak?K1q>}>ym<ah)z=!%N5pttFKjV5z)!J`fDq{mCt^&Neo7_<F-i7<vm+6
zXJV9%1-C<$&L&;ivpFaCn%!1mnCA75Ei#HlXJy{WYbFm@u}s~{zSG1YiqFtXl+Dy~
zt?A+E_N=VdD#|T(vWxq=OfD^Dsb!fF=9;*ahj+U9$>)2%t}4HHAxiaX+f*Yr@1t`r
zs4%Q>?~7s)G`*Rv(`nsu=X3TfV>M?1yM|W}t~DGfjFMHgo?U%^j_68Lm8JT!x`o$7
zHu&umW);y+ySsRQh|G~M>=|DE{{){!E%|(OS(Hu~v$9*WA_KSA)IYqX`gXoc-JbGZ
zQz<GbHr17H3ZA7~_TEW2H>CdD@*v*UB30Km|ERpP{><7c3|m<zvAawWX-f#+GVLMH
z!7#RrrJ@Yi#3y9=oYb`B;d{5#FP3$lD&Kt_ueGeQb*z_o3SOp!Epb#6X}p}?Q^ods
zt+|Y;UYN<XATuT2YwB#KTg8_0Yfs{?VabT&kI=TOUdy7uqH`^G(<gI{#k&sQ{v~|u
z_MKUJ+u2uckvYZQG>vI1_kk;H*P>YOEZw_OhOv~THi$iywQZsS-&K~)VJ?QZ&-nYY
zSKU0#s={zWty+_1l}p)D-(Bn(VZQlU>{I7&Y0RnDS{bRq%d$kKjCbobAA2u$Www8A
zlN<CzKwTg+F4==i)eP8NuJQc3q_>}aXB3N|jPOd830qh-)pDk(J~xjD5%rj)vWrPp
zjw7sARy#ytuewM0(P@F#mn2qB@^<QT?wH(Yo7L#vH<Q=kPV{x&(o1|#<c?^us;%^^
zYgxKQX1bK&630II`ynd2*)e{eXXaQvaG8E??*H#CGmflNVNm{j;HHG?I!TofQ`X<A
ztbDw^o|Aj)-^n{`i*zo3d5z8Y3X8tj17BUX)2w;(9|y0<x<19VRb1*CZ|Jf&X<tOY
zZVKSr()B{5Fn3x^w)$IL87?`ol``@y!IOj;!cC{~9nUd;B072H(u=&OtXvFNvNedP
z_ng`+z4Z!jWt3a1`1X}74^)H`#I%;KG0=S+!Xx~WCuqyG6d|@Pag3}naW;WVSv$jd
z_gRTONnyNEp8q;lcJ=eAch!~a)VrBQlUCX*@Vo9gq@&3*!87b*G{2mDUYq?|{y8G$
zDR%SzJodX&JV|W1l-Tq&zEY}_7}}<E=<ZaJU&*YX$|f$&;whDQJB&@=Q>5*<ucw-U
zPMeqgMHyl5!a&v^Ygzki-`!Bvd%u+JV&C#9YBSZ>ZE-DDX^As^=gnuuS?R^2!CV;h
zd5YP!AntcO!Yg^cZ&lsmqCHQ$Y1f+BZGufvADX_l>`FUQzLxzL%ZsH<U+fNT^*s@0
zZnjKDlihcvwm|&nFRV$QEZ<z3GVg<itH9TLFOI1Q9CfbDbkAbHqN*=%e^HZ3>e!XN
zX6<oWdsfF-9;@DJn&~LEX71``whJeV#<#4ytb4)x!y^-hDE%*@OD2glNX?pkG1%lu
zCXaAr*T1iBFQ@VZ$;_G(vyR^(j<weNblK_dP26t#ZcLY%lC@G#*`{QQ2t!-IM2T*X
z8!G%;Wjj3Csub-N?`>M&{$xVjT}{{9%iE&zjHm0m&ADvpDYnG>qP@a;U8`wYYiu;V
zw2pkc;-UAwCF&A$Bzt)jiy$v!bbEhwrd<;1{J#Rq{6BlxT2`;tgkV++?<}|Hm#o<0
z_u6!_M~1Oy-`j8H#o{<M{>HQ^ChpN;tiIW*XEwL^%H5ylyq;z1Bu^XGC0pz^v+lXZ
zzC0@KB-@+yX02aanCl+5Pqe=HbhZ6UIZ2hrsmx_hlCx4pX3w8{h1VvEC&&J}I#cu9
zC7<VA%&z3CnDo+c_JvKAFK+*Tw#nK*VA&+@MGP?!-e<1;y2Sch?{AUSr!8!%Mz16-
zRy_;fYrdK*uEh4uB;K09n%NH~TlqFm4z_up$*TV{LDt1w)oK!l|5n#f<~)_l%)#I9
z+P*w3lzgwN&+b81q*Cu>Rh>S+^=1)Y<j#8el?rQ3;_kM&%U7VnHCI?Y*Z$VyO7r<s
zyL7U=!ks-G?5BUe6q=aw<z#?ltFm>bjq+TUFm{D7^&gY&|5ZKxO#b=XiT1N&*}_yq
zHff2iYFPX(@{(!aq*BrE%eZYjUM2OsI+8JWn(7MqHB;EHmzZ8*$(j_Oz+|c(9>?yI
z&5}1)?OV-UKlPK(kEB{{<z?ZWJ1xQYSnBVqyrEI-|Clsa`D$I^3A*I1#eX@-`B!VH
z7HdOfo7U5Bexm%VWmBiJi5v7y5i@47@Z#xv?Q0M%R224pO66AGeX=6c+}4;mPg>W=
z^6v_Z@9QN~mN0wq-BfwcDw>wb-pS*$lwDRg<cr+wDBdZ&N2<=4_(e56^wv7k(#6qo
zB+4sW{@wE13*@!8>eni1eUbSa#lBLu#*4R(<=xt|hL=Sq&0>$ganf8gdBNchlk*2`
zx(@%l68FT5<$)i+r=PbEPi>S=Fv}-TkM`;;t>X)qvKWb7*`oj3XS<$f@FWTC;IK<B
z(d^47v99$v*2iII-=<T=K6@&!26snD>z|jRb)_;FCbj%2l4$)C#It5nUzL}u{oOsQ
zpQJxApa1H*Sx%JvR{lBKF2!?GX75`SX*wq;GlDgKwTW(!?SrK(zSFX&w|j)yKUm2!
zW9`(9b3#6a^$BLO2Cs}+#v?h+_pK|VAAj7-BeSpa=6bQbv3sze*YH|Pub1noD-xc`
zFMA%Z=Ly=%8>cQkO-Gz()1@BqAZ2gX{w$HwrSBF_tGvqE9K};<?oeg(=JjL!4(n&F
zhrX_8wSV)Jsq9IV7r&f0(*m1kpbcc=rsfILeCEk4o0Ptjwb_g3=e0>|d<9v*eUNx^
zDYd0>&uu03S$9u1JalGU&E_f0$6^%4pKm|Un`?5Pn)a9fp)Qi1tUuVU=(Rq{-!>;x
zR9TbFDD;shTL7!I*q)PY8Qq>991ga>?_Of<wEeN1<<Of6xe<GNbf@wzcHQBpGC|wF
z&Qtn`uv0Ie=cKqZDaTg-dve~@>XF})Rm`k4$KzyfuU5}iE!R{M{91dwiY;R)yKGFd
zAA4}*7SnxGOrr8uPYS-Ke`Lw(!{%K}6qxrMi(g`xK4sU^CE9%~tV^XL?p98gDzp9M
z$L_1m)4kO8D|_J*w!5s)O4tItN^gngujO&OdX{TFk6TIo&1~Hb%cqsw{Z<h-c4b$s
zTfpOgRi-V-&C}-GyDdd?CwCgPhSVL;n{sjG`t2g;uZi_m)x2K)*y@D!kJ%;lSK4Y`
zzm|*G%A+MER^r0q&3bdYzWPbYrTlCxskbJGcON+VXNKX;7yTQ57Omj*o+^L#`0r_x
z`H%c~muiskqkOVJ!_k*ff0Hyt9t5|POtQM3oNc>#-4vGQeT)}vV>J0gBb8TA@VeYm
zm(4SGEzd-mxGAxj{9B9K{4Q~a#!Nfb7WPKlN6Knd+;i>UQT%Dz{1vKeIe4d?G%01{
zYY*DYb0*4e)qN|mfVrXOQHodg)M<(@vF^)WZ?oz;+me-QzXtKmijpyrby!-rI7({z
zuKLBQ(sx*=t~C2*zv>F>#VB<-*7)D6nR>RYWpSBQznHZ@iapYsRnTr#w)oq<eD&)0
zQl2wBE-`)dGs*5}&yBTP*@HqphOx}DTC#U-ow;t}G}{ax3orHtPx~3XT2XdW`6YI~
z5B4$_);3Y~e#&H=`6@`s`CWU~tZD6Aw{2xv$jWVY<ka&1*Gs$qwoJRu7L)mJ_EUD9
zlU!5S9a%E6Dj6nS&Nc2~ubvPvkwN_6r!BJeVOe1+mRc>hlb43{Fs@4Bl{xr#%_Ey-
zew<5rZGG96u!{IHtFUS^zTesRX8B6vF5!E9`&L%onD||GZfl6k?rSQqE-{#@t5>n=
zyga{H@3g9yR?F($P4*Vem-;t5@L1JM>HqoJUh!tr(*9}QK6zPdSz1}HZIv-$7oVh9
z%FiFhYM0HPv^A8y*UFXs^(CfxEP2{2J4;*Uc*z$xCTp=CT|RLV%aSQe*RwpER_ENd
zbX_R#tV`2&sWh%^UmC(1?B)84|Gu`3Mdto|UU|>wlbN=e)Nc)K3*vct$uD!??Ncm;
zt!wA7x<|2C?`(0(d&xY{harqN^fhm;D*HcMYhCkwkFRQ~8@oRWUpJ?!W#d*=AD)P7
zEXqZU*IWGF-@Ye)(|X&{C+@Zlx^c0d+@I~OMa9?h_$~32X5AXbQ>x7?w3cTYi;K_m
zmzn%LnzCzJx76M_dr9D>dRLJ5VVhM``DH$JTw2z;D_CUtyJIdv{M~NN+g882q}{{9
zy{;`S)b6pS2xs@Q`ZlkhD(QD$&G3{o6wPEiQN^-<tE`?){x$L2r&#v1hkLF%pq92?
zg<+}8HMZp{hhKXBf4n^E{>Q2Nr-(1VnlVZ0La*H<*+;6YCq)IixlLOBk^gO&i{~V>
z|7;xk$FCjvt!?7{y*2-(%-k*N=S|iH_4}?2bqwk8jbcfaV_(l2Jjvt?``T-)k!x8U
zFS&*;XSwKehHb9hr)jFCwdeef99=6me~G%Z=!fYlXIRb^wKrzFy<5v)%zJsMTb%ge
zV3rLh*;g&8*?aqKt&4ML=c~<EI$I=WpAo&ve(;h+jZ3sHZ`9JY`QndNgIG=qsD|^p
zAK5E<SMO%efp^R<DtyV_%s>4v_GwKvvZ;yaX_Df5rNTX*VS^{L7aK>IPqv8ZQV~bB
z&nh+-g*UupQfp2A_PSa<`L1Wne9?YEFS|oeJ-e6lv2*0QbsL^(%3{%<Y9nRKy@gHc
z(7)r&pBK5Vv0uuv%5|9+>x0vBiOW8Cc!y6H&XWDOR%WHTXeg_!+vIM(njpFRVxK2*
zuSt(F^;8kBcvCgey+c##;B&V6cb9d|qs*BdSiJVevg&)4@;P<fn|IyY^}<RK?xk*(
z>>QzP-JZ%qM>tfO9%yfQ9mUetl9}yNkhyf3|Ae(Qj4iK%SYp<S-VI{OUdgICm4Chd
zS1*_KTU{=PiSw^=oj!%1(S5qrR$hO%>0Z1|K_=-u*Di_V@Pw+fIp(rhY<|3@%s5nV
ziE?SpgG;P!m(+Icv3<?5+3d)v^Bjw=DLGI1{Z&+x^_MFDJ-Jp->nrsFAuqJqxigP%
zW><U5UwUC{AdA{kmWE)qjMKbl7BG7>gh)L4x5BHYTRV#Fs0fF)3iI@~s~+}CtS`2F
z)AHo9b?|id(QCc5lPjX#*tRv!ldFb#-liEYt&>Ymb3IyJ*xj-;Z>NxG`{7B#mNx&c
z@z-myDkmSAGU-(L6uu)ZhpqoF7I-#wwrlR9<t*L0S3Y>F>5IO3`s{6--d4|;I@WCM
zI_KQw(a+C3SE;@baodyKnkh*w<D^C2<CA^+{3a=$;LTZLH_4`Il8^WjssEL;Co=MH
zyd<wWskz$X2kVtdlbqzDZTgeE*-WKtqFl@w9!IH7WB&bV^0Ygr=ZMw%DL=__oz*?X
zT0}RDl{>Oe(^cMFy}Mt(=cc!Z1p7;GA6=c2*QT7RW^<P9%Z!$*IT&fs+h06wvJ7wf
zr0S>K{!>`EP3NS{-!Pk__eIhB8!ztqT$4W&#d4gTdo}CLsVXAo32|&L>scDM@*HML
zS~6dmC%J^Z@ZP%2RiV0KT5(#QajyMYtiQeE(?kM1s~rURqV_Y0dwKDji!AZx*JD>(
zJLSeDHlx+NySK7uuxdt`#Ci*b=;~;)%X;c7OYtuI?yYn{S|Eytk>&dGv@28jFZATD
z_u^sK4XIhn)6L4gG~@zL^i@{wD%Fb2(|RIoGnwD-EuPGp7b|^9RnI%xsYJqy{|IZj
zCeM_&XODadyLbCul~S}&_pHtLy65hVWSz4934gQ{`!3b(p)yTTyo(rXz05gPR<D=s
zc*^l%cIM~F-y<Ksv3aGiNq}Qw%`y>=C@$e!aVlN49?F*S>T`Ju=5#-NDm00=J4Pji
zcUGI-r<uApUnPHuk<%CDxf>(>Nz~@d#6|M0Tg78#jzp<fc#GYd@_${=rBAmTrB4KI
zntsB5`D?jXTSYsM$%l!)U(T9wjU~xTeUJZdFP2X6-|6QjEREtZUv2XL>h#;oRgO(%
z=ic}H8vD^pOh!|0zhsNK$|@V>F3;w^+$46Y>jHMuYa;WrL{F|2KP>V&ruWHGe(fvv
zCsy)SE;0LWA~wl4ZQ9H)J|CiZqJ3w+U34VG=4jBeUp!f>r`-F(sur~Fc3)>U|Gt;u
zUN%SH-oBt_y?_4IFDzMC*nD&p-uf@+*DbNxe>&*P-dl5GgeH|-VVQTye$VF{o%6dp
zCQqJx>5gr=S4@$1OkM2M^O`boCmCl%eV!Y6EvRoL-yglTyPvWIT(nK8zA&dscWwF%
z7T>1<XV>nlN@dAA9v95(=Bd({e*Nd{MORp5gN~hBR<l}mZcRVCsYT_d#``zl*DvLH
z$lIl&w8X??t*VNu<(yr9+XN<M8mop!ss3Jd{pcn2S6=!ZX$sjqGEZ5!``3A~nJ(wE
zy>eExD&+E0bIaa!LGqPaEZJ*y-m`Ltv3z~E_GU24!f8EXRcm>gt{jSIdA47D-K8ss
zve^UXvdmyntD0(G&FT_mzNxBajga`Iqt*|4H!9uhJ+kkz7tf-&e5*OPw(4G(ayU*_
zTb03OZtWV|=8)wPUN)=zYEM42-nBBTh?%2r<|M@ln_e%OCtKZoGm8BOi{NTE0hM)c
zxIUL&e>REtHp7XP;zv()NW7k^=_1CWu=veF7Qvi<n@=*h+&E$%bXunN?DkVPFWUTG
z%d%%pZ?1n7|3Nmv_4=!(vN-l<7GF)AB<Ig5ATyn3{Y-I7tCzboueG1q9mU$cR#f(q
z?B0_~zmHz`iZU+}J*|J#>iV3{OIo4#Sb~_g-C;k;!?2LQdRs4>KQGrLHHlz<j_@^G
zm^N<{i`!-r#OA{4yGm!)-cQ#T+eihkG0K!bvX!?(q-2`Wisa5eYqkVwu?Am1vs06&
zek#ik_U22vvYGrEGr8ujS#gO)a})2uwL5RAa|x86*{mzmF?->50frDWmP>YpXS!GG
zE}7!z!QwK7$7C_T@45ThY*W|ryx@16drU!`e;VuEWi{7WG^O`Ha6i5Fds6L}@2b5~
ztlckpqf{+LB2|SiF5UNl)qN{JPs~F<HnmLl+O2WwQW1Mr>{n*qtSNApZI7qOd)65o
z$1bJWv1LT@&tbXi$^HMz!sY4}TYI)T%Pe7OGYP-*&U+GTEraIzFPC|~)pebFb2ep(
z{2dj835<4Y#YI^DEfL(ws<5r=wi?SSU0z;;SJmg1RI$C^y-aQ;i&_ZljIy>P?kq<8
znUAW>?(}TeoU1ce-&1T3>p$}^QSu#T-Cpup@;9S+`<QEk7+9Zf*J4%nWV<CVB71p~
zgWHsP{tI93$d}zdI5|3Jv#@AYUu=;s?|~Q5y34&;1b?!ZZDIQoU*yFeb52xM&T1_`
zbIk2qb7fMFYD{MF()EvG`n_zfjH>qTkaufYezS5<WAeRm@_LH&k=bHf*36iruzIqv
z?@`V5(Od$%?r2Ok$(gHUnJfBi-qUL`1yS-fO!1SXLnWM_*iT_8;hUAhDBGi~{`=1A
zkhv=HVd_V#Oy*A&eO$b4%bG*-3$7in*V4bXmG`G^lb5ZmuCnpm*gE}vm)cacSo7x2
z_2LWli)~-d6S0;32hYm7yR7b6yeX+NJO0<d+G-yr%C92vLgMvGmuQg*TjK+DA75ho
z<SqJ;*~KfYT-;T4-m|Hqi`d$hFds6h4C2|RE8qONr}o<Im4en+`U9iZ_1oV%&ANWV
zYz@{aE18e8PT3L`&9?hqo33{hd!$fd5MzT#)g^8NmW*uPV>>xM_te>`vb<;R_q1Ni
z+;-*4QeBZr%zL^|PQGy0=FJn{pzci*jW^D9G;06(v+aRVcXN@jV{c37N?w_%{7+?h
zZ}qoqo$)ce@W0WuJKI-Vt>uqm5uB1cS+r*gU$urqh|NVSwy>qVdu<mkWs&0haGljC
zsP3K3mF1#dVLa@Y)SdMIMzN?#&DHA_y2=t0rE-S#_v?_ep)A2KP4AVk?YH7>eagPD
zuSM@C)7_pe?^LtjDWBhKe}&g7%G7^pXvvbgn<Dd<)K3(bm}+;9wJMHzkC*6kn-j13
z-aTd4T$3_S?b64I)+hcRwV%q8C31ZlYt8ikS6F0E%?s`eUgO#|jV;FhP#Alv6-&eQ
zeJVz*!B;-6XFVF`WBRPO)8Bt#<wcGsObsne%dffGdP+s~@2>1g(ezY48TKflttj|i
z@BGqDToLQcllv}4@rY%!a7!u~-0r%{a`#dXw~S$wye)gSr}UASZ*G@F{g*D~&7I0(
z(Z9N1ZPSYr)0kPWFvn-JFW$>0C@*tK=E$Y#dsR+kv2w2k)zZ`BFR{$K#GY4qm3ieB
z)~~fsCutS@6OPnL*M07^l~tDA^qOv;_i_iGiJmQT=IY+8f=|@5SR1DD*|KcD#*-4n
zn%!ExiY5Lln{t(D``$H{OrJ{b)<m)U%EYZ^T{~5L=@mP7HvRRizL)Op{>Ad(D*u{G
z7V54+o~(x?|3<O9^Q5fi5t!y2&C(yl|HV_bhjC#QpUF}dO`m)5p&Xw47mjeBXZxj8
z(VWpg@z(tt6YqWtbNyNU*=Y+;3#;b61@B*~l!mg!MEPIg|6tkwe<_QO+P$qJYP^2a
z0?RHx2%qyS((go1&xzM=dz!d3O;jFl)bD9jd6nLx(*MauFEWb#oTl3vPqtb{4&E)3
z4CXY{wU*|opZ>w-ep0QGJ*h#p?~BSLX3g$PoA>SNW7D}5vtp8k(Xvx4!PA`kxTmNv
zb04{zDc<aMInttb{k1sR7eU-gE)$ot8mU@5=3rULvYEAKE6Wm*>M&MrZI&x1TbBMS
zzjwJ>lSSOq=ZTOmzs9DY8|I&$!1gQk-tN+UMW3EWiKzX5a7oMa-}^~gFRT}up1dR;
zmSrlm)?7=3HA-mPw}wYoLVrbFFZ5$L$#CLd-;#5xDa-ty@_U-jiQ*As^okPM$)fDd
zv^>>rC9C5Sv0A^PtGu2;e0}mJ)%yyTPUDJuaoGHBN~9L+m6yu*(&8_<IP0}s+L`v|
zed#2oLt8~I@l|?T_wdeDHDT0Mo3Acm{rFJNv#vw;xBp*voyR^({Be+gm5SopoLrO1
zTkV?7q~la$x2yDKEoHg*^zi2<v*mU5VJhEV$*`+%X($-1-x5-~iX|pgb)%K-zf_js
zslu0Z_D>4k!Lxf-*2T2PQTp$B{8v8N73|7;^N!@Jtu9%-Zc7->rB0p9_DQe2gzZ6;
zd2W4!w|$h9Y~D1-t*i@~*Ix0}@?ts7GdGC&C2!wqoyet3t5PO?zrA%{a?hHzEX!Hb
zx3J{0R!(dGz|wt<zx@)|%%1YqY%yC{v)i{%du+g0Gzm0M;>EGWZAC(W^Q4FsGxB~u
zzRd5T#VV+)e!w|s@>D&Qt6Ix%mpxgk8gSWp%GxLMSrk+ms>EXEH%m8kU5@#-m8WCV
z>~G!f8gWL9>!zDt;Xh*6@=sHGz0AQ(X{(g?y7oVKgu5r|#Gn6jf5W%^JVjd5m(@xL
zUoo}0Rd0UGaji~Sn5m_@%9W|I#Y>)sJzca^zI7@~eEDoIcFmc+r?;?2s;{_I`rDKF
zvFeSrY@3UZEMLmz8_w&Wt-op}ljc-gFMh_zo_qVY@cP>v%G=8N-rOyWm+_>5<or2n
zQYT$zUy^0Ztjexwb9Ap551Vh)lIZ-~>&*+T4^2KK`(^Ud=u<NCe;!Qbc^JmBY?sZU
zrA!}XST3=A3}RO1pHpSOKXTUZ?oZ~{-T9xa`6ln1f5JD|P2iWx4OIup>P@kB=O(e<
zUBKv&lEUn=Y_n<Q60wd7TTfQCCD&H*?6NsAjWyo>XdG+jyq;@*BK_Gs$1|D4_y6<^
z{IzOn&$dadMjlSi$5mFb&2LjO6WXwpnbrDA-&)a0K{0~MS=KKVEjRfP#IClAHD(Hr
zQ0R0&)<e2ddaut`g-&A44mg!2kiy9Q_u|FAM^Wt8-IctV_p`FDWzmmkiCk&Fb_+{0
z&z&&#*;;elb;WtO>L+g%VUMp{D*mjLDVTk;*Ih22=xlz^wd{ht-C-=6t7>zn@c+GY
ze|0!dlXt<qr_AcSk1~Z<=q<S`T9@^dc`c8R`H`hpo&GV0vTAzO=JGFF%__K*-S-J|
zy6C1!g4PSC6k08x^7eVQh+r-&_rHTt;%hVOxR$ajh@D%)vx`;j+LJ~vmZ>bMTWbq~
z+U7;c%kcDOE;kIVDbVBz>b`KMw(JtC)Fgd(t-D($zO`L-`cj5}P4|ADUz;}1>ejn{
zO=R0C@k6~=%A(j!&E>s~&e~kjm#x&aIU#RlKW~nZ(cJxhqLD!pTkizw$iHMeyF{l_
zX2rDSOIc(;wIupwvOm4b;_Ic~J+U-OXMxm$ZChpqv8?*mny=-_`aoZmJOAI-r@rY6
zR`W;(vme!3?y;3cf6h+rl}=ys6{n~iND&O-={RY9;>;SY<t}$Fvqoz2ubi4wEnaa=
z<X@DG43F5_9=@r$H`sNiiSAv}GB=z(=JXBKIY+~nn)<7TYg$W9<>j*DSRy`+b?r5_
zqu#2S;X5Y@I|)5u>A5y7CCYv$+ll2O_b%D5jp8X_`{DhZO?hL=*DC)z>-Me=m-SyM
zFSEs@MI<I`sZVWc#<ls|JO$d+C#wsrdOe5zlBd>kR$q0|?4@EqboNZrdf&1~b19F!
zN$w?n!&5x+&$METxQ_q%w2EiqRu*NO6;T?pZohvimqzh%u^w70^WrK?Qk1=r=|oS}
zBDL7;6z;7oVN=}94qHWSyQ1DGImP^?`2RV}|K47$6#aAc)lSX0uDw$ZpMAVPh}HUa
z{LHO9(ocSF4dy=<<o=gu+A5Zer<_hJWR6ch=P`?wS#VObiiO3`W5;K`zOnJb7XHto
ztf$&Eby(wX$~j!^e!GR`7E9iw8}+lLiswK6HbtyxvSZve(}@Phrq~=`<Z)wKiNC?U
zYm5J@I0yvj$EHYm%XU~eR;7zPWzm^>%W|)*y!iXDP}50Vi|0IJ`uAksttD}9emQtb
zyGg(P!@A~U>gffxi>_{3c6ILi>!J&z*eA+rtgU;v<YP7Ohaj2C<s$uCMQ2w|oFcxK
zEia7yXxvuKl`67LoKCvzhnT7|XJ^d&x|V&jtn;PNtuI;h!+98A&G{O_GTU;=-K#8`
zMM}qd)-4sgb(u9jQ@qHNw@EyQUC>+0Ys#N2R>!qAE_~7FdN<ad(s|JH^VU|~o@ohR
z=X_li!W-meCt<7ZX|(TLL{*BNCvP$15|ek?CjLv=&!yX4GVhLJ*~92tb!Wl;+w*ST
z<~Vw_Y^D8=C9M0}N|&;Vt36**+@SV$t9U<)-8K6kC%NQ)pVQRKTd5z$npAnCahLr}
z1C_pQ-XbE>TR$)F>0*`Idh8R=C8M5grI&>5<~-idH7llP`(ED5*XkXj=BSH4I4SgR
zcBn_g0mt25^4;^^g!Oz?bN!UOX$v#!)$IGLMOS?_i#?^zH&1?z?3rugZ>rQHC7Ife
ziR|Q4nI9H>XZ?KE4N<CV*UIj`+q300Uvwz%M6LVlx3VthKOXe=hZWn=+i$B@vY1}d
zwOFY;x0KI++PvnV-0s&rm7%=R(|W&o$%vn3;=Z!=@^bOaxtCY!re4$cx)fMtYFH&+
zy46OR%{^#~#Y)zNp}e79qRiR9qS!V0{WaGv&1L)Dvv!`!t2%${&Hw&R2xM_v$x>6A
zqM+rHu#zi5?MW$1Occ8ayV}dtg<sgLjj}HFZqD5u?k*#_UflQ0zTZYgI+xGxvOE1m
zRPDyr6a}``llN?U`Mh_FU(u3FI~Ltpxm9$7PtUfg@~vUKcQXGc{j6#2J!7rE(*4tc
z*?S(Et0>PB_*yr0Nxr<<H8#O)k$Ji@$CS?9wb>IT)64GiQfB*-`+Yj*pwpD@Z2TE6
z^DA3Uey`jbeupr2eQ)no5{z1Jd}nesEs67X_@cF+EmbA$Px|D4NBLg|rCz)w#JM*)
zQ$&5Pm_2*&wS9`K^=DsUEe{f{t4YtanL3wk)t2LLL7Q-%h1{)4w_=;OmVfS(EtCE}
zj^my3_A&p*X{?2e3%{_{da-D-lrQf~+RAd;X4N!C@ik4=?{9DDuwF7_Rny}cSD#J2
z&Kh^gaus_)6u%$SlwCHfmh$R~ZU|;+wqj}6t8#{QxmnMK^*k%KvR@Z!*kV7sW%<o1
z&oaaVwz3NP`EFa{yhZ#+(Ys@w-GVNXs!WI0?>To;wD~ia#~g9?T5o0}R`JxHb()@B
zs{4)=@|b--to`b4(4V_3k9E0n=dw;^bByC!S=Ynm&XT>AMR^*N^^WKM@rPfVbWdfs
zp3*nvUq6#ti3;<%hKi$7_fImN*rPY6VRG@=XV&j`{lB~J?o^Q&t;>IpXUWc8+}H4B
z(InAqzCS)wHN4qf<g>C(s_W;gq(3$CH~GAjHL_@ZoO;41|2B=4a%C}p_bk;{P?4VE
z@bULCxfR!VLTtir@tVw;uFJDtRfX;Lh3)fJnl4W9C|&cx%jBRZ+bWmzDD?!^p3_NF
z+myYI{XckjV|Mv^-|OL1Uo97H2o`U%T3Yb?R!-)$<f)=wy$?Lu4yhN2&b{lv8k4tG
zHb|Z;D1B!pf3fnm{z>Ocm!@dTZ#sSM>w1~LS9!#KrER;)8X2Xdz{;J?pF1^WC+m_Z
z_Po`snj!j0lSEh8@R%JjyL0dT?Tz+7lQ&GQv0uuX&iZ2OoT`oITv>K+m2&u!zU`K2
z-PS8}cy(<yzx7(ib;fo*uisP6UtQ8FAFi@-ch6^@8oRak_hn<<CCvKjlc#f?Pk%6t
ztvofu&%I2WN7(a;pQw)Ufqy4!o@}naJG*eY;3THUY1^3fRs7_b`IKjOD`>ss7G8on
z|L=e@|Gyxfb@sIXcdxTv7rUZ2mrua<<t2INeLX)X=Z3QU+ag-TETWQTq2XA`$n<9I
z$=mzvc@DoSJp6vi+Urs5>sh9+oii_-{q-eDLl%)JlVfZd>*Y61Wsj-+xJ9<WW8M<>
zLe^}fY4U%oR7-2!guS)qrj{?~aW;F_yjJJ+oXrevPI2}}y*1of;@7)BEs5nk#sB@z
zTuuAgQ|D|JH=d;7>$5aYBzERgDKC*5r>(@N$X{8_vMrTi=W{8mwd}#{dsG;b62C6q
zbaCGlpDFSyS(j|(xyhOorJWHa&dhF|?UMUeP3+J6dB&3hL<^l|X8n4<X4aG4TiKUg
z>S@Y6HanB4N$u5Kz9?D7Al9><EXp#u-h3^*+u!#*zx}6e5ufff9+u|#i!xKD^*`6%
z={@-gXZ=pD^eN(1YxQr5UNL(A(z{W`?vUHM&ny8ur-(l`Zd#(en3;8}{Wf{0Fcs_U
zmeWi1`ovC8l^4sM8KmlxEpq*-OvLF`!69E>@`d<Jk({qy8^$V_&Axvts~}%oocw)n
zCc)Z+>P-5@OU|C0FyYLhl`KbtY}ZEdUv5wK)c(a9znoP+$ab?9YjFJ2{w&tct*r4p
zty-U_N@~hnIREa&dbShaHz#C@)NN(qj@)>K^+6Q-583OvUrkb$t$%Cc$Lr(m=r6u*
zHB0K!>|8bHP@YrX{ERlM@^2qE|7pEst&sgxkzkgrX{=?>nXl+B@M3vae>O^8h&4vl
zUSTVb3QMgYdusl4F+cX6Y<a_#MiXk*zd4#TbDEg-+B@IfHf_<VwRL$i<Jt3<+o!9l
z^ges*WiI*BW>0EYdC#-mZ|+^Z9v-FoWAD=3KX<I=$}QNN?8nmJ9prC4FYe7e?X@Af
zHab`K?9F7ip3AnjW!m~Hyc$JJQ(MnuvTOd-ef@Uz@*p0cX@5>v%~dIS_CJVar}u2@
zyw}<KRcl$SRqibn6}7uKrPf6EgqL;9w68Zy*fcNIEjC%On&qx4yQW}lWWC9q3(x;;
zmH#VS93`H*TGcX?MRR4UUG1LdRcuqO&!uLut1aDnge};g^<0!(kjjm0R_^<KJ7)iV
zWHptwXNp=d@9xz+f2Yq_{?ft!)TT?)m3&-LEb%@n*(~vEeQj7AFRR98vhH6Q|D5%!
z%ABuBo9C*=vMdjpdf}F8;F7z$-`+ka`+jxdu{n!w9-i|@$19tk=kve&Q9Qf2R;-FC
zRki%Y`#E@tffs+vb1g3sP4<lU_a9vo$;fiG`pI;3|DQ0CAL>Z~EBOxmW1Y&<`R<#(
z=I^SbMv+_*)Bo0aiu@CeobxP-=OYVuZUbvh|G)L}=6`NRE@z7jm(S8#W4zV(9&2RO
ztp#3T0kglJvYU4$JzG_1ul6;DlN_h^&c6R>`=jJls}wIWv#vk8We?lIIF%pSA*YtA
zw|$uz&aRf$etW8lBWuaEyQf~V_2;xO{kMucsjAD)ta+7%HHtru)p{+9=9MKfne1zy
zvS;^xxWpo>#i}{=$}<*It+~9C;!$BNo0sbJMDcddXXy-DDzlV7v1GZ-YuV1FGpl3@
zud;A^rFrp`W$^~7%J1h}wt4!Rp0Ao)d3G<8zs7b>lXc!&mLIG$rt2#(?)h@(l#SCW
zhE2}4d#_mS65+ek^KCWDi={im^^S+Is%_$HS(Cq#^~F-I-lM-S>n%KOtuuw;^J|qk
z#XTS1Un!jbBzohU_sfHM+`<-Ds&ETzt9(A=*|xVIr!j?2Qb<-v>wUlS^M_6ImMqgc
zIa!I}=oIG5nI`hO;t66=sxpfml_Ggxtl>~$b>XneJ~n5QGV@I4h#nDjIj3D~f;KU;
zy0d4CXHS)73}%_a$|`lN#D#q|TgK!RPmx2HVv@CGwtjsg{ZeJgb(utU9^0!d`d%vS
z&!v~@Ib7$p$~v}LmqnAwH24Zz6w6M1&7G~um(3-9v1|^}cei5eUurAJs#(<gWIm7T
zrYTG@*`h*sZT@MQu9@n>#i|)~YT_*x{i%#)QS1wOuDxdMT&lgQCVpvswiw%V_f0*I
zEC1iV*J=HA-l3Q6^RBMCAIG|8_Y$#S*}1P%UT&2?!n%IR9yVT~Y1Y5*aZTX~Z?g|$
zNxHp{A<V=t+t*@x&nsS*Z`bd{cv|mI(A;HnC`_dJS<jnzp6=Xff4@ADKDYYpytIB9
zrAamySKgZ_e(Ub@_;t~$tJcqv4QJKQJ$d2t9hLi@LUuaQOKmxfdNwa*)BJo_B|eHJ
znElO5*2pWld!yKW`5j)We%QiqrTk}$`VIRN(~=%6TOXx*=5(1(&yCn@)|Yo}rdF}t
zUCX{r=h?LA8*KlUB=gx>T(9ek;@`oVF-g0YKbm)$my4Ko{NKGclWsq|`oy|t>s|A?
zQ~7=OT(bl1jpR{(xuoVQZ$;U@-6d?nRxAx`mpx@knwBBl^Zj`k@8r`=;%~lwi{e#H
zb(|_I@0D|WDZkj8H%(KSc9u+$@G6@pyrigj^WMqn*WO=dz5DD-*`Ggur_@R==|99D
z5z3pjt<~Z(&#Ww&iQX+j%Y8Op=IdCks=Jl-BLCK~J9kd%3SM2{<;9oCtD(ymq|2t@
zzTo$rH+QF-%nvgWS}Ud&&cA)y-yhfRFFU1sd|OxDE%tf0R4bcj#!M~AV%g*0`X@^E
zNVMp?>9S8==sekb&8*i;zArvGTm58otH@H7ikw!Fsr<*MnFbyBs?#DmS2Zolrg`mI
z&n@~5w%g(yxw2R@bX9tnCSIQ=62<E7#-4ij)AZNZM4Mm7>u%-!6BC<v(rU>;*}I>t
zpKehPVVSW~{>v1ZDGf_EPgP#R9uviE9VL?}pPS9D{F3Rbdg&6q7`@alDLYTeJbkJd
z>c#$hdF6I~pJ}{tYL?gBcqdKtIl#R2gr7?3-o=bt_Vc=4_T&BQo&J*d_*#~8Q&Q_#
z&fS`F(1V+i?fvT|m63su<c=giSn!GC(1I)3-q|ccKY0_{B%)ZJo;Kp2sPTd)aQivA
zB`g+ZSGLb(Z=1xi;{UI;mw7|PCU5f0&K33&;8<mIcdLm0xodw@xcBx-si*QA-ncY5
z?PBV!DCy(do~mtO-8S!ym&l3Vzn4vByRIPjgz@pLuUp*LvkDe1Vt;qMb}Rc-rsaFq
zIW1fB=Q4ZM$;am#<JDNd&Sf=Hx0%MR!6F`2`}-u9|KwUvzVAFTW|I~?WfghS6~rQb
zvg+cSx%cj^p3{F&s=MOg8}96>yk}yiFR>rj;>}qrH=R{2NJsT0o90rcC2j3XwPvvw
zZa(XDC!7ECR+ZcC^>Lz_YelT5$rZR(`mvbayvD!9uAJv`lyHfa{lZG&JyTr2^&XhZ
z%Nun+PBX82aicPia1_hY<@_dFdE9ui!uZP4TP$B*VQt>mqdIr#J5BC2i>(&3?F?s=
z)fbIgsa>eY`jmNd+pZF^YAbd5wf>yqDw9}ih41;CpP9+3GgZWfZBLxqzSnQuD-Ayd
zrZ^wJ`&9I*&x37CmITQjf9Z03nvz_LM3yeQvcJ||Rd3;jCoHU!VqG8Bxoxu(+5G&`
z%qeE;9&cG<`BL;&?}T3-8$;&QYMHvt%nmwpS(SYu7uV${S3GsCFIJZ|J)5{dlEuAj
z5}(*Uz4sTVCY{!HXHkxuqO{!XQ0OH4&CGmEB3ImUC$Y}Z$n!1rQ~h(AZQIoF%hFS)
z{FL}LrQc`D%IxWSOJ#TKw`~cZH2u^>wM&!Y{Vg{}sXj4IoW#y*%sx5$=$$-q!<$Lg
z&t;xwua#fJE~t_wWx36h&x460_S<rqf>4$u&A9fjz3!8gw#c1&)>yqaZFxw~G?j;X
z2e)l~pljs4)OCTIPdK~mz9lu6c=W2+e05Dv`uR=GKgAlKr)#5cB=0>v{v{uy&$OFs
z`GUgj&fT&robotJKc)04OQe@PADgvT*wMKy%Xtc>@hoPIiDJF-TGwI=%NsVYTT|}u
zc`W;N&Ep)mMO`j?7PXqMW!W(;+8|SDi+C-s->G#HYuUeSl@VL^=nAXay;gIpx4hNe
zX0a(Zb{u$azJKA46|xRn%vXGq401_a$$N6CmVS#+H19XN9ZjmTM~vRy6o@~dXukc*
zZWo7X**-2oDNcI-*izim+c?!dEtRd7&ljqavyGIE-n-IIrpB9hA9HQo)P0_^i`TLm
zrAo(jC%d{>&1J6*levFu`u(<-TT~BU6MGw_p3L^f%l$iliWjep{KR`t40l#}>I&!=
zyLMbVVj4EpCrhWS^z4G_)~LWEYc+NL&h1s!c{fcq#Vj_6<(8&M+fuhCUiQnZ8EZvf
zhWG8!W;wL?OCry#Ypj!YvFyC_9<+SRlQlzMxkvnNmg;$3T|Vu$z0Z}*46pF2R<Vgs
z6}9gT^Jd$y{@Ae~m7lMDy)U!XZgQVH`78g&$Ws@dnLXFm6`5_-aC1Fpcko2+`Nvv)
zRh=eP#3?(y7x5DPy;jWPI-99C>m-l=m;89t-&UQw<0+;&#a1mg|HYk&%s)ieM)A76
zW(}UgveUZfOOMelR^PQN=CV!-TWYJ$VRe7qT2-m_{w@2K^BBgxW)50s=@+YIlC_qn
zL)3MeY6ySI6rKgs&riR^v-sOSyCD9*+N^8WvbxKJEuF1Cz3EGiq)Ni73E9H4m;U4x
z_2ZR({pP_H-oT)INtv4UGT)=(&UiD;W69VWk>4}n{=|!-mPvLymwdZ9Q@}N%{n`RQ
zUx&nhJKespE%%a{xIW7J<hwa-E6@GC%6lxz{tAm`HY<0gj;8GTwMTTmY*O7VAG2Ch
zZxYK|>p!=wce3i*I4=9^$#$3NS^gKJbM<}qGubWHs>YU?ZDo<wS!=gb?#?#WqUFq1
zEZ0@nMX~aEA6c@TH6V(!zKZSfRS}6GzI{AOUcIwfC#lBl*42IRn%Q&1b>`Vub6&FE
zQWbusR3zA6mEd&k!cAt@JMS{LvQ)~chVd}yE4<k&|55ai+5A|2)~fBtgt^kLs3m-o
z(q88hWyO*=`E0VP)|r;&KhJ!QbPIjfvgfPYwI~)@p6K}^tkbrai-b)RO^Pk`W1l+n
zI(LxB=}#J`_wHZ9UjCIOW;N@lP?liL(pO8_3*Sw>Vq>GpQy;(odnV7yr|he~bLFj-
zZxfXWwQsqk8vA{^mdnbu>?cGglzzQq#mBglFZT}jC6zx>q90`3mx_B#VeM!0y0#^C
zvcso4yS@6CDE(Qn<cxDkNb$2dSFfozX0ji@edmrQzjXQBYgsG-Yjcil%}BAb+rq2o
zy^XhQ@&%o#|1$Xx=&}kj=)7MrJL!a93dibwyX-BQ`DW{Rdfsq)G{Gc@`9a+OTkd5Y
zoqg=eegaZ)-5>pR+Vpi}A_W}pKhfSRx{xRFn*P^G-qQpYvOL(cxwbpF@Xs1wafh2$
z4bOd^>dzJ1|L%R1j?8O4jjbxT<n-d$gIBZaPh|;iZ`q>1o+U5HRU=Bqiha^b+lC;P
zb6Vxs|FWcJ@#^Wy-icqalqaaQWedBzd2$$Qb~ewlR2KIqZeMtgX!E4|x4l@+(iUdZ
zvt7LL8jpsRn1rWVW-?Qp#<Zy~gIRo6Ptn+-yN%~&SWm-CzH3|EHobX&@3C*yC+p^=
zlNYyK^;<gSb-L!2)~nSsK3-IlJI$s3%}>W`NomW~PX&7>zq&E4bRv^rx47|yh`QC!
zQXFoyG_H*{Zp^Y{)#W{7G27E2y<?&El1VJAteb6jPGUOEuvx{UjlpR7aaD1zt*UGc
z`Xy^;o?_RWpuhg5-67RtEz1*IW3^anuZceME+~rkmj1oPllk%H3})`Q86Q=cMVO_!
zSZppTR0XZseKBxCO~iWk1zTgjs=f59jo8b_8OJJ{&3FDK)1>)3_Z-bDxzu=c>e6p}
z0=@LK*PF1#n;L9A7VM?6X!l;DVE%p6-dw)KbIprq`aA2bLb@faNvll!LRovXOw_lE
z&J>Bd#y91(Y36>7EBmJ1iuXICFRL)OHdLl(+PazY3&VJev-wqTrU>>+il2UaEmK8!
zCEIeItm&%*ZDOs~s^sRLTH8A%s+eJyxRUjuWsCe@EvpH7dpv)Q`YUhN-LFOWOwkFL
zFB8lnvPvgdwK%5W#OfQ)H~;lNk<r^N_=Rt#7fW-RNRWm5)?d4OM50+r=Bpf=BGUif
zJx=CVwyCy$Yhb4M@9GcCQ7qPM?o(y`L-vVo+GupaWF>2iDzBvTn!j7(KW*rI`aQ&p
z{nlHx`TR1|x@5mNxvCc}=Z(H5D;4!V_>JIFR<CeVu_#wl|J9fH3RtV6*cEoazI5=S
zj;RqN_c{^b{6lN=zu$d+OEmRO-dvfKEo@mA=hp|z3VX3D$QiD*kqUeMCzCzNlZ(N_
z>Dj%lA`gOM4A!1WjAmW3l-Er~D*AWu+^v05^(C$UH!-EIi&-}R)7qXr+n0T>UOn~G
z9F@jNF4tIZomvy)ml-RmEB5%3^wwqRyv{jyjP~;}embD_lK=8n{*}5V)0CaG)k;KP
zMd>eR6<jZ`KeuJIsBv7Tr`j}?ts)7`Y9+S(QT%;XVYhgd_8WC7o85o(s^b2Wf7xr*
z7qSRm<z<LsSLV`kYs`}|S<R|8h4l_gQdOqo8kS#6+45L3E<JfQT`V<@<;PZjfiU(f
z>w12M<t@H_toNeG9xc|?<x~E#Xx`gvx|BU8ioGYBW!_vddzHOjq6gkjo#)4Dv@~am
zAM2{6`BS3#8P`5}6)jV^RejMlUV$k2dH#y=S-dhcIZe*2c<%q|@saF_%bPxbT>eJ+
za+Zu(ruz<F(Y0nRYK>d?Ls(-@wY=Y>Vza4VZ3^$+I0NHlbEVfFom3men(8Wc(kOpT
z)4r*!GvZc;Phx$rApMElpIg@R7lgY%zBFk<^gF(ViCbcRG8HZf2;h_a%38R|!fr`k
zhCZvVPT`Zut5=>=Z&rWlti<zLC3@)$=Il4?qu6U%BfZ%J?Ad0|$x-nOT$As~I*F5I
zbLyrnmd@ZEbK>4iQJC>&frS60^q~FBtoARnO{CYdbK7nUvpKiR=H*q^m@Hl!O)e+X
zX`W1u?0NPtx3ZR`@SI$x_2yYwhJDXk_T@Y>UZFBC+4Q&auybk0OiR)habLQ&j@@O;
zniXrMFR^Go-zs#8=SUR468C~A9x)!1{cQbyCZCtGr(P9Jh!R(4|Gjd3%NF*RJhq#T
z+uX_G)tkvGJ|(wmtxPTNj!9})-}zo+`{#L#v(#@T`<10f?B#!N<>zJJIgPRZ&AjUW
zkLR4Qe%2~l=T*Cy|EFi&!IZG&EY_2hj;VbPV?FMzdfRvNavhP7^y^iuNpIJ*9L_Oc
zYnIP$oyz3fcFViD;@!0DNk;P@=$GcST#VZywW;OS&fY(N8rLdWADYWMS2fmWO0l|?
zto{;}t&a~ck=Qg{W;$zwJNp!wmGLcQ(@Jy~?oR&x{rSB4`?v6fy9#@yJ<_t7x7Njt
z-D|6k4{MCqlU=J>xWBLk8%ed!yf%p`Bd3cs<J6JVl`NBjYHOnG?O3j4KDoCv^ctIK
zILno#x)Vcq+*X#iU19BC#nQR=Saft0|7Gr{TC7*TPs>})qZ-cgLp>;9t6m%1AwRzE
zpul+|m%Nrnh`-8a4W4V;FOm>t+rQkT?5gO@D%<|mj~7HX_w}wkv}xhWnwoo4^45y)
znQG5{ed$GewJa99xxLOR_LC&;*#4WO6m{)pG<%+Q&P>lAw~X^`m_9K}-Q%8j>EfP0
zg34L<9^c@&!MSD~o4QNQTJbk5B|Xo6yRM94Zio`=)K|+E7g@`GOPqTuPjuSaTleJR
zCh0E8sF~w=cMI=gW^tXCElb%gCO6B8Y>vu!@ofpKpELU;ZdHD57RPIBUw6J;d)dS-
zi>1Hz=xHyO-wey$RxDJPUd6I@D|^4L%NB9Vqx=0>n_pkG-@>XE`|6TN#U$Poo*KSl
zF@FR8<V)v|hRg1~woT5YXZjs^hifdYo0z!!-bYu3$t<<~%I=kt%|BCmi|menPoCC3
zO<yTmxP>Kns;6hu-m=A0eWr#kW!-rxcjiiY;n@F8C%Jg%<}8tqn95@~{jv617EP6w
zp2Oxbx8uaCJbg-KUT<Ynj$_%jGDPqTi}gyKLt9v9_xxBM%z938rs&E^Pt$&UWqVe|
z%i<|KvyF4x_1Em>U*r}}V!b$fb>5DUBb{1o3%BYX<-a*?Rp$3Q*Gdeu*>p}armAm0
zY^=}o?v>uV_s^rY$n<5c)wh*7a^|1<CFYN8Mw+}E=d$T1yquycA+-{8!NS{;DKfvz
zZk#$VGnN0zHMJ+%EG2(+_%1PNy1rY^-?x;hP_?Cmt^X27uCLHb)|fEf*V!!YQ(1#e
z{(7;SN>92rSCyal^|U<IH7!<CWsmPaFF(JTo$qWMd&6tiAEj^dviXCy@}6bQj?z=}
zQsMINTFQGo)PF)0tB(A+)hvQbbWPJ^zpSaNiQDA!Df`7*gKl?!uT<_!?ss%Jv~(}*
zRjFXtnZ_0){{EV7UXW*$yl{~EJ=5^THx$-Z$Gq2En!9h!zc*9NRO5K8rb<tlGbe~Q
zD~hWr@BXF9$GoT9zotGj?f$3T>!aUXYYVoG$zE6O`A$bzg?IY<c}mfu(rZN$_ALH1
z`%QY}Hf3%0lBrwVMfP4}(T`#mXZ4MWFL1x9+N`}-PVKKcXnTIKlkW0KlC1J~R&&&T
zeE#h8|193Uno6td*q4Sa>zQ%Yecy7CovB*!^Q5D+8r1&gFJ-s0xfSYq&{OM{%aN;@
z>=)PS{4?bWbwBRK?&W@bDNEH<_L4brE3dPjo;_bKT9k9Gz0H#RH8$r<RBvRlge`47
z<-&25MaL^NoAuvXcJZa|Mqm8DKYlTL_1?b`t0!^ZSp6g}d#QWnvaBGpkX<gPQkgE_
z$=l-of<^Gsb?++o<Buol&6Ui4KSg+@&$LV1d+IiCwrM#v*Y}%>!JiKu^Ba3l)!&^n
zhwE&^RTbu>g@2VNcX(;7^xw-XsTvwAF!lV^U74~bj~lYz?6j(Mn%J{!X|Tw)(|wxD
zU+Hi&{<K;6xX+*UQ;|gUe8y=<{3`4wZQS$x!>9ee*->1LZtLbNFN|VgwVjvAzizFF
z0$b8#hRCujS4?a)<)hc0^I^>kV&9<261G&;QmoVX&4S-OJG|Je*Xu63#&4CSe<4#|
zQr>(mi!Up87_VWbxq7YL+O_;;S9!(E7KbhsX}4MRFNJ;fl)v|0$NuWDUb1G^=l(VJ
ztD;0B-5MSr{i-S7=P7f+y1ArzI$O*Zk^Sx(lN4H5YW-ZqwodyUEw8bfwQ7pp^0kWB
zFNrGbK4R^y{`1_brANb3Hze(8-ZO93>HCFum~T(Jtm5EYT^4_L-6YwM7w%cUxxybb
zX>pLogR_QKzu(MV%(J*`zF73srEi1vnX+s@NuIOa*`%uT!#_5a=h_-u^OHJ)^*i1L
zzW+L3w<Aiu`lJ}^ncq`cbS}y0W%m8mWQutkxz=2fMR1D?SLu~YET-xn$!k^k?}cvF
z&tMfi)owax`tvaV3eVh4%QRl|O`po6cjfcWYwG9cEpxiWYx0Wa*%g-fTRevSsvcYu
zm((w{6$;z7Doa#iC9iqSvMn{%{599=A~!9c)m<q4S9;aEzu9kot!43<X8BTdPgu-+
zO}l4%*%t8bnqv0+AJa6Um+ZCI>i(XR7kIbV+O2Qf!Kq$shj^THt?efFUKC@E<JWsF
zH}@*5z8A~vWhtpwwY^zpoMz>|XPkQd*b`QrDRafQvRJDWU1N3k6fNgfQfJMWs-rLF
zv}wNgT=peFOO%$g-ul#fDKv{$=4a5M_Dga6(W}`4rkD#KJ$i|)bLNz&OFW5_MDJC2
z$*zquO_#hoHTUekGZOQ1Usd`h>*uW9#b~qkZgjSMv?uGVzz+5=D@{Laedf&*b&bWv
zizP<vlQw^^ZXBnS<W-i8Pn;feH{IBG+S8a*Zr=U$2jAKru3XBy(eC|KR`E;Hm)Tge
z*@L&T3i4_!(P3g!3r<PZWXX8F?(@`Ra`|gn_OP$ovnRTX@5{Nlcb2lhd9$o?GG9a#
zTc^6n{I|S5cl%!Yyz4I8^RI6EyXB(izqLLM$x`*!W&JUyB2~m}ZT2O;?vpdNEcrA=
zr12W-9xt^zwwLSqn^NBAbziT)arcn&io5QYm#VhAWo=~_XVvs__l#n{DekgbH0-L(
z?kM*c9DkzN^4KjZ+g|Lt!u#`=O3PO^%~Sk7-?k*R<XO+(Bgn&hjd#7b`%PI}?XbMf
z^F-NWvaX8wX^AE*m38J9+hVtwuRf05Zsq&bE$n$RA1~$YUe6XbdEe{nf|@H?Ppg<+
z5pAhrJ-3$KMfLd>`QNI%W^HjV#S*6K2J1WCYVp7R?#->euie$X>ol+K<(1ybduGy<
zi)Rxx*-iJVG_u8<Yj0d6@4S@jSP1K6^;f28_uk%8T_rKM#Q3^>*}GfW;zpjj?>){G
z7FDq-oNwP@cED6sZRv#r{RgaW+_?Vnfb_{1Ju?_R)iz$ZJ?X+d0mo>rkeWNURF@vq
zS;p_S)wc7w+}+FS4?oG8PdPrHRUuC7=xQFNt@meiE4!B1c=Knu>d7@e^0f8awrZ2?
zC;OwfU94*MUK08D-gl=Le~vc0;K@Fn#Jp=?k`4*SoDs-m3D_&Url-&^lw~E$j7ut|
z&!$g&^7_`BPhP(H>uvT&^Ej^z*}6qulBal*#c!6>ICD;2b)L2S>sbo7ns>28hJ|LY
z{KGbdrGM&-)l<ZI*0%3jDtgT3bhOB~sp7p}Z<@Etb5CPi&MFnhe{*-M*A|y(-cwO*
z%GE9R=jnF&9<e^O{#J<l`X1lCZ@x#W+iSc3*_+}eI^)*A^^-*Rd##`2Z6TY!`I7Qg
z@!xinQvIK1cpp^GugT`m|H-7>cJKDvNnYx@CF;}XiTd75sGWXIXVUCR&JNu#KbuYL
z{@U`&_0^I|li8;~V%>bIFYn|8^C@fYY!ZF8<a6vN6QxzQ`tznexvn3RnP0Cw+t|Ey
z|LszV*}9UleY5AQcRXfc%5J$@`6hPxt1qgPf&$`fU#^$czNR0&UNt1#_St%tg<dud
zt5`Ir@>qq}gs>-Nt1R8Cy3w3}8vjc5=VAO)baf{cZ|n3FimF-j{}#*R`*X@C@e1sa
zObLrKEn6$T<*Mz2D0WAg;I;KDFR@<H3i+|s_L=$3X@;9@9?au8rI%uT>uz@O(t?$&
zr)t|iuV;UEXIod6`02TOZFoE;**~zEbcrP+n>Ek-sAbqbHh=yt**2*2{|OBLf3rlw
z?gMzK$|9TQK3CN7o5f|-=WAJ-ud;G`u>`BV%70>_smWV*bpJ`#B^R^~D6M;O)Orb<
zHT#mOERJi0ym((vYxSAz8+dv3l-x&r0&z@{%jR-Ue(uCHbxq5&k|_QutQXI1U~PH3
zoOeeU&l<I@GP*o3*nFSz|Gg_6#Ny?B`|g{(rL5&sc_Y{+#rbMwz0Ee``4qPQ?a~+5
z9;?d9&kSD6!x`^1l}BAf&5Jj7{ock9-keL5&(y5^b}aMWUa@KHtEMsaFa|HtO;=m}
z<V9iY)l1?`R&4*m_vo)u5uM5t^w=y|rbClOd1}v8megrc2CZ-2PT@bLaW|!p<M?sE
z4-<n}^kpMnn;clX#Nd0-hx;PTD|rpKins7bN2$e5XS$_QtoyTZD|@PJ?zP$^Z{DZE
zb(aLRM07o6%K75f^QM?LN^WcY5vtN&#hW<QjFIixwzg+WMGl9s9MWRZ>3pA|JmJl+
z+V(eVIId@}6$#dza*a({TPAU<+gY}ZDpi)4{SU9Q7H(^OR=t+rP-gd6KL1}jKc=Yu
zR5>5y_B8t0e(j~~n^n#S>6k|8ln1Q+wr-c#y3gKB8LTnOS(AcT-(>6j3!bt#OGj$2
z3LF2FFqY0&YvzZrI_9mLyma|flY^Joy`pp;^RCoVv3%=ay)QhFHFz~k<koct(+pf$
z9<TJz*}{9Aca|SZ?OJ|;OQG>z`g_*Q^pDBf@hE%K#-`Zbjdz#lZVlb~CaLZvlVkpl
zt)dTXdb~v4y&p%NGoSf>)^vx7Y)pS%*`6@|Gb2Bu`PoDkKBpZj>zRdg>gP3hT3nQ^
z$rOLKR7B+6>?wOc@Fw2de|ZIK%vS!ntb$R#Jj>?PT~^6CCBo49^zx()UVI(X*H7`U
zV^O>25<Tzk@v^yn%h~5`HJ9O+jPji@mHk9e?z^S@EPK|y`{HGGS=@fLPgGcqg{J)7
zspj>nyh|k2)Fhv(C%oCQ_186-<DOGy@dZwsdw6^Qoi(vDub61<Qn4&m+j5INwa;)x
z?3~i6+FtA#IlGs#_Du2?n(pt#62IRxecQR>E&MW*Tq5{QuGy#r@dn9mTE$`%vY#tU
z_vKEmgL9&*wz3=*-c{lgn9U!(*8MnZ>h{yuJVZ)GW_p&GnZ91j`)jIPSh?0UnI5bE
z6`AFkEGJ&_Yxsn$eSJ{L+fVx}x0l|*vP0fn)wUd&A{Uq1KlAa6=DM`(&YLSN0eXFo
zd;PuVTINokx{pUU?$75*+wznXb}#$b_AEI0hQd7iNgjI_o;AN2JbR6}*8SrXHs5#^
zq?hIHvwn?!Nt8U7$m7iP%`0#J2<e{^vi~ICoDRO0XHz3=x~>)fE!H~nZ>#JCwz+;P
zr=w)<2}f>XtXc9+`z1@vb&-o&tfrx{4^PR=c~X4cQ}%#B!znhV_FtD*s{Wg5K8MHO
zOEv!WnTb=)pY*FOox-Bu_Vax(@2_n0dvjTnOhoIJvOl=LKS+FnmQ9H+d#&!isWycy
ztL(17{q)*Kd^#_qO<~?fenayHhE=Optzt_4|5v)2fk7pofq{XUfq{XML4omx0>e!O
z#tAnS7;dm~vj|RO7H4Oj#-zhy>cV8r!J@pDEz*@$nTb_rD@*D%*5xbNjxvTb2nx<+
za$#g(U{GXaY5C8@Dy_&U*s+O;dowfZ6&{95+;Y4sDohN2nGbGdD`WB1U}n?V%5KCE
zvxIX3tD4&l1qKB#UN$c8D)v-Hjwd%1xNj&3v2(rU_{J{CG=rB(oM$e}MV7~5JnSKC
zZ2y9od_{w!_+Rn<S<92Pm7SZvU=qWA4vi^%dJI)6BAvYH7a4lkSgo5F1#c*DiZqAu
zKlNfY;@NbOg{7^F=M*cuV-VlsNdljE#8@=1v72_W1aq=#Mu|ABX6;`g$ZoxeoBi=t
z_D)`QFX5S9yi=GSd+|+C$yv@D9m1Pl!pv4aNw`9yP{vV6{;%{zsqfv)tdeeDROVH&
zz19`=Vmz+PF35Ftt=xB3wIETED4le525lBuT`^y#sVmhpStqS9WZ5%G#-H_>io_|k
z98X4W1$z<h)vUiu)EGRuquE&XMLRRqTEw$Gb!53#D!dI6&*l~L)b<sPT*;y-CdA1x
zSC5;m>Jn>GCV$XewpBcF*G%;?`F+-^oADM*lYOVF9K@=#nm1I%AWPh4FPk;nsyJIt
z-<z_BvSc@`<b5a78>}0w&Em3FBwY3UWs&4btidkt*iVG9=)Csr+QPn&-EJD=QS}cl
z%CCMqz5DW8^+S}1rc0bRt2oQ|Y?+&D-EPXqO=XV^6^JtApTxzZP{*3*bW=g?sy2J7
zr`lD%G<G9xwqQ?=WMv87nJancZ<Y6yi>^}Dw@^HsDO9e0Skq-LyU|K9S=SC#F*}bN
zEBQjrQc76eFR@nfDtU_T5nzi7+aSv6ZNMAzVX3I})UZ$L6<+e5+CFAgf_&@evRNCy
zQ}OsCpy+A*PJE+oz;^DNDtXaNc2|AaE?F0;8fUWZ_heko+rj6osp_E{GoAP57lxZT
zk}BC*lG(zGwkX+b$(6j$QZq?oVv;YP@ig{=(u|;#&1-EOr;57pADhP1$)G%i?Yjia
zmwcHCHxz`6{v}u|@ZC`0`0r`4Dxgoz%v0W9T_`h8cq;2BKMB3HJPxefVIuKbK0-@H
zzWNGHW=c&t;U#N0DdjQON7ea3;tg9^MMeGCCI!oiJ&04CwvyF%tIR2RmM~ro@4R2E
z3hUD(l=y|zU-BI1>z=~<TD?^5reNAiyEispx3J9P^N*8lj&irE<zC6syftKD+^?WK
zS#7yzTiGM|^@P+bvL`ytz0J((zBr2IV0Os;S{Ct3j+^+xv&FNo@>B-}b@P6hq$SRt
z#~SA4vO7rIg_Tv)u3=^7MA34UZb6aRYjtMu{=CLko~iG?GGrF(+NnIPd2^+1D9ja|
z%FiBD|4x&~T$3Zq)nqOIQ<j)`v9$H9veT?M%@=#IMy}@fpCWGTFY3keK%4zvX?~v-
zTjWZ%qcxpZL{{u#{W?|jyldVTb`h0VB`m8n#d(&hE@G7p<yjV`@5L&p%lXlEOM`c(
zSDJUi)~v-Vw)&^3vfgojs>}bGS25G<u-fOX>O3NcvNRbR<W;Zg#0UxKSp4Ji+*A^i
z#?0Mh9d=WJXY0Hr3tDC5{kViD<$0?8aY$LxlgIz+s>r$3es5LmwoQmr<<n!9@|++R
z-D}Y>$>E)K+luolI<qyHJY^*rc1CXxnzE98?Q0(4>1<0>%&acTt`uf#$QFs6azmjs
znZ+V&t4taD#W2}ZlT@AdOk{1C7Lvgta*b6XDBFkE#N~#3pLdtklHJU#JqpnwGVA%b
zUzL;3tlP9#?!HdkB!mBXWs}@r^UF;WN_DBR^3*-Z=@I%Xh?(0pH%mQaZ+n;QvM83v
zo^oYnFJJb!Ph}7AkqhDFjgpDr_0i-%zLZsyW!sc%6){oQN-STprfy*`<cYJJ8h==H
z@-<npOP|=;GrU*?{S#DGo#y7)y4GuLWpx)%j&iBr&iXitegA9G$x9{qcz<1EU!JMD
zXs?>T=;uq~yRvwTU-BRS^4C+#sm8hN$hJ)i+gh2{ux3qPxk%Lgn%GM(5tr}Nvsibp
z)m=aJTd>Sl&^nxd3_M!bdLA#~bt;&)GE9VT(zTrCT|FFOa?V>=L|oXpqa0MdL^uDM
z$>d-qbW?%L^TUE$*_RV0ITUz*o_0TsHP4&DQ9N}ui_S_B7PaX!M3={f$Y^A%cw}l7
z%Fp#=ymiZtBXpAY0grHwL=}!W={QY~t4l5m+Hcc3baB>2W>$sm3o_S<@0EMbE_+Gj
zkT(1M%$hQNhn2?pJZW3`&M;|~u;*PdWUcaI$<X7{vf1`m#U+YmCmZ*Ae%`CB)<#AN
z-L^prW^eZ_HJ>?^C!&?xaw+?a6p^JZ2IBlzSxvJ<f2?Ky#}e$d=iyxbHP`s2c(b04
z`8-#A@-(KH>1-F-vRAWqUgcNSYFB?LtC{<E3xBTpnrUn<|K=~@GH+EWVP77_@__xy
zS|9IA@}II;19oy6&IwZIYElujUby|$iS1kMm#bzjv0pCFqEfcst@^Ib@=I(n{6${u
zsVoi4-2|@k>@u=Qog1QSQRQjz(jrwqZz<31DRz7KS9tM!e$B?cRm6^!JBnZRWy-3h
ztX?AXCh?}1z4UVE(~^1DtMc=PLam11tqodzpQgH8;Qci%n$z^}C4R|M;<|HlLr;lk
z&dm+Y5?N~5v1ei9<i0!l8?MRxjS_jw6S30e@)eQuQStKL=c`H-b$NG8$jY3S>({6&
z+id+xmsPp_RUcOuQx!Ao7GGobJJVPnEll4cdP`@D7Z-ofo%O1s*}SoHuV(NRU0VLg
zi#3U7jyF?-pXelhHql#r_EW^3MVwjEe3(@{g!h$|Y41|@&QOjmyte-qmaRHg<-@jA
zW?z5C<b<h9UVHHtdowDt1baqTiwCH;EZQq4!*k~vyXGX;k_@Y-2|=Qz+AK@vivD0J
zjPg0Nltt~DjI-p%`m1lQN3oal{mpOg=-IqO#V<-!YdL$^RUW-bad+6Rm^pZ{|Jc2B
z&K33(S}FJTs+zN3pR~S6xzXa)EYGS}xx1H&tdgy~%&zPuUYqZu&eOP7|26xatt^5}
z`b!+|vx|Jm-<QRjy_BWdrvH-Zy4h<AgV<MTu|L~t`igB;9DnXhw>v@XwO(g;h;gb1
za8Gsr#S&AuSFDIl_S{y-x%zz5x*jhp5482m=2;&;w^ft>d+6HIRU*t+d6cwRes9$&
z7jN{^UA0z3dT!UAw2e!=MfVh668{q<8#z@oiZzlg%+sUDr*y7}=ry)ORXG=gqF#N?
zKDEPr)tMc+7uJiGES;{$x;u==wQ7CEQnncOQ(I-HhjUL+S+V}!7Ir({OH<aCivROt
ziOI~{v6W?^+6}$8e5<ei31i8)^m?c0V^1!ww>vdiA~Tol)9qQyA|iWp3yTH+{b@|U
zV^X}B#4o*J<v&=(cX=txD%TF<xzpydyqw0gVa?HtY#FOr-z-ts<+pk(i#xk%n7P}e
z;+e<iFxiDnVT|Hgz?7uLlfIN)*>v$`);E_FJ^0d-zSl?XI_q(Ynbn_Xtt!t_b0>E3
ztt?F}J1%XHT)E{?2=APz@=2aNUhE6i<g!@~?POXqr(S=pY!;7FIIml_NZVTRNvyJA
zteT!`yIdvLinax_XIP15Ol8&d;a_!`UoT2l%qDNO5AzjPyC9}dTW>8D=fC35|B`L$
z61Jm0{L%bMt3~!+)780U{&!B(R9TT*yRLc4E9<vq^B$SYyNvf{6r1?#Ee|}cy!gF2
zWp-W)*}2u9U+LbGCDU2AhUqZv^5IO?GQD##OH<)$nBHE0wpM19ijM;OJp<!bO%6^k
zV&U7XW5ggkyKWM*nhgj0%P{_mTYd&#zsx-M@W}(2D{@}E$IjkddEMiIKlA3IZo3)j
zM$Cu)s7cR!rF`f=n~3^^bIdOvpIotfwhAwMxbIr_a?=+}ISpBr!`L(zG1UsO>fBmj
zrs*cXR5pl5c&qv)sqQWLzg}}r<hjZ5^vUN(e|M=I+{LAOa<@4f-<e}RR#NOwRx-0b
zDT<Q6l+9zTYTs6ps>^<s>vN{%68=A1Spz^zo+d3#HNDJUc#7r99G}10?C18fo#1~P
z#-hKL^~%*gJ};K|wfA1?uIcUAq*Aek{WSa9OCfXJnl~^1{)#PWE59Dgn`>;rFV}wi
zB6dtmwqR-6DtF#XVrehGy|~U6=Gk|}g=@R&v1>MyUh^fUD0=^MNl}tHve8SP>9pvR
zCm*M<>cp|!jbh)-8o!pW>FbvlDw18|&Ww+CIkE5RO};489mR8Amo-SEiDk-4)&*Z|
zRz>LqupWPXd~*p)?JAbkyYt+#cpokmKhz_Bopsx;bsx6K>&ZGq88x{*R$cOUxoATQ
z>#eCTzD<#l*zjg*4+oo(iTIBj?-ZW!mGrZwP20Yg?G4j|B`h;oG`-x+SGNAV#Jj_)
z_1jh+cD*apn3P>6dda?sa(m4htkv>it*Bqi+bGkyCmHSbZx)n{y3x6knbrNmRhdUY
z>*vW{%WT=Tx22Tz!nG%CFZcFN;js_fF^y~5nw&V66F=KDwror1Neg-_TNJDN_`H$!
z{dafP_wNZ}IpoFqjNkt?o2Jg>-l{K~p0Xr;H4M_(e43B_&WEY|E2nX%upGK1J6+RW
zZ7yp(`_yYJ)>dqr=YBoTpK^^iPSxVX^)uI2vaY(~dO=rIWo`PK_Qs{o-RJ$c=B4wm
z4AOH9a=a|EW!)ReE3y|awcQY@sAAQb>SE14`<jg1Ew-@h>Yd6De=0qH@l*BrR#qQ(
zhb6L$Wfn|gI;DOijy=*d{+sNJrR=p^uUYb}n8wuBAG7o_k4+ToS`nl5DxjnO6#Ojf
zw?@y6zND`c<WS7I^R(!RJ8di2)qLl!xW&)d^<YunnysvAs+WTJ=Wgm=-jlmh_v=;`
zQzOZkr-IAPj_CBqEM-|ZE&cJN^XL0x7MU%(E^c#6wtN4I6GrdWe2WcAu;JCK5?z!z
zlbN?W)Be2Zjg$JU;vd$0u+2RANg(;tQ<s-Ip<CFp`l8=XWnY#)DNe-ewT|-^R)v|-
zlV_c4nUKGd;|Ay^0J#O%Y?drafACVpV)Korm33})0#BW;^;s|3!*3$7m1Q%#c$kd*
zTG6DX^0P#GuH_17vQ*9Qi5GXDrWJEVS9>Pwwky`P^XF!<y02xwWv?HiqT<E!CRId}
z|5qtbRuqpCEBET$h#-EAdA$i<{3+S2Cp?uaVp3S7UbF7pD&MAdW|BM~)2EOc4ljB4
zbH~>$nec^GN6V*rF1rqYtIYSN%!ygkZ!)vGZ;kRP$re8}RrHF?sc9dDd1Iqk0`{}C
zMLC}GVi#x0TQ5^%)0Yri#dd3}={NrKVXT_jGI2A7PRu#x$9r}y%i0i^TrJkMJ2`Il
zWL#pq^^!H_i%6O_ztvLT+p?FZv6`Bvc=3m>+>&=$#lwqb-uIfhJOW|7GPjo<@scfE
zt!}wRexCSzvpL76v#s*tSAET@Gj;8Kmf$efRoQIf-><Ce@tk$6xxRmo7VC>WTT8a`
zGKXaaxvbG-`L$N<v!2EElKq<ECp6zXvdmbiAEP@vckZs}h7VVK(^iY#+7jm^|K*w}
z$Lk~Sr}97P4mrMb@ig|-Am6A-qEZ$ytW1|!O{08zRradRZePp7${%67iQ(3I#a^9B
zszP3EpS9U9s<J5*OS~*tEtWr(_c9N=*-_U^9A8+Nvci0~vQGMYK8!7(if`Fm*B!jk
z*TiSa^<3S`KFQzJi*09?=ww|HOD@B=sSo@6l|8*ImDfHNnY~rEQk*GFMtH57>lXH3
zB9T>V)3&kns4~6Vvv(=Wo^4mAvhV-DRBtNJ85NZ}Po~ZHt7I={x5VbwnJ)PLdFz`M
zs@|b3W?HQAbI*#g>rXoEAh+Y17{ki*#UU)8wAqz)r+?S^r)#6n8adZgQgr(?iL+B@
zyuBj&(2GUfPjos<q}EEan=D_qxXB;<=g!NO$saeDZw;IE62n}cSt05hqj-;~Z(qv$
zaqgVI>?f|tERW)^=b!lOkA<biA%8({p1nm9dl&z;5GmZsbHg{gB&XiXHIBvfrAq8n
z^<=iEOKg6yHD6|Fc&UD@#fJNa!kndRCp!royL*kl<q}ugpB3J$k9prNS348Vn!VCR
z;|Z6~n}RDWrl&+_A1hPhZCd=I_v_y&I!RM6sovz;)PKb6NS!)g)=NeX))Sk~IG3Ih
zf4_vS^c1`4Qtmk{@z;1dyv*zWwk&5gH9GoGlf6HBo3kf>5TAeb{GA;dT@Pk3v(9<C
z)5ho;+qKoKo35mO+9i8)tNp@_FR$=q2IUvC$Xc=I-S0oWmhInl9+~yL1!1ncy_v;%
zYux&NnVeZFGiximFYmrntuL3bW=~bS$D(PrIxi}36Km3Tmb`0zyRt>tUNUK#vQJ^x
zY<=R#tF-<Os~@Y~(*C=CtdrLAYJ|EUzr=f__F|^YiM8_aEZ5KW*cUOqKcYRCH8{j(
zbrk<c)`nLsYqzk@R+nGG=;CtxIme^TF3tz{ZF+EJpZoDiy!MG<DxdA{s=N(iixI8W
z773fn(!iDx#Tqm1sA0v8lYVn{>g(^nCKKw#BI~w(t;pA@@>8Zed$BaUX5*eJ6Rq~)
z-nrtb^PaD@S<$Wa{l)V`r`8^bN_eRyc5A8a682BMyMML$1@Z8vE_Kv;sy_4Semh;>
zrwgRi4?dm6{8qO3c~@qtxxf-HtF1bb3+7FU{Uus`>(ayJDLFyB9oc-9+}l|>Cf?$!
zU}p8zjWhl1?Kq3~%<8q_p>M)2sY>%DZ@aQ1*t~9%zo_X<)+9fpUEBIjc{5qR(z!W>
zePO6(RNkE^wjaFF(j8(iyC>Bif5LRV=cMLZ5gk#BYbrOgRGwru+?ykP`f2J(Q`^2i
zl|6BL<zBGLmhcKpVqK!x$nJHGrRtXGw4Tjt`BJ8_&f8M{`U>mmEY`NUY`+h0RGHw+
z%ygAyNfg@&w(_}ZT0tUR%lV_H^6X&SALMVKY0tJ->^AE>EnR+Iqsq6ufA>2GDnEY_
zsPy@T`rFmA+FN-VS(T%>w5t4dUvdYsWn5)>G)ds)c0LxDOaAj${{4SRG$Tv)X8hIg
zEY@>>S)Q%piQdYi#}XM-W?X$tA%rI@^SJcZrzR1+K1XM+VvpI%uf$_w7k_6>rh3at
zUX$=WQ~2wbPF*>L{ok6f<#D{B>$kp)<Da{lxA-cHcv`#C-!m3L0`@*v)mayo`rL?;
z2{tQ!{pWvVMAu`NV!8cZ-@feM`X)+tlYi;zK9LgDZ>wEiY~fu!lXHr?Q%P5xP3`HV
z=B0bKoQ^yD%x)`7^QURQX7s2|QoY@4WW7~Y)J?<BSNf#vl)DadbmEKNtl!^naq33S
zGM#NcZ&xoD-G5m%Hb^H!<b=0#oMTFqey~qMHfz93{<D59PxzwGuJ&WKTg#qzl_le}
zTi?;wb6E?2h4^Pi@i>?k<bJF5WcsAlHZjw`nl+|$&WbGF3u{#bc(k{&Ci#Aw6`}O@
z#BpZUuWXxH9iq(7?3}9<%<kwm-P`_9HvjXr>^Ip3r;5nVUpIwi;k1^_nM~G8f7`F+
z*JC|;jZHIK)M#y4EdS4%<q1*jc}y8rqRVsH+nzXGW0yU}uHRC)l0`Gf?p&41RnUg5
z;AmEBtJ;9I@`iI}Ugq1hQb%VCyFTmAsHy8Wz2scm;la!;eBMe`+LQHG{ss0WtNEM0
zzOM_CjhA_xsV}lsSB+`anf9z@e|W#JZdlfPsgy_lQiz(2NER!%F253^>E|`VHRg+Q
zl!6rw1gVuBXgzw(r|T3;%~XwYFLr(Pby4iQ`9FB(^szi$&8j?Ago7n1PSm%$MP=KW
znw6?srt&0*aR+@l<*DRR-|5BvZ_0Odj|5dwYgW_66VA(<Je789cwqBTEK1g&RY&&)
zgQxdl|0tO$md78d^4FVvU&)Ziq829mC`db)omERlc&+$Rw(B9RURG;W*YcOimR(w(
zev3!r;@J$={krUeA!|=gs}xVWf8WVGt6<4;W>)v6Ya+{|*tu2h)~ax95&I{4J&HAE
ztxE7zcI(_eKb|6OarfKPE!VQFiehhA&8vNtFZ5)_lx?+_PVr3NvUzRJsY`5Av*nvo
z`9HP=Me*)l%T^LDQ~$a~_A6WbC8^h2HfQr6Tr0C!<f>PNh}H88bHj~lBQLRk_2OrD
zSuj^_Qk=|&Y<}BNmS!)u&FNiBMeMyA;({2NR6MO*m+fWUQ1fgH{}I;5QQ|jNvs!;;
z(R_9$`=|J(87|H%4^Fyv<)HieNvs{5w^^oKV_T4EcX2EG)2Y||SS_qrYWK3I+KEs4
zmC5YYGodIhq35$^T>iJ)H#6-cwHwagvdP;kxl$x!3(Hq7#xpI8wb|85MK(vtxUo8}
z6^q$?#$qLl_1q=@*~7wI(yd&5*0L&3H^0OZ@U+eDGV9k(60?^4o~oWOO}5N5E{Nr>
zw%_ggo;P!O9HOdwf>bR1d#!lm)~d*{hQ;R!Wb*%7%WGAl63(i;a!%J|j_)mEVXXVL
zdAjH7itrwc;uG!;HxgGm<I&E{I%Vr#zMMGLCS4}+=sA)vbz1*UZF|X6J$dIob{(T0
zo~0t183y8-Yt4mN&Z+2S8{g3VXUvs6b&sS;+BJ6WD1JRY2X!01t*oZJ&vWKYR6W+a
z`Oalsi%TLpRc!ON%9gRrE1F{Bz4JcH&ebe-K`iexSxUCD`tlY>v2Iwca`g&tUlwc0
zR^B!He!BA9-^#xBn#-)!E`?J?4u`s|+aj+iYaPY%-aBp?uj*P^scZbXUhIM)UUOw1
zgoSu5R}tRIGo4-h8t*S}Rmu7pO4{+?gDk4P*Ur%8wMiCPtCGfg@Y)pi*P>xtmpk}d
zUSqFKTQ^;mf2l^mOE(Q2<=%Pw=Nvx$S@4Cg=oWEqmC1Embz}IS1l1MZoX@7Ymeq^>
z=q1+jxH(K#x}t}UuU^Y8F1|2Su6~lJG{<@o9xv6asY^rs<TbpR9BbU?vYg1;%lDCG
zZP5A7mwYBmxwBZZ{nh-U<gHaQm-5V==Ikh~siHE6t1|TMflFK~L-(%~wYjP)8O5K@
zWB9(MuF@|3QlenB?4c^<LwjqLS(~oSdF!d>9aprnWbd^t*;*poROPi98<z5m)~kJQ
zt5dk4u;Whm&gW9=RgU>_-=3?RCx7Qs`SaI2yROTatP=U5s+=h#^-|hR^~@6?ySXR*
zSlly3t}L~!)#b3AQl8CkIQOYATSgp@MmD?VO06&%^DV5Vva>F+Z(hZc^u&&T-G*tb
zzCHXeLs&9&*&6yEi?Gk|Vks;S@~aSM*3>z%lyx49_*VX6uIV-Fjh3>TPVr^rxtX<A
z<SL7PytuK_`6cOEQlG9dvwr2>quzVTL@~;L9jl<GOw;yxli817W64-Ad-Lh$&2958
zg>-7G2xS?t=H2AiTH5Bl?7<~%FB!L$DqSJ0zFxe}!d_Xdtb5gtu~>((XfAuFxwdD@
zQdOQXw!5oBeN~v&a%h*T_(k!{$c8SJZM`hY={CQUKj?NZ+m`eMk@J2$3+D0^1f5^?
zlJ)vEF`?5;vg>TNu-IuW<qhKP2xD>A)m=E1-;ihJHJ+{dT<l9@ePjcm^Z%e}I0gnT
z1=#+70R{#JhQ^7H-*;qd%o361TE)6GF=i6CK&3QWOZ{Zd@+xbUf`<y8zA_H0Wd!(>
z5@UrJBwJVX#<u+TR;Ya)ld*tj!Yp<{7WOa}e*TFp;*uP`g8e*=Uzj=--YZ%NPq20T
zkyO#%#a6tAZT+Mb-zGG>NNy8m*!_fYR$eFjnJG6*)|y<8l40bJ?Rh=n=E5T`oXKHK
z+(-DCSS1^tcwS(v;5fk)!|C1l+Oor%vp`_;JjR$w{l)uaDj&*gtYcf<Dfv`6Nz`Qh
zqV;^Ple}0q)E_ZW{offX$he}9#U<vikTh$=MI~m=2o^ymK^AuD7~z;pue1)aq>F4|
z>*cWIec2*E(J*qO=tQa9D=F40Tsn{ale<_%X20aM_2cmGYYF}#@lj(FTelZGTldd{
zC7VTJFFxnlXynj5=Yd9&n2Rvi7PjAPF^V!f|JNwgPueQo?y$e4^qPqL<mZl0HF#XO
zkBhS;U);tU@1)hJ*rjl<WINvjA<joEEAIztaPMGsy}VOZN0sI8qDKtDyI6yl?dD4o
z=COYm$S`#etK;Oo%7^%^yjZ#e_py2g@0Vxm<T?9*LwUsk)?Uwp;`?;$CN)?IZ%{g>
z@Gd6qg1CiLlFQ^uPX^@x4`z9WScXqYEXn^Sy<pK5zQVFy=@2(#r^R$fokae-9*5bt
zFY1_N_|8F8hed_Ok<&Tr2&-e%QC{as`ID-a2xM6=SfV(OrF+FOR?n5k*}9(uC-<fc
z{JSTReZrJ=;z?cc?0e6*n#^FCz33F{(`Bc5)MuaJRiAa1S3NK59B-l4dA4p<nSR0S
ztP8wbCtVcJRuIzD>S>qQpgB2aN?D(Xc<3cl*4WEa*%tC0c9EQUg>}B~RbE~5Yo@F(
zug~SvRolPl2Cu%_O<w(p8V-Uob7yUzs1YC@+;xk$dDd+n)x4}byhnH46%Af_kJa<#
zeLhtczRimJvmUVaPI}0z%p;$3W0Km&pS&qQt(S(X*mOk5Jz_af#W*wl$PIO_9d4dK
z*;MK$KH_7Z;XEOh(c%t^%%aD9k6GquBs226%G`d!=IB|zO@+bbuEBw)GE0|Fd9)yz
zkxxZ`#WS|4E1$DWUG{=~st9k+OHXCfBs;Nk?*OKxFrzA&9d|Ssd0A#=z2ehf^_peo
z$~QdvDr~bY-@JOutG)6a-@%pdStc&~z&=rgSNmfoueR^M%~P6ed{2CPz_IqUU_@ne
zEDOWNij|B>e_TaEK8Y1hIxt(HFh)VlsNu7!T4d%u&lU5;OBEkZ`NHPPdw0=Snf;S3
zzIrTEIb<N78>p%KjeTMlZ~u3e{;aGYZ2eU~MRU~~Ya3<@F3!2_`7Ne-XBcD5rt{1(
z(Xk9}n<uO-RPFd1^QQKnqOQj;KGz$kB$64|EDmIn`mHz9ZASFXl^(H-69i=@EfP%o
z!|J&FugZUAwv3u{j1w<6R20T_?Owo`%&;kBrHiBOKfb$@URbkFXDrD0Z)#}ys;XhW
zOU$p1*|7}FeKSh8viJ%qnlY#-G6wvu5Oj+@^e`txDe-&x4Q)?O(GF>2Go>GMI10Zr
zayhBTY>X*To}#;QdZR^A?0?s!4JpEsA7cbUV*f{bd@+@j`gf|*E#{&yOPo-p-_9Ub
zm6#I@DgoM#4NsYp92B(`Vm2g&D;akhEM~~K%_MAb=)|8BDoOE7;uB3Rcg%E%{htua
z&@{i~(E<VH33)OTBe~NXbvIp>U|iLabcreaYQyX!CsP;~sGPVNcJQF&!Gtc>);)|$
zTc7+W>Zsw^SkT6j#PBFaDaj^gWm3bBq_`xZm`@y@4GWWEV>mwAoM7UN*r_rhG4wUl
zLXV*EpA&<Gn0Yx&L{(!1jT8O2cg(N&ajEBhjg<<M<ROl&=@-gYz2aHu`Gk|Bf?;dS
zF-L~}rOAvFH;Vid$z^tA+a;9rP~vNsNC%6Oz!T0hhd#}@+<ReyGS`R2ZofLzXI?)f
zkhwYLOhISRQdTy91;M0;0_mM>GJ=_Gtn<rG_^L1pZkJ%}G?`?X&(7vJiI>x4Ysa08
zG7hCxDnbsz3YG#Z4;i0iVE+`~$ZRnqTR}0#HfE_ng{Q#D3C4^5F~lrLij8I1;(VZh
z(PBx!&m<<9$`npM?ge{P__;lIoZ@2JxunNa;kTe#m&{&nR_A#<%v+Z*tmg5LU6MXY
z;V+-JW9188R&Px{KF_r*{VWq*63zKpC;AI8Pn@Lva)s~gyTyGMi#S4OEm4w?eEMX9
zU&PGcO0g<2yq1i~MS^0k2U3LiEep>Hxw5U~<5=x?LD0Zdi0yTxq_8ckqsUwy^NgKO
zIKHl8RxvPD&UV#t6=n7J7h{=dD{jj=QNok^q|zmcfJsWXMC+H>7N0ItS}>RKk$$I7
z5$9D&*6?{!EHkUBq*-U~lHt*w!mA(1@j{mM?MgY8smtYg_0<)4^({p*r~S~B*nW;l
zd2%Czdixczq+iPWTQm$9Hffz&qS>c%@T4N&@>hHwL3g@NPEq3XefD?qf)6oFE`ke`
zS?zsQM9S4A1TBRRz7W-{d?|V~OO>_TOO5X)YqzU9>uFyNvBK0{rB7tJ7u-}?C1=&_
zD#NeItLm%8GSgPuls8ppu1F!DYM(Bv_bfe@?y4+(*6v9LysAz)$G8pKmrXiZBGP;|
z?jg%3)+sv1nw2XIS>LWS;?Z4h%&WW1gjaXIDR1R0Gmt)W-kqx~#DYc4qAg8DMXkQF
z%)VmHYOi9$eNrNGtxL67=we&e?qzm7>htVbPtS4?4^<JduE@6xcm5&R7q?}~oEOo?
z4-8p$2`0t<cUOry5SqDQ{hZi;J93%$Tf_@v*lM~uZf)>(<o53QTU52gWz$3_es8x$
zhg7XyomuUDU3k4uFW&g}+EVr7D_mK<SGuuuFL!6{R`ZZucv8dJ#`37A=S6`e6~8~~
zGSj_eW+tw=;>r3n#EWfa)5}*rG0!!&t6a%A<Pqh~>h)pAq>D<YKJ_TN9K7Kp+WDH-
z_D52iP|t)jO=g9k4?GDo&^@_$&Vt;Ya+j04eA%icS3H@Rp%9j#az;ReMcZ#GUorDd
zj~7`n4-JGE9A6w^oZr9}JH_RqhCf?wA;+%bDE8cwZ@vWRID55(e%N89>->1(w#E?Q
zk1>o!F(>L~q`3Uc5M+xiXewgjjd5k;u4xRHF}c*ubR<TIMe+0|QHukMQes4zjT=|0
zBrs>p7fMR_TJZXZK+^3by`=jeEFTp8&vgIj6UY~C`6H&6H#|l-BX-V<7l*bNHyInv
z;r%II62zZr*U+hH@s}@i;*A50zs?m(E-94FnXDPi_i}#oI-Zw84;C;k{viCNWO;}#
zd#Fh5&6Z6<RYf6=2Jd&ocsguOI{5lf!<Nr&lOh`|=4=T4a70<HVvfv<n3HqfA8ue2
zYY0B{W7Sv5PO*-YF((QRb2db{e)X|yTywkaiCx2*laebwTsT<I$e45?=3VlJCk@Jz
zKb$By*t25t=1Yb<8T@vBPYm~K{Nl9iNKEC{ON~38H)Kq#dRQB~D2#t<@kJ@2Pahgm
zcn>nYW=uMNz*8`gt^83ZgCujWL5tJ*rn71V(VHf9TyJfiaP-EdmdCuYjP1=Cj4=nc
zGCC(kBr(ahZcF|jl$7u?rZnkC!|6t^n3WuH7HTi%O^}X}PI{Qz+Hm+?%+3E64}9bs
zCbPGHW=fLg=sNL7lBKb2VvM4i;e`$nhAr$H7Ei3qsN67TkMEi#9eW?7M#xSI(J`^?
z<l|#(GG>gK^CD((X!8_b&iTi7t^T2Ql52It6g4JcrfEh?^^=apxSz1<WD;Vz%%rd^
zmO*JHlj6dP=Gl$YZnPM8NpIrGZrF3+P>lN{D^8xvN!@-i7c#19&s{v-V($~F`Z2Qk
zb_XMa?|F{R32&1Ew`{Yxb!pKfE(Kkt3BndkJ2?3GcP!zIX}i$S!EdyAf>aX6#)kgF
zi_u1kp{<2>jjH}F*N?{Bl(B3oRi3HV@yb(5rNJiRid%=;>?Z|D(_&UF7h;fR{8W69
zYx9+ur6*Df7&}dcIK-LE7W`bL+<wPm$%)FO1g;0O56DYMHO=67AEP4?{63~ZBj$CC
zT+)RJl8Ne_4KtE@+;ZC<`Pwop$l1`Ak-(TFl+?IfQ81y|PNbp6NY_E{;JUo4+wQkM
zPu(Fqrz4c{sZ8(v6OI$sFeXjske2y!Vk$#?%hOGa|5~5PCViUC;oJDEvg3(O!x|a!
z&Yej@#}yhF)+J5aA(`>_WY_c7SW%&Ey$UHOwzvpYt<T6wk<KWXnmcFWj)#U583Q~2
z9DQhh!ZL1Vwq-+Er`ENZ|8y+hq-3mUc+;G;FlNS-;;E%chyVQVytgn;=iT<c<jRhH
zDH*R?UYsb@671NfoblHzy7B#-n2)p48eVOj!fScqrd><xC!3h74S_Z>-FiBVJ^DF|
zCMcaqNfMM?=v*N4V(x@1G0u}Z9?mrWpe)pUWWik~mKaMm@vAX=Rg(12Y_yyo-S%17
zTIdXuSyJnl!!!LEC)lS?s83;*?7Yr1p_Or4Qu{Z%o}}u%xe^sR4VQY7XU25xeeg6!
zW_j>5iMdOHos*^-oRDx$dJrlU)4;BHAXbPWGGpQ6`3Dy$Z;Q#@<?F$jyKpn#!(7Ig
zwmla%GtRnbxNxNkheWqwymZsYOOg&1FPkR$M=#-A()#)B&7{W99*jE@G}r1dcKG;p
zcruiz$O=BZ<JY&NgFR90$&nfCNv&VRVkT8Jn5kBrj;`r*<NJ0x=BQ*t^bZqD1>M(+
zg<Mh{6+@Gc{lDQfVa>BngUP9p4c!*|7OXm{$*Eb`@?AV;l5WFt=E%11t^PB#jaT%_
ztho^S|45SH(H;MPT1B@1Ja^%Xr=qIX0=5|kW4gtX8y@yIe4B8AHJQP?^_#kpvgF3_
zjn2=PM7Athsnhyp`VF7tdF=}#1vIC%c-yvqQZ-VYG*`-ar?Z7&3KMtB=bgbU^G`F(
zy>jGj%O}f|mWdM@ze(zPM0QU&bWqFi=Cye(pRM=ucp5#oY561@qrTCX!&j2ad11CE
z=Wn6UGd#t*E_*VtTV@CfPiy(C7s?YmujSKZ;n`eoJ!Ub?6`8xg^~>`hk-5)XKWT=F
z%ni&-dzr$=(K+$m=e{p1MCLBaSAXNmalTec^Ofja+t$yaH<GFv?pbti<Wrhsn8ebM
zn#BG?^4N{X9~hI`K1MWX>$HAiO>&EgZvR->!O*ck;pyT9;`~fWeSaICteWbpx~VYi
zecQ*-tKA<LCbxWi8~4!V15?b}mCH{gOuVq#;)K-g*3TzPVj4fYeQa2EtTSuU9<{_S
z`KB-HZ!(Lve4SR{pR)4C#im;)SXq>szq)BM7}(rfku1O%6S-nF<IjTaUH?>4XLhkm
zT9)6kw2Nu{xG%Z&<B2DX1<jw@lKup6&iV1?#C65LjBUr41RUJ4aYExH^V`uAnLRr!
zAD^DE*JeSIUDK13KY#uH*YZI;+i<~GrXMek_yr`&tlX-`aOZy8ClxD3WiRjK$q|$E
zHn)74*ux~<@+DtLo=G=zC6hqR?wB?P>rCepm&%w#drmjmdpNrUoWI#{Oh>(8m%!o}
zt46~lkH1NdvuqnCJSs@)S+T;AIi|2kfGOtChM&xb&wOEA5vg9d)9&enP$yXiai*A=
zQ#UZh=uHe!OwwJUnDmNC^zseq2b?jQOCEIW=8$h%BxBS1JAQk_wF!(#9q(qyA8-E?
zWVXTeXUC=1-|wm|8#!KT&q@A&Kw&52(bnJBD<^2zwEnK0H%-5${m<MT{KseHKAM##
z_%lfL=8Ue^KYFt}%zhLL?>eAX`9o?_(34pgrgz?M`xAb$qi^N4^i8KGE>PR-xbYCH
z$Aw)F&u#15@~!39)QMNzeb#Q7#k$!cE@v9s@0LGWvAp)%TK-((u|GXarS;Es)dfcL
zH)wnK=}an7Vq$0Uc@p39d#cD>yGgSjZsEB6QU3Ex2gx&Ayr*AZDK_`y9KUsa$CiuE
zJ=OLne5Lr@%`LyD3eR<k;F&Ex`+3W^)k{|7J*}GCV77Cka@T>Fn-boW)+!|b_d1Z!
zKU*_FA*WB^cgqJa!S#Hd$7BC*DvD}8xa31jrz)plKrF-Tmd}%Z9o^A<jG^^Q*|EdR
zCWxgsdewBjJ$7Yo=c*rVUtifSU<+W0(YT{(wqt*H<K4R&6Qx8G%03)VYW*yGG$qL-
zVfQHxi<lSeCm8~>eQ$e4Hhp18{-2i2(96H$<o~7;$*ai>YqLUKGLixw9v5r-dVI$`
z#?~)kM_woV{?T#VF(YPK25Zji88e>9C>&||x~<`xW76Xo4GF#0clTSqPG78iezNnA
zlbSV+Dsnp(pX6Y8xn+XLvs1S`{&EOtE*CqYtJLsf({c`ZIirT7C-%p9z1ZB?-}&?C
zM2#~x4X=(hE}AjTjsMe6u>*6C#GGZgZZ*O2=gL(Ir()bQK0jZ1;MlyGOXObE^k}Oa
z)fG)(WW9YX=l{tjm8He64O~ty(VW*}r`!5}rL0Zj^q8+3r{^5H{E4G?h0w&699=Dc
zcw;6Vp0JSVz*J`bm@Co^GadU^$}<Ram_+CZCfV^Ua9%uV)8FMeZGUcdu+}uY9&0??
zc|GFvwi#@_w`$rytI96?`SRaFZ<`Z6W*wjXW<2cF{F0M=!aU+?Oh*z+g_3^Eg%8OL
z%VWBdEgJsvH<~a@ulS(J-*M|Ir@(cV14*V21s-e0{NT`OoOW))@`dw~+Wu(v_v%VW
zJ`q%!7-+#bp_acnL%P2?V?~B9qrcN9`y}Cp*+mR*8XoB|E}AJfDPT@a){~_trH}S<
z1Wishx;5GGWAmQ_j4?GcpILO7b}!I-DL1Fb>vSD!%rwKM7y2ijh^=Th>U7%IaoLE$
zz4LNM%wDJSUd&4-Bu!w9Yp9#k6x*@ZpK*tf*b<3pllnUXrdBB)>qxoZC#u7<>d>*7
zK`(VJ8lEN3I&>u>^LDSO<jc&P8n=2JSeP#Ui;4K?A^)%A?FYt={gxBk<Y&%*cd$c<
zG3oUs#<Yo2J*Qu{KhN)4BO8#&@$3i3ip@2hIXuE|GM?O=px48%72jtqy~I*|!e6ch
zox(T0FA8<qpI_`L(Qx-6<I>+TCzBukZ(vJd;yuxy{APlMqWYvCpPnhCFWBCp!F0CA
zyI*?6$)g>6+hd|9h;?vEwC0LfEn3HRyQareljZjPUb&Ne*?rF8Cz9UGxZly4w&TcT
ziHEaIRJ2)V+oZo@pAvJkPhne+^Lx*Y^XCQp-q)jW{=mT#LK}M4P4E)hd(r4)x5v$1
z@4VZ68ryojxAkhw<+H8nao*mio*&=ie7i?eD^;w$$8ELdHaX_oy>9b*^(KqS_d37N
z?J@f5cVf*(f3>f(Z|#!ZzCZhCukpDXY3Y9gdu}T98?T+lmGr0F@aE$y`&hg8^%`Gh
z?Y?q=_4Jm5TbBmyQrWiIqc*<RNS(`d^4B@N#_zB5I&bSYj^cCv-D_O;mbJUbaP@SN
zOht2>7URB$rKh;(t5_`XEQ#-_1)0RF4pyrUQ)~45nt15GUgLOP-P`@fS-yO_*LZjO
zUaxQ3*89ekwfn|a-k-fjYlBtxgEZu_&g?f{y_HWDWGid;zCNR@LXq^OFZ{QAjW@N+
zTYlt^?=`yF;%fHsz>_C@_cw@KW_8@xZ*Vx4@!E|OdZC^6NxjD2tii$0#Y)Y3B68WL
zg1C;idyTYgVi_ka?9zSziuLWiUZe0^eEPk{(^zM!z4hL7(xa#-^>W6~N8&bHOgHi9
z_u9L><E{69&!cVoVK48&extRY4la^hsXBkb`kt-o@x4Yx{L_Cv+16_~iNoG?XVK3&
z`+AK`SY5x$@;LL|%(~iTw1mUi_Fzq~Q6{Um*LObqOcA?|&`73<y$e3|8ZBk*&+Ru7
zO@6|#xnsq`|ILg^f2Xh=Z_G~WH9FnXyxb<QrZI8KZ@!-=%QyD6q&6CU?lpeeG4*rr
zmDC=S-xjeS{&6=wipgbJ+-tg8tb#jscE9Pv?%s+8Z~H}Ftmx%f;m5BPBe$`kpHona
znK|~qoZE|VhfOhYu?&qYqML&|H9y2O{EN6G-JlZ7Ffq1QPG4}wM2QJqUw$$f_sBh&
zvGkz>OC`&sr2Oc&G28kj{G0L%cw<~zrxh`=U%4cG@Z$59P1k1GtSJ1%rr#^4nz`;~
zQ&OYtoJ)5)tb8kk%%)81etErD>HWGavxtK`X0OR^{CK`!sr>gskD4AOouoZmZ}%wA
z3qLU>r&sxRCwoq>@>T}NoL=QEF)aB#%I~ML<Tt!L+pGWlrtimImDtV<e!d6FM-LuL
zYF#^9xJ$nGbXU<s;T<m&#hCd2J!vrXVEn80!_KTx(c)Xo?G2YdW^O&c<CDXVr1%SG
zj{RD)=H~Opf{#6_ds#k~{d_U$)uOEMUqNr0f_|KF_|~g_^%hU{%oWR7qW3fj3jAPL
zI#YTx)BkfiJ2v-de!s;tdExwJUzoo2Ym|QCs=ul9ql>2?CZHoE<uQkS!))UQzn+Iv
z3_q%zZ=8|V^7{OP!^%J39{;HDuA|v~Hp|g{y{bhWwMw1SJvhRZE)@KI%Q3fmg~SHl
z%?&edE_mi~lXor0)?*8FFK|3=dc~V`NxfqxqxuuZm>)h(&Kn!tZ*1|iIC8*yQrN-?
z9IF@H;!kFrq}wUM#N7DGoRQ;yWX!)9+1U>w86;FDh6xCI9E+)E=44|%_d%^8N;)>>
ze?i6M4k7+z2Bi%f9-Lw*t8RGyAgM5>=SIWk*5}vOBsV<&*xC3jy+clzLoen)PLgBn
zf4}Bu^E-~8eYoIQ-bFR$q?F_j{~cn2I@C5Yzeo_!ljym;k*Vcv%d=z52lOK*T-^|J
zr2U!NhlBhtKF4%yk4gP-nziAD{TscQmS=B!MN_NWo{9BG^FNp-P~ZHxuS3tUCt5XZ
zQm4qAKJ9+f^^+H@X;^74f2KKU{;B2(mp*npv+R)8NxIf>^IL;iLo&mJq$QkB=S;9X
zEp>FIoI!5Ggt|_VA8%L4osf}OzEUlsac{(o2QyDta(rxvZi|WP?hKTX-q)w6)3WOH
zk1Ib@ZZ@P(l-RDooFcKeTe$GIXxl<o#uf*0NoLuViJbz$^F9AJ3X4bg>qWl|)oJ0E
zyL5A-f=W!&!VO<fPS_ZGA*14g+K;4`XP-NB1(wdbEPi-G2FHa8Htm>Gf0A5Vo`#n7
zG0n15xTe!O&8~BejbPGGt|V2F+b4qFG#u?v`1PS-Z+m)&^X8s3pO{^HkNy1F!{(LV
zr;;i5wb4PlhxK66wb+Jd(v0#gx0q&kxKEsSe&IENIU1`^*LR4&(Q18Oc_HRa^RtbQ
zUW7zmiQU$xw58#R>9m+WMM<H?rG^q)#V*X8!+*f2v!{_U=BC8)CrTV|s*0z*tL~T1
z>z{O~Ny%L?b3-gcYp?W=hgCLxQimCnQYTF75Ui8!`2SeEPgs$KjZ^7_!UHQd@s4XT
zdvx5?FYMab$9CDq=0}~9D7z2?x0v9I$$ZQc_?eS7F4Vi#&vrStM{H%<=7|o<yEJ|r
zv^bOe-=?F9i7{zgN=%-``HSZ!Iw^nnHraA^pXm7swU0WST^SYSE-XJ5GvUOgcNP=*
zCJJ^1X}+1UX|iVE#Dk9+XY9y1`KjQ2(#i?41%Hx6Cn)qt-(YTdENsBStlyEV!#Ry@
zU$1celi2?PcYf4AF`IaE(~13x$4|^Txv{l}_4b=34ew4eY4os4#n$}yzj)7P&5LW_
zdRcAy1Wl8~x;jm&yIA^Gecm^z>F5__<K)@XIQv5UJ(T1cB!5b>-Vp4Waood5XGhk&
z9)W*V*}aUp{Q{NpJI)>O?iJXxvTxnbK8BV1iVk^CN{mlT?q`^Px>w+kf8*J{3v3&E
z7=xpG`ST(ZV*2_07?g_&drt<PVqu=}`thA2oi2Wz*k1m(9Sp}8?0hug;X{rI4>xuQ
zR@^by>E&mQWjNE_X|*Wmd|zG%r}x`F22t)_et)r;kB8!z`WZI$@zsQNG5v_?<K;@)
z9o@@2QL7-ValYvd#-w9?JV_iE9&yZOFl9_~lfUqM!Pd45uQ*mr+1y!SAbWv5;C<5K
zHBYzoa_@T`5p!T|O%M0M*#Dl5P11XB_w)F7zmDnY?MPZ6VfxAYb=IDUH?9Bk^(Rhz
z@}EJwllT12ACKR*e!eJpqnG>F<^@iVI$VC#%m}FI<vbkA&@o}X6w8g+|G5pCe`YMW
z(Z>~a!B}ViLC?DO|4DBaeB|ehsmO>~-VhwY^1{QWhwJc>7?+O5h#u}$kG@{f`@Es|
zW@o2P2iLWR7Yas6XFujkcXBP3-Y|pFEUAafzTsyM--f0=?`Lq_?BLpv<kaExbHbT}
zNfs+iXE$6u+7WwvO^2fNP3;9|Y-C%n-R$Rlx28kxWAfo|m5sM#8l@P`lKMHT8{6M#
z_sWHC>*ahL)2MT_Lu1yB^%MTaFofRNUcuJEc9<inNACW<KJL>q`(__7VeN{!cePjg
zLsEGk*9Yzm&pt372%5mp)YvDrT{3=xUc|W=!9UJxI;9I&{0*r*xuT}=?7s!gJrkV|
zo^@|H{jc$Kh4hOb4eCiRVia3$_r95M+dR8_RZVuULu4<@JKYm254~KkA9G^u<F$@w
zJKl9lSo^<{wAkD5x06xfr6gky%h?r<TuJw?byy$ykebw^bpLiQ)3@%N$GuAWKR;b;
zd7gc{hbgsR(Z92{>BV8?q$f?c&bQor*0JRCMCE69+;uK6JYweL?NmO$Vuc#xC;L?_
zW*^$-uiWr@b?f^XA`54=Jo~YxRpwbt=a$cXD$|*3Z7$Ax#KOta=^EUlwXI+1^p4i#
ze)YB6`dFqioL$qSzPF!!y)aYggTq}pOdUeAx^^DzS$KTn-J2W!UyS_G$NKC2wgtO>
z_OKc?%Erx%-?Op3a^mUa3oBSZF8rLJ8Pg;4_Gp7uSeNwd50=OGR(3bF%O0%ukNxj6
zVf(WVsq+VZv$MoFyouSg!gK@sip|H4+z>yevSXrrp!9=vY`1#_*c#$C#T-l9ajZu`
zJn7oJnK3*K*@tRk?3<rA&G5PubA3XlsU6doupbv<%wN2X$XT2HsZTuo?(GRxds=rL
z5xW27=c*t@z76y8cg(rhANHqH=0~si=?Puxlj9ywah({PC~~#&TTf=%q<@JqyI!1~
zaQE4i7zPFgRt5$J0nmIu1IGfC`TswiY6YT)t2Ql2d@(7LZQ?31mWi6;Y>wS4w@m5%
z*y)|rE3rN)cB-y!eXn>XpLTYy#8O^uO=<R-k0&4Li$6LsSW4zAul^NTKK&3mo`aX=
z`Sfr1NUYvGabx9$2|tqh#b4Jh5bqLQpv<;&O`k$)kHp$d3tBZrO6z;Y_ivOt{_<1u
z*Xubm`L3w5_TTH3uzJfnvse86bw1TA+PtdQdL*=bc~y0J^L_QyG%NdTCUdFw?%umX
zpLesTfwKCZv~N8Uc5hi1f_3O#>zBxS%i7&5{(ibhu*!x{oj2UgMW6aw@EU%4VAi*B
zTYvK2ExhWz;``(I)Pt>MtD}1)R&N2R-ybg?db>|z_qUBzm8}vV`^2wj^LhVsZe`rG
z<~_*4mw3JZ^@`X16e*q>+Ud^Pf2&s_`uWBqn-`pY+|QqH-6#2T!NWfd`X?g3FG%j+
zlK8Qc|9P*}`zJ!3y?kr8_sMPR<J<nNM=ty%+s%Id_}_iv-}?Bk@9PzxEb_LOXY1*P
z3-XNF8$InBtNRb^?%^>vi+PZfy-zP}Lpx7{g-CucZ|<Q3t-6~giP|6Bf2`4GQHI(R
zt=Wxp`?UA>zIgP)@8d!LiXO|)9mXHIr*13T)@Sa`SNpA3s4l-x<@f2#%Pi~vHFevZ
zVmo%&^qkhVUZuBF#HRKOZlA<8xBam%@6_rJp~o=?KPzikaM$+<Nxu5qcA`W0xP`hz
zY`6H~J-?6i7=_>K7v9wH?GR&!usq{WLD83b21%zssFk&T&*@~mw&SwRp|fI*$CEo<
z8;%}5Aoin^MZ%;}E5Bj)pIN7JH?p0zebQsPb`sy#9<jZ`jMHPf0@!;W{<^iX@x!wo
z@w0vEX$L+{WZ9|P^<&QqpFKUsiOGxyihM0y&vh*ClaO{k=@{u4+anQwQc`Dyf}B+T
z3W2ar=MC>;4oVyr=!ibdesIQo4keuvZb?ov)Vr#WcYfp-k&9VvF#GVkm14Htz3)23
zO}%?O#Wue0KXs|Y>Ui^-S?wQnzr~zTa&h>s|MS_mE)JWU)#rYkJk_k`$SZw{Z)#og
z38$Q<Z+0(B^;ea!oZ{GfJn2YvkKiXk{T}T{2j8<N|9?;x^DdTQ!@Kim^PZm!|9Ily
z1m-_)8t<R)F}=Kpv0Hd!%#M=wq=3x_#d~6IP4?Zj)zpmRRm%K^1_8-6JAZBzzhG~8
zq04d&U%zN46F(c1XwHlCYAuGdJ6HEvPd&fFy{m`i?3oLPVh+9Q>tH#%L$u4&e9fjm
z1;q_tzx9cwaGExDZtT3aG4bSv_N0UZCuM(pjgeVp^|41J>~^0cSCZ||fb|`rJrnNq
zJL%Rp^yo0IICQQvwDY~Xa%9pSse{@lE`8q6uEbz^QoxSEy3g%;<c@&PTltgEWgqPj
zo89B0U)?RXt<N<gY2)6{ovxjX8?<h`I@{TKQqiwR^j`J3rJ2<|qIGTW{>--iz__9>
z?Xdgt3t~!Klj9f{_N+PO`0#d2<L09clbDh|B;8n)l-}=MW!;(giKC)RBz=O&MD>#&
zQ+yS+x<o77o}S?UuU~Z2M8QPHq_gW-ug2CftoTt8bNYm^rlfd&M==W{13yzt%0`9X
zvpVJ_ooGJaaG#HVL(-KP=Lu<oXC;<f8cGPhxw7NlME0D%pxh6Wg$3l9qWgo*HumOs
zi2Un(@aBTe$wHr1UHuKmT?-?&^@n<k$e#VwIhU)~{@l7_lV<gs`k$NdcIG}V#=|pS
zoa)@Artz;vJEkY_?S}%v?l(#H6Kb0nIE7>OPEkr$?+?;ezo9H)P{-V7dW4&UdBxS)
zT|DOf8`>}3INNXPy}xO@sP=r5Jt{q>Pwp(NluNp|(7xOBUx#GPjd^R5W|=>TWw?2B
zvgC_yQ+d0bj)~`cLn{wVN@Tn-`QwRq>H>TCZ!9d<zo1jY^y%!eht<7d#c>nXJ&0vE
zcw+t08zpKSQ`~+}OyQVgBh?qNMs~-=6RBJJBZ96qbUaZCf8>#(aAQ`DYsIv%rt3!(
z_a&X#a4x?$RC8KPU+Bl=|2J-bT+<tJr|j(XIDr}S`hzxa@Ob=Ee`CwtZ_#I0|2)Fb
zI=9d8*4d=<VdvkTS+!J%>&%?BYzz6U|D0JBvYf|rI@A6$tET@}nRt?Eq1G(^MC<db
zV_%8P-E)3b%u12D%K2@pwENCKlK5SF_TSS*1xu>klUNR(-K4>E+br#;&6*RuC#_C@
zzCIzH`|*Wx`}~Q#YZ#|4{dszoph7eAwU{o$h}j!=Y;JT)>ooM$vbol6xaX#(V$u<X
z)nY6UmYhs{YN&E%r5~?HGDF#cUV}|N0k!u|@AZfYU`{%3HYZfO_tPT{vmcXYu`?#=
zpIsRq)^lak*~Uv@XI7rO^pbzBYf6Wz!Q4#+8yZ}l6(YSpX?!{6ZeH^CYR#O8qcdjo
zGg$t)Z9X9*YQo2}6^sYwemozUe0Js2&kr_w*cy7-?|ZswP4|n4Gpk+(@z}eT&pTLl
z?qv#x_JP#1JL6`b&71p$&%W%;%6}V@Do?LUKDYAe?#YHnI}Bt`8lGTd?hh#Y$oC;2
zqSs*Kf}0942Pf>#KB*UXe&r;_YJ)77h3TIRisE*rbyQmgGM-u`+Sy?}>Fd)QJH^Xl
z&#vO|^|gw*n0(80XOl0ZMcnCCdv@%rT*0IGs-oj#^2~@XgF`!BURSf}yJ<bAQ~hI~
z!R3^vjC~jMkDpl8)nOq2lX1q$)ep~|ba$Q6k@I=o|2;>04fj;;y}{HMGAn0>n8Mm5
zKaR>UnH%*v{UMXBXP8ZP7mfVneKB^=jwc^tf2asB?K;3X`@<GSNe_huQZwAbdJL4x
z7wFjc>7RFMIKZ!K-Egq1TmMABf|y?YQ-7ozj{NA?Q=Gn_#`=P@+^34!8%kds?S0j&
zFS;g4W5xUfnn`barn{fx;GfqU{97uiYW_seb8GkBYB=4sG4)N4{@3@d2lVsLubtbn
zYVW28ZqLuIS^KRyL*0lezje>QGy79^NnMx7_3NGFpIH;v!mP$;bXI@U@;yoC*QU1B
zuRkGX#d>z_y`?<%-Tlu^j@~*w?}^FL_GQs4`Rq?lNZQ|dr+dR?Tl))s*B+>@x$J);
z<KU9+t{=NUpXgF6?x-|KKeu-Erx^yx2W}tl(~rw<m~!^)+PKh7UYh3~ZEL%|{oA><
z-_#G>x>?EDqyKtyN0R%z1GjY8cXWK~4ZGK)7x(m<;o2*F_V>=LT{W3II7;=;Zuy8!
z%O^7NpIN*2-51fhH+%H8*YdyDtz9%%r*`w6rIYk)#Ww6>%zse&X{KI_$M%K?qMaOx
zo$DE|F>K%5qaXfCWbT?Xt4cp5_bu9*-cmj3((|jWTfg<_gm2=oJ+t2|$*y~6hxUc5
zWec2c#4d1}m{xzoUflC%-4yW?J#z$pygqYa``dF%O1WpeVNMEDKk2z=@+FRpyk)U}
z{+FFuJhek>BA2p4tj^ATLYZQjeyi*o)_;4kYfr<)kBle!A{#b7Y@gtBbXQCF1Yhxu
z7jM6P@VA{Mxzqh<<A=T;ch;oeCllORR68~JlDvD}svAlef5a3f^>?_=xNdHLQQ_ZO
zEuB8sCX0YONvge8r_V0FCI9(aQp33;FZ5$h1gn2k>F%(<wNzBQLq+a_Mg&umZo`?!
zmnP)4I^T?W)9~ek(YJq*D^G3gQw&no%$=yPRa0^EU&c)jc-189CjMsp;vjbNqOE?P
z{kO|YI<9c!F`l>}n^ex=xbJ08f6R(ldHWY(e-c(i-#D@5bC3OH<|LVzH%UL1#U%Hr
zz5e^^Tg1t`i4%|VwEkZiE?<3SfoQD#3xBaw4cQ0W|IFyLsW?@)r_;uwqs#jAwM|+(
zx*3u#EZ^K~dnvNdVN;LoCXP6niT&)Sdn~qUDeO6Y{Y22`Zl;P;=l85~6O3UJou+*-
zb(3N5n|sMG{vYpi=8DzsaDLP%zPH=T_{gebv%;D-NA|jA+OJHH>nXd{XFu)yZQIN!
zU7e%WJJ<YS>}F7m`K_p_k|fQzn3+4fOX?5foz9z`>k4lOBni~8-OxDSe3gB6OwQ~z
zD_>qQukO`Q74R)HeDU`LuV(6*`*9~?W-#S-Id)Cy5f-?y>?G&^&JP_oyA^iqxe?KE
z;0D`-qQ~4TfB7q%vb%Ub`%7L~XWbKpJ2Pbed{eCGblBME`=-a?>GvM5kg`6Jh_@#j
zHg?54>Tz5y%y4UBs;o+%+s)3Y{Ndaa?n>#z2(S59*Xi)4S9Q*US(7<*R~guU^5$SZ
zdw0?rhRwZyHi(?O_h=p0p93})4N7tUSA<0T?Y8~c+5GIpp@(uG0*-bp@8a<Pv-0<c
zw>OnLF9byexa{e($?5aEdFG+_DVCjmTBlO`9F|YJ@axXZ_ka5|bIv?`{`TCyEmG&#
ztXW>!Z@u$xpYEF;>!o|o9=cN3W0R-fr~A9dCeylCZ@F0dpELKa#GO02>BGh6XYQp>
z+FAWi>+Y{C{|7t1?K$`7u&bv9Lo-_hYtnU<jlFiC>Uxdp^n0v*_teb@YkRk7Z;bxt
zDUzFaPLY=s`F?YOb+7S$sZ%L3mIqDF_ZfTd-QgU_vNi17S*w$hGBO_z+Vr14J6FH@
zoXo$2F?-IOx$?YO<Ig$$$deB~_8SLf^KP|1cXlqX`ld5yi>8W&egcc>f{c`#`K`w|
zXg%xHzvs@BxHW&1scw51dPjNxn*KJQyQ=%ETb=}}?Z0{M?8_;#)#uJmwaZ^I)$Hwc
z`-5l7&YcZYn-|t=a_Yd2E**BICw%Vq9ma7jd%hifp44yhbkDgnd#313tk}r2gXbgv
z^Pk(3yP66+Q{&D~H2Qcd?%dfh-n_706Roo3b7%ed^eaI&h;sCsOufvjKjj@?wfK`o
z?|HOu^&0!%;yZZ$?794dF9S_JhxM5J%8o2p-ea=zRI`koQQ!WP&*OSccKO^56N>uP
zl+QT%e$<J5@}4Y`O*1PVxjnJcax_{v_g=!a8^3GTdViW&*=rhfHM&oDTTk4T^7nnZ
zsos5Y`Mo;JZF}PKdvu;x`poUxcIMn=v9|yH{c$b-rgn<fWIf(+b8}Dpo?hMQ+xrtr
zJ|z_QXiiSunIzn_(Qs#jSj(+HF+xq-+(mCCh4pH0YVmFPnsj5mxLiy}{PPQ!yR?tD
zezrgP)3hi4QxwbncW=*iS9NLi@9f=ta$CfbdAEC#F6nc`+MoS!?beJpi{5*()_$7l
z^5fKr8Qnq_|8;h(J>8R<&9mJ8X8pG%A8z#}2c6_v{_()96I*4wyJS!BoNxFx`}6T;
zrlf~|-sv=^$aOhKa@6)1oa~ZM{`lqx<E03lPQM8=lk$7+bt)X~^E@rqks!#ipYOwR
zkDnh_cNl#9!I{==ar|@_|EJx3yjx}*FYde+cDD9SqhfMjRA=m|t>UY@c{>EuBYyB#
z{OwRKURkL0^31VYCVq@}eU|#$b(*~I;5or8bJ6(uL4!X{uOD~t$O^I;v9Pcok7O|E
z;&o>c-_zN!@kSr-@*^y^Z9Tl!-K^=}y}b1<EJ+I(`o$U|Bv+OmSg74$>>|M-^Mh&0
zx5nR_8`MuSZ0IrTy75rnzR&dMkNn4sou)@u1j!w(oD+XB?DN^r+xtx9<9ntXiasbz
zVdVPN!#ni|hmvV0kG)^(uMI+Bz1qf|A@ll5H+NN5wC-O1V|uqqVZ)QAhB;T0E^PcF
z{*SSP_0P_P35gv1o%7`D=H57z@HED>$NlVe>wZb)J$?SEz1(w8^+yGt<XnDeUEc|F
z^Vv-fI~088ys$fYUw`F$r5$F|ILx)XjN~qaPUO0KxudzqNc?!q`J^*1=WS?~O>esR
z<miprCr&q>NpkMtE!B$dOT67-axi9n*Q^`;iQ8_Rd;U<<b%MyT2m9An^2cO9imp3#
zi)Th$%%KlV)(c`@JU_{>uP6E1@vj%pC-?EqKe3ddqv6S{&iBU`OuX60r>Z?;@uwd-
zoxJ@WytaJzR~%)I`8VP2*)^-4Mx1+AseOLIwI1G|FJtVB->lp=!GPmxk8bG`F0H15
zn7J1F`eJHt2^{;P|1ngqHS$DkkDj~mpMCw&HyJzDCo;}h{H8<W(MG=Wv&00>N=&qx
z5_8+;+PUY`)0z7ty9GHyY&y5uh<1s_b(p4bz3vTPt@N4mt#p6*l@rMfYa||asdrnv
zeA8i*cH!*f*$X5sPOV_vrqdtt=-`bS4HuR#`sePSXE}4<D~F{cFh!K3rY~T%T+F8a
z&NaRM`JZxcEblby?hTs%x8ZpD#^*<8SVi;(&%UJ4?-6j@le6{P1SXz^Jxss*wR@9R
zJYY%|=?Fag;pZ%;l@+r6KCdRk?9*`gVRn8N@8h0;{NxQPeSx|T$^ZS68P;Bj`PS&9
zoODybQ=@(-zv8tgd>Y+nqg7A$_>}LxIK_5<zq)O*4a316pYA`C&lEKLDD0c?reA$}
zulMu)=N2+=jO|pPzj()!rVBmZD<Ate+?BOqis|)IZ)%BSTruGTV^Xizolh02Jzg=N
zD*SuArYxx4c=p=1Ucc!lpH{T?t5?tK_2Q3Zh^#!;<E8b(KgPHrZcm^3_Fm7YpZa|t
z^>|oY_xRrI_lVG%_2FYj!_OX{lb!C*yL?!ajI?*%UnAe)^P|_NQ6uSo*V^Nq8u@V#
zPPJ^{U6S-=q4}r(8!z{|$Cl=wyl}S1qb>ITi@!Df9-$vUcN$B#-|zJhI}sAbHsR*s
z|2d7^bwww39*V4C>hoZZSscr7K)=V`{q>J1vkp)0hP{mT9qu(oEoNqCUj3eA@{zsc
zd4HeB`_mobj~1-nc;blqha30%JdQPpb$L8*Wc%UV<FV(3jl`Aq_#Th)H(z_=-uAd}
z?ogiJ5oa!u%&_VK4|li6qW+c26HKl?m=wc(V{$)JM_Fx!&Wo9kulB^PJ(yGw^QPlt
z{vP2K3lGkX$-cu-IaBiZ*;A(@d)#;Z?XfBU)O6(Z;<V15v%S&rxxMa||6;nL=RBCz
zYy0)q`NOB4_IU1m+m)CY%dp^;tAxUz%l&q0UH;KM9?_j|&i5wY-)z>KJiX6t?dKc!
zle%0dGq87L*2=ule)c3uk+X5efgA5GxF*i-bJ$zc>$rE8$<+wPeuwqjdTnxgV%MiI
ztnQV+*=zNwvd4by`98<{a^1G8I}0lM?ceJ5InTYY>1cm=d~Tn$W~G8jkNsQQUc2y5
zOvOFXVVk6+?`?n6XaDloy%|FD&s^T$b5pA;!2V{_&BJUF=Wkruc<Iy69~~ci&El;O
zsMm$|n0=m59l7_>#-1RtWS##$6Pot0b(=juVE2GI$>D&b!N#PU9(FHZ_T4$)arlFE
zugW1=dp_+0{;aY4drd?84y0e}H2p4f!u`8l%-2iOE1&n(#IQ`}{PTZieR^N;+ueI-
z<Uj5;-TJvpIjvP!b$_qv)P5aP>6wDu^=;dat?=*R+T3YWUcuADYRx^9l`+3hyPMIQ
zm(APg@maem%jFkJ@jX7S*rY6)e{k8iUb{(*6MIdsUt*iMN1%1m$ys$DES}$d@npiE
zU-SHDO*(h;$%Mb!*JjL~%q-kx`h86Y%f^^LYB#$6_X@IoUDLq&tUuD;y3=o7uluGM
zX&S8O`e*L!H@&ZC-<ufO8|=+G(OB&Go@+g+)0igq`d(io&U*EGZ%}yUjMO!~rBC`y
zxB78vpW@Z;^zZHs*}8?dv_EM7=AM|_n*_J_22bNX_^mf6{1(4{Z*bf#R_7km>bsi+
zChc^u=rLWZ{Z#yDkLmVbQ$$PqO|S1u+37#$TBoj_>YKhsS3SAek-Z*kSLn0a|LqHk
z{nyl}Gw0tX&7-}+-=bT8-|jcfo-X}XMa@+6Ref*p{$Ad_E6sTgJuOTbITlUwir`q)
z+jMtLPxSRus;B!+v*S;Rh4u#Dd*5rA|Gv+(R`SKP*R4N5F3@_ae!S0gdtXeC$KK6-
zA(L<Hw9Rk0@vYxuQ?L1LU59!9XPFgxf3UjNxN^@r!?YQ@Z1tNX>w0x=_eI|N*XMPs
z->U9?zsbf9jc2VFKd#~X*{O53FXHySKF?b{LBT)!yk5Uup_KG;SvzBg{?Q)o>bukW
zviA0ReEoO+)4g7o`}uv&``@k(f8G<7pWnRmUXONl{<&}aMe4ux=)~uq{JgPCD56()
z?Y0J?w9dwlJ)&>=oVWk&cT4TkHJ`|uD{4`7nb|&0Z4vLsGp{VW9Qr$_uhbXa-J^T5
z(zDk!>e~6A_j+`z=5})W3N@DX>UuMYnl%c{KCn>6T<2xJSWoJSSVlt!<32}ux7`c>
zZwTtqz4cLcK}!FL*+)Ano_9E$pCF~*bLqpe<_)EPx*SeARQ5T{@9+t&;OJCJap*l=
zxVh=4vdos(ojR4*St{qZg*PtWe6rzK><Te!xzpbDolN%@{QunG@U!v8=MLTe9<A>>
z4X*!II8Ds(>D0QO)8MgYQIAn%hxuefrUoDWNl9Ucd$g>-F|X46+_>lC?-xJa`g4ok
z{6D$zXOG{l9>;kNY*+X6YMJrm@5p^3#?iQvNxZ(x{@#xKH~m`Q>-v(;by@4l{nXgs
z{OANnU~4hoiJu&?jUUc_w4VR+a4+LRnOcFEVuSdr?|U^>Ia=}?e~9&l+AV$X@lk?7
z%bW!l#LJ{-*=+35jC<P`m6_jRyC$huvpl*#L9Z;S!{_6rw<jyC?gX11Uq8|IM1@~R
z+~Wx`qQ4j1jA)qeUlA+T6Su!lt5aY)V^7@kn@K-cCTPc;Y5H@%-{F3BU;M2ehxxq;
z&)0OzeqiiLywUt;vbCRL(3+Kxf6nYbI=M|>MO)HS!k51*CCR@(<@rio)0-00`*qfD
zZk`p<@cu?jkIvhi7hV4r)_q=Rz-=l0qW8vxm|aOmHXCQ=f9y-YbgjW{vVuv!_SdZQ
zy?IZsaWDP(snhT5%p=xvJsDw1t6r_?N!~N_mGaEa6WJXuZ%%hcDtSE5?r;&i6u#*w
zllT>toWA6xdmB8IIGDni`;$Mt^!>Te=V<4oS(hjDGJhzr@9%8A!aH3nlB48`SLXW#
zRVNH~uMDjZyW+K`OFyDRxqoe6VQNzh^B>)$Q!8t}rvyCdFV=L4*+1#)#Wi<r)Mwb-
z>+`8T-%}h~*Xw=ziQx1e@9(!JwOb`Q>P+o;|IoF|+w}Fy%NzzJvH#y#Cv~pcxUIum
zj&Y;GX2y#%PfD>)oSJ@x`@O3`SAgZaO&l)QxWDb|&p-9^a|hRw*({klQ}o0p$lqMN
z=;B<(pAze=Cl-m_yf(>&S>36P;m8&i-rj<xZx3bt?A-g1du5yb>S-^2_T*Y+w=Da)
zuF^Ln_TCj%tw@EWwq>{bjJ4jcZQkz9GE-NHd4G>v$tEd@hKuT&pKnU3TsaXb{r=y2
z_00F{o9D7DjN-HY+3U9a3$OLXjP@U8)8r;@`l9jS&(!ebE_QbVhI{LpFY|bw*7EOh
z)1NN3TgBq0QqlUES1K=lnJ9GFAZJaF`{ntfbM5x`x!qYQGWS%kn|=5%Uh5YHXJ$-H
zVmZj_(6y&8CZw*{?c<Mn$)EDtJKa8MsP;u)`q$@Lxqd~!hIcCh`))1}U*F++qUBd#
zMsTdI;ilbPt`f}&m4<uQH$G3}Jz#dU-_^>7xi?dvxv0M@b0tIQk;qGob2!xt9e=NE
z`mthB%?jPJPOm>bQK`MI(^C^&C%-=1A3f_u@A(zhkNaKc#;t7lwx;Rhg3Tuxc_$iZ
zU%SYn!X$abZ*`yBl&(b4{A(=dnS0!{9x}(QYnuDh>c*4L6Vtk9uYAJP*yk#KkGVJM
zk^G9?k6BjiN#5P#I{yaq!Vtj@3BH?LX+5q>BbjFeA7)PKb=CUA-0vdHynEJu0oheT
z)1+5i(TiNMcVhNK-G*Q6%t`tEQ4E?f4`MWv-fcXSaCV2%&EDL7)%6`Q*Lq!lp6=zf
zuk7)ds9?3OC05J6Cn|L7iOf9>DL?z7UjA)(8PV(d{L~JI<8%9sPv2bAvUF3g;i;!R
zQA^)+3Meu3md)vPown(Ik8A0>ARg=IeJ<1WPC8!d%INd8_uP0-Vf(dS*PY%Xb7g1u
zf7k7LEZhG@xBv0nO}(zC)F&PLDl)fc?yMg>&-|IfmD3mfbWMN$rN|!F=T~HIR<3DU
zeo6M`(;nx!!YS8h>&o3eQxnJ78yR-xY}vU#zxJ*?s?N0RV@BB09*5|SNvk%_TP?Y#
zH~ek4gKhN-n+eGb%~EZvYW_1M|4&I~=&zn|cN4Suf)$N^$qZ{J+_$an39DRNv((~A
z2j{h{4pjw_7&#7$%{`5c*ZI%H%(L!I%75PzH}}P3*-f9gk9VgEEN10deJ$z4_dUJg
z6)pZ}JHq>S*OW#i^`!6F6#nRI|M|Z3%THHW9PKI4Zl500k#GLKGct08>Br9e=baJq
z`6s^o>k7HS-eG-yMu6SF{`^xtQJ++ef35Bh`?INObyw0!&c41dz3IIv?<>W(h<ElI
zM?Cpb@lp49kCk=kvL3gb3rycO3jSc6m3+M4HKHftcoSzte`w;Q57W=~M%eA`3!c8G
zr&w2c^ZLe`lU!j-JM(|{du#6RofNU=<mVlo-7Bw#SIp?m``j1d=bzMhIBe$ijW_Hn
zS5`U3eCF)`)RMe&=B!@d>2I&<PF+`Y^-Y)Jv%ZM=PXqWiXdLa1(BH(sJw-g{{P*{g
z$qjDD3>rMnettGl!au|K*tD&SerBgk*HY>Ym%W)0bn;yRW6UhGu)grZhOD@brq37Z
zpU+AX-QN=yGOw@bZ%^2#=<|On^Lw+W2W@<_tvdJIpVaK0ocDe9Q{xL}{p-t*Q<AEi
z8dM?NU+}pzhbyV<&j+;)%t;;L-5li+e|p2+IhL<^-WjgMvA*uyisgSAOMdi)E&to+
zw7I8n>-K)<Q@__OU;d{*Y_q_+KT}p#T=LuaL@uY#b?V+V%RgW1aeb-EbxEje{)`!1
z_xCKEo!%EZ|6X6ht!q8`xBA`n@_Rz(-|maQ|E(u9bJEV>wL*&h3EMY!xg>2kCA<0n
z_l?fIpVut+d)wzV{Y_s%YM=MkXGvXs;;Yv#-_xJE^;S=QRCTY<a=Yr*JC$o^KHc6c
zxPAT1+N_gYu57&vuW8-u@mcB5t6X^MlJpyqexFOZbBzA{eQ)`)?fu%Bf3Ed<Y+Ez)
z{Pw>6TUTD<HQc*)X3;Iy`TaiH(=A<7&e$#dEnnZ8x}R6~TaS<5e9_QN{XXZXci&FA
zlG2%fwwD2A{{H~O|3C6iVCw-=@2?jP-rMJMSv7!h^Oxg&KH*lWV)DJI`oDYK-}Ly*
zjZ)Q)?DJV}yjuB`$ku~z=dYa^{;4<M^u1o6ms3?wgOoqz(_cGt>YiSo&)T}t)xD{i
ztls&(DNp+xr|#`MR@v*b+~48)zXQRO-*lZ+Fkj#6lk!7O#B|^1>b?a(m*i}2U^wyS
zOrvk|x|vg_%62qbwSQg8@U17I|3i5SN86g2)4f<H27YImn7eM~+^Ib8IAh+dpLxl8
z<Fbze_J7YRR;-$ScI`|($sY%wnmy|CnfqI31@F9`u08!e!Bg*^otPw5wWsEy;JwAm
zKlb^Y_RO3kA$jc9^&NA&THE9I9Q3@=le|0sd{5oq@Avv5zV+07iSCWgKHXFQyGQKw
z7M+tnJ$mbdqC4a__h~%uiOfF_l2Ez5!QZ}bOWNZOEqni-hUpKu_VhVz>Sa3G6+LT;
zaO|F5r^_2-UR*eyw6;k<Ze`r(o`yX=4tsSwWA62MKB+jlWczd5-lnZQsoNI**JO#V
z?+$rX;WKkLZ^i%4kk36WdwN2)-|A`ptj;yDx+mgWheYAWzIz?c6PxaLHn%?Pd9bmI
zYj(reKYh-Vy4n96@Mh*rnj6V-f2E8~PlIZQ;lxf}j|(Lo;iml^49)k>ce&_=o!t5H
zKzui|;GV6jQ%_uxf8FD1(e3nl;R%lJ)|fu^#-@gKlcJd={~p+LRQZs=UhONo8)N(3
z{P*|h-97Pef=!Q`_3v)>k8`|k-$=^obyx3vF_}~6MMvTPHD`7wcSQGxHn1vQV3GXB
zv+~8`m>&1}DlCRK-1EDl{;k|<)9CQ6C;D4&_olvR+t>XbPddF?4f{Mo&-G_#zi;`c
z`naQJ<|<k3Q<B$qt=QP>?#B@GKAB-+(z5*x;sTEa%ahvjCKzq#aEy--IPoS?ts_hP
z+RPKHm@Q+j#aQf#iEeCJe|E={zEaiyy{<X}it|riIAc6tcf$Q|haP?0d8w~6P;~zJ
z6&Jb0z5AzcjJd+j6#KvE`K*EyRkqn5CSSX#zo*yb^1T)RayoY0J8|&MjQrzsJ3{97
zxRh5}_m#fwcbR*uZ({h<j?n((9q&tZ8ZGR4C&pd-u<yw)pVN<zce&YZV&cCfmb{`?
z{DyYYnGI2&``yk}U(=|pW9lvM6WmcKK6^uYhsQ(F9YyW@6J(BePS96U?7YD^{aVuL
zr8gKqeE!&&`j9bcdSzPF`t*(03%5^*Ie((RzDS|BMW${|pLTX{<nMlW_1irie;9k+
zH}$pmGB)_$|G{*DyML!n!JCT-k=L{<_0K<kBh=ykxW{eoy`JXs)Q-sWbM{(JVA1Z_
z>5z0KmSK&?=D2Gr+iq5ToV6i={dAIqB}eBh+w~1m87^D3uPL8rxuWE~k>!fgA(q~n
z@5f^n9Mn3H^gouNf8FZ*dmXMlD;d_V{=ey>Qd5%Ni5K@PBs%Ka#d~zlZa7puiH%bu
zfAQvx7ZjZ?*#vFtuY6{;V6Ex?-jodj3)V>sW?yj7VeQ=6H(}2$hTJu~tT!ZGeRw9}
z|AP}!lU7<u-1_i*!nHHcw_8Q`REj4*`EPZgv%69`hk^4P%M7*tl&bs-zuN;(E>2;v
z>Py+W`G?uzzaO^9Oa0#v{DG~vr2Kbp<-XsO8?!nJWtC64SKY5ni0F@h|Co8jV%hbb
z@jv@2yqX@dbuMH~itnp<JHvEOXZ-V?iq$eRyiQ~$e`R5vKO<#@$o-U}kn<1bpM1ik
zfBu2>zTS${oe6cH7>)W0i}z$t@H@Wtz|*=OqZ4}l<?lcD#NVFRQ~s$pK2EH+{C8)(
zC(}}n4{<&5vzcdPi2q=UnSJ5x@tdmidWz<5)J!_{sO!X(_&qPIBTobz?Tycm-+o<m
zzHU!hc<9XwvyPtJq&2UnEFt#4@5#-wr+dre|9t+S)A3+K--?-E*X)?C{&4rSH9cj$
zA8vP4d_D5Oj(<jzbrK_|%T-}tMsu?zyZUbm^@rEL`*T4svbXHU(vxS}S65v5)14jJ
zS!UCDKJ23Qp5C@SePvJ2^)`Fet=zVzGyGA1!SvkzQoDxVaeN;V*Yp+^-R>!Q_qn6<
zaB?r#kDem;&Z*ySD1SaZJN@L_^D9?Ym-TjK-R>=sY&d?8vGef}F26sW#d=9x5v={i
z^K1Ibrgyc<mL+$zzUeLg{B!PE-k7uRdyAj+6u(?^;{QkK6aSy}6w7{`aOmxeL(fh~
zJxprN*sj}?|Ft7&I@dh?p2GT^j-s9`T{mWU-~ADDiT~)O7usf>dDa_v%lZp7Z};Yu
z^%PnrcX0hv$-mu`o!V14wUuF^O45WIe=a>r+px@)VdEd(2{q4GY?9Z$@T_j;rW<_S
z1;;y<9)G^*ch<29+#T*WJ_>K_D>%2Ur*M03+a$r@JzE#f=`CM>>ciuC{e`7jyVuQo
zb46Xheu6+*PuIQvg6k)lLVF6Wwys+k`mHbj>Q&tW$M~Lnv6afN`_liI{ngEG3Ov@h
z_2Q|#vc7c9^}CiIV2ttaN!RX;*;M6Kk+LA+Y;%16AMR71A3YG2Jz3mSFlpyc=|h!O
z1&xl!e>)}jWXP*`a#eP%Zmh6q`1$|for(`XZB|6RVd>8dD&5yxzi#^l<@D3*YOZ9;
z%~Y(vraZSdZR-t@<|`8?I9=f^-PV(NT7BV^`B!=`a{d3)TR)|@D12LQ{p4$QTi^C%
zUP`~{GKE*aGqv<jU*@IA-k#I_nR?Ut^f&co`lt8K)7{;ZS+ZVy!JhuiPfvRftA?rf
zM$gjdHJN{_*Zy4D*{?s}_V6r{F^SOb&C9gXk(oXJga3*TZ(f%<C9?M3?ydj1wo64>
z=79A?ow%O*eOq~T`!Zv#BBf9CmYqERRevk*{%?Jme(6*B)b)GnzupoJ?aS1gs}{AX
zKlA+bsYdhP^k!a~uYUYff99#wp7Q5=`x;Vv%a`A9o1Rtp<yQ3jH>#^U`G546Wa{^|
z{_cxk{<gQR#BQhh>#n>T{pFdj`|@-8%9ro$%fF=bN?enXb^nfoCm4CoKeK+nGD@^E
zskB3WvQkK=o9ON{&m&$M&iVW7kxI_rt{joB1%5v_v1(qsvSZ`kN%I>%#{RkRP>CtL
zOncJ26Cbjpp6to4Vf?qZuE%FvU&Z-<y-6?kUUj~DuQ&1M-fOP8eRa?0?7s1!;Y1%R
zXHU?yzMAl;7oA~!XV#p59rTHBdvA2)1&_(EPW=0t`%mOVO9bPI^XCuu7pfglt2_6`
zlWpyjV?2u+w)ip$*L`r_!V=gMue<)5a87@ds(r_SZ9UB=MFWaEa`ZO++V=H%_`j~S
zjXkZCU+nv{^6<|S#*-OM7o=Z(^QpHZVE5M<N%Hd>i%#_aPhpsQ`kZU6Vs(G>(`~&O
zew@+fF@9M|>?iH+|2p%ADW)$|iN&&d#qGKoshdBoP-EEHeROZ1ZTu4v<&zIL_uT#%
z+naStUncFE#Est*l}ipbZ$4Wk|8{+6_KjW3*coHwE_5tcQsMd~-PEV_n~7VUiMxL1
z&U-Tr{&b5aH(J{N?x^!*(iV!@B*Jm>M_+H>|5N=r+NmAQM;|`W-?OEYE3q?X#Vpf<
z947>lrgcVGn{{^W?{tjZxx4q&i@?x7J=sl38cHWwJfDgr|KC(D7<Y0$*TU+~)!%j<
zS8NgBuD`lG?8KYRAEvZ3CGA}Hcg@M`&(^LHcRtBEkta2>=gh6XT>V4b9!Y+^d0Llv
zBIIHpN#`<8FcKD>FSh!`nTVczcb*=xuFtPm<V2qMb+m!my6<u@3mfO27gEYB+1b}B
zQ?J}z|L4rR+`jzj^H@5zh`#R2|FW&`*{1INK8ejaJ<ls=oH^T(XFaK)oa5#d+uDvh
z5?6IP^J<?++<oXPt9{X3u6=WS&+DfXWgo_V-gmY?*FL@HRd-V3N%{Xhxqr6xz5doS
z>v2!+e7oEIA6jE-7KQ0_ttz{q-!p0A)x!BMR!^gu#KSHYz3Iun{E5lrYVo7ae9_27
zD=%(LW}H}c^n#dG+~=@}1q}x6DOPtYW}bL{wsW5K8=2F;lP_%k+>`HLeXTfCyJv3L
z)sl0KLV4f13MMk;e?DfqzOUc})BK)a*OrO|ZtIwzbnDdQ4&%<9$GhhBAGE)|W}?<f
zPNB<Y{Y`g6*Yp-{>iKrQb6u9pVU``cTv#><-s$_kwbT7<$L#*T{Cd0k-hI<QnDsMW
zWIo!Fqt~V5d}4y?i5QFcnPP$;g8~?CI-Kd9c(i*ycWfEM0=|#^6TQkV9$3?HT4_S+
zHQfgvpJ<-$XtK@zZ7nv#M1MldCKvaI0yld8uL@Fee;D(r=MQ(%<^G2?{eRx-J&CDy
z@&ENw&`N09#+J&bJ-dVDo?L(3-4}UD^LWqhu9KYQACk7cI3mS((pzd#)5~pnaaS}>
zUoE=-tt;?}$NhDWwtw#bb@kkh?pN!rJ}EtHJ~Zo2T<Ev{on@&r7k&QjbNlC-`)}9u
zc7N8_YA&18)BQ7Q!`(G=d%J_T2F5=zy4YVo|4B&YmxS%>Cv*H2pL_4Bvi;K?p8g7g
zlM2fnGiNG_dKOe>C^4{>-SqpxxPa+|0+Zw<3Hxtfy0rISSDqtt)9PCOV&9w<Gq3e@
zzx2-$e#6+=o!r0ceU#2_Rh@&89RK^e)_UnKjO^`Nzq#kB_e61l)UV6_&ntP_Ijw~K
z<1TaUH5MmgGKALlbY)$;Z81ePytnJR-BdYtud^lc?AN};uT+s(uDf*q*SIh0T>0}i
zTYZnK-?xQl<;utuh4mK>*q>C`TEMbNAZg~O<KN=+YyZv8JdvbZ|21A~u8eq>n4EZD
zjf}Wop?2MuxRrY@HYstae(IJ|U)l4K{YN$z)20|M>lYvUkL<d?=R(n=&EMn=)uR;-
zTrJuu-}&I*m$;pi<1%LPm~P}a$hNWnq}1`R@p`p!8y0?EeZ-TcSRp#@VeFrU78|~Q
zi9cQY>0)zN*SyO;M+9Coevj8P7kGb7>4Rj?u4|Q(_a414r~cZfc*6-lH(7`^@EvOC
z>#P^+TxPxSh}kBY><6Y#*e)$RIn8wU$M_986JqA=y!~WtP{VZDpTXQeDt&jTd&KNu
z;?;OKiA6N0%HiglYsx_pF)x0+-_zBVwxavvr}!?5)i(@(i0gEooWyl?&)$O^r4fx^
zxm7;9a5}3bGaRzBcwm36;CS9OW$QnZ{kvLilXl32KVkH~8N9ixOS=DcZ<ni*z{NGY
z`*wb;?Ax*3DmY@rRVCGHE)R-7ckehJ)VCx0jcm`3)|oLMSC>BF^4<8&w$fp7&yLv|
z8oWOqbnN&%;Z!#d<C?ymf1?-ke*BUUSJ&|M@rI8Hrh*RcD?Ea~%--d8)2sdj`;G-)
z5~}Ot&Uc>vnwS;Z^jRwTKX*5S>F>{pjLHAAk{QC*Ps-c;B{BNviH@UR67y>R^>B5H
zuW$>$p|I%e=WkA@-?aaK{G%)U_{6RCWj`LjX*rYIa#_@RX5YV-|B(}qlzmNHy@kE^
zh|Qia43}~`zrM@wND}|_FX6(={j<I$e$~3!@L=+Z8?6uCZoc^C81I93a#g#(B;Ku?
zVYlX*()X<qiXL+#RC%79&V2kOA^)qV_RNUmbvMN}_QXV=_LS{2sypBJ`Sjz4U9AEg
zH~(+Qiut<m_O+g=lLNHBm402;FQ@jVrz@=P)zx5Ld*2Bx-CM0$Qy3<GOWbPp)5-Dx
z%bUKg?^{Klp6cn!yL*zW`Ga@;rh@fr#LZPanZzr_#UA=k6AQg};Qi<B^|Sl4|AvV?
z{MoZ}Wr@hcEI#X1Gg!Jq&Dj2ZNqAk<Z1S%=;YkB)>()~rmu79^s{WD~SMSN;C^mP0
zZ`a><C9*dodv<BA?wPk$ukp{<=;=q+sK5Re{ncAh%J^T9(4}j+krP+5$1<#+v7^Jt
ze$}P2HGMnZth^?w-?KmLde*b#H8<umKZz-f37hmKVl`jV=bw-JIwrQR?fIPhaRImf
zjZfT{)_*uxIpgZl2|5prlHUB;`nRt&T%BW4_NGN;50y9e3a71Dv+-lctPZK8J!j|j
zem>iCcJ@W%>u-9tK523Mx~Ko_-mA%5?Xx>h)pbAm(=%tg(c(9knUAw>km{N!cf5CQ
zRet}=*Pk{pBwaK&Uh*fdLBZ87VB&G6Bdvcw_RNd#W_o(LcmCBQOuTz%9I;YrtX>eH
z9LIWb)}9~X>L-{hPbBWReD=D%Ug`#J`_&tG1eXQGCav$CpW6GaQvNa<<Gh%&Th?BQ
z58V^nKmXe%1^3R0$2c|w&c8TYH15iV2g#1Nr4PoKYzUdxk#+aa72D#nzKxsu=imO>
z`&{q!_pU7y!dxvEfBVHG5Od|jE5`f{6$kWVF2piiu&<Zve)ayTb>$?NAIv{?AHQO+
zpZm;hbKlu%eeYFY_s>14QP$hj{iDraqvhJqm_0W<lx{>PFA&|yYW_39^uw0pAG+)8
zE?(Kho#6anT4%@Iw-@C9bWGk5(>v#OT<_*ny>s&BU#NNWKt}Dx1LX;h6TH?;is_m2
z?RVdwZ9Q{t<zLT#{;l_1xo1Y7EW_`<Z`*q2-j43wWc|J?A)>!_bI;t{bFHSYx#|0n
z^Fj2yQ+#hO_#Eb(Ajv=JTFe!jo6{J!_ng|hy|*j=ZSU!|lOAmN6=b^lcynssj@;~?
z)BfA*xNa_Z*&FjU@a~gO$~`C7pYGdp>tD~wSv!08Y`wKGvFcyfx;<B{i+}g+zTI;s
z?)JB`_fN%Bzx2$#)weJDU*E~3y>s;6zv*+^)PH*Gx4y|y>YpFo+@sTY>vQkq=-O+&
z!Kc4h+11yz-rw}4%CB5gG&}#Kc3scp-KTmhvVZm;T)npUzVEfg0%6xZXI}5i{(niY
z$mq(kkE`!p(Or3+b$VUj<kx?CyTtC8vfjL_J@-^~@8q@KqQN&ii$3>Fj-G$+Md+ND
zGx{cf-_|?VE3R+y>m`=?Jb(7|PcGMF?SI`jx%5+0?yD&$-$bsA)W6nyNb7yy<h{K5
zpZX?8E$7q!b6vOSUf<;ERNno4llS|H&zShp^}?dgIW0RoKAm9sJavYtXF?<s_qT6V
zFGWlnK0j3pog;3V-E$~(e}UqU*z3Aa?>DYqeKIM0?&n)!SL$A|?0U}|<D%aBlZkzI
z(><j_oU?zwo#igOlST3Q^=mBJVP7jwt`xFt_!`H!(ESNx^z*wGF;DJJzjLtn#P(J9
zrVD<%{<iNxZuB(4^WigQ{k)>IympG<`;M*W7k%k^@&EgrEeBUFm%Tq-@NMsbwYgJ-
z*0VIPxu!CCy71b`3EsWEcPCvIT74w+2}61(uWfX1ZR8I<_XozGl4QG&r1kaf&uO`B
z)_yYX^8?k1ms2JPpTFT8+0*aOq8^l`pAx!liqLf)*075ue|nB~w&k<zZ~uH^&%6$`
z@|2Y2onfZY)5PERo>-g0Uf6xopS4jVX&Ou6?I|+ZJSTtu^sjirzCL%F#8j@6Z~FSx
zxsM--@#RQ={N=`khdj4?-@jGinEX*azvtwsoZlza1K+dR{+ZsbG_S+^{+}y8$(MYN
zew$(cyJzCtKc|~2di|%K?tK&YFqZKmr~AX*_j>(Zi`Iu-*m<O?^>^o_YP+7=N!pC;
z438&zobQrYeUgR$;JM0<i)$W+KJM`U|L5e4lZ%A^>e+>LYM))Pzg}+A-v7P+mygH%
zRM1*u^}_vGgI)ca?f|ZsP3ILB^)5clds$bnBj%ODHnsj!``@fQdgFrb(FfMm{~knt
zZgA7S)=+$OqD<Er@r|8tA79;{Ti<s|Zfb@1G#5qTq*>Q0h1_}r<{#loGwu#pf1+u|
z(vXTzTU|J6`W3xSwWv*#EI;<Z<8sP|>TW0NPuz{u4yImMeEesfec#2rsohshf6v;?
z$@wDb^6IYXCwX3WTeC68B;I7<Uv$KmVZ)o*KPnGDZ^+Q9Yl%AAdo`-8@BOFV>G7$(
zZ<h-*OstLMu)45dW9#cV9Z7EvgdI-)l4)Jt6FB#6XJF;U(9a$2>J0bl=5$Q`+!Ls^
zx9`u=y&czXUN<>8W3P5ySIM4^s`RHpvQJ`eb6oBT49&{Fe&B6SV5nXC&3oM$A3HuL
z_XXBZ<G9=DY`?oJP+8*bwR@d`?i?TA^k2RA<l5e+fBU9{NB8~Q_qKo9bg8^QJ=@be
zj>$(Vz3BOIt7BUJBPr=gn^esAUowj5`u?W#>d&5O`P$d^pJ(pfUUytzgV6l$@1oa4
zHi%g8PsrWB;_eBpvfj_dsXa6HZtwe&+&g2dZO;eBBQfWa+|%zYnE3qswe6>(ua^9@
z?Rj(mTKDxgJH>Bq=Q-bTeO~XIryG)HK4`7Du+{L!f@8Cf^nR`qd)WMb8~4_ZEB5wR
zHXmi}`obq%eZ#Zt!>P$uUR$~DuUgZfU(xfD?NTzsI_v8jtsf}dJJqq}v0mSn{o5~W
zaK3Dk^GE&q`YAo1r@pr7dl~z;JM&9ych3FEO|A>4Z0!GB^iiPe(_zz|jrDVTUo01!
z@$4f1RJ)k>-8s+uURYK#==Z+xVt85Bw@I77_eIRSKYcIcVj05DH!_|5I=4E%^Le8G
zgh!(L`*O@}Pxn8I?%#O6_i1=p&&Jc;PmgKcn4EuZWnKS!ZdvP|_i+u+3n%`oh%xAR
zlgPAzUD=RB>>Ja9XE_~@%DO-7>3Gw1kpEBDhlH3VTQ9Z#KbiXG+w7wJ*9=Gdo`%=X
ze^3;AgR$$`nu*q3FQfik@K3t3Lj8Wvv-q+}tbZ;ywq1#t#45geX6KKdr=M12S4?4j
zxwd!pxAX~2NBfUdSvMuuUt02Q5%=b4OrQ7lK7F;O@7VU{E1Ua{&2GB0vG?hRg**AX
zdX8PM`WEwG%Hf#T-N&l`?TY>QW#+wUy-)1-_Z*wsp`p|H<X>;&+iks1=FDRF*w>i<
zw&&T;O}$5F%}9QDrKj=!uAJV-vPnAsl5W2J^RK`0_RrpXRr@DZKkq-h^<3|*O+AON
zXL<MBnA>yY{x6%J+wZ3`t5z=1>zQ)z>Z0?JeGhN#n4I4KfBCk)>r*DUAMZJm|Gwwe
z%SlY}J%_KKTeA4)8@pS5cZ08PpU`{VrsuBZ#h<Txc3VDnNWLw9ORVB-_wMsoE`C(I
zni4JfY)xEnU**|T<u`k_&$;vP!j7{Yd-9GwE^3&uud=7o{P-<T#?H#$mbd)s)^}E(
zJvD6t3ybCnzppa4q7Qc8VC>j?KZV79L#!Z^DD&>#eOKF_Y`D^0Ra$&%vs}-y)k{9#
zT>RyH|9`Ky9qqAeCM0%G;FV8)ZFcInMi2{k_tfh%4URV&_h0;b{9&5JORe=k_dfiV
zv|`p|hWEeHkIspEG?TGhr{|4r%>SOEv&?<L)qjL~%kPDCF5TQyl`k9nzGG6_o%gAA
ztbGxO1(SMe_NOjneav=JY9hz-O)Bmj>&0#q*Tu}>KHoDxe-r0{ITI^C-a6V_^*Xh`
z!&Z8sI7j#G85bKjcX#U>ar}PDTGmswzA2eu3)eNBjkzg5SqeKn+d2DE{$>cU?9A`<
zDeJ9z|F>_>vxT+`xmg{*_wTzM*)hXkxM~v*bLZZ^-u+)U_0O2!8E1crkI(y~P*o7O
zH|xZ*-m0~JQdzTjJ`46$TwT+<KkR1j2K_2wR!8mrf9hP8uB=~0S^MAj?%N;E+W$qI
z^<vr8jMZ;8%utelR4H=%V$#u`s(+zNdG-JF?YpkYyMKS*zR;5<A`42F^LU<66szo8
zTz#{@YU}%{vb_EK?(dr-`@Hwx)caG#&)4<*-Iu%Z@g$o#nfVh%%GdPo|F)FZa8J*^
z@cB0LKdt-`(Nnd5zi`kR)|(=QeN|igW3EJfJ=ga?Dz5j-sh;Xpcf)!M*KhAT<R3Sq
z`25R9^LnP=pCpsA@}}tN-XAx6KL6C|`+B?YbLQ*bx^L1uB<*{@?(N$8|4HJ+o~_&S
zPEX^xb~Wnt+UwW%bli}S6zFJtY||Mk*V*y9!z1ZLNh@P-LA>?;YZY&Mw{D;3^QG<S
zn$GqKjEU@hTk0o^7x!$rp0#>aYs7?F(|@N=?%F&fwyLh<#fPL9y#?8G`#z^z_domD
zRbX1loc@t<P4AYnPtJ=PP3-=CoVWRf@9Yi2rE{8iOLe#PpTA#yCG72={(|UF%s=}J
z@^gE-u75~oJW(_&@%xXM-h%C3Ondg-`Tw`;%8AO~2UdKzFrhlF$)G>!2+QA;tk4tl
zKX>TgSa|$+*P&TVjyKr)w!MEdjp^&X4+~S6&d%|>x@SUWWam-Q*&F|M&vNJKE}J6t
zrpdAIP}jy;G4<aXpG8dVocd-)iS)7GD_2E!6xmj4O}@Zkd_r{3vd67TjH>+?B06@T
z?>y1nd0xJM^3I+^XFE<DKiE_Bu6A3`t8G2ElKOXTebbyMFZQ~3SM9c*N9VfC*5CXx
z^ZYK4=Tqy>uefig#LRz;gY#3&XUz`Q$5$e^SHyG_nSZRZyxhAxPU%a?d@ldWijcnN
zYdVzYf7$#02jh?W#2*dIYHMDciMzm;tn=Ww=)d=UMQ?Tb-oEYIv-MW*r{bS|)7Jm(
zE4m%s`|(q6QT6n`PfI&(gkCeYe^IT!w0aXq#m1VOjp?>0r>Jo)-B8{4Df(!~_eV2S
z;{RM)a5(0|0s9R}3u7j%$*b!rTEFM|hq+Sud#<kC+f#J^wNB5!H8DLq%l~b3+p{4r
z`DCo9+^LR=^&LfO0>KK`V|FJQ#>~n7Is4wVFSq)4X78WQ`1DhQ$E;g=rkRTEI_9r?
z?&o&zHjUJh=)HfwcX!n$rHlQ)wol@`&NGEkC;!4Xv5$Mj7$<ae_q1@m-E+icbKk$E
zYcJMBbnZI4)m$%k=SuTPM)}L%H!s#n@7ZH}Q!D?<_t%p(@_YB(xAULEe6MFuHve?i
z812P7b<WLs`>+4kENhF+YrY<G613R=M)1SB$Cqv#one)Jb=P{`sch5Lg1KTo`A=p3
zkaRh=_xrVJQ<(Sn-n=cOS~0s><M_<zhz4Qv-Cys|ZQ!l_F>^ZOD)*#n>-fG&2c}It
zo#K$+`}Jx5>aK}NKe7$yXovOKs<6KB2w2~HJw{#WS^vj<cWzAG+jIT$)PNN_KTp&(
zXRYb!kFVb(!rj}Fy|@2*{XP}$K%;x>d#?XkE;jd6-*xNqU*dDu^!DGM%k|h}HphFJ
z+xz>j$G_Xu`}Na*{m88+9Y3#nGM%BUb9ME)p1$whj1^5cm^Q4L+~_@TZK?N~S@#w^
z`jGVTWmZzh^NpRKvmdRT!r0UIcTM;Ek58|+_VxCCpUvEHGWK)#=cL(d?^kFxOzFMb
z*Y~~JbH~(G+Z)SJ=l>s|%>VzJvF3bV-|w?5onN{;zKFi>>^sKt;spQSYcWe?lmGQy
zpZaM+<?Qb_W2G3AI@Trm1;opA^@TSx#%#;_b>eV9Vb_Fpl^-tqGky!}y5bwqx@mL#
z0@r-L8#7`VHuZ2V-2I|sb(=hs;F0X~Jzd|pvMK|1clMe7W1PVtxN$Du;ik#Ae*CKs
zn`zg)IL5kD@#~+CC(e#VMMrdtDtbHmR&Qik6qvPvG3M}(brV?v{_jp{mnxd^rh}nt
zhs|9VM$u_ZjeGQa`ere_d(&}4iBWugSD*SHgVmjE$y3>X?tVLA%Cnxn?|T0yNT>hw
z+&FKY-Syiu!r%0N`!jd7wEay3*9DpO?|b@+w=&Lr(=h+WMUGyz-mcn-2A}60y?(Rr
zMqTVCi{QS8ahoPp_T0D~yNS!X?{TyikM*_b({_A1<J;YT=&qK1&$p*NGh*XrZ4c|c
zvD@1#|5M<P{+V|9eK+>&)r!n5>$$PoPh{@&z8imcUE#5w6m>XqX8-r8)$#`xvb^rU
z5xLf-a`(+;m7Vh{)^~nAm{h-US44xk`14JBJ5S1g`jol;L$`X8`u<s~nqvR2IBU+`
zxtb-v=f~OP8LM7b%v|yLpzr*?pW>?<zZ_z`I4!?ta+Bo5r}p=rbWBTTJRl=JY0@p#
z57iC%|36>wnkUe+XJf3u5rHYrHxri3TrK+O90Rw-Pm8lVAJpAQvcB5-{MNkU+Xs?n
z#4<E>tmY52?*04GbhFo^6%ikM3h$SFzqI>U(&w|&o0lYaeEhnm_t%?gcG<ssuK%rE
zareyxfuDcOHZNFI^@s77+0DLp?^6u(yBp%y%skMy;_dnA{I{#83+#I0@U`XZt)8n^
zH@iRhGhMW~yy9}$f}FE64)3nqp!BEr`_GGct?UaV%GXR2-#dlr>FGHK`X>pQ{_bB~
z{Cp};wR%^!)wSKv{^Wc=w{?5}rRZyo=|{VxAK#q2aq2?5Z#|2j-<!rUd5Ylvr$Xls
zm)pj%J^g-qIz!O4-gm2wS9Je-$+_|2^_veroLx|$ckW2l!o%xtPUG0rx7dDfklvbw
z1&=x}h<Dz|2(rs$N&VFK?Q-;nSChFs=KlWr_<5&&{E?tp)jOLcm-uX6p1SZ#sBn<{
z)Qv_P_4}4sZ(<VJ9dT^g#2xQ%_AHs6!j$pIQrMu3<M~FF2~2Y~_FY@?{ob{|_pVKm
ztlQmxdvEWjuXcyKj2Rb2?3Y;d>iLxBm6`VWegA$>5v%^)JK<CG6bWm|MgB9}toL<)
z7QDV{R_BuYf?Rig{qFsAlF@WW&aq$pOa7nOw0wf`x}S@!?T=45b*ATY)zrQR-@i?f
z{(fyL=efSGvwA;&wK~bXdqeIe?GstHGovzHq^qZKRbN^4e(zMSQon;USN<#O`SN<x
zG(K|<`4<Y|`8y`}NL`uqP*AjbDqs3knYWv#@ok$Tv-R5)zVOItS*NGS#^qj{v-OFB
z{hYJ4+xli_<xZDbySH!l`KgNcd+x+_)?NQ`sCRpI+~MVG*4#RIV_MqB-t)Kq^}pJ^
zr?-20&+Pb@r>4q9|LcGC`%~}S_-k_yJ^kMM>el>A<tZ0@pLBlee|3L4ui>VtBHyZe
z|K{)SnO(G0G;~kT?DN-8er|lT?@8aQ{eGhIKl@+34bt)dHZgKf&uqW)Q*tvursvi5
z%wDSXR5u!=ME3s157Q<*>t7kZX}WM&W!G$Z&m?a3o1FG_eY2MaoBD>$J*2w7|KHD(
zmlMBE>3(~HFX-JPgRHu~rK#&z{@M6#+J<K<oZVUdgTLDyzP83;&xg5-Oz*Fmd&ut=
z>%{(7)l)lWe=qD7pA@-PW@G29>z{jH#cg8$Kk=Z`Z@1#gmy?fPIA2xQv((*X=g-bL
zwuk<(eHV<Gxn|u7mZXWNxc+8O*>aq1=IhxnWjD?}WaoKkBmd)jo%4JD@dbVmikZ35
zYLXidXWslnwU!#O3|m=N-jpn!eMmh2!R|e=y|d59&zr8qlGVW*<8re~ugR_FL}T~t
za>LgR-==VHIx#{0cF^y>S97z^%sEuo^Xj__v!IBBeWS#+DZEvur|A9vTG#jR_H@0X
z=&3B(=cnl1?%Cy?@}X|#)sIv4uGICMx_zauDte0ky`B^IuB|A4!cyFL>--dhPw78$
z|MWfF*1h9+_rKYFlfJ%ua_ZO>H_@9cyDv`MIK^mB&(r;HH(Dn3Kia!(s>zjGJf)p#
zKYO0*zwUW7cZ%85tt<;WmXv<{RNMdX`kOgBPfwd}>c`c5?Mm<Gj_*Hu9-Lpj)&ANJ
z=O@{*JDAQ-V$G}Td*IEH{pMZYB)@4>j3zzcXv{s~!7;PzLAKZjr}sbad}M5RZS_X1
zf0AG79aY`k9g~!63s<i?`{+Z|!N{1tN$;+lO<FN=RmDPa|B^K~rz+m;y;H4UY4e9U
zrti+Tc~k84{`XJ%^ht8Y0weh(u_Y6Yw<JB5S<b|LBj-a$#|ExrZx)ze-MG=EoiS;*
zt?lp2%^Rk7PS#IilE3mpusw3-$Lo7~-#ndWezI@!zc)=!b!LhmI~n+O<Ce}#qK{7|
z&aJB7GnJ)s?YA|PIy=uE`@b`+{LOU!ExnKb+F9R_DVwpi->diWU90$MTDSWjul+Vf
zC-!Ie!?Qme(zhzv#$9V%(8_pu&0Q774+8xEI}d!E^Wb`4&*c9v_fF&60;0E1SGg<K
zaA)?!Ia}J!_e}mjWx8@NW8dWZn+*#-vQ1JhJk_u?$7+(=wGB?6dnRwxoDkK0kAHtU
z^BsQu{~s@Y5S#IDUe`<0=)NgGr^&6_)A7b~(Y^UU4o{Uk-}$iq+@!7dIq&_uHGfUV
zg%7$Dn54va_dc3Bjc59|j;kMHuI}~z%-`YsbF#z@;SRSwT^CO<wN4fP{<i!5`JTtw
z=~Ki{FX*y=qu2ZR`CV51ZRe+mobJ2mojXP3b@_wUaWjJ5H4N_k6=F=f6w9z_oyONG
z4ADOy{A|^~$!KnA@M`w%O-D@LEEKX1o5Hi|(=?IV@cf-ldnRz5T%>d+Bx!r>{QI3-
z4y{}9{`Jp}Yr+dQ9?GBbFYXVQWn|Od-v7rheVf<#^!(<eAJc`sI&S~#{P%I1@Z667
zdwTu_?VBe2=IVB{C(;w7Io?n3NniQ?|DT0d=536Lt(h+TwDYg{Plnx7gtK0EuYWW}
zXm?ZWf2RpgY^Di4y|CT%^c11b+7kpjxC@VO2-cY*czdUO#q3Gu6$TB0rtceh9!=#v
zyR+O*hjD_$9*+Kh#pzRd*1p<4Mes}fQI=@}!m0V4cg3a&te#<dDEY+B9}i;Ao^q<(
z5Zmu^;QY~P0>bWVV*YQ~)xGA;|L!Lnc>X7($4oj<WN!EW#)jP&Y9eNB60iQ5`se6|
z%GoC}Ha_xiJ0CNVzxDNvZhqC6nj=YP+rwtg*)#WC^wh2y4`-is+BlUzB!8m%@r|X~
zbsM8MZpe^JK0QU?>b<EV*6EY7C-a|eocjL5{~0&>r;Gf*HHE)u{$#Q5b0%H68GU|5
z<*JD3{NFY(XW#p!v+?2W?kl%y?@i+`N}nRW_4ES6?u-A#<G8Nh$o$`PxOm%iUTf_O
z|Nm{-zUSh<8;?0Z?2GxyJ!_)=|2<Ph%5P8QJ-6xE><xUO9~Xoj``df?|D0+3?>9|S
zIg~t2q;BiN`Q?9Bbnpp2U(&jfD}2v1t|wD?D*sOq-Y7j?WZpv^L5AzEe@_(YWZ}+g
zJraI;I!93cPvxT*ejn2BJM#X-!V8T@UrR2SYUIDrYr)JldwyCgGl^I4RkWPJ|IK*D
z<D-2?ckP+Vp8J1_#9Wrt6Mc(!w60EHRFX4=WBPPm@klF+n+rE6C3PINZd>%7g{RU&
zaAs=y3W>)z#MiG_l{8Vx{v*#+p2<@4nBQ+mdOE8+>)XPZk2g0*>@134Y?x|q!5K4a
zO5;sS(<#FDrb$<w<o?`uq<r6W>C@9qPp3}f($=49`g}U?>lCKYDN_4yP38I?zI}(~
z^_nSMCo5T|3D)iJdE{EGeynRx&z^Tzm}3-^|JUj_Sp92w&6sp3X3?Kmh7<13AByO#
zG!AQs+S9kE?~&Z&^Sygw^Ryef>l#;m-p-^~H&Nk1l3MZ%)7YL#5|2C|bFT`IU(;h$
z`QZA-naSFRSa~NW?}%4p)B3+C?(tN`wfjCMX-&*e+vRBbvF35jiWB`)m8ZV?G>!Sz
zwZ6SiH}&qGK3#eG=H9(l|Ba?*eVHgX)BW`n!LK)akDs0<P(Al!$z+z94=0PR?%5kW
zm1W|mjX%4l2>f4{JJ;jpROO$#8}`KYe%;i+SFgTqs>G)$0@1Z$Q>Bu$r}ZAcc3Qu3
zn!x%vxtf~```1hnESoyjVeSfJUc;Xzz0Egwety)TIr+tb2JN#`l=IW4DnI|ev*bp@
z=0FvJx+wyy&reP9zhcd+Tw=qk9No8fuD6ca&z;U^uU*i;Uf21*fg?sa_W%0t|8H(%
z{`Yh$`~F8xGo9TT4&1wVW6uTdXP@?pukPr3HjRD%xoMiG|MhKN{&tF1NZAx=JMC$j
zx2H*GX5UlQ{bXl9Rbp>b;`KkB7u1u}c28xg?6`Wi$=dqc#EYN%H{0)@W<K|C?`Hq}
zdnRkYPnG=~J<Z_ebk=ND36u55G;{7*tWSM$cM9v)c#Ri!{cm%5yC*W+zwg_8>383$
z>3gRzg@5|cG?lw@5|i(TN!Pw!*wb<Q`G*a*v#-Bwbo<z|S#R$Y$El~LHFWoGF5mg~
z<L+lYfA{M&y#M#``JSH5r+?qEIGoIQ@%607kM~Sdp5R;?*SEQR<+rKoUX}g7)~7u9
z(zL|3QghA34d%%W;vcq)@9)_>xl8Whl+NF_^Sl0DYL(yL@%!hDQ>OKulm8s+-`vmS
z_-2aP#+YB+Y{~yiS9fhuW|CZe@$HWZd)K^V-xzZv<K&xvH_z!$o8tU!id6CoVcTnr
zy<3(>Fip5^`nrEhQ1ukwUwgKuK0DGPy1r-2=jfkyAN#gg?VaYec2Dn?zKcsguh6gh
z)_LO3Cazgb{CloAJo}|DyZ)!(iB9E<oBD6_)JxoH2^7;hk@f!6+7lKBru%=t*>ipE
z-wE<R=G>k8XZ5eXiK*tZr}_2%xvQ5w&GzjSxuZRQ@@m8GTG>T%RZNoG_)qv$<+@+f
z*Y<8bT{+3wc#`;<g%@s2OwqX9v-RrB+-cFdeZOogJvP1MKHGA;OHe(9L2#$g_Xx(m
zt#eP^v-Nt~`(yw2E~&m>&i5-gF1<e9zjc+0M%MhvQ9pjj-&8o#_5E|h(yW}_Q=+GD
z^#1y$|7TeAefytJII3>&o9;h&;^#EG(|^OXt1q8Ay2H9lYkJMp_&=9sq|aWFs<>9_
zY5dd##hB@e%!g-uJ#)}^-w6lq9~yae2TyM9{~f(;O7iXy70<YyKi2hAtZz<DSoLj_
zVYbr7HM1?Mp8AL_tPwqt%+P4j|1Y>M{b!|V-HaOw7N<A3+22su#C>5(e98M2F10u2
zO|e{=zq;@8<Y|`EcfFk={%z~YC&&A`^S@pF^7_qG@$dQ>Gt1`oTzp=gyySWRvG`j(
z7ytd4S~0g`&UD?iZ+b5JeeaaIJ$t(2->&@CRi^8wh{x)7%>3PT@zM1!TdQwI{B77U
zv4pkTMfCSH@w+!aOs$yL^HX$x?}akS=H#0U`==edIYm6bJd0)iPovN+UUN^DiluH~
zn6)+H?qrV0jWwYgr@p)VZPvy=)7{I<j$1#!!ZLr;jL&<%>s>BqpZkg9Ysa~6j^BUg
ziN2Ypy*0gJyGH8k<JOmd_gvV!>+MwWZ}HwfPgmZ&_TT^K2F94Fmdc7Ar>DkQUY7cQ
z>C4X<623ucWs_cRK5)DL;+O9g8)k<bJ!-u>=cBmq;+uOePO$jEG^0oRU+3BLQzf$2
zXuYuhc=v#{_8-nidWRTSbnlN`sh7B8!sJqqoq<PLm`@vg+$Q^O%SMs!j2yB5Yj4Cd
z%-$d(8}?_$=XT}~8;^EgeD#reg800nr><}AyO{NSda~x~okbN>tv~KuC%BE1FKN?Z
zi_Xc`k7pR0@1NA+$^4dq_1>i~%*l+BvFlfEeLN-S{-4FKCnzx7nAsM8<M@js%54l!
z_$MYYmc?w8?LEVle1eZFbGp^+oh$F!+_?8|g4GG93lcw%9_pQBHScD~=BZZOJ~n@z
zVEMcw|Nf7-iGTekdVE_U7r}Pw#qrLI`zj`!elx}D<F<xi`wd)48Vy&Z#s0-CP2-vH
zkKtca-{g<SZ1k_ro07W!-lpa2J~F;Il{QUss+Yv$HS><x`qkH6+t)2I)%<#2cjNl<
zCl4%UREz)L^d)@?>)cIOzC~@-*zu<Ko+nH9r6+Mc7oY3>)H*S-^7z$#`Bl93uct_c
z?BccmF`@5OyTDY%_&>e(RQc>9bKXpoTz+c8PCdCrYSq)Mw=(SB(|ggs^wKZweaF8|
zW6k_FWeV%dREF>=)@#poO<o`Q(D7)`#q}}i4YqrxRc@OiS^CeoVfwKn1v90smAL-1
zc%}bi?0#X~u)M6}@)IWU=@QeeZ>sh_+V6fP_4YLVr7P!%&)qc5I_#zR+)uq1wc~e*
z&RuimTX@}c@5t1yO#<@MCC_co5WT@X)%y3IA4X{hRKh3x_ZGN6-FoW36#lbQtd{Gq
z?*8+9!ebM&j_K(aYA3u;xRMk&;nSLJ)7z5FrugsueAn(<?sWa_Pql0=e>DBa`r+3P
z{t4S<|G7?BdHjU=ugU`(OjjQf+kW46yWR5{y{{VWHx+3;Z`n~@*?Cqz`^fK_X%qK-
zGGxDY;>SrD`$%Si>E<WTME$FrZutK1U5me!Qw-lfVR%1LR5ZC`b^r74r=|smr}jMm
z{_fM%_&u9f*{`0apL@q_ZBlH{^Y2+dg&L=FPv%@ORsVXH{T++9Hx-WluAKc!{pi%-
zva?AG)bq*?GVM9|LwWM`=x4?E8e|?b?g*(rGWqk=yOLS|+GIZOSd_lavh>dcqqNCV
zi80f{CeHq9Fv;eI-ligjhpHc$ryAdWIxXsC&x_T%TvfZ5oqK!e)crkE1r%a_dFB0_
zX*M&`pNY3_!O|3_^AlVqGG3o#diMy+PS)NR|EFI45q_%g#s5$z#r~LGuT-ub+V8%C
zsfTsNp($op>vm6*dpjlQ{r9_p!M~@Qyxn%~_x-vlCe`<+#@t+y=jw3c->Y^(hl@$8
z`(Bp6oo1Q4dy2{4sg~Q%P4kb^-68dVipkz-%KyJj@%<JyO(i?BYt<C**PEu<ZlB`4
zb=y?6xVLwtw%(p*d#h}UN%rbvf!A({#7;5E+d9pD|C(vuM-O&C*AmLUsWgS}BrCUL
z{Q+C4xc?`Vj!PX0`gL_fGNZ{wHMfTX#uJkN$2mN^Sh;ah>G7B&iTmG7b$a{#;0EPG
zyVCDWyST*s{e7OR|E_+$y;bM+pGi`WSh`ovzy9Y)kaz7Q&DlK46ZyYaoarl1=9_Wl
z_?&{*N6t=V%iBKHd22kMa_|M#?#UO$8w8Br%=xM&VDpKkEoY}=*U9+vN9_NfV3OW>
z_tyy#-i;E!ZccUjttt|1c=o4AXy@F|)3xtS?>)bF&lVl;y;HQWubRT6Jkj*(p6S}(
zm+~sVxyKW(YsvJDrGdxQqhOPW=EXO&r#kQ5bD_z@yOl-Z=QQp0!K`=c`tQH}JXLX0
z&;9GK{eIhSVw>N2^HI<J>#Krz^~3J+YVV(}@N=WJef?DD$UAJgt2Z)MOxJ$z9`i@0
z`lMj#6ql&``We-AvD<Z)_9?D>dY>Woe@ZOF63ax1j@kbv_1=H`ZF=_JD&HGi3s#yJ
zxrFbzmHEf4=l=ZHM?X)|xSeuZB5JOV>6-0HD_Z+I^S`FB*{(PreuTlE`*7esi>|NF
zf83unF?`LeX?=YULSOHh;&yfKl!~RltybS-I^*=Pe*TVkduE(XzS1~<?e=9sVN>mI
zO{w@CHpTwlw2I588NBZ>+NDpathAq^^n1o_*E#BC6CHG>SIv!>v8Mm}`A5+UgnuZQ
z@1JTT9P>?R!p45a9Wz5Wcg(Q*6EjVI@`<!P8-<_EbgN%;;ILebm~L0=>nZXbCz>Xn
zVLLzZ!v2UUmG`FF`Jeom)_eWeoar@Bukke2O>vyWTzs23_Q|f+o@?>jrqu755;i?G
zaorx_&|A}LgHCeIohGMu>_G9!ImaLWtja!=5x|sm;Z0uHD}~~Nf^w5$A0KGBS$h5s
zlh&skrIlv|-sdFD{Fl^oE!BHUmE?h?oA_=RO>utIarVE5DT8J9hOi0FfBLSSKArJo
z>)d&r3r(%3C47wCGO_P+Y_0KuoBw*QrLMmu{b+|mXv)T@8?k4Oy!h95?dVC9eGx0a
zndaYVs_VMue&cT4Z9SWhkM8wcbHDL%PuB&l%D!vUg&&BvukXFK`K0;Xhd%w+LZ3V^
z`{8aX7Soyh`+`54T};UD9ofg;?%Me3gXs#5y1E}Cg(ocQwkV%JaBh9&=8K!J#8w}T
z>ACjq%~Z$zZ>LC3J`tZB(2;w7TVVeIwfK!Q9$D9IQa(S;wQn(J?8b=_T|B3jR5Hti
z=}zlT@4Hr>)ju(L=lb|HofoC%u0C-5*wfx?@1{(P-}<Kan%~nYG3(<GP5O6;=WK6`
zn&gqq%N__Ny!n}~{&T(d&Dya3>+Vc?VH@rLY*C&y@k{B%!_V*3%D(QtuFRzNdWwES
z%&a8s9bQ)xoqDd9Yei2hkG{cjyz}AgT{Ro0CWgOBnt1gjBXg&ylH{GADoML!H+M=|
zOh}A0`n2_T|NI?lw$Cr?Pmwu#E^yb=DJg3|^`wa={lCF-V@l<=OYwPTlPdoAT`&51
zud;goG^s25rWWN@D)`@=BFN*Ly#D03<fY8@f4bk#`qNdrXG+@5AKgcLuD>t&cCY&0
z1PSX+3T;#J-}YTEuZo_Qn>s~&{`M(3Z+owY8{If?Z*o%3<g7o3C*-)fxh%YSuef^u
zRPjICrlsZWo+f!h>Ra!RS3fo!ei-{`|3Su>smXDhXFQm(@yvqXa=NQqK0KZxIsNZ6
z^UHOUBn?kBsz@=hYCe9yhvCP9owB<pNS$Dm-f*)z?iQ=y<)<;(Q<7t|WZw7B=$RrN
zdg^X&^uOs+AE%plpQw?wyKtvz{r1hath={tKFYdCaP^J*!fV&w&57MMJ$c=#r<L0#
znTlIBd2F5^JtbMzfB&VV^eG9;w@ppDYd0a}_LQX53Gth!B+Z?WdUl$re(I@t;W^Wi
z!Y*{QGJWu`eY0Uh`i1=R8_d&_?sa}y6|q2GboVmZZ*ezs)^vX1Vzj@X{c~DE)}Gk^
zJEtDbn&DP8ZA!x7q|F~1>-JcEy!Lo%Jg0WdH~%Bd%Eu1`+26`cNdBLbykVMn9ODJW
z_M`)8H)eN#G2cJIyz<3|!!g@d?8y5!-TZUUm#Wqnoj*5^Pfh%Ef9tg5sn3&^$i!~C
zncc<`b1!Ruh5Nm%*<81>Y`?Lt5IZ_G>D0ZunSZ7vrYw~W-Dh)d;+xnz8Kt>XV^-dq
zsQP@m&-~P;q-n7$Pfe<veeLMkY5rQ9nC{(8opv+e`kE<Kq0^?u*zdj(@N+un)KgPp
zg62=-jDNX(YSiDKQ#q#!Nh$VscYfKycXXoA<EfnSS=G~`Bex$rzi4gMwQ0VY*;69o
z_f3ttJ*h@2_WeYzq)7%9m!@#d@10~hHTroa$19NvjSUT=`#0KFTw68$`}D|?YcDR@
zOo{mR;_{k}r;{dzB|e?VT`sffB<t!0XDY9+o~k=FbnmUDe>YAK_Di4YcY8{()z+y#
zZ$D27^q)V)|NY-7ftPPj3$@Cgo;Q7(@7gz$l7Al5*?3HM^OS)1=cg7#pO#YncdY97
z)WCQ9zs(Trni8l#d*zEMOra~wUY8xawf*$Qh^b6dv%-`f2p)f6{6AuaO!AylH$JYs
zqjhaMlluQ1SDvr!-Trr5*!iCqv}Myf6{cnCu3m8QxXmWL=NmPWc^0g@{^y3z^NmKv
z6Fkcri_Wj$Uf0RO`}&YTxZ&d^+or_4S#gEi<!6UMBl}_Yq&I1~TMq2Mcc(Zy_V<q^
z=bNnFPk2_La<TO5kMIdaXWy##UGxq8IMpqxZi>^lox$^_F~z@r!hNfS>+`}ZKc_Qo
zKRuO2t8%K_`ZxED9$ow)TF2kF>(7MXXAcxyXI9&_=t(ck6L>u}`sAd>k5v`7kFM!*
z@0v7q&C1fBQ<?VW{humuRJiX#*NHiI_e@VsTPXH<id)><-kYlHr@55|NiXG5D0|5D
zLY#H#->I1)|E4glKRs2s{^!>4y<2$oLGB0>^QoK0wEq0`tW!_#n$L|?o+_XDO{MqZ
z?J4qJ(bL6mZVmtaH}0;(%{#98U(I%C=})!(Ih|>%eX2gk6!)#GMR*Mj?WZQ5HR|8_
z?2wE2|EsIR>!xRBy%i6g;s!b&Cv-Yf{O@43`g>c$-*2C$EBCyT!Rt!j*0s~JQ>R8P
zKjC0+`-knunGRL{1$W-<-m&4)>aNlobFR+Y|Lw)xlL7ZGOwPJ@ZC>udn^Lj=!#8ZX
zF)=Uk{Q<}8dmakSni7-0{^F$cY5D1|xA|P(H#Pn96x&<Rr={GU7Vlp@#b@nw&V$$b
zV^^=e*z%Ea$CmoKDG6(Cusyoe{C~}fP%hEg6MYlRb=K%!JDYO+!q5L3Z(q<<ewbVL
z;Y88-tNriZPOD0Pzt#I%^z`7jZ>Prmxi&52_Eg)fy>}-~k51?=yJNC*?S`K8iRMSA
zsHpLlufA#gW4i6yy(j9V7+-H|_%TsEXIjzkDYoxZIi9Xq|B&g_hreqMSIukOa`n&D
zXq7_M*PE=4U)pfu^#-PioHriu?P*zYyX^jyds8CMS5C9dJ(;nI`TJDc>dK~;1CwH+
zW4^54v?b$zb1b95t6O`f*}A(HUc7g;fBj9F3w@^jANx0X)_%UhW_7Lq=h|=g=YOxe
zCouV^Mc>cT-ESwmMNBaERb02JVr}owywoOE(f6GzlNzrm{x0~XJ(FQiU+bOpO`7MY
zq+g1gYLOkrJu&o6@6UVb(=#l8U%eaoqt{hodfnCoiYF8n@9zB>esgN>o4%iM@23@I
zubyUhb=#B*{j#J9F&lfXR&Q$9e=qa?nq%Ep=QrG}nNSwi_sd`FQQ6O`H$U@_U2QCh
zT<I+O{PMx6W!CQ>1U#N7VrqA`<8vJ2gQe}_x7PL^pTM_f=auKz`~QENR=#zb)z_5C
zK2s~++I)<#yD)M8Lbc?^<2EyIZ8Ds@aF!AifA+!W_a<7{%)Gvdaqmq7ZMl=*<fqgm
z#ZRf3+VkuG>NJ)KLSO%EJoS8v&DPCZW_9)cs?YvEEq3c2_1Hbr>MA#_INM+rIZ@J|
z^Gw+m@3T9k9{nxb{%vYoY}_<S?SD!Azt*n(ar@Do<@zY||C|gA|9^8a!S?^}@A>up
z_q66)QzHAf%#QbB{I`aA%N!+U?%iAF+_`ptrJsj<UEi<upYAMApC}{$Q)pM;udOK(
z98<ba&)E6@1n2&{8ME%M*t>0-W!&4T(cA0aPqI20<TEY0x+Zp7$KTs`@?P6aZLj?~
z!N&ge#V0?{8lS(pJp0e|i%X;$e+ulJTJrPutcdx4ZXBH+UiJ6+qK9uT1gN{D?cU6l
zd?#q?u0K6%Ql^R5|C;1;WvgRo=Kh80Y4<hef8U;$y`0DX)HLzeSJXQuofJCSG3(t#
zp_tWIc3+!6!R}3?{>{C!`&B3S{dv&Pbs;@gSz$uN&(7D+(&xXOCVqbUrq`bv!_%fU
zZohwZ*Z)}088y7Nd#8neefMd~ru!##_FwRObT4qemi?53w-<6YKEIKjK6~!jLvlZ-
zmaN=gd}+Vf&hqY`lctA%wX0*ARuUn0pi9<%O3Buh%(lNbW<H-FUaPXGn4vBE&XRkb
z_fr_AT;28kUdQA9RcEiKFxyQHx7Y7{7B?k)w!odBSQSPu7UswsRntl{KRsEY_v4^k
zY{LJ#ScX}XO5GW)-!M1K<NN!QQSyxao@wIeBd2$CWnT#0JUv31>GhNdQKr?G>?<d~
zPGQ<PrL<kDvH5x;)6`P+P4WwFojiT~#15fd6Wdn2oY=|F7_+Hl!G~$3#y9^Y`JS-p
zdl=tuIi<VT@#d|o%w@?8+y774ojkd$)o+EynrRWnOurvIY-Wy`IQf2J?4+{xX)Ang
z=<oPjvy-E2qQu#S?(YO&F-dOwxFJ*K!?nH%5`QNzXkBnReB+t!5C13h{FqwybNAl`
zGa{x&KCgS-_jl5ivibi`Pm%Z?v;9@=kJ*>MY`jo^^UChM|DSw_pZfCX)uX%iPKylP
z^@PiMTH^eaEi<M@&fR^5C$@5$#B@9LouUjA|4&PN(p0!{dh@BeDH2OpFX1>VGIx^5
z!l{v^>$Xo2uioQz;ODF<W!t|WoLX0QU^;6uL;hmHYj;CWPKnS@zi}aP@}vlPiPbUL
z3ns0f9#L`Q>)924yDPWkZQaxGUCzaMO87aBuzxpKd|*tvyW*QV$AQXcH>ULM`aEY*
z@V)6J>-IiV**tCb);X){CwI4+Olh0``Obp!?UQoiWmet0d?RT|@+)6<#-!C#LY}<%
z_IJ&++{^#&%>Os1L2PPnX<l-J!G^20yRR($dB5c8+WT|v{+zBm_x6;k&rc_(-tF0Z
zXL?qA)<<i%q$y1|`<TT4-!Iy8?dH|nQ(M2q{eG@8>9fGP{5uu7cW19XwRGa#3#YFu
z$Fh96F*WAvLl@ac-8{^frs>7cS!6aPfA7yp4K3%d-v0Hq?#`@RQzrIv7NtmSpF3TC
z^~<z~DMEAKPEVOSRloG*q^LJ9chzp3P{5sJz3c9em{0f0)#Int#!V|&nd(qBRX_aJ
z_IrBy)AhsG{h20tK5j~Do&EiV`BU`6SM8k^ym^X#Y~}l@!FM(ZESuK;`IFG`yVIqF
z-%rWbk#PP$MK3sa+Vq=KI!;GV4}ZRQYS!hG0!zNwXT=>l<S#S(_q39mQ?jh`r<5+;
z)b&qIfo=Vi%#xqeDsE0`_rE<o`uW}|ZFl0PReZZs?st1i<<7^Z7xmj8Ot~qZeL^8_
zMMW!9gH${tQ_}aCZwWWv^=GVLt$nQhz=+*2>DtSdsP03LegChydT7?PoY+T)KbAew
zc=__D`RtdH_pI;knRX^(s=?In(^akK8z$=f*-+Q{ea)3K@wIhRB^RCIwb%W1=h~yN
z-qU<mr~W*B+<CG646FUEDRo||GIHI2e%=f&UvZArcjbAO-sKlqd$&!iD~_C{{kcUT
z>En0N_0tn-BrhF&e1N&d>g3&Qt^IdX!<XIQ-Jf=I@-|neBXv`J&%S%IFLG0rzlVd%
zeV0dxeCofat4_DCpBnIUs_NWz5lJ0JH+&vAh~DEktaN^^MD)L@^`EAj&6?;W{{HHj
zPoD&hrWHM!*l~7xV*T`~3-`X6rgr=3)E3oEFVq~aU(}AArnbLclT%k`TYuxSDQdN=
zPfcCr{cmdG>&X(Q4`gj@S(n!M^yAetdX)|PrkI_-m;K%9DC?=ObDZu?<WmY!iu&{X
zlfO30ME=g&?@#UCuK9WVZb|;_X=>Z2^2SV2ySq9)=B!irpPL7sO;6mv`|X65c~jQv
z?w+Pr{&d0|VaD9l)rXamXC69w_00Z~ebc9l8GYj3y@T<%%$t4dBqwE*B~48F{Id5@
zz{kZWn3!KY{e7~9^~;JIkNiY$GV4yO^=1sdcd5eg)7rWNyhpEQ?fY@iLj3lW1^+ik
zOj(fHxae-qlm(&xZ%)g*J#E3a^O5t8)!&@5;NFxdt^BDAR_~o!ymiWg_kX9@znxl~
z8$V^?^am^dOeuN#=*rh0jFXC!nQlkRum5rX#!T^}(`9#m**9h3_9@ZZr$mNk#ZO(F
zJ7q!s>M4;|_Dxy(eM)}V?<q@mxp1|6RDRf$c;o8+yiE-Zlb3va`1@Ddjt>=#lk{b?
zrxbqMcz<%QW5>K^&ZIeiRBh`grtF)taMA<y$~S+eMJi`S&7ZiJ<MW%TvRw@&Yc94{
z{`%?K(C|bhOY5VF?f;HjH@?0Tjm(;KV!CW()~pGFPgsqo96x*0Z2!+G%RWtzvHQg2
z(kbxs+WzhOzdxoc#yol<_kOC(rh=d~cf}KOV>Vd+Pe@8hzI@>BpPO~h7R)pKrZ>HC
zQ^Wk9H(ZY{UYP%Hdf^jB(FVS&Zzjuh6)gY3GPUUR(L?)krpnabn>agZnoRAzse8jJ
ze`IXDVc0*>_~_58+SjJaEU#R8;;^{b<6ok$r^*CJPwPE-b^k8iie=VQxFSFGny-Js
zmo`nN_SE#X;c<7D&N<ns*tBMfjQ`u0g`#ERJKE1)-M9McylKm}O_#CTI(^0SQ&VOB
zpXjojx}y17?8=h=n=d`7ypwx$QhIgSO^-j@vS-hT{NAXYban#o)sNQ?WaUrmU%qxy
zr1Hv}H#>Gs?a#Cmn2=*VwZBGWLVnydSy!foE!UZCleD+W&$-3Qw0cKUPwBVmmFG?}
zg-(-wU$cf~L0Z?%qWF$Q40BSi94x;*ZQi3Pvf;Pxojtvod0MpJ(<!rdZkkZ|tVip6
z&xEjPvhgRU&fGjr_IsuOv}wO5gzT9j>pyotQ@Ni&`n)NVzfBAGzB#3D=Bx*my{81e
z?3s}D^Fn}Z^#g@ZOssbgCr$M`KD|OOcUr{UTigEIhkl!oyJzAKHOXhMr&c6fioFpe
z+CA~h)QVEBnCbg(1l_o?f8&&>b3d2m&HpyNr}*jg2|HiM&usrTp}Xf!>Xh!iR=Ydr
zrcEvr{l>JQ@(0tFU(cV;`aiW)e*VPjn}wfW7Fe52_IkfGGwq<Xc^Tsyp5;9kS|?kD
zKW3WVpZWO0tSSAPk1s5p*1gttT7Tuvv*MKuhEw|W)=##2Hl_dNpNp?%P3c=M9sB=L
z6~oo68RzS3ruO#kjhWK({_n&xb)KgECrlrGZSIx2@G3NM<&Vp;9~fh%SlNG@(i5@$
zhnei_yLFM%t*38@(cQwGJf(a0uVnKEhS1Q^P}a8pe?>1bFf0{fU|<jg-w+ikl$4Rg
z7{ln2q|e0qm625;rY30~6R#3G6Dundw~`8zpcs=l2XB%B6FXZH(|acVg<<Lf46=->
z|8+q6`(&A7m|{ed7&u}CVjjk1#WWbkd{3%NVq|1wh+&MG6~oC8!ycm+BN4+d5Tg*o
z!C?DNAZBqABa6K@BZGavEK?HGM23E023>}Ffh2wg?gxSl%$W@A27(OC-x$PeU6ST8
z@NzIPyRb2^t1@u2h%@l>B`G*Eu(Bt;XW$lD#4>ThR+fnju^Dq&yEz&1IoTOwJYL5v
zX60sab<tp$K9O;{6YKFL0k(@REUe~9JdDB)G2fD&GSsUuGs$yAPGnGj#?a4r(ZiL=
zm?M#i!MY}iIi`Uz`9D`OLj!{>3&Z8)|Ct`i4C|Rf8NYfkCUKN9Bu`kG<iTLe$*gcA
z$&0C&VRcMh%<81iNe!Gy>`7`#l1%L?T>T6an=UbAawMrS<O{IGFgCsxWLmnAkvpa%
zJLx0C!v#E<N|X4+gZTMZ@`#s6@-7yc%(s~DCBsAh#hwxjs=SMRr?7PEawbpYm^xd;
zn5CbEA)7JgZ71hqF>@^ebC0Rq^BFo-goF+YN_H?N@e0kqFSIm7^!a3Qq3dGLXHDa?
zwfi-l$9C}y9$Rq^>p8l7)?BtiZ-gK6*=tUeD4!{MpW}3gAWtQ$B)f{hOW~Uwj7j&H
z#goznS=jYh*uN+;^T$*xnhPokvau+#Ok~kiW-(N-cTqCrQFK&NiG9x^-6=X-(RCJI
ztlh8KBC{9I5uH6vC|&j97qPiZ=5oi1&9(bAkI(+ad>;G$1)_7a6om_8Ug<V>O1{-;
zRX33JSjg?J<*B5(mY<o^ldDrBP<OkMW^9sBv!_70&{mGs3cq5)k|eHkG8qc(OajgR
z)pH!Nh~e;z{V#AinSrUBC89cpC8=o^3-{9)8J(U9j7dW07#viD&T;%>n483y%+MFJ
zo+arg!}9thrjF$QUIu$UF(fg3ieX7+=yhbuW=djbILh!jh9&lYZn6u*HWuOE3`s)F
z3mHsZ1Y%fXA_V-BJ(+eeXfg1&OlCY1vsz;Z17pmS7_JzF^q5I8;tD@F8Fv{7#PB$3
zNF9lB5olqubUPno5yNy_<JXa-b1_by#@qfqw(w(Fq$b4|^U~44>U&JM#S9lIi#l<Q
zutkiP6K(4>7W4g6;?-z)$Z&umImR&QRSa*EP@TpE8;c|c(30Gwt1*EBN&92GEZ#G+
zBu!*^Zkoi;$dSsK#E~?~)uwp~Tbn+Iya!{<1V&aCV;3z4&ZRQO>I&VQ9KMFRY)L}N
z9N~__FE1rAE^`-En4Zkg#8Jg@B`J`jVP6uHmw^v^%%mhMGnPfWmhoz?SkAAhDwtuu
zPnA#CD{|2UCRP(3!^JE34aGQhV<z<rezarPJnpfQ+mtDOmfmr0=1Ck$*8HqVO36&B
z`b^qPFRZm=YGSlKlK&So*?H6n3GDFt7sGKpDV8Z{T8yK>-DHM-rg)aip1YG7)=p%~
z+3UE#VqFItM<RQGUju*Ar6gB}Wh??5Nk&Os;v$n*iB8t5S}i`gBS|Yp;!KgFra;n3
z2jduqq!}@vW0;cucf>OE2`pN|%$2c5WMxYX8>4)ZUO-~UB_>W6<~0*yj2OjP)^+?0
zn7Br4x7Mz;qPtbON)^<EI25!TcExa<NQzZ3nI7Y55vAa+U@vebW~pM%YEK3(v6GPw
z9Z9+oN|yz)JDgee8T*O}{QD8%w=R}9`^$Rqt4a(#nufnTV*eL%=UcJ6L~|5=RoK?>
zFX?{_ds2j`LM%fAM<v6G7^9@Ku?(v`IV%NpBXm2G5~L-P1e{rzEIDHM9637QC+R3y
zGF(k^O5%(;=g2YBBIc&TBZhA=+(~O<Vi=NcCJD#L#&8JoOx>TLzG16+ptR(Km_9~!
zl}Mq;(;Gdx=d!fNoc851OH^|G%p$4VDHZDa^?6`y3!`96HP_xHEPigkCd3?*v}CgI
zn3^DCpf6;U!g29ZOU!bEMuwHlD-@FU#3;^J%+QEo)p#k$*r1*8=jud;B1g@Y48k3z
z42gmQTrCpEVmKIuMR@o&&E@6OJjlVxcJ6nKBl|6ur0*KbR3_^bd6vD}ER(62{J%)z
z1{1qvW>QSd43?Phl7`6)T>>#zV^%P5F*+9bl*jB(*x)4bJBFK4&?818;{#)-!-*Kj
znEwW6SvVL>IASKNB*k+DDP;=8oQV-+x%l*A0ml~J2SN<1Gv>u8=_d$q3M4p*a(2b=
z3*@j!Gcc<sy>N+9G-`UQQ0OvSLNCX(?&4P2KL1!P79q<hjtem@Ct?)-B~6!TS7ErL
z!6U#J%h1X3{)!@_K#aeDn9@EACPu3liWV#}rVKMDU1NA@#b%JNAebbo$>}PxZ=1+I
zR{j{N2{D2(qFir_yG-naw%hW|Pf|!sW>}G=v7N&w=`9B@Q&I<e(*H`A80}jMDoIZi
z90W53gsY->Co-}AE3he?*1(taAx4#JtBTMChDISKfoGAw-UkYl&k~Up*&$n$D9CY>
z!^Kx{qQojjrC!d(E;5WUg@<Oju<X<pxneR)F)^0mVh3Z4mgiz`iAaUlG5;9MI~i`W
zu!phmbMUjUOC~u9RyM>uU{n<n5U(hRQ4o&dO!}eVmsuIW(%!X;?Kqc&uZy>+u)tas
zMj?02_AYjw^}G2i`(E=_x=1jFakVN5&Sk1tB*+~4phHa1lVfV*4UMOhI@ly%`_?Yo
z!<X2>$u?8e#(vRW^O(t;wnDQwGzB-t{_k}7$x-?=AhhGJ(o$A7PJxIRW<fzg7ItY4
z(^r{6i&zS~_VNAXV4beQqiCsSwO@R;)k|JQkN+`DJlTeuMGlCiv%9k8{+ghsa!}Me
zjZ27+nNzzphT&aIT+-qgsU#Mcr0y=pq`i!+^05q`l2|yB|Gi-0XGv~gG)?~Rlk`BO
zup^d%`?<nV7Du`7F$n@mD`GMx1Zg`YJxwx9dMP5P#MpR9nzxf-c4TCtgI@<nPfX2(
zV;+a)S1O54(rk@zmszRw+~SI7f<#QekNo8$tdUMk#fn`sq_-S(4NZKw#6dDfP?h_K
z;xa*&mG@@^9W!P1KR%Uh3CqilM>8g!V6~rhQvSlUY%PYVTf(xXFSzj7Kb-O6$|=^V
zS5C7`4L-wq_3~LBRaHOrMGqKy&+#fRJI|v$?*eb~tc$$LDoWqnvOP<^IQF|_ujSWW
zaf$cv%F8^5mtPTOUUpR^*x&GvlF0vi9Q(7biH5$qE)u%(hUiq!o4kesw=YfU6bbde
zCHmU;Hp^7oJEpSQcdv?tUb!b*dF4Kja_|G$os%Dmhg$i0@T=ZY;Gd-W!BcMotBO^d
zK~|^2zg|w3zz!A1i#t{daam<(sH!V!u*AI3&6*XXGU1V$1Iq@@WJZBb9acr@$9!*u
zws6HxVr*Q*P^!|l=n1Rq@~0{{g4gWvh-K8cr($#Q8LM~jbGC_^vY)D6u=WSN<c$+)
z(p<;0B$jbQGRw>tuUJD@zGj<glDhQYqPrRjZ&>H6zvcetsqtsi8xsyKKK-h9tgm;y
z=h1)pfmh%2qj;ae1TB>(o*Bo4n@-9p*-nf&#iGKnF+kwJ+D?%W#-E?eHn3c9j%5_k
zj4U%b@AcVi+YDZoqzg*PjQp-V?O)iOHFe)k`YLT_VZ&oTO(4m_kL8d~G9#ZWi}yG7
ze$PY>9qz#IEE9cyuub&+DO#vsz~33DSsZ?wu~Hx=hKY3vqvOSx=vao$oQ_Wzg#N||
z{pVpcy!6YFZL46+L;0<X4B!6db5!9><Z$$q*~%Eh<LPq8V#Oa;cmKaU?(S?6<t&Vm
zok_ovn&OyeB{OWw+MuE?$Qar5k1bLt<{`&(6}yRs@lpSIpEiWBFjlZXjQPbG@o-`{
zQ|uJb%6>^h1qMY%hX}_El~{(B>Tg1f3M-$L3ndj;G&nP=#9RpQ$rNkQjM*4d5aYtC
z*5;aIa-o1BEcSnNOq@rIX;NiD2G693Efr<0?k<7y>;(cWaShv8VjNgvHmwL`kGb0t
zbEM#>N{pa0LqLp93|p+q|AZBC?5#>MoD8Wqra66Kn#mp0aH}ImapKk*h6^r*$w>@1
zTn;hZS;!#b!7%5-4@MDzOEK32PBOW~{J6n!G2oz!*$sh74S`EIEre1odol{yzbI2k
zicH#=P|LuQ^e9Ft$tFf=Wd>thL9pV9l$eDRf|WQPrZREN5Mq8{`=HTQQOKDwsWG_Y
zc~T+^@9cuL9*iF)IJXESO-|Y{J86<Ovt~uHp^pN0(l-WUr6UaMoEbJbZfs;vI?A_S
zDUqK^&}<^ZIbnuNnT;wtSqj@ZCtU9kwu$Ljn#{-<`cLMD5;NNo7ojA<jWJ&&T>c62
zvk0{*NpcEFF?Zg2Fyjc<gFPO<IM%%gSk&panbm}Yl}}7C$x|><MZxo<2^;JD7|#i8
zg|-T=L8(D9%wO19-Bmc4r!!6V6jEb&f5&3d435IBnQs~H#wf<v#!Ol&ut8w|N^h2f
z-Z2Z3Cd4K)Y!N)l(dNJ$^E0X7eMj>D!uQX9xN!WhIL?@)6#gMcO67)NVmT+@O95S8
zmB3?OTx?ey#3xk>N!-wpTExxfxI~yq<(vl(^CgZQQ9N0ew<a*g+?Oo2=o8V&;x%QP
z$aj@x;uL=NiG06Y5)TOQCQaf>QCK7Cq{1+Ffo~@Z=Sdz$i6E82M-$bUB0heOW!Mzc
z#jLYbkk8VCUnoxSPM3&)5ZlU04u!ndVvg^HRoR@y7F|rdB*J6vIirEY(~HlX(R+$0
zdw=N}6`mMJ)>UFGQ&)<!O<gG=wm^l;oMG;yd))30XLzo=Fus=L)AyHRnQ1C*%BK&y
z**8R%N54x{U5;g9R+c>5#7PR`nNk;mjxG^NeipByP_=M^3S$hHwd4&?gWjE63}(1&
z+Q|^3a!XiIZY$q}q?bvFQnpo>HI(>V6$5;e9!`+)oONlzN160Rg^QJ0otLTbxKEZ4
z7AS9-DZm!fC@#WtQ`MBUS8Xa=ujs2q>a6G0G-Ow23Vhb%o5aoIDaGw@O@wW#rYWzo
z)?7Yi^?i%9d9#=4h~x+JsR!!vZVlFBo2bdFo~6&~ILScxw@9?g<yl-ucd7^{Dmc$#
z;X7`~I)9dtNN8P_G3(=9CcL^UO?h=+n(^o^Gw01$vryKYYm*`#+GWXmc$SrTsF!L~
zptUIHWgC^lnPR~$G1>uUG0zRyUABb$U#POhmNg{fn94?pO<kQb-xg-r@!9%4{5i?N
zNWguD@#Kfk6sNlw<cAp)7g_$DAt0pU<T=IOm93Edl!;0hM|h@-kfhurMr{XA-eR$K
z2Sy>`$xa*JsU*cE&SI!!n3E(G;}XlTi;+<#_P=|~0Y_HVSVpM`y@ptZp4fkHSS(qD
z565uC3jJp&4Sac8kW=z~gw0P*k0UE`V%{%^(T;f<<MPSVk-O$VLXnnDgYKl4Y7F*^
z_$IS&efYt{NnQJ7Ut2>YqprVGlBVT1x5g_M{e)JuJlV`9lyvZ0U>vVYj4K=Wg$*7`
zf$xm0W(p>A3o&cP2%Yx&6nKrXFlUkIf~-l5jwjL>Q&eKLI&y`$Hyk?96Qh{5FG(lq
zjL-*m`|1NRmPeONJbucV?Q_ElPmZN5pA}<-BN{9tS{5zxjA}5^dMUfbMRY1l{1=g_
zDzBK1Uyw73;hfm1E5s3afQ@l+ht(OL<*t1F8C+tnd@(Z=VnjS2ZL?B#_@CDvqUFZ7
z(o<l<kBh?BCo#+yz0Ot05h9SbBSy%RA^72~L!CSeU&=6^h<hQ%Gkwm2&Iz|&IPa<p
zERlJ?!cMI4MaYjuI!deyk2A$iIwrKdI)Ur9i%rAf4Vy&V#oD<!1v(#0N#bRR@eq=~
zko3-z^LvpwW4F_TB}u251l3xWK1os$lAhVp)BCvN!9)hthV6z8Gao&CV!UDji(u)u
zm{}(!W;zBpatE+Jy|l$cUvRCe%8Me#sh%;bZPJw+CrPw2WESSmS<uVntiYIbo_Xua
z2gg`fdp>B4FVHApiZNJm+eN(M-X)>?DkcRsg*}p-%w1xFLf0ocZ~E`v`Os6_%p#U?
zLR4eSmdUaIoeKgKWMiCFRT+~12PM6XDNXA55hM14d8L9YhnjR*jHKra!4u1c9w{+y
zdG~OoVAjfuH|$jem4c)hF8rCO6r;{?OOwSVkw=7qTjjG-5yufvh3SI#N_}1S9;o#a
zsmbr?R4|Nr_2Y(8-AljX&rh8jj?Pfp`$S(sdF5_~Eip{V44o`7SGnE@Bu!IF{&8gK
zgfm5A8txNX7?MsUok%(o!{Qzza41P5hEp-wkY6O(;D342yA{7DFf5AE<kfuL(IV7X
z%&?$i(gP_uhIblje{L+=eL~G!^|wdek4>7b0$xey8LqrND9NAXAG3JD#9LCbLYq~l
z7%_4ev2cWJw41{?;nbuvlRFrh_zPlq8`e88CP^htn&79zEXXQct~|qz+0a*|-^cX%
zYL!5liE0Lx98D9Csc-o4a(8o!NX*HG)Sk|ho9ZSqEaTKjC{DW8k=dcmu;nVJu)@li
zMH7xHFefF(ER%TKkdQPt>1&M4t0xNYV{`;c+1@uOzK&^-OS+IG7^5zcK4*r3%KJsW
zysC3|SXNlLa42qlz~o}fp}B!!x{;v9%&9^j=M`L!nK<KD5<`c|>W(`MJ9Qppczk3y
z*nI8;W6b+GGu;FI#Ehh8_9i7+JSpz(>}e1eFj7eR5|hBw(w!urk+h8?^9jR?rXL(h
zGj}p4If)Cr<w)vysgR@{bIQU=iLv6Jct@oQN0LI9rqhw69UQYbd#hdi*<QHX2r)P?
zCaq7B5!#_ty@&CKq$g*7rC1~1B*SwTihiwCxE-U*v4MTU=cErw#}=)4J^z-(&ZCzC
zd`oJ}CSBiUs9<?bh2u+!gQS4fj8hYt^z~RIdnQL}HZ*;jl>EPNN<~kL7;}gU$4ZTQ
z!3mS1r%an_$g%t5qLxV?b~xW$u*sRBg+)$Cp^Y;lM&fEL!-SrROl9+xLstl@aK>q~
z_su`xk;1&<K+=q)Ukw`tm^hU9S(2_OG;_wBWn_@GjJcKcrZXqY(KV1St;?F}o&r<M
zdgee+g(cd#N=cIh{x?l!*ngy3pQ+(x2a|jfcb^1Pqn}HXD9cjD8TTeJ%Sv#tEIiV7
zHEBw$Y0zKMR+mqLt-WHcE|)pyC36_KEaEuHq#&lGxM>#WX66Y8PbEndGI46JFm++l
zNM<T{Ccu<*m`PYzBxaY+tzc2HPOFJrO3Y%NyAHA}_$`<uV#zGoaVJTf<I$}d`{#7b
z;&`JXpCwos6%rewp2Nx*Q`@OfHp{ArVULQXw&uG@Cj^`=k9Xcu{;0W%dF55cq$`~Q
zQIb8E8jd#qk7ZaGH*rPb4#y)LTrmeWdKw+pc;6(@sGD?J!`8*~zYYiAB#Dj{PqrU)
z5mXNqQ$NnKfoCCOj7qtufJU^3v=Rp!3n$Y=E}ol=nOr42ofjF?lKOuA3R5kA5c4T^
z!37r8(#y#VN^3P@m;xS1^1WS9-N^AWNjFA(B8PHJz@I`56;=kw`Tvm7T}}nq{(mrk
z65oVFF&AtO1h%~h=X<Kb%JWc(RUuvE!0LsDDU3<HH`O9kgFOv2*66x!OJDikf^p&x
zNe1op{u8BrW^-OxDgQ)Z?F3^Lfz4iFE=ki2y&IWi+!l6el=>}j=1NmpAhnK@)l;)v
zv6CxLB4+x9T7@$)qDgwZk1TWa8b4M=vi<E;WMVij#S|}MQ;}4}$H6}HiVLH}7gp{U
zN=(f+q*EnTx{j{*jhBit&+3ht7qm-4ZNaVyjIj)jvbQg)PqcIksA-YD9y9a88^u!`
zPdgd!%AJqlf6TLSOY~m0r@W8te#OY{otQM2W3kK*iKL4!93{3SGc+=Y6v?bxspi2L
zBe^20Ws;?}faAu1<QX?&mq;w**}piJfB!TFXPJ3w{G8h-8dM1jAD5YD^(u~k^^(FM
zp0$$;`vf1)IpD&i?BZ~QnN3(=f6V2W6m|EGCGkA_<5d!xFGbHg$)Q*%)TriB$?<l#
zTbmxU>LiA_YuFCVY5tZJrlIG;WEkVb%EWPON0MiZ+q0d9RyS0trFa8U6q#+4rd7o~
zVyyTkb9Adz(o{nZM%RN|JYw=WxFvI2=4+me$zkyJU~$mnR^?k*%NTQFPm;TX!$ijk
z&jV~S7x5g}RIC!C%#x@1GAYQ3pXorC3-b!bh<YB587A-DSDgA=@K;8v!;;N;QKqct
zBqbG*9UOT=iDo|zxFyZWN_tSh60X3QR3$OPlga-o3xlN6@ufcn@+N7pXg{k|5>nyg
z;*v1h7u3A4Y!bsM=4dVh4kM;?rkEz~3^B%}KPoFa&I>RlsTnBo3EY^t@@J;NgNVrv
zN#A1XJOZE04HA1OWx@a8K@mri`I9M^li6<`Vlb_ZdCA5ZV;Q3=C}AWaVaBHtqaa}u
zXl4HFL5l8N!ItXQGpsQgT>o5NPGpRD>UL6-b5RfDiJecgCbP`f((71Q;;$p{YDFqf
zwJXET8qV@bjQv6pH=-vq%r207!od2H=LBQI>zBNOjI*Va%%97pUFES4Oy{we&G1|t
zD5Yel<bCNm!)>M5y!7C!oziI>55JuFC@8sXjxOKJkiX`4HfHV>IWC~?Q7wFw!`UnB
zvb5tQj_6GDAE)Q+xbR}<WZq(~wJ}e0gR^*IWwWpH#7@lNjunt!k|ifHH%`L3=%>)@
z9a*BYU*(F<UX;gUYqct$$5y#Obhf9~fp?uHLY}*NHSSIL7V}i1S@pV#&~gp#NzWLC
zC1$tmKAiOBgot33)KeyvnC?tt22Ukck83PHxIGw?G_;N>Cc7L_*vrzPXymbP(;SE0
zNp3N(3!bQOI_P*_n#8g|QR{Tn@eZ~H{qI)%7xaAd*Ci&_=fW8aEtbNxEdogo=j!i%
zRWHmm_eW>vX@e~ScfYbI#B;<jtN%zevh7sJ)q9%sN50yti0^1+mzUl`lev%d*(FYN
zcqpuh?z}%sXL&LE(P<iY^_Ne}NIJ1LSa<p55*bd<;;pwAdg(1Uar9)HslPC=lqb>K
zpjvmKe)F73yqw+|Tcf6g=qwB=<FWTPc&z#}i`RNpIgj<?3f;x#52mU7v-rBWOzG~9
z;5AK^lYV)KR75%OTfClPrNErDHOX^=Qm03dgKDLW<O9Z}nT@eWIKo*T?B-ySPWoQB
z;lIX(NsolHnJ0bIVD{BeUg)*6IEi^dCD(x?b2^j-=61Z6i2b{xS&_N(mg2(Vq@_0A
z(v2pS6Xm!C<+(W;J8C5RV<t|MU_2P4Xl)$xlS$OGW6wg<;<b~cRvWT5YWp%b8nDEi
zKk?pxDMoLBrwWV2Lhopg=Sh1#mN6W4YV?Vj$fzh}b2+iDDpl=%&k>Fox7|r~&wpq)
zTQ3%u6<k!!ep*$)yHRY?T*;M!;R4Yqj=LK-Tz1`kxkg4<a-qRVh4WmDAB}!CEV>cX
zSgI0aDX>||m1FI<3-(GiD-L&aNh|SR@MTvxK8cCj{6fhcqsa>I6{mVItz0N1p2OI%
zR*6~g5XWa0wxqx<>`5O~lFSq@ZV-O-Qh<|b#`_a<nfWKYpTKe9|5Z(wPMun{%YGAP
zsb8KX;T@62xl$tLLdQfVb~di_k;fFi$LJI+G0ZGrJg_c=Rla&komr#C#3LtcAI?w`
z4BN55@JZ4Rf#Z!&Co@K}6rV_9DPH00A;lR`>+*d<9N#6)B8K7(FO<9{CRMj6y$zVV
zNHEk@M7&;awF`%lcc_e_(#h;^Lc&f0hN>~<0XJ1RIP)cp+t@ELJ#M(yz!Y;oNu9$!
zg(33h)CWnEW0v%C{10?*kacgk)EeDYBy{G+X_f5}ioxxkjI0NiUDTSCA|v==hk*(k
zuO!2XScV;}4lnHr3}!gaS-gN%%EYEaS72R?pTrTFi8K5UEvW0%ZD+m_Cp`0#t4d5E
zb0u>@=cNsYS2MoYFUXJ_tMKntGQ&oe7^bHMzheJAi)~?8_OLs~ht*0U=3-Ld^Ug)3
z38`}z*ed#N@Ni>X;Cj<=(nrsfN`@&Oq8gEmYlCh+WZA03=FO5@#uB4-VqP-CG()Bu
z?u9`fNzVj2U#vS)`*O{!9cqSuR@`=B5->f-a8JcxMdZn}&JWvr%#IXH@MyG3737`7
z!rVFKg`P?VPr{3y<o}DTICEWi3g3S4H0x+&)cBj5v@XeSqXDZ-M~CI_DMp74gck=%
z*d+bD)~S@wna{}(!om3AZtQ=dk~0j4V-=lW*dI6W5cm?)mQj<%sKLe<QyOzdkSU9g
zso<950lC`b|2H4!_;f5fHsjQfc><gaW`7itoRj~TF|JYAk<=TbeuCX1Am;FjwL&b$
z)49$)<75c<a6c)=((&_yS~vYo`#S%q=yGj1>8bRJNqX6;pKa0)c4!z}|L`EG^PJ$$
z4b_a!Q+fVZ>^{NJ6!o_A^l^@^KDSVYR3%1d4~E?N{kvXHRrnF(^dfy9d+%ALX2+X9
z85Z`Qb&)K6Vo)n{ZbkB$gqYgc|H&PX6}6J(7?S_JP0EUKjj?;(`OL9k;rgUI7s4OC
zRQ~en#fgsx?H+JVkl@gpcunC!j2jat3sa1wuVB(d!yW#{4?+v%V&<-xD3r1K*7tXw
z2d?>M7fycC!gh1TyPPRZrz?beOOA_8W>h!ykMAj&*edMKQQouZa?ai#0-ZOWIA}H|
zJ7y&X1_}vfa?Ew}WH?&*Tw>XT<p10TW|HSrE))yY_59CTS<`uzb#+oF!x@fKd`wOi
zH#@HWSli&Lurldujf|ji(j|^J9Q|FFTqdYkxGCQ2{2;MJ{%l9(i8j7H8#YEvwRWla
zG<WvgMH@``dDks!=UXSU<v`N;X_lu?9`C(y`AP>%_asg=i&Fx3bSA{Ai1X%f<(cNJ
z==7A`A@FMBvLeOzYg0W9suUAi<dkNcCGE7aX}s#zVI<(gvtm|fGGEdUhZtst<p1?C
zW=X4)Sr{gJTw#u>ay-$*6w~@3%H=78H7^6ZKvmKLM#-eU?Kf7-N=!fUaSC(8KPMIo
zsUz$R>c1PWC?>7pILg74G)L>2MoioEPKg%DBPtRnV&^KYwMbXnxpN|uIIA*`#ET!-
zCTvld9b;_ataOE8oz(;DB&HvqnO;onxS>(R<P_iW&LVN2#B~o%%{vS^E@>Xw*BS0i
zl5pL^)_AeCOKx$^v@LQCmps=rDLC>z@$HtIEO{ivm*+{+!CfAVNuIe&{{5b|c~%cg
zZ&oJPqxR=Pz1$Dv0yjx>HMicJ&#aUb$UkYWRKDf+Ny~gSI4AASQ@q@u@_8=v*H=8&
zHFFrJa$2isUC1ze$uN<}{@Wbpsr+ZBS=R_G$eG7nI*G&IN@5=K`fnX6A6Cz0F4Y!!
zI)93}#HO5iIk)F9Yb{Bt6)@cQXz9ZY)iY{S#2%;Lu$VpZGJE$XrDJoLt`;U8IJn3t
zaJK04NtK>r&+pA)4u8dCn=^-bDzB|w{2b<$Jhr8#Z2RUgX}Kg-bNSDana}m_X_B&~
z%=U>*8zYN(7K(H-8L}=E@n^EWwPZC|cPv<UEJ*iDk=cvq>eO$Zw{ouV>?d4;6P8TW
zsMK%0CO-G~9A+<(xpU_*ue~HX_xT)V?Y$y%9aEkNaL#AiJze-jMNiFK=Isym*))jC
zP4s?}^eM4rKC`DZ%dr*K^Oz<#Ch}a^5p#Mz^P@z^Ckvt@7-uo7>}-CpXZFGqJK9w$
zgPEBlGp5gEK4P(0wbyad9H!^q>UXEjVcJ)sqc?9pQ}$e5O)VvzIgH^i?fD|6%?M**
z+H*N6Fp}xWl>gu6gzuU1_o+8WFyGv5F(=z+ZcF;yU3qv(Y{$z9`xE#Y)i%#u@_}u|
zwjXnq?oIn1q-wSA`KGifeojdzB<A-`_WNYbZL@&;k;JAeGeaf{ich?7kTGfgTBYb}
zJKYH<lxI{)+~%M1JITmM(4{AG+SET<to-RQpOY+SSj|v760?MnWA*G1Wt(&TGg<{N
z=PdBAVVb4db7{w_xvKm|N%wmy=1qCO&c$VwCRgdYrQQ>hj!CUq?ydZVLC^W6<=+af
zwuug`9eagcu3TbCT3#V<lvMuF<;kAa?@m-0H$-%<_>r(c;rz*W5e<ABl4NJvc4(|}
zoS@l%)<figRnqOGjh7rw#ke!{yKq;e%T%rHd9|YZWkE#ZT*pmQ|JsTt@5o=795Hcg
z_@d3zRCsnScsP4`IsY5Aubgkpx@TMvTee_(1jEXtNnceAA|)dDCsZ>rf8gSexl&o-
zJXhm{3CD*CNlG0H{kRWSu&#-m_GQxyhKePNdODsRHcn=r{&wY2*|gXh>Ppre$}4px
z()efeGfr%<{1#j^=^bm#mH9K{e#~M9o#K6jVL3<UzIlI7a2W0=5MW&J<bO|$=8Q`#
zZf%_SiF1eAq$DHLhVKV+H*rp%m*BgtZdwlC!drH8wJo(CPt9@P>BVTZ!R1fW5$O(h
zBPPxbnG%di7x|4C4>BBhYjm<|!+WJ2a~OB*JC`%Z^WfVba~Zl~mb~!gJS?`bVE%^o
z4Z9m-V`g;eO6Y&s>BqDul40VUWjiCfbSFo&PiS{NAU<JI3^&8Ood;!3GBBQG;5{h0
zpz>tI%3l@|bGnZmn_w}I$%yCqvHv@KEs{>kSw6VCvapg#e9Fada~Vpe%{65`xI5`z
z%%cc_+J7qy6d4(~BRTCF>pR}9Sk3sN+(VYbZ)IoRmOIHS)u;W56r3#+ejr7PCq{VA
zw<nJ$ES~zq(tn<k^%d6V9jS|)9*fLW6=V_Sw@YeBmTpM<&%I*8U-<^PH{G3)Ggh%O
zC!Lc!uydC7;iQ$kf{Zt2avn-5s*H&|;q{So#;<@}o)6RJv8vv<e<00G;6N|S-sm~3
zT9thM^R&DaYWg-t@Jx`H%%Q4vCdH_7g<u8a+$*2vvAkK?r!zaSM|8=8mGh6!Wi2x6
zm}{wYeHYv5`5OKQWNS`psLas_QaQNvj+EfUlP~$0Ig7h(=JM=q=sbVPPci9%z*39k
z|M5Ev<o?c>Rm8Nw{-#Pw*p0o5w<-%r@XImH_<!ux8tt2hCLWr7kYTZlqE_OKsbX_3
zO<rR=SKz6LSnu=mbLMW+nAz!Uaic>dNsOoU$Wylb8QF8DtemOUesrnuww}ozFV1T`
zw_AO)f4TTv-KV;9CHD(4sR**Le0~1&%N(hd(-kauJbQlLoGbNoI#&`;x6MqgEoXSw
zb1l`Gl`?ORloxAv^<2riNG{dh^`GWQ)nv0SJUv%xJ)f@ae5tLxy5HtX{F%h1d$Yao
z_wmk2kLO6Oyv}=a+FYr4KK0-`qM_Auq_lilyYI1j`rdyovTdp2Q!e$XE}aipoo7AN
zF`K5Cplk9ZfNeY5B)xz+QqNf|FR&zORCb81@ZXU9zq84Zv5~>ZgY}JVw#qL5xpHz7
zn);^|N>0~!%y#djW39r*=}k(K|0X?QyRpG*(qvb);>h{(=cYF+Df)RmWAkU782FrJ
zV(whIlT){EZmc{$S3bxwnUUAT=#%1$xpGVRv~}moFXh#?oh#$Xqb=)uvr|Q9zWj1t
zecQQmnQT*6z2`Zo`GK!c)x?-nf6_<$>kN`}<(EE=ZP;{zMPT#Rr6&YK=Ez^V-<=^9
zJ74~2XYj|04QmdH#9Z9qeoTLb(u0k$6RV56MA#;%#s0tH7Gp9)z#{I%!%kLVM&saF
z;`~A}Y@cRMjZvwHWtccuSggTI!nq^o3zKnQ*QJ;lLdR!%CdU4MP_iMG;i7@%#NBR_
z4o=JXkatJZ`QmHFUoXyeSk2=OKD}t$OGSa_3H#kP>V2AZAk5--z0zX?wm>7U6HH0M
zCPM#pdAB9yeO$%xa<-U<fmNaDrb>ne(}zh%|M1W0Tr=sTMa-YB*%K-shAA4xX#3BV
ziv1ihM@l7ec@d|W>V|1%3k3T6*yl?<Up+hGCX>I9N98=Js!!nu0_R9wNLuq}+8k;1
z@a0R6KH28*w8|rz?Z9HW&YkLewl{>Ho+E7^zaeIMOy*qa3o&c-=FO1~XW2gK<a{2}
zn-S;d%Utg4Fyz~?zVOpWv5xIGqa9BE>R`MPCj9Bg=jo|WI@%^jghl-65IL0fWYx2N
zo;xKxI~^F6Pv|qV7kzo)zw(jX3>m`&o#P*JQg);Zy;)P!$neD3`_+kp&$A;cW=n5j
z`8@H9`=#%j_S)^8){)S{((zqquKux?m*>e}J}n?QVftal3AM)<cg@vc%auPh{YU4-
z#0G}xU${D5*>)>&UFy?i*_{|MNwmT2X8W1aT>p6rsuLda@Wt#r$7spB_TXomn8zC*
zBu)6s^gz4kq|)zoEgvNqlcxM}eeqvxW_Tw<`++%((|#R4IZNWk2hN5o%*HcfHs#+~
zIOXD-IkK7)8NB&!96!<O7<1vqd7ZZ#RwoHNGIi)Y{B+@*;eqsX8-6Uj#qp8zQjGk@
z-igOg1aMAZdp39G$HqECkMCyA$&5OZElm&9CMW;jQ11Kjhu_KU2j)6|E<AL*eVs{3
zi9zicgH})-qaF)$+lM`|H`vZU2!HnTO3%R?Oa8FNG8AoKh&&l#cQeE2^9RP0Ej-;j
zxPBdoWmpvZziQ*YV;g4JKAO<r81tL4<x#bF$Ay2&j1^8N7-Mo?bUnyr^NmTE$W$E3
zz<NPCF{a}?WAcCd7_;CB)e)OwtT&wgVKzha#AQaM8Rlv)Ie+lQgwCk0xbVQhLj6Oe
z9b-pfM_9~JCMWe9wGE6h+hbBM=(C@V5&O}xWD}>3z|5LJPtmBHx=HspcqUz(=OZf8
zzae|)Y(W8mm~Do0y^sF*7T2V7lKaKZiUh`_j{hPuZC>gh|8iuUtTe6M-zaGC@I*#M
za?%V{nO%X5&sT&_nmL&>{G|0n$=3%P4jzwLIsXu2Ly$Wk!!xrE)~3#r(Mea5K0G|p
za5Amw{Idn|6PdU#?{1tEBXHt<qRH&ii8qX74sV+$_vFM(o9S0_-c6aIqc&k{j@qO(
zX?HyQ=W^xuzpt)|`MPlHgk*+phMhgk>@nA*AKqIzk>S*$7r7HI9e-G^wDFNn%$y0A
zC!9`lVNhsTS$K2GCxKsAmP<5to-}#$(_<dbbr(B<xb*@b_Vv7*dveXeu8j>MHy4$;
zNS?U8;e(I_7gObjhY|`4V_qEFyr^8Veb4=Qd`G|TY)o$0`(#JZOuoa{l5bpmG>3Qd
zt+0)AccciO>S)m7ew4?l6Z8KtW0J;CjtP1k`pmpZcUfZ8Sj78cSR_R<9{!7;Cpg)b
zBX6!izc5FYgkZyk{wZr2dUATdoegN!RThfx-uChj@5wb=E^V8`U7viyaA)ktFM5v2
z46P=Hc5}G;CoxMg<;>yoXH?+qd(1TH;#K3$3wD$0n$8LcTE*P<(Xn|Mwr?Isn#~Ps
zkM){j8YX^??V2q6=CMoi-|&@hEf;pa5zNnVKyJeEi7ckqnb`%-_MAL)v7q?B!M-`H
zdd)ZP#4^lh<-d|#!?0#TCl5<Qy!c0<#Muv~eLQtTKXT&!A58O@8o)>D^RRuI$7pJ;
zK7&Qn^OGP0_l<*=7Z`3&>-at8-#)>ZkIJ_Fsw%bu+&QrfQ~tFl%`{+)I6cGFX2P+y
zNehq0$ZeYT+te!4qe#urf0}Ua)Zf21CVf2E^PzuUc_ZjZ{i(n6Z%=7FHsM-_qcRg~
z6Dt$<!I+CC4K-7Kf4#20lhGrVVf&oKgA0BzCQbRh>W2Rd{+g-3)OVHcW1RNOZ$tOK
zslWU-?6#Zu<NlOiCpTQ5`pZ1_3B$Bg>{EVO?~9rCi!YYp(YcdR$<u#oAM;;OJN?J?
z)0Ye<Y(2~}<;Nw4*#EIfK1rJo-^jMObT(qb_lDU2<;e^azp-n_GzFac!<ck@#R8jI
z0Sd|gbCNI2t6SB0*&t^2tJPu?zj7};zf31aXj6>X4BP${Djg5xxexR(R_+Kt8T*6%
zMd^*O34RZkH01T`P5t)tzgGVAKh;ye-kSO+DthwQA6!#@Z*FLbnEoZ{{N&%~r+)qv
zTRD^G<D}0KGkF?g8P?AA*&4w%<;%Zqv-ls)Z0cuxFyrhj{=SK8Hc$Ed<YDOM2_<Rs
z`JeBd^1b%w^zv;JHcHN7)St<1_u#_mDW7jn*uG~z&y=a3pV}Y$x=3n{c-NFqs`e9Z
z78oU+UASk{VwLHiHZEwgNt^KL^90`?^Tjj!3RdlSHAh06f8{|&pP0-wF59MlwCA6&
zFV>7<;>UB#SIwIGQH=BOf`07<6OLb95K`#4azfaA-cwUQmdPD*Z{ysc_wN^PKl_d;
zyc|jACU42T`0n`D-Qr0b>@9>gHqG8s+%q$u`(cg2;|>0^cAlU9`MTIi*Mk4C3|$L0
z{JAoh??cRtm=7^iKb_&)Ap4Q=1D_y2)BF?s6F&XdpYgJ84*#5uygVImX7W``{b2PY
z>0i=>`6&#nKSVxHcxUl(za9HW)sG8oa_90-n)+?}rm1hHJS;i8BI2X|OrE3<Ge6FF
z{e0q+$Nn=lk8iwSu^~;2|AU_;$I6Yl)^oVir#_!uH!HHAe-8KDryPcJTW?N(8g_F&
zuOP>ERh^h82jY7~78?F=IX`3l>`8Y0{4;q(=TA5?`;4iX;Ee8xD+}HWWY6KAUOVMQ
z{=}W1=W##UaI)vn#OaIlqo=)77ZBH<&#`sd)3rG>g6w8*-h9q{%8T{hOz}AnKIj&4
zdhhfK>-5Pv6?tw`_KFgVjrq@yX#M<FGxb$?dE^G=nkla&9||XT2y9$<^wp&wSNB_-
zd(qv$^83f+$Le$0mhwC{j0p>w#r~(${zSo%zYi-v74$r2U0~<R6lKFY@jFKnUll7;
zQj!A``-Ta7k3N)7`z<-=h4u>v;|XeQKjh+>`f?tAdBeE!#KVNxm9vg^-IV;ecK!^;
zhBNZr7hlb0>{ov1#UK0sLs~M!?9QIF9<Sc357dt~u{>Jwb@RmYH+#IQZ_i^*7K@qq
z{quZ|rkGz$S1mNVJ~LKq<xOVjN#656a!uFITc3L#?7cks{N{;L+Bca#PW`FCm~@28
ze3KIg`#cUM2E+SX_~Yhq{Q5rO;E%2RDLsc~MxLF^=^Z&EYhusyg<7rb(=H!>5%Xxu
z<(m`l$Z_2M{#UG_aQ>skb2#STnlbfpQx`LH($6F@okchHbS2M-*vRrVZDZ1d^PU{N
z0>z&=Cg1$}kTGdX=IyD!rY%_FK4tE|g(Yw1a!pxq(^dHErYR?8mN|2?Juv$ap3CYw
zpX<5Kf}8W^iof7x^^WBG>G4FuX2!IQT`wPf37W_>ajMz$KXbX3uwH#Tk1OWij2Uxg
zEI4Z9%J?~MF4rV}%Z+laLTt+?Nq+cH<Ib>r65CSFgt=UEx3D_S=bHL?g_?(AHE-w7
zg)cfp`E2>;#O=4;=zK+tW$I;dR>xoou~m`(R%}|K;i33chNW^YmnW}&uoTNoe`(g4
z`SZD+rts)btyZ_6%azGG@s%8p_FIrEE}vA8z4nJKV)ykF>1*4jscO&VdOzp+*;jWf
z=5xKQ-H^43r+eeE$oX6=b2lhV;&T-|I+ts1JZpFK9Iln$5At}d)YmXSr80dk_o?-)
zvrlTPb<Z>RR?*?UYjHxqyV|Vzs`gy2Og?q%xm^2MyO-&+cB>hPXI45y%vqADJ%>x3
z%g}piS=?N%x?En}`CLn{@#&U<IIP`sxR%C=gq~70oy&Fp{l+_==P(q1o5%fWE<<qG
z9A2%PT(%n*Zk)V4X=38}4Ga2SpFO@qo|{p7;-xh)bD4Z>MQ>IA(5X48b!><1P0_~(
zKYeVmPnpYZy^-zai>7N98<)p#`Fx7SaUTD9xgSQEC*HB=T6ccfHit#aF8O17{UXh>
zc>>c!zAh}0SKc|<Bke{k!<m^TiEkH_^!}W1zBlqhP(xUZP|UxE{^K(R`x`$VoyT##
zZtCZ%+jDtNiYZV1bUJ_9huf16F5`*bGv$*`Le=~eJMYX{)A{b^ls8&W#bT#_TK;Cj
zLjlvEiHrvgwuvR3ZoJgh@j_gC!biCi4zmyZoe|f|KVelr=YrX$^Czyl_@v_GhI`3z
z2QF?r;c$XwX6NMD)4u20aeq><pLpGD!v32MKW3P0obWDb>i*3?tv;MSne^j>wav%%
zW)tt|H$E$ySoP%9;gyN(F_-2~JUyY>H8QSl>Z@;ag^D)G?VS;+#9_-lIiJ^lmcSmy
z2^$Z*iwQjN_U7cfCmuaGH1%=XlxMCCh1V8rKf<tf(!{D+GQkl?Pt4_T4}KQQ__H+W
z$J{@YA4l}Ke4O$)C~m@u3h4=4n>TVKT@_1wx2fXz$BBn7?kMf<k~iJ>aBj?m$4U$(
z-9I-e^6Z&(q4PyN`@}u|0!(H<b*p=nHpx7j`1tW07R~y#>nB~TlzKGxhpBb%mzy(l
zg<tHgsh_l9Qqh&$>xwVcOjxeOBs|TNGke42H^(L}uH3Lfkb&>=K}MaVWw94#C?$NT
zUvT9QW5b~voji(OOs<h!*%Qv+I5hdy$5|bmjHkL|`d>_)8Fao$s(;G5eE%tLH#1(S
z5IoN??`=&|;P38l6GZkL%$UH%n0?|+yX5XB^Z7G^Cs>`I$@yr)w#gi8JxWfndsLh(
zeKcjq?J2K<ejZqn$hdjN(VMl;o0*PJd9C#Fj{a(kunA&&raWqiDdw=3>6BVJzw__Q
z-zpr!j6(NfCX44xeSfS&Yo>#mfWO7;r0*wuyC>RAc{2ajv@h4|COr9&v|-9u&Ich$
zLj4z?DjOe2x)Y<jVfXBxt;eSxxwUQTuhSoPKiY7`mGQCI)g$(MW8N@Me0pQ*k4dQW
z{|+eg|DS(cT6uoL<-W!Q)9wkXLc4D;HhK$l$e*5lan_V)b5BkCdA)4Pvp>^IR6Dku
z6snrYGU2DXP+NB6w@-@8raXVN<83=*Lxy?xg;xT5YbN}wNt*U=`h)hfGfyO6aZ)T)
z+HJjK#v=)#=tkBbG1Kp#oN*+5>Q4TctNjl-XF9YqPWbQ3slc<Sp*%$?Nl;XRfwN3z
zN7kMRcOtGViD135tmEVdE6Xb@Td#FIwcTW3^LXO@NAtMXubp!L$23P_ZWX5b8Qh<^
zmF98$jr<^~BIrN6R)6IKB_($j7JltL`JWkIB>cQ#zvht9357*-n2skc;7HzCaC{zn
z-0h<al&4G0{GpR{_Lk`hMv3_g!<aadj!t9}7xq!RDR_P!dz`S9)EVaL`RvcTpY}2@
zI_NG~oWz~QJo(u9Iil%vIDRL^OknX<UAM{b_>8jj9qW(In9r58>7(YnT`!dk4%E+#
zkb6-2A?ANF!^Bf*^SGU3W^yTP&`mlu;i)p?rs%o6*CzDwJluTlsm=BIyuX9gx)WnQ
z9guM~I8ipG|3K2^ScbV=<#QDyp9tl*<oYW5#Z5o@sY~$L^rNZ%b2-1;EjUs=F{Esk
z=M#s<HL)k>GkJaeB(=MxZxPSa&l9&jo@0M>E|cF?C5bun0zCVlo}I@u^_`03)PVOv
zbCQ?HPWIIN%(GrKJK$u5gJ#*U=*SITALlYZp3C%9e@{|%!(oree+NH@&0~7y7E_gQ
z>)eVTYnYN-uDP?mP)hjrGvSx@e5Tm4t`&c-azxB!TB(v%dU;0miIjf}J$e?Nn8Q4^
zek;rTEV(svm_mxOsyA=hRQ%+`P33}=lT{MV^EZUwn9sB`gvb74`nLWPC)&C{_Z7U_
z)3|U0;|8r8-!%8k(F#$?*qj+;r$3izK96TyQC8R-CM%VgYSE(=EBx1OJQ1TTa(fQb
zx#>KyTH14%)P?8XYzS!FxpCo6rOuU;R2vc|@J?GeeNoQdlY8ffZ4sGmr9GEvsmN?C
z>-kK}MP{Fx!*t5CGq9tlu3=k<?DfjIOe>v|swOao&13rYU&Z<662H^)Ii4OYZe2fz
zN%ru^pNCJ}ubj`6IeW)C#-<dH6(`&#Gjq;jmK9q+X~D-#?WgmZAFY_=?$f#E;-oJp
zPCC!{d4kDdHZ!;8Mkcenhd7T%u8^B`^5)rDj!I0*lDSn2jP&O*x;|mp_Jb*D1|wsA
zEW=Fe1E=pbgsU(L9*`CK%P9Fp_n>`)W>4eo@0_+N%*-o|drx;Jn%Ym?ST>hoUUtCr
ziuH3C1TQjgpUC8R*kS5y2Kh-=98(vp;+fh~WDxuRfpv2-qx7k{y)iqxH!#L*=5?0m
zIMmj7>ePis^G|II+!IzS7o}!5eEbvBxOM~MK{KTr)52C=;!fe{zwn}6qH(Qk_o*`%
zR|_-R{!vKUnat2}V{iV3h`1G-I@Fnt_%THNIC9|5nnjN)cQ<Z4;au5J@%ccJz`=;<
zXz9x=F^{G(G#Sj?eso3d>;(s9pCr9v5ua($F7?3mA!kEg``&3DiGLdcTbn+AKHiYk
zJ(p?j`?!cNHyD#TRUR_WXIjep@#387xlG^ZHmb~7`29)C-qorN3KRA{?%DK2&cDIr
z-#jL*YcbDvew)iw7J28=%?Er*vkHA*C0~2e@@|szwgp9|-1lE7{9NVq?7{74Ez#3G
z<oC?I8u2V*@~6dXD)w)C+7dohWVT-Y9HyzFv-R@lFfA3GeQF+4{L^<PYyZq+EG^n_
zZp)l|JI-AZpL_3F%dRgXb3dK&na@=2A9G>dw`VO``7iAL-H6;XAtUm}LFMM8TlJf4
z{v<tUuH2x&!gwp0Ve3a0@!vQ6BW9%w8*nbDRIqHc+WesG!@lP&(`F0w7k1<w-{{-D
zqsmr)9@CQ}84M3T2%lQY&i`nSSY6UwrgtiWZVbhi&+gp_o8MvZq-F2BY5H~ZG^QW1
zOip^ze82QgQtOt=2Wy3ywq4er&vbW^@*#nbeH9l)YV+qYE<Lp(e8$rHy-#ZrlRi(i
zC|Hs_VO8DKe`)%Y{vCg^@>cbe>fe(e2p?EyUlIGiXj0_nrz_Luh@73xmG<b3&dXP2
zQ~saZ=s4>^#Gm;hd*?7rU6-P9YN_(Pm?!1?D?d+sV7yFeP0S1h%LV&?PU)P__UB>w
zNA?K~N*pZLV&*E`oAURYs&;w)Y3WZh*;huU&wOaW7_(CO-h9zdFB~HdFnydQl0M<=
z#~G>V4|<F@_?<{f_#pbyqJP4tqo>sS<_quN{%qp5xg1Y>7tIKYd9&}%o4IT|OJqGh
z&G<Uu&a+RPhhvt_4CY_?Ga`QubIlCXHJlSR|D0*HW{%k1vT46I%@NzCHNWO-VcH(s
z=;^;t1`40Pu(4><7iEd0vZRMA_RJB!IqA#B`NHhU49n}Et@w7J?9E&uS<QPf4<vbZ
zofEN%`SQ)Oavt-ixkBm=;+BF+2d6*3!2Qg=_wTCQ3F=9V{<8#awL2{M4$X~u{nNp7
zro`q&OPXV{z67cI&*jg3>$kih_Ww>Uy?qn!#az_<z!<Z;*-2lbBWy;$&Zn(CF$W|p
z6nG9w#B{E(ve5QA!!^;$kb~jRq*D=#lpix5iv08F>4}W$d6Kub&68a_NAhpj^QL=`
zHulXC+kStF;En0;x6PA!J4gKO-X~38HaUbf2qnb+f4)ln@E$?^EpsdXtT(&RR8+8a
zhS9MOiQSDGe}9_2;s1dZ&wu*g_&r;C^DKkoj6Z~#nPcP*cj=yevWZVRd&27@oCP1F
z=Sas@&f~bfVfwr|(t9^O@BVyku9Vi#n#P7mmzr)CLGgV{G*2AZS2wegEAgbHAIs!F
zGkgyoy(itVY!aW8Rj%{X2~+#b8rypBPbm`r5&J);q0)oFqK0GnqlkU)RxCSuTD|L{
zRbu4BNk><Hm>;0n!cZ6^Gow|!=TgkY(!Vcm&*QoGhU3Y$DbJ@&-~MD<ar8W%+3e4b
zOx<%Z^T!;X)jcm%0)C{3Eu160{@Xmh=_@YC+CSOw`S>*N>2vOhUQ3!Im1X~AUai~g
ze|Mz*-IQE2SLpA*se7NDnYwrV+b8qCT$?&=)06o{Q)l{2eBv>&+TQy4{P<J6x=-eL
z*9Hj&^QfCOE`kWD&lS3rKUXR@>w)OQ{5gW*lXUV7eqLY~&A+=&tTV=X^DDhC3HiOu
z6CcUd%;2=Qf39_HX78W5f>R%8$)}3C%oWnjWp#YAaB10Q)l({dbA_UAu}+*T7@x^D
z(fY~4+Ea47<_bm4;?;gV<^R^}eA;o(7wBn9tI23|ce>9N+Pa1J;9SA|m-r4|n=5ol
zl=<F#L9Oatc19gPcD(RwS<JXL?&-ow;`xnt`aknIZ+f!u(W44?wQXTHU!3@2X7FHD
z7pwEO=L?I!-T3=w?)fKA7pnTQdVgo@U#hm|#ShkrCx7}zZU~5&@^H>K`^}F(Zsv5m
zeQ5c$lY2HW#xyQgDtPIU%&^(xOwcNgn7=ZLNx$q21fTY%=0D_~@|$hzmXEU8^ONId
z`e^)Nb9|~-|8QpS-(W$W4XcGDXYKthsQ+XkYb?Vi<<18IWxgzn|JhyHBE-7*`NHXb
z2@m{Uh#Tu3QnZNuKTj}z`V7VMGbN?cv^%UfDQwuNesEG!{<os;lYhjc=S!=ulC|TR
zBYjWiP|KW$lNy=YpA;RaVBFEMxhLsR(t>y0D|~fYSaXtQD%s6Y{2B3izGBDG6}e8k
z+6^bF-}`w_r-`HN!Sb4k_m`Yv(2n_8J6GkZe^^tF3Lg_|^1=KWuE*vm?$nd#>~41N
zurQCGFT8EO>c70~d2F}mtLAMA|L|fC`}KWuRKHKTIDL=F=bNR6?3QhrwlT|Ie?r=i
zn8Z1%UJQ=krk&Zc=G+{$w^Ld2r~TWTGhhAto2VJ$iQzHx)s^*5&CT61@7c2SZ=BN~
zecE7t^0d^f=Qk#2^>mtDIkRo9beY|}P5RH<Z}CLF47fRmb#m072Zs+Q{di$sIP);S
z&Hszc`{rn0=PBG2xuA1e<+;FbbGXBP&ee(wDEc?;`<oU21OhsIA3uN5GWB`y!zI}t
z4+uY+H1qS6ug@mDS2pb~S3GzoX*SF8__^wy9SZ}l#64`<Gx77!3%!YqPny~pXF8p4
z2+2yh$xyhp>3oBE(xDFb7qWYj4xGC=LoKJ%Ytw}jO%Ag*w3|kj96zbOagKUxGUI7p
z`=5+D6Tb*E9FCNpv0B#pfs_!71jE&1Dlzr>znD1tXRzIPZ|f2JzaXMYLRs#Ijr<Jm
zQ<V%V6FxF06~^>vAK3D3>c?5hAF{-+bx!=a=RpJqhfz#ULXu<bf4|8ed6RB$d~`Oi
z=_Y?lLh^ryn4lRNpAYUltT*BH<Bpqx3~g_#r+iq$eBx&1%Fc=-Cxkb5obO~<p#I>-
ziI)s3CVZIv;86tYgwKcdVy1k!EjGtfe@^hdX&=^XFpHcM`{#khMu8ayk7x33oboPx
zR!rignQ}+BU6}W<PX7Kpv-Iap>e>%D|4n++@?vAswHc1GVecPSM@)EN?aY!S=zneN
zz8kp{E+}qb`g1}cNk$;Oans|C2YF}2beJVsa?HN^;DATer6ig1-}Cf0O?kil$zsz_
zQWG!VnCK~}-7RwNckZq4(lM6I+`ntIPp=7E$M9{w{?@6?OK-+Z`ndU)@X3rl52CnZ
z%&tug__H$U!Tg^aemwS?!EtoL<*o;j@|#>4B&L73HgBHtwh157?)4vi$i^+G{ZRPd
z)ORWZc>+(gf4zS5VM6SN^mC7<%~5@RYQl%vbNQz}NV*m~;X^;;q-^`_M-^@x+BB@M
zoe+7Topk!d|2@+_M&6YCGwH*|M<?{3-Eq7*Px<n-3Gd%LXFDQvL*&QKMIB-c?EEj5
z)l9w>_nht4^QqpEJ&HPWW%F+x**I%;r(j0xgcs(Uu5!Qc)RtN_ze{npp1J*u{2NKB
z4^DhOxbxYL$fFOrx_0p^vwjJ5pQvc{C3eE~nB67}^9AO9oA6wn?{ApUBlifgsZS<1
z7|#=!t5<zs#=JS==TAK7Zn^jWiGj~t@q<@lC%l@qyWsefN&dfkMcZdI%=!A`?1{r0
zC!UGispohs$>!wkXA>*ySe{JKDq}gZRcF_vvIi4>usrsgXehel@yB@rCATMk*u4A8
zA;w4BX3a1(Po7!&bb@;IjP-F(f?NbXu?u{=)@adqIOe=f&Zl|2m)2PQxLY}w_o2X#
zduJc^c0bY=pDJefw0G+1Wd@h-P53Zp?jxp04WMgi)*YDo@kw`3T}Ro*r()N_o^?er
zJP3Q<wP`L-@Y6ZGFMriVuGX5c=E+LqiMdZ0*yr%BeC&V8=J;Hm;!TIRXLCQF$FuV3
z1*JJWJC9uO5!|3U@4-^vYhgF%ab^3@<M}p&tAcSRm(3iWy%$oC&$fOvk8`c<Y@P}J
zF-8}iXK8+(&wXb??EgxJhZB~6U9(~3k9l0P_Rr&7KljCw4b8Um=OkS85bf^pTYW%W
zPO2kd&zhtSjvNf^vtyL31oY&E^uF!fINzqD_6f&<TQ9Q{Wj9E)zJFmMYN!9?@wUHn
z+5hj?=`gpO!}cTgzuOGXn)&QEZ+zZ3kDZf2DV8B(U(Dp0to<?bIeJ-7oU)z2(eSNX
z?@<Q+6D|q;P5pWtF~=A*Rbz6FB;CzAxA4l7_EXd6ajadxZI1Zt3E^uVwcMD)x$g5^
zvHK_Ia6W79-nr5K_=K<gD=yxg*s^)9c>L|T9P2jE(0a6l`O{3T<hdN#={q}Ta%}!!
zzai=AlIL@!_vX&yC@-6<uxS>1<!pJwmF03X*{?00Df@XYd&Gnno99W#y`9gtbMu57
zH;;6DoOu1`r&$$A^VxDHUay<0kad18+t1kwhQAp0{GBPLzJaTZZNB2Bd7Lq2v*d0h
z&l6jJEGB*iYumY)Iiml+&0#IAo57MSJ%iPB{tV`sqW^h*WxtvIqi7Zbe^U1Axnikv
z8Fznw_|fu%nEfoJdo#o*?@D;}o;Swdans+uF<wl<amQXg*|6Zit@HC${VJ#a-!?}z
zc+$paD^^c`HP?2^|LOCU<r9zaDJT61NMTrc+PPO~<B#JVZuw?SI(w4-&R|k!<}Bl#
zsg|<w`-cfPo;6NB`$M<;bd~-7#+DoN)Njt=_!RcA<;@()>6_jjox1nay!rg6=WCvR
zI*(CPf0pdIlT+tuo}M<J_1s(yztbGATb1tH9GDyx_TY}rT;|%)$PY4`=P;*CjNdp*
zPAQ>pcVqI#3l|z5t@!`>gTu2qf?IF(=5>8q?3%6iuVcAPNA!u`4HGu<HQ32J*u-pF
z@o5kHT*0)CiMICwJ0dpE7mQCx+7y#EUvT@L1to{77pCjkO=y2}D5l|7LM+4Pxsx+Z
z=LueADeAotW1ijNTsQaM?RjFKt}Q5;oN;l!#QZ%Ci`tX_f3$kYy|aS3C-#5T|3#@4
zvjxsJZs=?L5Wi=(Kw9IbqZ7F6{!NN~cyZE(M#dP&y^e2Y3Ot*%_2ZPt{wXg_!)|==
z(V4>+e_U(H)VzpThS^dZXS|yKar@`SM@bC_MY(4P@I`XvZg<!=mp^3s3X8MTp3a`Q
z$6kM~)YBQsvek3k%0BA7nJ2VOTBYVD?<W?Og%2y{OIz-qul93}&{|Q}sbczbq}H=e
zeLGhwWkp^vOaH%@9e?IZg?yVQRQ;57=3J?zTX^;7O3huuG?QianwbXA<_m50=GCs8
zE2WvsI&q%R_Njc@bEM{5&0lbEVN>{<`9j~dMY+V3m+`KjCzd)-XnR$q3ICkz-1l>Y
zt}d4~TA|IWep6?cxK5{7*ktd`-2yk}2)&IK3kcL_?fpGZYUi7|LOJt<_WP+O+?+4;
z*4r^KZtk>AbEJdv=L$W4Gf#NZT%oy1R`X7(cTc-FEnZ!Fj-aXaThYFbU|a3Eg86gB
z_uiT><QHtqR$eww=+<1RqPkgqDLbyVu-I<$61+2MzdXz832Q$7pBUPI%IZz$i{q|`
zZ>b6V)1G+!2V>HLTgSVtW<U7tdw_2fljXy@q}J)jJA|^5B(y}PSR7xoCcQ(zwEE|a
zuvx6a0#dsFXR~-p1U(F~TXieG<xJc>y>GLbZp^Vc`Qt1<cU;n3mTd1iR-&=eAD{Wm
zpTILm;ci;`r;882%}iqDh$&N^sh=|;pznYd(+ZRCC!!hIH&n@`Rx+_q+<*3<#7E%?
zB_E|G%$_%6hJ5|6vtko3yk0UZXP(mbzmFR-Sf2CGv=Ql^6umgh{`@t?uxC4?PHaB6
z<M|xUtmzW#{w&@fG5OCH-t)6KzCTg;*J*crK1X(^hS&)WDU0I=)~{YE9?@`PqEA?7
z=wF+8KAXAcDQ=$lwBBZpROJlC#H5*8=9Qe96B})2O5JN%w7I4E)+dd&iTV*U<!+cv
zXm4ap`u-qaJ}j<5Adzk9m8ol<?z$2;!)~(1op~x#_e^}>6cfPStMpLhcO|F#Jl69k
zbSoD=|9Ik+=M9ZhzaH^0@A>iN>>Nk_<bRBlj!wSy`^U4hb6B&s8WcuIJ!naMF)Oh5
z{Tww>yGs);*w0XHx-n5Y=5Nul2=(c|k6s8$KUw-jGGLOjY@}Y}OqR2AU85rBv#jko
zmB!J(r_1N~<~b~NkxjhqQyV4!RxFt<zwzdW!!a|`(oTf5ADJUBs@>W8Z;nMp%!DmR
zJF}{9yo>!*yZK6b#7vey8@}J1bH4Te$6C|)Iexe2C~iD8@nb+wG54*X7880^8#$6#
zC#?Tlv+;ab=ZnYUKW_D35R|T&om)3sDTRrD;U-z@SG=DL=FL@3n%F8DJwNj4)Cp(j
zGG~i3&A%~s9`p4o|9Md#lV&l`5=yd}AN?_@vp~s9{{5sooyw7Sn6x9bAFSMX<CC((
z1Mm3>Paj<TIEUr-^LcTJNu9UF-_K$BdvBiOESuY!o2NWXnK|q8JeGU;PY=DH%;hyp
z{rm!Lbrp8*gU?P(<V;R7P+Tc-zanN1<NDMeu~Qa{zlpiJbJoqNi;vIEc3vpad?dvq
zVAg^qhsEcsbl>njK8GRu?Y!*F_461`2Anxy-qrh1wl1l&W}@N7nYqU&zt<|8qx?ij
zetzE0D<YC%Q~y<W?>smw>*#}reIK96Ut^s5FWMzcY;Jb`#Ghp={WuPCr3lB&+cPJ-
z+e+xipK1TTPYy^fTI}|xF;;1DK=rfZ`x9dS%w|xJwy^KqIVrJ#Ilv&k<5)yM42$%M
zsR9PGWcZTbuV^|c{B*AK{D#&4Z^Z2AQC`b3!+T!g&&C=-&(5StXEkR=teaDObU~us
zzZvFFY!1&?-THk_amjL#%tJAs<`fDgox8lyd2Yew>792>Cn`D3Ru7r}{Aw3-#DYCD
zqSOmE&R%(P+Oz++=H!P>eK4bS-s%nK=h>eB8`q?KVs4}31T(9$l~2~pxBIf3|LLZQ
zzh=+1oxg6+WIs=*y(z1HuAIi6%rL1@Vwugkmut??SEwpgUBLKyyY+-=Ys|Nq-9I%)
zA?v^T%cUQqY-df;>sxqF_WzWlrpfb9-`#Ng{2Vd=e;uNm=PKNvzTip5T(+5!OzY<;
ztknzTvYx|leRGbTWi79D-F#cCpOwxtKA)dg?U(d>anc-xt-qhJ%wNeayMFW8$T?o`
z-_BRKwp3*Hx%moN;jctyubHFped>V+5zO-y-p-Dhl4BluVOB$$Z%I$t>~AT|tP4M$
zou`l;S~h3?rnw4H=^bLdj1y*FebfCQ?O@BFne!?pGM=CMuHL`o?(5%k<|*=3ozwqq
zcC52{&JDe56DEC}{$m!~%qjW{=PF$7ikX=5_fKbA<-zTH=6`y#Vz2uHp|bf3ze9Me
zCzhMty)pId{7>BvCZr?=%{i8C%V%A2^0j)?uX&&R<T~C@`nTce$<B#4{%;72nWGTb
zo>V!ZW#i7ew0R0!|9g2o7CZSM`}rYxKK&JYzRh6SvmmWWJZ6sV^jV)g7d&BNp7GKA
zrstYj3TIFDJ)a%DagMF<yObDTW}Svb5*ND`{@;<*$!0fqmcn(Nnez8$D?H|GP!Qk9
z=oB%_?(mKVZF#2q^9znO9G|C9<@@y2%(7VupBp41X4`+9>7Ddo5qr$JZ%G%-j_e5j
zeC|#9#@VfnZ$J8G-(YL}p3Lxi!RH&Z!#B<=Hq9|SP~z9YzeZ-o$%=XQGp%PTd{tqP
z=2|%a<@AOt_W2wCykY7Ti<o0SQ{jhD#EA(RiJxaFnD5`w+`+|CG|z5&1>>yCN9Wr0
zcmBScbFuPML-Q=Qz8QA%`xox|*s)`xTwi`e^r6Bv{xS3H&TWuRdj6x_{ZNARny5L}
z2d2jubolCRYCLf<DPkhi`MGv{%l<c*|7g^0=UQ;^Zo<PD%^5H3<94llS`jnnPw)S^
z3jg=j?MbSddGuq<JcW4G4+{V1D8%Znm~b<qddj265;tr<PucY?r~Cijdxt*f{K@$?
z-(K_eLY>N~pVr>&X>Qy+L1NaAvg7-&)o(J8UvuW!TuuAPTZ`r>u01!cKPqx!;K#WN
z_m}fnpWgQ{JNDC(j{UFVKG_xSdl)};!fxC56H;%^vw!_}u0r@;tHWz%K3l%$!`eBD
z*XGW6n^MTXrEC4uLh<<u+qIonvn4${@Oz%g`n7u=h5eiUKf2}PwYm1YJdy&gEtt9~
zI{KwlN8w8rmeVJcS=d(==6$MUoUd?yuIOyL)$<hpt`wiGJkQK<b;rkwxuNTyM${j(
z+`4zq!?2$-dwh8=UhAB7S>|@`T*a;Xj%L&_?|oQ5|AF7pjy<#I*-!obb}nDprxRxT
zAD)^!cU$SHIf_}|m#P(gnxhb1daSQ%j-6lmByE8Q7Sqj6ALX>(I>%<d{{I;smGh0)
zyV^|ax0&~b<=MMAPxjA$_k<&R*JY0)mR)X{laF!q%>VOwzWF?sml2l^^13@R%$u8Q
zG{xfI{OEg6cb%R;Bhc3R@%J}N=2%SE&h9y7F{3~>f1dx-c_wSUbjqJ-a`|>T*zcaj
zWO>l}_`w3kH4h`_2cJH?(Q3_=xhCoo*1Km12rOi9XO;dTJ?UQ39OK_PAMSj3leBDx
z;H)W4vj6_g6y7{HZ|{$Uv%4atCt6Rn`}4mu<j0fkD=T7Wh;KfWmb~h|{I#!hjI%3)
zf@cdqW<T-WX6CGWa{}_}pX|(?`2FLGKeH7gcqYzZKRqXD>ms@TDjRH92G0JuXixh7
zH>+Nzcdu)mcENGtF10zytTC7K=ZA&OFcnt#$Y?#oIK_*J{joyMFNJ$MTldV3nA;{~
znmsS#=Df^L^G#<(t#~s>H0R=>Ud96|wJtjie=F_|n<F-niF>Yy=*_5m3%0qh>`4-s
z&32&FcKwXa93Gcv$NZjS_I9qq{7rMs?yC#_ONrUd$<ZMy9?3Z4K+w?{LGwP{J3c2Q
z>ghcD-0V3a`{ycN&8nUoI$h$D#za|_{qq!Z=a_B%KG&lB-?V?9_WtwkyHledQL<*?
ztn|5NTm2)}%z1ku-J)SaOq4*xJk$Hz=2e{G>L{?QJHk+SWzV;RzxK?ry(@WP%l{4S
zkta+O?@zcRe<SvP{DQ*P-;4f!eY5kYGBamf$bzCqo*0%BFT_6zpA%nD+|`kD_su-Z
zZ6^fH;}~bSe%>+r@ln0`f99!fpJVy`-riSM=@&y?C2yPkY5ypF<mrOyzFCLG-*+7S
zz;cAC`v`M<RrNgQ=sAJe)pMMuUfeCq|0CJwThg4MyzF_-x90?9*UxoMpBK3H(TTZ6
zx!LmqC!V~^AHXx`BzNOqn?=c+0%R8cpV!Iz=IG4lbAs1yo2MK7ygPHw6OEmw*VoMV
zyn1ae$L)E#*>mS=?_IO!%jev=LVIssnwyp#c6r*xPb*e&#k`)e@ncdKyPCnH=TG)e
zd}g|SzHY51ukPzPx>wZ&gDowey$P<qx#-WGxs$if)4l#`DzExH&#hVcH~k`5k{&2B
z`Xn=S<nNST6SI@$=7osOCpr7(c<i^G5P9s)^NGrJ*XDYDnk6=S>f5P*=ILJ36jlDc
z|LdIn=W6%NEP6E8Lx2Aq`IBt^J1gqy=IOqk!a8w|?)P6)L<(mreBCqGbLu?Z`*FP5
zH|OiVog~f5GIdkuj*ai<#C*Rt*ZlT8-F$7<sqg3O{*}9V`>D#IIi73xZ(nfn@r8b0
z(bwlX_s{c;7FT>eL4Sf{LhS#PjaN-yPqepKxOV>xUCq?a{Tp*WF!pV&*z;kj?!0>S
zs>O0#^XgAXCwUY(&C;#gG~>{GZ?%FS8)rx`&A2Pg7~N_justbn#;aF1nM`N;Y;Jrl
z$iu*UbmFC_j$Gy^1LbG8MNbI0S~2TEW6#I%h~q~X%;i^@ScUa8ew*8K#XM{x>imBK
z%KSgqMLw?UvwPedj8551n%{dVHg%p`uJ^p&_j6s=+s^5IKZirNf6Dotv9UJudiTze
z+P`*=PVSt(%KACt*{)Ifb3@b{ZPh2X-qAQcr~jnGhPg_4CqD$vmpCLmYeLeLUz{;Q
z{}(LVz<9zY?d0UgPv$Cae|n>La_+&eNef<Z#mMPS>iIoK@#M~HQ*^s8{?wV%x1QzU
z+c_SpH=k<%`uyqOqmwg#PPut|{=}fSJK|*zAMjefVfwSqM;qr(teE)V_=%%>H)4)&
z%u!+9d};EdAGa3FI5FFFlCX&3&Tn(3JbybU<m$Y6lf1YdKk>L}I8)X1W`fQIvo|wT
z(;uHaJHsRC0FV49#&=G$icTa1Y*2{Uq<Xy3H+^>D#Kbu>1jS!3y6G@8DPQEj+)O|J
zIsKgpllWfDPf2(&Gt~D6r{BT)i%Z02rtA@mnWs`FbYm&ACgb~a+cv5#xY9qbOMOEu
z!{OwaDzg**bVPjM{5w<S>XQ$G8}9n|8ZGLvDre)*nUVT$hlGky7*kqecVg`S#~vIH
zK7_I*zdx|Ka_8NN+5If6Zyv4gyqSJ3BY)3~sE;u_md(nljJUo-<pWF19JO0-l6Ia{
zXS1n)@cr97_01C=_xD_^Xq~UlpX9{Y^YmI0YwZ7P`E%k=M6rlW*dvwHl**#;I*E1S
z*W~aSfz5AY;${S&J=xHG^LK^Oyu{>?6;b`IGm>pNe#XQ+cy}S@^=z)2bCOQa*Q{F;
zGxbFJ&P-YRw~EnoG<AP6%$|GwndroY!U7H~zvpXoGVC|q;oTnB;W6=(`NXq<G9Tv6
z+wysr<Kfz;zvs>AIzB^2D)wWB&-4#BlMblJzh5PKbH}ptGu5+{etccT@MHCXr;&@6
zSInKE*8BA2#lLU%lr2+{wVk)3a<1CiPxC8-yI*$IH28j=+9}y_DSykolY*`r;;J^i
zSpSgY+3dKpGc>aJ8dH-lM;6%4iQl|JWImHnPlMl#1!pIIw%f#L_*#r<c9Qn*4e1}8
z=Ol#xoVVcCERF0>zue|8$ldwIJo{1ew_R^O&v|+{X4Rtk4ApyfY*i9i|L6mg^@0n@
z@|r)_{ptFt^J1Yxuh;hTXJ?sI*{vz;Uu?AJ?e%+eH1priTKIVu^Q`%r-#^XZ?Y~j?
zXJ+Eh9ls*(&q#XAd?8NW{>zHELrNRp34g0$nz!)Z9F5<(bLZT>w!tUyUq|Mj`Kr$+
zysMcrds@#5-5IepF)JJEPRKaNqzV}Pne=GUj}>vpcP+E4o3C<v-puQDvs8>b7Vn!g
zBT{gN^4XcwkBiP%&OX+$W$v`_)EUud=P2bpoip|J9HqLSb0?qLakNS!$+agf{~gbH
zmNz_6dstp^e`J{%rY2GPexCbR%L$S3bNh4WsoAQ}RbpVTp85FN4EN;NgH}honk$ZQ
zu6;YV;luP7&J)ir@Smf8_tr6v>f9M|=ihL~xw0C>eo{QSAirY9!K0I;Pa2+_aAv}F
zW_Cs06c*0?I`&0<#&6p`U)#z2`51fj(>a>kpR>#i`Mk>F!^|7%eJ|K-|L@xUk*V?H
ztPL%9BbfG`(zTyo7r$>#&+2vMH*?EoxfIXR+&8Dk?uUPp@!amXU1zS%uC1BZz4gNW
z9~b9#XZ^hJer~rHgXFh)b+;B=jr?)(<DBlNGh9sX&+TrHWmq^pw&%vo`Q2+P{Z|}3
zKfkLidVXCqTTIa#=DizZR?qMJF~g;u_rj5$$Kofw6aK{P+VS(qf`ff4?pD8HY`oaH
z#^l&0&NZhz4<`T5xiDStM$Fnv^=CKi$&W}fYSivenq6lqw(LUD`kfOhX1n&^e`PHi
zp_cT1!&cT!Nx$d1ZZw$J5fYmIK>Fxgru)C=)ZIQXt?JwSI<tk7&#e^goLPTw!&JkD
zzkM?wu+OfwfB!V->73fPr{}j`j=wo4;%)Do!g+1a?f*Y|T=AymP|T7JQR}jX_sVN{
z80w$>J;^9DXO{QVxpsT)SI>!^yZFKJNe=bbUKsy*w0wheM`c%sP5h6T1HX<gIdkJ;
z|M@ws!Sm-uUNu{}scv4x=LNx)hYgRun5VvA(e61Br3py|F>hu^n7+T@HZhy!)4b;O
z=N|m-JEdxG|M1tjo#Kx#YM<Mw^Z4rQlZ(Zz=k{-%+x&jb{D`u!=U?@1&uM!*H`4Uu
zrZt!6N6us7kJvlA^y6upc{`X2cY5V;{+hf-<3wv@=lwPFqP|a?*L-e9cHhRi%8U%`
z)i?giEqHA4EJ<<a?e!P>H@w?%TY7d_(%k6VbL%cg&yRk){plaGy7h+)Bj-iG{k!*H
zSoE`p>fI}p=STg`x;>|=dTy`h0n@rGocHF{U%xp&>iwsA<x^ieo31~kZF#CSRYhn{
z_3Cp!?4^a}XRJN{(IQ{?M8&*K_a{s1pHbYOGq<Yr`^kiaIaSj-Kku0n`22v8vQU;)
z-T9fVEm@Z|VxC+nk7H6>6AF@X*xbG*vMcB8V+)I)lXo9X_%Wkx<BXQWj34+5941&r
zY)op5)Y-}Rts|>vV*AX1zcvQ~=V(vx__6nK!jG!+-CIsDH5?GAzBV_YTZuun;_1u9
zy{QlL`)AJ%_<MxG^4g5aeVt08-@f<V`P00ci7~0?NDNy{sM?M<e2n+!O_(Ur;L+h_
zyZgq&j~tJ#bk7O++o8c&VE=K!-8ZuX(jOc-oSZZ>An)I8>&A?vsU0r_nYp!ppJ4rP
z_{F=~lbd4S?Br_SoOIFtugyu%J%&>pZ+5zWo@CUPbbrPs)7^Iu<jiT^UH|*Sj15db
zlb=7nDlWfq)4%F&r%emaUTB@)8Gd|L+q3x{%iqj1&Y9^TTE;cc|I<Usw1(xM=W9Nm
zDQLcaZs5}oY)7Z<oOduMG=gW!JIhUcQqi9t{&;rnxvFH^oI~9!Gv@~0_hRjz%rExv
z!vTM`{@+ipEx$F_F1vbehvw@!sh*n?mrT7jvvtk<pt8uRKTpmJ%%01WG>LP=oWSqD
zrm)VO7kJ(3DX;d*7oX<`-d@V9z2@olNpk|P=RM`qo)@@RtN+CHjZsJE1ZKycRPsJh
zrM@Tf_spiBa{|}fJ(cC1AGlTjsa*J+z`d)d@ajIjR$pg7cS802z_&s2ufv{R|G9Rq
zNBO^bou8hb@Y`t7F1Pu&xT`_)y`2v~ch0Do%Xs{tp_0T*?n}wul^!*78dm<D*LQ~f
zT&woCGrkkAtU4JITQhfJ`n)jv{qsGx&g+}|cb><!Z%^*6teI1|HA;WY(_@nk{Af3s
z(;zB8@s3dcY3m1`w!e3tuDEdG`~%MHZxbq>aM(Y5c=p7?{IdD=Zyu}@)Vp=*!}=5H
zA2^;J?w*-<cHXShTR8dL=he;q{9g0>wWo)F*3O$$J+IjEqk!+kv(ul<N!VMJKSMX}
zL%`;Tm9yqfoT{2oA~65j*Au3~0?XL<#Hh@ixZz#bG(M))8v-8ujhS%v=#TA(SL|A-
zZNoI-=^lX(N0Vn79zQin``fEIn`caJjJX!0eWLGp=XH5T{!OKf|2O#lm^bOx{BrM#
zn0N13CJS=T^SSOP;eT!8-8XZ5)GZk7=axp#ooQJqCq1XcQv3O<Zx5zfJUX0ml9Ba5
z*Ib{vldO`HOb<u={X2Qi6xkcG3`d{rJHBJ8)}EJ7_imrjD4QT1BOmkl)MnB8Sp{}8
z*sfhVG4Idh$Y-+(`~?{Q%`1>OFoC0crn7+PnmJl3U$$h=ER35!`Cg-Y-Vp<V(3dN<
z^x`Joe;nKBX0Lqk@T2zqALe#4Je$sR?SX#Mv00v?l?>l!a0oj@Eqgu7B4WqXqcfA_
z80~lR+?$<~kaRfa(LPiAS1$kNdpu-r(mmU_U+TB?1)=_LF>?yP&B@!!5V+^zp64HC
zi~gSLd4}(RS>MOz_%%;<t6$)K!yhx#|B%$fUG<wTNZ$~wyj5wlG*WC~&i(oMkzFjG
zn?(C>96vs-Iy-FUxjhpfewf}++}|88`+j1KV6j2o)z!~;{hS?n^kGqU1Z&L9(vGDP
zLJ15?5px!}_DV01F~7%nAY|k7UH7Z!Ro$BBp|y2>!_!X(MdtnCyMJoNI=<LS$634l
zHa^%h?*Myw3KQR)x{a$X=gq5I9+7mC>*&n-q>JZd!?Yeuo2*g)Z12}o^CtNHo>Q=S
zV&w^e{qq|(-&Fpqb5m4qcH{oXQ*X}RcYa~x^BuE%xt^B&PPN&wB<{WX!=(8wFZax3
zRhICtf6M;<P~Xvp7X52?tUouj9cr29t#|w8n)5Tx9E+LbZKbYJkp1w0%V~`YOO2WX
zH)3`r8TAA|o!6cDd%oA7e{bB^?Rl{M`IT7FpAvpAQa8?X?^Eb~^Kkj&S-GO!v$_@<
z$iJR#vQg)SXW9WZ@tYF$4|kk>$s~1j<IMD=HEM6?b!R47&6>*m<mh^vg$!jgTqjE0
zJh8}EgFkGJ>qHI7_n!Ox8Js66M`&Jq_&@Z|9Ot0QIg?M$akkH$Gr4mA%hXPW4HxE2
zp4)AGBk=4|4lDD3?B426i|#EG>07{KJA0Lsft1a|1xmjs-ZM1)$l<{9VCD&C!RPO_
zf4=oty=RuYa`XT9FCA{aRQ)*1y`Lq;X8wfGn{&LEZl2p;`g}&3>7;oLivlG#UidRl
z=Ti;y%%e%F{4sNS-|OoyD*ZOkJ#+v3)R5^~Y-{FuUn+Ze@blc>>r-VXT4gWH2zz+=
z<I1X}#*gy<pFI1!RA#bQpk&?r)Rk2y9jm40oVb{^$)$bD)wH>3bN4?DnAG_G?39hS
z?Ji`mo@XPHl0D^S`qSszKhIC~*4Gi3z46NQn_E?*b~R-DpP%~WC9n18`KhO;i|ow#
zm|?d^<EBx;D`EeHSz&Y1Ui$OK&!3kX|1Lyi_S3nk^R;V5XNNs~zWURgRPU?b=Jx*k
zue$0|X4lV!?(<Wh9*fy9H`V&v&y39%CiOkM{6oLVT>On|%*kz!4%bgSsQGbqZOws{
zNy%0ho}Snq`y|<IPO9w5gTBnnb5r%znUv~h_ibWvo89-kfki%&iGNO7`udd_H|C~2
zoqq7rthCySx*0VO8D{tTGtVeHD53b5sdV?+9Y5!#Eq(Jwzj9u0c3Jj<_lkZGqOQqo
zxYw~`Wo6PE_q}T#K37}QTgfFhC++A9^Zt|PLQi~TlIp%`-6Q{EU58Tmn>lHdH%=Ar
zD2O@7WXH$E`exy=$BmJ;zh|Z$xS8bp;JLNVig}ZN&+KJxOa5Pxkj$_>seeu%zhBI^
zqw~``U!17rJ)D$1x9`uih8%A8{Y?%VbZ1s{N7$~J-}jNR;m%>n3m3UG*Tg)S(dYOs
zW+%s|u#;;Z|DMMDXJ+4qrr&RF-k5X#>5IJYTj%#}|2tzs<lOY<*7kGyuJ65|TE3=X
zT4l?X`TwSSm@c_pzh-WF@K%q<d)6=}&n*(Iba*$<`sTbe``ArhybpC}y(p`H)37mp
zUi#cuS9szh=cO<84mfdd{!GtRKHuI8-JFwdb#*0=_2;%LR<%hYb7yrW{!p90#Qj{_
zwYlj#&%Iofw&R}tiDZW6#O@Qk-7DXV|8Bbye6K;Im+{H-_*1P{*4><)cJ__Ot!wR9
z&Q3gR_w9xIhZxT(A{Cu6pWnEDQx`XWJug`GfNA>81ua*uzKSwm`EPFO>Z-3|)n(6~
zt^73SRP@i*=iATC={Yz3MckfMFDmA~a}}@cG+dE1cS65$%bRe^`nUGs)w61Rjot43
z*wefunc+fPYzot>x!tD^h|Qi?d-v8GyZiHB_^p{^b>k!7**Ud&b6+&h`RMlerTqT+
zHGAjB$G&?ryHf3g@tZ3#GZt396ISh>vG+a4_gizCZcP{b{p7=eCd=bD1?nbo6?@H?
zTXDTAQc9_tQTF)>t$7vOPtR>X{qv9C+0{uu-b>$}6I&H}t&i#X-Wf|I_pN?Er%G~D
zk^KDjN%=F(-Y{KwcZmNDTh{zHmh-pGS*j*8d3EPGi<P(E|NW9Xzjk>_XUd%Fz4o7y
zcIq@LXq~$;`{%^GZyl`1|L)6<p4a+m?mRVv#+!lxbE?-*Hdxyz{k`y_XWh*BoF%ru
z=O;biyRkNTL-o-ay8RO$nf|GbIXUr!#@4e(=AD=+tZ{hy$DknnIhFG?uDt2GSrBt-
zX4!(Xf*Mb~-oIizso;GeJnvsu+r~MS(NEtwrth9p`S)7;m8Wax&W%0S<uY%T{qK2G
zw#})$6+I{E?YV}c`H!Fde={>Ft#Q`p8EtH_49DL$89n=P<>L?CSv&oN9p?Sm6J!3u
zEB)kTU(RpWPA==%#nBqdEW2jr#Iy~9rb;O%cE9D=`MPt<#>)#o%$kw>KZ518#_=DM
z-Q+kff7lWe+;MgG%<8*;KG-~JIN7Rp<BfQjS<CC=ea!FQ%v&&<YtD+Tj}On)S-yAT
z^B=RSHqWhzQ}^ijDRa7Mo4tO^+28YeZ_k;3`}drTwdRvt{>@pi>(9K2Z|5vn|95`Y
z_pmvYyZ6qU__jg+`0SoMvo+QKf3Dqda_g7p6SlvZKWXl~MO#lj`<`7nuTuYY+x_d`
zy4jAtWy?Q*X0A`v@0R=1Z_hM{Y&pAWPQmTae{&f=&7J=3v_#mNug_<Cn*MLU|G9FW
z(B@8^A1(LizAIXs{E4S@=fZh&3+}!WvN+8A{^O_0#t89$OdV5?&zvUxcXdMtClh;2
zWMaYRzcGzx+5g6Dk}3HA@Tl`kk*I4wKPf-@Y-PV7?cdyj)l+2ZIuD$kUzjz0Zra>G
z^9rt=thnCrxWDJ3?*Entm*&dWY<l`DPOjtKNuDmrr_X<8Kc5q`_wCNvkLDF@_2bk&
z#jF3YvU~1~?ORx<&M&-L6&c|4<GH^`e%-^i6Q9KLXXI4>+p#N9b>^GT^IE6PDZIL8
ze$4Zot7P}jEqFTXj=z}0y_t9Zv_Ck#R9AD&+`_P1to?Hfs(;RV7p>_2<)`g(zn^9i
zshhmH=M+Z$Y<nR7ze6wmkAdjFf9(%cckei_!OM8>_q>^>zr55J;&)|q{1eI7KD*Rk
zSnLzq-^P3OPyRA*-|)<4R!`iU*2ioMKK3#;p1%9B{egYhyu#hvKAcUTv+eXjj(PJ6
zukOm3we;ITv78x8%jOmC{wXnc-nobT_4gir-rSL_Rd&!~^A3gn1!3iLUdi7)AO2@|
zhO<xB+P;~VOby}j&Ff=iHvEdeVLzdzRc%J$-8DB^BYq@oJYYF*Vp{B)7g0YtI+*p6
zw!}ON$$0qw#uEA8AHHyAyf#eI?s$LgN7m+tzoZ_hB-t?gD+rXmSW%|1FeY7QS^v(f
zbEa?o{e9kwt#haCKlhH~)V6sm-{-%cmzg_f<?HWrGoydcS$S_xa@4$@RW);0Zk-eI
z_1m17+uP=>+CHan+uYv0xpP*>e%f(oPT#b7>iTlRUFp9Ui*69!u+m+OiT~c*-cM6j
zPWTq+HK%9)kN6FRAA4t2+f96bd(P_Lb9=NS3u@0yzc+VnST;}k{EdsAy#4!xF?x36
z*%uBKbF%Vp&sm?%vQU4@`?yaNKK3lEyFGv1yT1+7`)91{T|Q@l?YB1{CO`aq;)AU9
z!^t`PGq%)l&sryUF*@$rhkt)==%#I$fBZt;^9yMYC!hQ%D#@gI_^StFOmFtR{_Pe2
z)jC$3Z+o*o=S7e!hjR+wiEned&US41CwZ#yV_F4c*BL3X{|#$yPLwfWm1h0jFy;Aq
zI~V54<1Cif7CaQblyzMv#(u+jn@<<}g;*zYY?WO7<Bi1WrDj^QOgmXz84O>sRtm)2
zzHw-#a-%i#$^V_tl^%(_Zg`;761(XD!^JlZ!m)9Tb4n&&I6A-Vs@0R2iwuiqmD(Rk
zy2Y?&<Btn^|DL|fzLq?<Z1Ra(UaoID9V6$I=VnR-vns6r!JxsDI(uT(gQv2GKP)Mp
zTUk}Psk@!A;l<r#y*ZWp*EHUn&%12X3kklPA6YcDCm2+h9lZN-X4UKqPWS&bT%3LK
z?B>lA`WillPQLMwaps;G)oLt}YnWr6PF7nevwQBmH}j^RT`u)#PPOSb*11#O%&iWe
zH}}V>_PhI^%&Xek5c58nVd4sr*qX)id-6V<cHY2|b3|alZM7XN{4-Z~-2Gs=py=S9
znCMqWyOv07x1IO?=G@BMn;aZ(7)~}-{;D`I?QB!!zY{NwX7>DCzGYA2?Ai0zPT%x4
z@zKtL<145A*!Sc3+=?vsB@Y<mnl2r0;MraI(qZGAim12q9%t+SOf;K%?tSCl<hd1F
zZ_Rreo;qW~*?CXRuf3m9S=q$5r*R(_%l}y&CnVWF&FDH{_%%U0=}f~k)7A5<_P%SH
zo6a;-&sE@C-TaMdf-}~Boc-{l=#2FhbE?ia86CcH>)QmK)uuBZnWs1Hy6{1TiGA_y
zu>W&5YTcfH_vYNmx93;q-JE|Xf^lwjzVy7?jQb~Wd|>MMo&97d%aq0q^>5~F-ZHQ1
zZ`J=fGh=VgE<fM-_oRW`%<Jhh%WZ!uOqqWzZPo<N>km#RNpwiZ|JnWL=G?Me`+4i%
z&X};V<(zhDSo^1?Ut~X)O|U(f^nXrq{`0rh>aROQE8EVAe>8f*I%nhKncjkeMF;Q2
zJiYgE{`C0jc_r(&%|H9~bJFbcc|9LLzme;jRsR3T;pH*&&W8V6vGkyR(u=b0S^f6T
zjiN`6WN*57yX}Kr?ws|@_3zI)Tl@d?PR|)@=Rfy)vf}HUv#USNm^yFnnfFh3?VUT-
z^ymEvbI)W&KA3kf^5~p1-%ma`cQIOj-~2Nv51!4Nwtf0T{kdoKtxwv2dbMrNnc4}l
z3}@axpMPfk-<i{%%|HEn@BDQe*(ccKtDIYP@PpmM2cc_X=A4>&@P*R%KMifOU%X;D
zdFD^dZkuF=`6uHpT+LZ%|A}?y+-bKy?bvzS_VDZ(ujV)IJ3D)w{POx4>*7Al`8aEu
zoMukay_?lx3w|7CkNJ@FXvX^9#XHnw|Mx~co_qY~{@W|e`ak|Rf88Cz#+39s|Hj92
zk2Y<{Dp;_f>R<aCU7n;>D_pXVFKB<VBj(Vg4)b*tb5E?=y=Gog*CK}FuX5#+&(Aq|
z{o0(Q<+tabC{BN2XaB#K>G;y0m$R?UO?v)q-ibSvb5=b6v}i)aQTwCwR@iTybL_p{
z{sRdT`*QamOo(U_Fg{VgZ_cr`b5|Gro_p-v+|^oUi=O=ZGdsb3!?!=I@2#iLJNfq0
ztb@mr=Oyg_@@YriLc0%V=dSkpcQoMkNlnX>Q;z9hV4ibq(%jYIVmpq#{XKK_i5=&r
z9<r{UbMV#QISHq>&Ye)cZO*~)O@}5On{sO3oV};#tgt_Q;MSak^(DXO9KJXAP~0ZL
z*jLu`IamL=)|@l%)bC9lQ}q7)YWOp6rCERIiyYgE6)`CtQ{?4m9%h_B`%uT!(vLrW
z$|Y4?PI7E`XS(s=WZ|v_+IE|#y>I%P{-Wjl)STaM=55H2pL5{e+%tWL=O0-+jVbhv
z!&fhfqh+nnzrD-fHuvz_Rr=N|jQ-8pb8ABN&xJoLE_`^nan8bX?^^{vPE>k6W$K%m
zi$40SIMY3MVeF}k6YbolS}?J{@tRQEKPU3OCM&BlV@$mChCN?4GMRJFjk3FTacOg1
zjBd+J{r=ZsO%CU0tXs8_@#c$J4PCtp7}Gw)GVFAYn5^+}UX*>=j+)Oc4o`n<nlt&v
z1clO%AFR3bt9$Hk&So=x-yQpL_5#oRc`Y0_8RwZEebXPEEE)5{!2bUO--OthxyN;_
zS4?W^nEc-%Y2k|4nwjtGW-R|W^Zmn^Z4*w(KRQ*go$>YKKR?|g+b;cJYH77UJl~6P
z;=!t0yqYhJ*#9QEit>mZ|Hu<4dE;FA>*CEP;=2yq{K4E&DqE&HVbY$NGS4RVwM)-v
z__%1=N!IcoITbtn+&Nd@NV+#+`o;;O`JY$|Cro_2Ge!RWgm*tD&3tI_+EM=Sj0JZZ
z-W}qe$y)#Kq|@f6j~hNTbY=aqo^bq_zz<!ykChC}y-_#RE*w6-g!g;wy#@SF1f3hM
z9PRLYJnQ!P6H!%h6aP+@oHF;$*43Nm%<li~^l{DV)Onkyo|{|Z9XEf|&L=Z(tU3RA
zW$s6@WuoGIKWF?{DtdY+_w#w1=I))__GHecsdlNn+8@sxowrGUF6-=1^OLORv%PfT
z)RyDX-a2nnc^I$uzc==)KhN3ZHQ!q93E!EW8p+CY7tH@QKS}StwkX>@?fIK_eqS0s
zea@!vbwTpS;^rqk|8#QJ2A}zn`jzwUo}7CnYNOelmFK3;d$f1&yo+0x%B=r9uOMyy
zm9>B8J$(DlX#cml56l0}yHw>pU*Qkqgxdaig$+zGbFMynq2?RXbbF%N2G*F_HuZHg
z*pDA9&bt*mc}{876Gk@sIk)uR-w4c%Y0{C1nQ3b`(d&~^fW}Uh!#{3iS8od3aB|y?
ziGgdHL>}*pN!)cK-TwYEuQ!~_INr`%H-GaIztC^<R{WV8c`ARI)$RGKtW@KoPB6yw
z#NU|rcvhrd&QjawC$1Wvh`YB;KZRlS&M9YSpH;u{YEwwWp?Ohyp6rQH_v$)7wTkiF
z^~+D~PW6+1@#Xx?>5ooN*)ub`d)B(p3rUYem?L5oZ*-iUX|h<v{0YOvi>pj;G78R+
zoy^vGaGpQU+nYxiPaNWSx-4be{FmGREYPZ)yJgb?{+pdYW&gi$ym`RNpH1@i61l2R
zb_~uh*FK-Qq5a7+1>OIP({DCDke@yC-pik|_A|qUSROJ|f8Kx6r6WlC_DsjpC*0Xf
z6*gb6mH#R~W8Re6bC_0s|N3Um_2BduZf7sZS%2&JbdaHY&UNeP39-j-^<?e&vXJrS
z%o<Vc3%X%5ZzO(LD0hBF{s+dDsckX8F1X$2h+-8?+Pb<!^!Sw-SKfV0UZkWhS^2x+
z_#(NRH(VQji!bFb77dlXu>AN&7E_jvdwP3VAN;=Ayx`+ZKTmF5&cw2d)*oMfNn1I;
zopHqiQT6M4xB|Z={qUa1<^8~vH)huANj-<sCVX1wz?k%#CAw~g@rR_&nM?X6M8r>2
z4xFkapE5Z~b@o*s(@pynjOR3Ky@{QB^iww5z1<B<H%QGa&h}yz7pU;!(`ugf=gUIx
zt~q)26&Dt8ZGLf2SwLd`#rsQ>bM;^3ZW1uqIX!JfzwAjyP9eJ$tF2DN+!FA3`Q7T?
z3@dkmpp;KSVUyF%E437koZYifrDE>e^?&A{3O4(|&Hqq;%lbL#L4Q`vvgo@}etcf~
zzd52xZOIICy14&tytyQ>MbvhS5ueA)&$g+u$v;*fU$8Ix2@}V~88^<q%GLK06>Ug3
z!I;#!rm;)!6X*Y#+q)Y#+y0;V)?sqeKRwgBiN}vGS$a8aiQ%871It(=e|k<?WS_~I
zBigCUe?yn^9?Mr{7m1hRa>hDhHxk?$-pQI*cl;E6bdwEr{=Wfb{$Ejh@$HQ>67t0s
z-v0EHReVuy-i;|S3yd~5KC@Ti(Pm~dJ*jHh>9F+F<)8DeJx^krms_hBz3jrz+1FeR
zX2#9ga&unpuPghOU)?mJK51@ltycHqiF0#Hcjhj;n!Cj6=$xzCwbzy>=<S}ev+~e_
zqX!;pZ)((jvHYY(@yY8}lLU5K+|*d#5zQn%P2lvJIoB_3Vv<>soIdNitoo~2t1|o7
zsH|MvyI{eQ^BuasIyL^zT(I-+#{+9-&(FE4nZGRQ?Y!%v>95W&e{}og-{g&!pBE>k
zHI$o23WU$QaeT>>vb7?<+vaB5zh83y^PC&!s#ox=Io_{sxaIfU?9Ja)rlwha3jQ<i
z`sd$se?FZov26C$`Z*u^pUynKz}qhF!kJ{oMV+y0I+80V7N31_VpfOo|IbGz%yx*V
zS+L>T-0b5^<Kiu1W_;W{f8q1_*?%>om%I$sQnBAX=i;1Y&)#bPzaex|QQ^gox=Eg=
zjw&*+pI~9(@BNeiXX?bs&W$W0|9Z67fBvGFzU=AB+w*tXJzW~H+<N~^)sIOpbo%}T
z%uSViGk4eAB<7`&OSAvazcOW6#Qax(W}81hp(R>rdFN2T5$i`uv#$KR`F_&+s^9ZI
zf6>*5`YSUrY-!}LOY)OXR^E6&sjAk~y3(Rh>CL;sYUlqmG??pR=Ix)~Iqgw^?WClL
z-Bp<uJwIY*b=t39vHj-jvINFhhK`l?+$YnLkNkJ4>SdZ?^q<3HT})1;&6!8MJq@mk
zdN=l5Dmy;Y<iEl5hD)0g6<3Jc|0#YQugsvg|HZ1v6Z8F<#Ny_3y?5bW`*VxHwT`Lo
z4C{2*PDPvjRJx`=gO6W1>38p~h`HU{-wAni%-KKh_1lB$iAi(1ufFr=GQGC2^61=G
z*`5;*aU_S$>3;wEipcE9Io(_L3$2RlnK&b^q^qIv!`00zW%G{wR1nsf-@U6;-_!V~
z4(pt*{rgn3x^)+>pZ8x=f8oE<`LCn3j)u+M@qX`|uh&&i1!&FXx86VJ>)a*D-%ri^
zS{>gJQ+X(Xd0toj<Ae)Rve(#~makcvf1GK7?yre_hnr@vwXJSgwdqS#<ML+*fB9Zq
zb**9Tj}sHp+c`cQzq@B=+lJRO9vuHWx9k7idlz2(+2~kt>)rXyk7v*Cy1zS&_wv8F
zU2B7RF8`b%efHfqtD`kb)T+~eW+Z=G5dUB2rcPKR|DKt<k`J)DZwz)4yc**g(B<;&
z!IT<~xs!gdC>(smbp6kS@5v7)+pnJA{q1bhoRjO`E|N3<=#l(=Zddi)ZyzKk3Rp_L
zPDn^v)^+{<lgQ9HU4P#_k$F6~>-wsclvVxO*YkEyXchDm5R&=$;1|>KnClI(|KnmA
zX56Z6sEhsgEOt)URl(bbnSOQ%%zWX$=+wQ%9;`8|oOgIsWe0y^XPvRkYSx{vFO}7f
zDCjWV*^~Tovg!Vp{U0NDe34o%{mj?pq(#o>)63$|SFUOhKY23p>*ojN*-ERzniTu?
z@0`Bz`i$19Zw*Pp7Q5N6#WbF6W=v9k6|DS8Y5nU(Za-U;KX!i2s%iXv=M>An6~V7P
zSe`3Bh@1Ca`}c$^Zhj7m6J%n}G1(r!@^#HcoAd?RrB4{77ss~NFvdQJ{hv1PtL^#)
zehJA8pXAtM|0|Vl65(TYQtvSRIOqMUy~-ThJ66PO)ksU~I_>)M^R>>~H8;~8{=|Gp
zI;hd`#(u-1=dY*Q#|bZubBkH~L*dV^nLmzCo>{cNV#X!W)hjd8PONP8nDf2%#_>5l
zQ#UVSt*?mLH9^RB_l)lr9o63+%=}*1QGaBW#+!e;>ID9A#Qpur$a^R8&72=WmGgW=
zk2i+?nA7w73S;uW_eohF72dp_z@hh{E@s259<4of59AIrK8mSd!~Wq%`b4(c2-S|#
zjWasC1pZe%nA0;i@AVvq$#Z*ZS2iX8=R0BWq)~Li5_gGhn*=Nui1Pgldvo&npP%7L
zb9&;vW-NZQa!!x;c9uJz=Ctc?;`lW$_x_&t_SncrDxX_o)8^LRoyM?x+wW<fp>tFJ
zXUBCkPuVl!$NU@1L;uWAjW3(u^YqlqX;sHM{>|-K_vA-o#~cYE<~cogSKgTSZu(R0
zsXUK1a-5y}U3;pG_ogKbeUUT%%vt6&u{Y|_Oq~-#3f)UZeox?I<X$tU{^N>=)}I*q
zH~!#f^pxP4875_AwRc~nXVtuu&rkM=O}g=*>Xi7TrQBBeb9%z9VtK7YCa`p$Ho7-+
zS>?JnQ>zj^ypsRlIBNT;f#LK=hOQZ{*Bg`ny;fY2;+b-KX-l%u%B0SyyKg$V4Q^aH
z`DXRp??HQcmY$l^v+Ikhfcs6wt~uYIYQ^$eht2uE($A~2>i@FcbH0Zx=Z!x-@4Hp~
zC9T<Fv-9VEpKcv1I{V+;Z!e>By2=i?3kqF$S{b-$-J7|`Vr&;B{`#<g<s1E!=A`y_
z(`5hm=v?}@G}g;9P;T)j<(2m%Up`*BWv0A4BXilt$seawox1m3?NzwVtI9cFp6@GB
z^QxQk<)!sq@#?raUw&?1!hZg=O~uma_}Z|U9qvXCCTS&0JpA=jSmGs%rHj+N7q4IK
z>$Kv&^m5<b6f4oC(fR+kaD5BwRevv?^!fk1`ImowlU+So!|>AK&5Z_jadS5PnLFQq
z{*qTuy(h?Co4Y#w|J?bPKN*O=E|+7~Ug7iYqtBnY^UvL09`y3lgqd~cI{hx5EnwVJ
zl6t}Jd}o}+-je4B_pP*+tz0O1a92O;ta<+h4Srw#KYyN*1?RQBbM9{5$?|h4=hIIU
z@{cjz_-%J&*@B*=xifz~T^jNB&Em2X4El3_nC;G=zV+Itnl*EJ9?f|*^=IshsmE{b
z*m!d0&g^>^YTjIYP<bI@^NUS=7bDEIKLu^FxVFGTqT_`1(!9OP9;?27vq{H6<N3+Y
zOF5_OF1<6o-=Q=!`P8zT1#cRIxURfj%Avv$zPs1KjB}#GY33b^=FTf$nY-+9<=lDG
z?XtfrKV8Z;-G9UJBYQj+Fm5$}7r9{mo<-u7Z#FF7^i6fsn+@f4`{#VDv7Dj!f96Mt
znX0R2f7+0^@J+{wXqFojCmKvx(fReE*`*(ktv(3b$2ndIQr?p}`&!J5&w>VP_*XA5
zO1pX4w1P3__rh)N0c-wf-}rG{^5Ox@8+_R}nyxi${_*mLPBO<*dGq>)Lu*WCeo;Qa
zxPFd#&NuDU-EX$<S^DAmo@J^nuVZf57tdV$iIKgfC~57QkMkB<O}#w($bro-JH%$?
zh#lc#4(kw`H*ewpo9h@hEh(P=eMw?)<g(J0PZ<{PNR_W-SozE~_xHQmZ<dri{X6gH
z<xhgoW^c{95&M6!+xgDD$;-Ir-kbN^I(iA$^1Vw_OX8NzTJvU0(d}iaHzx$;*DWvo
zwDyN~`m*BXo0erv`oX>V&F1s>mSkwzFWVNkwB+70Myt6izrLBb;>OJ5N7wvXUmLwN
z`Q|c4ySelB$nI}Ep0u2Cx_+f3+nmRnJJ(FSak*l`wWF_I>;Iee%s{d0L*bm8lcaX~
zz1bO~#LTYVRZww${ldxWT6u|+p0FluG^$@S=UwH(_K$Cup2}Zz^!%J}^BOPIZ(PoJ
zYPw+X6dv`3#j?MbF@BNWa5evx--B3&j^r8BOZP0!s+c)lzLJG=<~7szyO!U$Q8AH;
zeMZdrg`L)Z8yE37#mzi;erb+>*qm?Q8gJ{D&71LblGyQyR_B*9=}(sx-ZXE9-E^MC
zpBA$9&zTYX(vMd=a?XsotEPxuK3E$1XF1cS%}MiSXs_jET(cy%B=l24z#6e98?D6m
zFJt;*Iq}2)B}^74JoK03{#>PKt3K(!!K>;ix85)N@Ut>@`3JKF!Ji7t7Uw$$%<$Q>
zrh$W5B*vw4Mi{g3-wzW$c39c@%{RNXc@~TOIacXu43<5s*Q#5wC1<~3ms|9p?!gJO
znkjxp%8oPi&NVuBIIs42q+6gX)}i#iv#Ntv_4SEMA14+cycwf?^Gml%c}Kd*tOHBm
zEZ@CmX<f|prEiz+zqhRH)U;)9@4a1Y{dURQO8q6p`Ab`uf1Y{z#(~OKpEZwSCwsar
zEt|S+$-6yE%cgE${=Q^WfX}>1R+c-@r?N0FwEMWI^zjlqP4$xsjLc;$2Ob!&D4$du
z#>%?D%l(G_r=UrTYacIRnZBk&umA9piR`Q$%-1KfSuXSuKO5rqaRu|M&WWYkOB$!z
z%rur;%~dz~@OHyJ2mh@!IlJWJ=enirmY%F18S0~RCb3*+>}QbPvgg*aAIq=vyxi2e
za?T8EPv&aI%6m&$1lfC&PA@5)x8(o!C8DR|KGwYH<m$d<@ucVP%9m%C{R}?IAvkyH
zx+Nm~Ju6o&U<?1VfHmpme$l9htdbm_)8;?cU6y-qW1=rt_M0m+duF}dRJvwG-7InT
zXN(^vy9z~qn`EfS&ZNA5rCHjlr`MlwtbUn({^0YvBcFM;PhY{X{>F_zz5=#pH?~#(
z4OsJkrR(e^zm~cPB=cqLD_hd@W+8XdEbWgAx{_vG@8xVbX8-=+nT>WYmd~HLS9Jf}
z>7VB<xxpXHFzK4$wF1M2f~&~}EAEC@*lfCMcv*SR@7Xi@gueec(2ynj`$tZv6!YaB
z%>Ork?oPUF?olo)DWw?K@a*iYnXfFaDJU?;B*p&EpV4$r#+@aWfq&ko1={mgwZ%@9
z%32wBb`hUml0?PbS=AL=Zf^gx!P_zRf7MHgJsW1dQImbTk$dv#hV-lyrW*=79QHJd
z9Aa7^Dt-8))Q&@o__#CX#Qwi=Xo1Fk;R@wPdtz>E`1etHf#mZQlWW&B@*Q)SGyDC7
za3-OdXYW@eEs$mWko-TuddD#VshCX)3|8C+Ud;Sh93Imk-_Ovt=2PxRkqvM4bNCmn
zNfM0bTyfF%<HDDW3pk}8F)Em<#!QN_wmxx4fHUUTlCLMamp^OhJipQN_m3rfy18PT
zJAA@(4$eEp`n=)Dqjwi%JJqI(o|Kw7_p7i_?e*Cw6ki;^yJo@J*|ieimY&+wR8&5>
zN@?*)(K-1^ALnY?&UqtzA)#?zO!m5EcRwyQ?^?Mq{PVJVb06LJmvu}`D(mvPIz`mr
zX5{8&v!`zObNAzhVCzkch7D@`d?qm!a+i`-{%8GCWe(<lV0PhT$E*&f8w|6V(rQ);
ziFI;3zTLY}=I@EmEOl%B4$WR5qqXPdQk7>1-d=vAb8p$6O-p6Go-W<Belmx^4X4jr
znCgx#a6T&2HD{i#{^G^|7BtOS5H#m!?3$OSl0KB4Ul6@vQHMw5N58@ydQ2SAbCR@U
zcF$RO>Gra<w-!m-Z<^q}WNq%rbDLN0>$CK8(YX-Q8UJrVeb1v?9gek)F$-hnER3y;
zUba4U&cg6}3+DGPktn}+tHC~pts`#DiH?}=&ceidGZ&Vo2;_XdnxFk`>v^VgjM`yK
zB!A{ETXnx|g|Yw7(ra_RZJf10``n7yFFWS_c>n*&!Q9@czk6Q2R`%ff$;7|m^JGV(
zRol-mmDYN{Y<c$oIrEhlB<C+b@>!r^V*b6wM<PTTCfVQPpF7`mLI0B*j1w13_Lpe+
zWFfYcvG4fjy+6H{T0NgGadwGJ?5X98)91`DuiCxLYRk8r{kP64>djxVrDoaO-1+m%
z&wX1P9kyWEo4ND-et%nC{f+g_j@6%+9}M5NX4SS6uJe!l2;DYszV#l)9m}83S{wUj
z?)-D-7QWnY|G(#kIs>1$k7+E1ZU<s6J$_TV^uSuJ`^#tj-G9NLv}W1N4YB{NcTVr@
z`V!Ug>&CXFvvx%?ZiqMkzaqS&YQ6Y^W3Gws_b_g{cw_0TfA6O4JiEx^zRF#*50UHp
zV=w>SvAW-X!ROkIOJ`1H%ul(oa6#DSB{T0nx^e!(qD3Iq$p@-SXNGNhV1G`w?||_A
z#Mn9e!_#7x&#ac-@r^He!=xoMe>21~TulCNqx+<SV^ZDQt#W5qq_2DEA9J|y=<=!8
z-~8Jt*tfFrc*j@!eM_crZ%gWa=(udM{+^ga4^|&@xN*GB>_O-H2U9&y-hKVRY~duo
z-49N@G`zu|v@_Z~j&bLdjfburGq|ym`_17-ujC(Vt&aphc+dFihyAe=j}{;4p11$|
z!zDkqiC@#Ypm5{;1Ha_|6Lm^$9yo{ftl0c<)uZile{U_BaQS-Zz4@1yep)gy<mpl`
z`JXG;KP>pT#H(+G$eL|Nvwpt*IOnq7+$DSW>Yrae;aWrVo;jDjPp>$*ctUbx%iTB2
zygtucI^orx`Ik;7ZCHGHnUDDVRnbfP`xiECx&LO)rSnf4);)ZEWX9DsCts!Y#FT$q
z+V}V85}&6}c2qCy+q^KMVyVy4Z%g~;F1{ptbH~NGm*#F;GO_mN+)Hz{yqESpZ@7GR
z;#;nN^-b3co+l}u_)|9L(sSFToqLyihS%L++7h?Kb7k$artq+)7l}U`y8iB2vF-VV
zYsVKR+r43*aBcR%M~_3AUW&^vZu&QWVPi*iul9~9-(?Pd5V!vD^T+F$MGbSVPP$;d
zr>n1fmiWh;eB8qMUG2vn9y<_if9zIVhnQ4M{heLEm$ctI8EN-z{?+Gem+qgltat6(
z<pISvmo=VRdgav5B`v$wEP8Nb?$!B4j}kv|PVK#YeczJK|Eu0~?3%TtQ@^{DW!{;u
z8@t&hC%k<=!KKy2aMG?l${S{K-TD*rq(NF*dHsrY?8jpYtRGa{HE%O24Gx;g9=6c(
z<AJ^`yEdm!ek^`BlDMPy#Kg7#>FZMHuU^o?%A6#(WkKug&hk$j#}{tm_Tlc)U|B!+
ztTH42^BJZy&c>!NNiHh;d?H8dP4qkQsXY;_F$X_J99G%!W<E>%pIvJ68z;0fckH{~
z$P%+<0_)vimfdT%J}CJ)d0#U3tTx%YMF-qJw=U0JsbeaC*nW0V5=-BN@O?dZ_Ds0{
z;m3iL{II#&dmerjjO1bZp*&-HMU3{Omv4B#{+YaN|MN8sm%1h}+}*tF#<LkaBYwYp
z{N{k2693#ONkJ^!o2L2l7?sV`uj!UAo7LjV(0Omsp+A#U`Yv5L-m`o9@&ntMV;KdL
z>i<f9Tgd;Ad6@*eP}1%r{gW07Uj4!HSN7YVn2l@;0`k5cSakI0#PvVA8%@)H>{(KN
z_TZBL8(5bqI}5S|M=x}K$o8&(>z+NO^M3f)uU;n7!C1x4>Nt;M-j(t$_K8>J<-2_D
z>d#0uu#H)|AkXC&hkh)F@e`H_=7%TNst9gilz2V2ZNtBx=bSm&3gZ^oe*9sTzGULj
znQK>7F7;Zvg=O=?INR6r&lR0yn0IvU?oQ4cUe^A5OTDz0vi0v>>NT15qM87&bMnG}
z@0sQQ&*7SUGpC*L*o5!5XPn!0LfL1Tp8mg&92@7H(+;g%>UVOPm-gJH*7cj_oU;l)
z#dmeixm_VkdA0W}^SY$TtG#;OxnOl;>*_VkpCs2FoshTx?c2ZKmTwP=K2R`)mvPRy
z(3hu{uJ`^o=j_t|Q}hatPPqR2??Q$ji%kDCo_PEv{OF;U|2OBI+xlkdIuVol)~eGs
z@iRYW-TU?D%>uuQWe&@~E!(?!nM3)lrTb2wWV^ZC!8&)@-gNC{Yu|o7{d}6Gpy%s1
z8%1u+bZKS$&UpRaB1gSPH`rp9ILzM=BR3&HyKLr#2&*dsYnCj3vf{=0lkV?6+<p=9
z=;a;run)@}PcA!oDsJhbD(mkz@|P}rzWv*+%}X6atF*t|*?WR>^R0UmyiWuy*eb5Q
zY(egV2zfKp#e((=n%8hnP@A=2y7=zdM-L@Ew6HQ;C>$pC!D-Kt##tWiH%`tuI(_r9
zinq%rsh7npwA*-d%8j{4MeB4A1otw&SabHmX}d`bOC8roa@WjyDP*j-;|Jsa&gA3;
z#jQVLA|EmR-9CNK_xpPe%>F+)z)~>S=11qf`A5}jbYo^bD4VtK&4gU17{<48FQ4q$
zq}U%&yl39g({@Wz-Xt?lG31Z2|F}5rP~G_>V!JEn9i2Xj^-zk4%|fPmOZ(UCxYl)Y
z>6#r0{jYyrJ;L<q%7U`lE9A?c1pJ#|RIZY%a>TlB%Z@KLGar82x%ceB8+COHQc@z+
z7S3qAm88UFP{Foqsr>9WZ<i#^eY1RxZP@!K!5fw=s-57QIq&CV--xCMHA&2|A0Ej!
zoygr7Q=7aX+IIGYcRMzP3Nn=#pJbC{xp$~`b>+lw97mblcw?*^F5Nr6;%J9heiD<I
z>xNIU4;W*%Tu8Gy*?w)|3!R16-#nibGmr79MfC-<{)MFn^v+KR*msgqdFlP62SS2e
z!O@-~ZXcwW`1i0h%#WPd@Mpu~<1HI<=O0*EE6ivSoAcjyhWsDq2^I1&KLv|t{&(jr
zOXyB!n9#7}ct^o+k4P6rjU=Y2%rmr_UnTLJunA8{W;nWFUHt~um<7_^7j`5wZJ5&T
zHp7rNDJkJk(zzt7?po1}2@6D;-W@+Q<5lyS7zX)0C;PaQ7&>A!k|L7-hwh2V`;c5Q
z^F+hm7`>#`9c+Iq?sgQ||Ct-J=gjT6uQs<A*QPBA+Q0ooMi<kQ8{!-KJ})S4PO>|_
z?*7I(=k%s8O?~eaQ^s)X+l!+cKW=)w&gSN_#;E9tIr4{Ms&6GtT^d&PZRRR}xu=JO
zmN6zZ@b}HU5GpLhaXBugA-p;Ef4~CGUdDy)p>Gyvs0s4aFWfg}ib(8|?A$|(AE-Tx
zS$HRH=ETHV2g<)!h<7xI$H|=d(*J(J^<MD>pIZ$jUPh?x_-MZVe9Pu#g=_!LyWstH
zY1!^SeO__VizCk8`1oT<$(m&m&u;w6Sz5X=sl#tRhvARYb1uw1y=-E<uuV}2<Nmo9
ziqroyyiZ|jULLmn+(*OgvZZ0x`m1c~W;}Bj@O^XY!?g*PO#B_WrH_-g?z;cx<mTjm
zEbG^P5qLKLLaEm3Wi_FZizECSXNaw^%U>M$<TB@-Lla|FI{maN>;JJ%p27Kl$Jgr*
z&zuYuuk5He*kSs<!(~E}#=efWJxe0CFPpkm%}U7i{ep;-LcYtJ&G;%=<Tp%7>A3UB
zRdn{E)=iJx88+=((xUhKYiPxP&(rhH?Vq!({rr;1{M;Wh$Cpf<Kj&P%=KpgsTb6aL
zJvHy#{x92>w!d4`lY9Fp<FdA*?LTymzgS_vN$Se3DM}h^Ke2=aFX<1it@|`3aqgm-
zqPvew{Pt~G$!fbmr|da=D=U|mtlhQ5p+&BL+p?1QyPnkt0?htRIk0)oTkF!d6IkTu
zzuLNNg6R7Wm!ES|qdO;<9gMPX(EQZJyR1KG|GanMyQ2l3U+a9Yvan`j#p@eSZ%jP<
zdEU*eqbYML&;Pu!*;m5w+vfe}8#!11JaX6m{oBVW-`wSIW+l#hw|&(UtGz!S8aJiB
z;<0@@=iU9gFGXi>p7SohRMqV0`^NJxW$tc2x?Vf<+KYPOjD<06T^&h$2KH-?uRmYg
z*70cW(g{=d<x9TrS+H<@Ur+GHutW3n{wz5m*7dTl=2qvu#xw4V3ihmBT%O$U**uQv
zfWQgYJEu=buKRJoVe`&}W0e!HS3hFXJa*#qRh35;OIDmXl~lx{d*TIK?7tTk$&6wX
z?(|P%)lZ21@3~;w@s8l`hjtU67aVX&`K0y5elP2jzS#eDzZax3G9{_U{=ZjyqO{k{
z=1&FNf~xa(3e!Jm*~Td>sGj_7`@!A+g}G$5E5z)IWth&u<-FNk@RZgY#x>8nXBFCi
zjJVFSU}tt+r^x4t634!txg&SF{y_Sk#@5pwEaw=W)P1x|WLwgbc`a<u={=M0@`da_
z!1#Fa`eeoflkU&i&@(|Z_Q=<sudA9TxN-09PBXvxD|U@#GGkBX(UVd2lV0_4_3lup
z|9rr{Et#>S`zmAX|H$Ok7ZyBPyxNsj_us@f!Eej&-Ea{6?Zk3?h4hDu4YOx|yvv-F
zo#cBn;nZ1K`}_+LWeX;-Fr~*bw0myK<$T05Eh6^+!SAO3cgS_}Iy27vR9e^&b+2P%
zMe>a8M^|ppzP8$qr!h~=(cvF+(p8Qn*ADD=XFS>@<i05>P<+{hn1dXLUiRB>TFvnE
zrO8Lu8zS6y=fr65D4R8*RG4eCZuf(9#)gy&E|1=bhY6q3U$fJ=i*eeTrFGYSE?vCN
zT7U7JvR$!zmd~=0v0gf>eEN#n@;43UESYulr(%8Cl38_?9}<pk+HdzHsm@)aL3hu|
zgFlv;xi7FQoAc@IE)|dMPmF#q?O4HMy=Km*``;xmro5i>H~i+DPg~ywiOf#FC;WX^
zO2Yk?rZr1yi>Iy(sok?9xchb7#{0t6sSEym-P3Wx>1W3JH~W{)u2t3jbF!f9UF4j*
zzy7XSvhH={p=x>U`F&wac_$j!zk9shb7}Fs6U*w>to_#c?E~Y*M(wg2oPXxMn{+?u
z|LNs(Zf*T?v3}dK{-|RQx6S#ut9EX~r#XGwzBq^O+PtJDzCK9bM!b5HQ0>w=;q!N@
zn^(?Se;`<PA`3eU3;&YY+xMwzE6$kaH+wFJj{N@R71!6TUB-6*`5*4*=P&=U+)}pw
zo<Z7jw!dCKj(uA+<IUyxA2+o`e_z&l-aDs$&!p9lTknO>+1azCH2&S@#S>>q&Hr)v
z#B!b!e^>ux;#oR%<429Y9W9|LjE}x0?cKCw;wM$h@97OwZYH1Kaqg8t;li@GOMfC5
zm$7cmuU<NBxtr6bf2=`27xbU+;E7oBZ{t#VYqt~sIeKNfJ0z~`UebS3p?S%a`3(<D
zS1)BPtNz29{r*ehNv^*Q``({q$l7~Q|Mv1;?fpL^elF>Id%nYH_l*m4-h6y`qv3o1
zmx)`i@9Bw7SvK?ZvcBxC$IJKJ%CA_d^La_q_NiCR*Uwy8v3zQETi6Y6sTphI-<*9e
zceIi<_Tv*@rp#|QjOW~1`{&kmh2(!PSsK2vOnurI%W(e2<;4Fzi$DK3Ag_Cp&E-h#
zrFq>O{>uw81w6mzTkU@1;;KWLn=Uvms++ZdBYyI!g}wE>XJZ~cPvl@aSsb3i9@3@l
zdayTTDx+{i@7*~!U!Q0%Zx)Q%%wYTGq1$oh50!P-4z5ZL?&yBJe`EjMJsT?eJ975y
zIMNjR|HPBp&l48SW=i`rv6z`LDgO0=O*eLa><{|j5!=BS!I#F!zGw3KXEW6P|2b*P
z7ZoXRcqN-Mi@@nksZ8xF9F#it#EI=XdHiDjKLH)4Z7<&MxOeiTYQwR2H;$g3|K^|C
zn<d=kb=v>c^Ow$>?$5Nc?cq7br@C7|FI%yF$)T;^m#hfCx#aNbsp2{}-sDV^I4ZIH
z)clYA_m2pPUO&LnzvIF6%^edso?9^{#ogF(&GquX4Zo5En=WX@H8yWxjX8L^@1<Yj
zlUtk}@;v+>rMe#o#&B<7U|?WnU|<kr00GVg4F7-ggT%mmJMpBK3Y%FE%K2XC*z{o0
z!=+su_us5=t8D4q_>y_nvR&KXE;+k*{-5&7-%I(5J-KxSa*b|kJX_WGYB^)?(W|Gw
zMOjrZIai&%bno0RMe+OAEStRfOHur+(=T(M7&vzLobU9Uf1&t)BI9Ro)BL69<}aI4
zyXnS(j~vU-O<TfrefQhd%lmF#KD&hX_mywU4u4v9Ui|!A_J>OsmfyRpvo7?cu;-gy
z^CnI?zI=v#{I{jKpO(z<uJwCZz@z-_<?=mCkNv&2^z*jm$HKj}l32R`ZDcvRl;z(Q
zb)ih|hqD>~Bwb%+tgdmY?&+a3Zw^d3;o!RD`0SG$rJI)?E5E(8GIjsalfl<I;*Kxf
z=@qwp=FTZ%LSNHDH!W>CeeY|k=3BP@JGx(gsc>cFo}N9ka|!F+m8X`T@w>K!^{Mqu
zXSrqnHg@Lae_J~9<njetbsH6qFFhKU$#Yx(qwclktWSePvdw1xz4q<!hMa~ybq8X%
zu=X!y{aTsI+qjgq^w$*8>X~m_f3dCJbKs~a`)W>+drN0%zLgPQXz_XJ4DVa4j!S0f
z&7X19o$q?&ecin&{O$|G7XEi_-+Sp8H|PHuizfVfacF_o@t16JTO-Ak7pgyCP-J|e
z>l*Q6-;&=?GF6wcl&+a@q){toW9+e$S68l?F_%5|e?ot!aoF2EGvbaj&6uQrZsLX6
znLl@asM+-~Nnqckr7ZDT=a=v8uFBzEw9B5m{p*G9zTZ8X?vc~WUbJnVE8=V!^E7fw
z@tcKPB0DmlushGx-*fZwqfM+=-@NVcWX!e6+;iYX_(rCQ4^I3rYq;07>450_PG#pO
z4T*Li%@d9#*~Bbee){G9Ps=**>6k1(J$>oC>ED)|-m}DeZc5F}i6tMGp1xAIB<|as
zPxaAD&fHrPd9LoO|LrAbKdF0ozhBn*c46p4;a%Bh7y9q}Aob(!{DqF9o2;1xzXn9h
zP1yVYr16GtEA{%8Pn1tdieKt}b;;K!9?o|Y|G)W|5wYary`_`<JTsI|)qLBps_MP;
z@>-tSy-WI37^B-inkN15c)DlKuY1#$T)ob+Fl=eht>srPo#g61`DosO9oIiE$mR;D
zERdV|%a(uP&WF1fgj6os@ua}yq{i8U{x>dORtdOR==ONg_Y>{)d#<d%dGUz7_mYd9
z1qFN&$#0gmss-F_Gd-|~@xuh$6Q7c92E0C)HUB{V69(=DjrNBpvL?;{^=|7Q^{o?<
z8PCiuxXyEd&v|3S^2m9=s^>1NyPm|jW2gM;ukLS;Z2SIgS>3#j8TTi1=*|E2SGqLj
z@PV&M^MCF8`)z?@@kuMcw(2=cJ|$ZH)!4i&+y4L3%ETnu6SJ@HS-7&Yfi-1M%10kl
z`L%45{?Gq4ec6@g_il*2>o~aisF1tPvMa~8?r2G0c3JR7HpiZ%vLD|cZ8ZD(gK@?I
zn}xU9&OJ%HA$NmgL#Mge>P5%qFM2d9@B9PV^*<M$|1(3kkJ0eYLiSk<f<M~3Y<q7m
zn)~<1=0)dzlw6E8wO-hC@22ycS9(7-ShBxPV&G2x?~){z%y42|(uW5;ryfeIGU#6s
z&D5}Fp&>(B(v+n1-5ZN57d>fCW>~Ty>FSyblHDCS9Di@LzDQdA+v7&(TKV@4brl!>
z+TY~7ve37gG3L=DiSNai@BF)xlo+#Q#(dpnS1Olw=W2GJVPQQb!Y;V1KPvK9UGc@H
zYYP&ll$>Xry{38AVaAi&-d8R@J8^>9PciXGP4NXn6DPUc@pg=v%)$HQH*@(NgYygj
zcQ0Q))oh1y*w*Z`I~5}r-P*HJYSz+8U;9=pvK0;ESUPF-n`N`NE}wKY>-Li4Q$Iv}
zU$b;x>T=ev9;}S-1k%Gg_qWeEaPaTuC9GL{zqOTqTDo)c64uby-<R*ZYj&Vg|6o?#
zlIk@}Sfe5rl<avB-*@1_|BuU9>Q4()EZy^bL7%<+q60Vf-xGU$GUEQ5WgD;6En```
z{oJbfsS9dkS1)2w>-bT<WX_+Y#mw^+(%*dhn7eqRY1_;Rg=cQa|Np#%x$gb9_wV0!
zP3=-Rn6rG#XZ{2CBUUUCG|&_7KJe&^TYQSb>!q81Z(GJ(^>q21{#nZ>eXUu(KJLVw
z#phk>)_i-r_pbQ$pKq6_+@89O`D%9dw^xzNnD=g4GCO?g66UMBbC#@+4ga}h=FP9q
z_!-w9*zxo0vz?C^m&{yxZ|S;kcc*Vm|Ga2j|J~k{7Y)ml;<ausTld+2lZ*7X-7jR5
zR$JyQTdV$>?f(O|wB>78_pI=~`>AK$l_hK6{=MOwc2l8TXK_T?jq0-}mep@tvUYaN
zuNM<PY<R7mvvjR!?CJ#@el*C++Ap86Ic(WlQUCdmTMj(VS-$3O-KXx2OV)_2``tLx
zt>eeeYdiOf@Z@LIB{gWC|H;?=Qo4WH2GPbpF?$=_Co*X4Y?aOb@N?6P**~@<ef+>1
z`@bmo!^PVhKAkvvLnq(v!QMB?3@;1RKHfFsdT>H+)(xf;-(n13oWJR@QTD?hws$ci
zt2YQ7pY-9~?1fLuH{ac={cqu-h^B9gH{Nl#f4*el&UIF+mmIVF(eQhjWl-g^MZ4ZC
zv-Gz7amV`0%dk$dH#=gJmRpA3Iy0~8d*zIiJ^MVDS=`;&pjNSD;kFkt6^j<i?2L4N
z{bl9cFAw+Y_ivov;C^)J!r*JmESBH;`s`liwhgD2t<s*lWd8fTi;t>HNrx?evHJ5t
zZmVm{EtY>;I)Cocqjzl@7G7Iw@jQ3gqUp<yUaix<5xj=8;mdKsDJvfz{Qp&F$^5xX
zkK7mA$HTb)#Ks@Dd`-LOF8E+|_w$?wx92UL_3FmDjm_fgPb`VpFh}(Hr4vhMcJ_A&
z9-n)^K6>KwvkSSBK9mRx+Vy=%S{NhP5qg}hX(e~;oc}>L<}I0iwDB50dqnJiw<Xhh
z9-cVCeDGJyOaZyM**8+xFy2}c`LNuS&HEPX`XsTa4qg490Y6xiX4=?ycRK!hVS4z4
zoOp*o)f=%LfB7X}uefvg-9hHnClod3NIyFG%>4h-?YT=XZ~xrA?c~kSr4_}~mP|Xn
z)NZ%VS*ggAN*%F3WH+po&A;1sUgDxz<zjo$kEepJ&rr6mTliO}f34h#cZO$jxE_eE
zVd|LhLE#Wv(!%tIPcLbG{4K4_Xn6Rc6zdGOd>f_(DRDP;JYit%aPnv1p0~#O@3;Rs
zza?7*P6_<|+;diq{b2HqlMf?p`sTacym;c*Es@L1cNG0xeq*Q3vKgsMukHW5e0E9P
z((6$IlkffA@NLPon$YweZJU>zyll6?sruojnhPCgzO7tzP&4Mss`~tfB_H4Hx4zlA
z{>_quDKoBK-Sc4kjiqPu>z+ImJD8-h*zEm}9X~o&B&^)NWPv{Wgjt)C(&kT)U$S#*
z&5lbfSq$QbV(d?*T11y@P+eWI<b*rB_>ZKsOU<_5UcTy^-GWx_rCY+cuK03xX?c9v
zvTd(xP9E8|M`zCx6R*%yEE89X_boBG{_@<?qUg9KCQG$WYO1qMUMWBC$nU$WKQA!R
z6Ppkn@jpqUqfT`H48F%5D@<q4QTu3D{(0%v@72rJ++M!TKK_*0$0a7~OQ*}VZd$tC
zZ|ahDrT5<L`<JqG-P}h;3)lLu5SH6gZM}%OY(rjq%(v;GS=r0>M1PyU)ON|bsmo59
z?mqtE(!6D>_byv|Y2MP+o4-x>%HQzxA>$(LyEY5e{|GgEZHQUnJ^PbUc!cbspRzhP
zV;1-RTX*Hn_vuNmezxkqUi*LYhUpzyqSmi|ZQA?wrI-D@WyjVoU2*C6+0#omFZ=#%
z>594cmmRgfy;O7i{bk3sa(BpYUb4RIG*|!9VkQ1|>xJ<tFLwNVY5D)ghLiJ;E=aTg
zcI@NP9p;A^cXaN5z!<Y|xoH2+Ssk-Q`_ITN)cpGS+@<sPmT%a!M6)i1<v`@3g`$xR
z{>vwvu#0Hu*=K+9K<yIERafu*<;vRq;)MEv%hk&^MlRFbs&nzY%0q#<42x5eJ~I7Z
zu<7iwt&f&ye82aHTRypt@#fFZi)td4Z+`5&``hQ00!=|l-)3H&Bq?;EJoK^Dj{Lhn
zIB)Ed|E9X*><@tltUoyB)Uw`6s&o8p{AWRF`VC3h?ptCzi@#1jxaG9y=UZwKOIDv=
zdNS|n^0w(eFT7c`_sxRJn-dne9;<$2xGHJ+Vf9YVuNewE4~nyP#(cWUsM4`1`w^qb
zl#ew>n@)9K(%-<Cbams2^U*Wfvg$Up_%bGzt(^OK-iG+z3t#4ZwK*LVx9FJ70@Zs9
zK0INvy;Yf*e4{Y&-2&~qPn_~ra-2QH*vFt6G3jr!{_3SCbCyoif4xMzT!mk;^3u)x
zm!CJ>OtN1({n?_w{d`<0dp^xmXX4+zRQuY#CAahc&-fd;^oFJMlH13AO6V-Rb?(OO
z=c|%S|2+NKe{)+u$HL{dH)8+CUSt;E#=P{-#~9;<dp>Y{cwV2ga><>FLoo|Z_;Tcx
z-7Gu1Vd?+M7i_H@Go`}cyqG#`!Il2l1=mIAKiItFUaHupkB9$F_$A-VvO=-;34_Xn
z!?GV4Szo_m%3s5>GNWq(pJ?~Odkf_63$sLQZAr3aYG+BhVg9{&Qp|)2LZ)%)3nCx(
zFEkHVQQ*6om$V@$(W~RmeHKaYQ%M2)PrrE>BeLY)=aci~<^O-WfAD_Htu^b9%P&8Y
zZ?@uv{x7k8%lvg#wobbDPG-u|J8M68cu6z<i@7N=nQ`&LSN@U~YeX(xee^_Z;+MXq
z_xrUL{FC4Pg6rqf2d{EJd@fsJ`gHS>`!{F37k$0N^mFw;?ri-fTdplJU4H(r;C*!=
zE$u~H>K06%EMRWvmZHhqk>kqI`fY{bpM?eKOHFIFW-omsup%O6iRtwF%idhOw$ya#
zz2(=VR*HzOeY@rS?Il+>FEzE&)tFel_=*ebFWW<s^R9JNEAEylieCQa_L2=7-)+&K
z|NYrlz26H(AKg6md0|-ACdJTQtUs4-^_5upZNe8<h5WD?3Y!oAu8V7G_El*3_eUh>
zgTf7_n1z%5l_u|7^6~S-A9;ru+;x*)EZcQbap&1{S#`^e)o(BP600Smy?V*ixl2E;
zwO-$MFgSAQhh3YOt7xTe-1l)wu-&zPLcgX<Y+3bM{HBB2lJhU^RxdXVdcsms{y$Vd
zd#%^R3qAoakA`kMr)d558rRlacbi|;C~1Yw2@tEaxwkxU>Z)5yd@s(DnfiSB`u(ef
zEvlK{KjxZPc=G=S-j9EB>V1!`xK_6GJXb8k>X#oXA9%d^FSwCu$@<&>Pg=__3C+8=
zB<Srw7cSe`{I*Ba51;J2P}|P`_GtWM3GVm%uiyUmDewLApug*c6^!Rh6`#E%sQk6v
z?5`|0ZhYT-&e8h)n@9im6`hd3H}m6~<>zZ|o(Y)ydG4n+#-t@d-+%k<5~y3(yln=@
zk2jCD*L_@IFmKtTO-7-AzVP3EQmV(?P<r>x+vBr1?!H;5`fQ=3`RcQ~x9^T9{kS}+
zuD<W|!k7uWYM*>cT)Wzp&-Q%9<4$F+a^*!%3WxVkt=h=GBj4`Z63#y>s<^}UF9|CD
zeIQW0j_LiQ_qUg?ufD(8yn$iWs#U9)82<kiP+?#QDP>?_5Mp3pU}T77Nn(r<ieVH;
zVoYLV;ACWp;b-9f7W0&mQ80!%hA~DdlY#je6R#2@ldvnJyg(9DObvs0k06t3F_Y~q
zfh49Rb_PZ!mYAPR{F+GuoD9K?;VdytObpUYF{})pElkcy3M_&ghZyYHl9;#|)Y+3h
zBq^D(sJ~<gRbWbDPMXWiFOU?O#KFKgi6Kl~K$cPUzqSGc14FGWV<3Y_3`des(!(T$
ztR%yj?~Kuo4EfbD^O!jmV%TEXS%e$D$LJ*S#c(jK?%-f(Rbbl6!N#=pZH&-j#=|Vp
zT8<3Sb+Swh<r5fVgc+wYcyq9G$aBUpJWTqP6c!^D(_omiBgr%A8%wf4brMT%l0ZVz
zvLv?rBteG!B$oW7NvsTSIa!(V1!CTE2rqJFE39WbE5H%+l%qFPkYgef$5anT4*PF0
zbsVk^bCU#OeliHUm~#YjaHcnLl(Q%CFeocLPSWG(_PC#<&cMnL%@M=NAv`%o=|9VP
z9S&`W23e+9hF0z>Cax8X$qd^)8Dcp)dE^x)$NpF6p0$v7K@2Y|zhW%I4t52F*#8DG
zVM02SmT(9$x-r~x5#ZqCe5VkzHpWjtghM9gCdZy{NkVRn!W^d<1!7*r^a<!xaWZl<
zsUM3GDiGrn6gj1mRLrE!@Pi@t--~2Mu^48Sr0J}>vHv|AVi}gjyh~E!PH|zID<u{r
zBof2EJyzg<U6L<Di-tn%|C$(I4v8jPkvg^{76BF(=6|=7Gz4NcCFL;)o|woQoWyjN
zA(3Hw3}{4~ks*sa=35N6K#X9_Wu^tn6S-Yt1jIQOE3m}8XEYGxdizz_^`FvdfrX7+
zmpeJXvoObSlyRJ9*v^`)^52H(1)KPUq_;_70;-G?I+k!rg)sPX2yo3_o7Chdz%G+?
zliAQA=4;YF0dW;~uI++~0*uN3%LFv4JlO>VzDe{ku`FVY{m;e7P|hfs#1!lBKQfu2
zDTeW!3ZsAn<KHM_mNupeC2nR`iEkVqlCBDbeU52rV|bID<SWqAp~;kdM_?Mm+8D-I
zhE7k8S(1yn-IzFhV*jVdFr*|cj%An;v&oS|@lVVi#*Zq^l0O7a3Cea!Fz_>T@?K>s
z-ju`<7{kPK{$Q-a|Jr1RHO$5iNn9~^W3&ZLnYiBS#@NOP&F1*7FfT^oZHz#Sm;kfT
zUzu$Rf(%YglG|cLI668wk`(uZax80M2v73hSl1%4E~%Ddo5x&^U5xeuKVqCXHn6kA
zC`jlq#=M&lvp9)Sg+VdKqgk?#<B5u}Kq}`GM#kMwV!~q1F~pcAor$T9{m+mblNF;C
zQ<uyDT3jCE8uOY_p{6coL((0Gr0+>}Nh<_qvwRWAWl#FZlEf*nRy9U~lOvkpf6@b`
z7)f4shPRHAD+H4!GH|jO3xvtV%$<;An#8XmqL|h2E@??ptcXX)d4*RkY}XZpVjeP7
z2r9m+Q+UEDsBlk>gO%Tt@u=i=4$)a^r&^UFTr{@{Dfp={Y6uBV5D<v@mZX@*=#s>u
zVfBnrQi<y?qr_TAhJ(rf`52BVF`9g3l47c1v`YT>Cb@-SJ>!L>)sk0Kq~CF<Fx*V?
zN?My#7sIhK=_`}0T9T7E<Ef-e91asWlGHfdl)kG7JZ751krWfdllAYcpvLhwzAb{H
zEO*3T8g%n4(ro8j#3`Z65yzOcD3fEG0pEuX4whzBj!P{9CP{o+{R-?!?>Qa`Ez<d}
zsorswrMpRrg)!!hp{a_1lBk)ICd27Y?wpBS#)8}d%4sbeRqRO;+g%KfG74OBkyF}Z
z#$hVJ81qZRL(-9B*6WxRoO1+Xl9ME+#4s=?|F4fROIpp5%rKc_8*>a(mBKsbnAR8}
z7R#v`vsheWsyKGdVU%u2+MZ;XB+HP?V!4|+=9M6WGONLN7J-=GNtz7JYXl8!=NYMM
zI7<GCWoXgr?_f-_?OJQGK|w9Xi%pd2J&XLcB>kk0*)hfjQCBpw6|7?97&w_YH7>qQ
zx)GyvTHs(NLygiq2HAZaEKHh)x=Cx2(k3K*kGZZfQ^MRuhvPBFBbP3@=>oiuCJDv~
zhDe-u5k4xx`@+4O??tEMoCh2gB0Mi*Ce>QDSmjwJxo|!c=a`kn`z))6rC*R?>s-mr
zF)C^?EZv-UL}dbdJ-MH7{E`V2=HvQuUyzA4gNaq2lZji(A*MzpX&#fL<lRn=N+wkm
zCT$KeCPUt&CIu!-wxst=jv5O^rd0Lu*j{8<KZkYwC5CFX<6ruDtlcN@SZ_Dd_F&YI
zl=vdV%<Yq)F;U{nL|F|keU%Uuj>btWQWBO%4mVUdycL#Clt^M0n#d8VA`ukWHc|7k
ztI$$~c`gj9HIjM?+{p|L(%&W||IbWTSYcVAVzt0BVxmOPN+WhbTaOzG8!V@>tX9~v
zI_a~g$`*+hiA|HZIwf|g@8Mu^Xk|=NdMzcokda#;COhe)fbN3M5CcQ~NoJKR_*IU)
zkQBYGF<I?M5T8PT*lmxbTA6;$!%Jo=6sVk8DIxZB#T1sw(-aSgJnot*^0<#z?6Fi*
zO`rzPT+yc!#hEO{p3a)a=cxB;I*+5P#)>%_Yj*KiubjcsJ5A)F3CFCNqW70D6q|9V
zXm)Y&I^8o?NJ?j6chO^E|H8trQqAqJBHkI`#^BAuqV1u~Vys}R#1+Y-Xg`rFnN`t|
zD_2EyzTu5sv&81Fn9UPAo$Id0%qhB!VzXz>;fb%x6fn)7V>MT&K2~)0<#}><UFY-I
z?iElD5uJT`fyiuKk@=HeIj<02Tk@ns-J@cmezS|zTz;GHlQKmszhud(2+U^S_RM_g
zBr3Fd5`(Ve7KzI$Ou|Cjm68(D>lxfSEMhzpSd$9kJ-S)^1dk~(I?ZH`o9M7#MrT4`
zO^fCd#w4M0Dhw?Ob`G%&eKG5k8tgh^_A|ORGnJ_{gi3gDe2!sZ(PT=R^qYa(;k|^F
z0#nQa21}LXB*tV0ML~zZlNq^V7%d~7#1zI%Qi(B=NQnHT#AES@gCW(Ek=an9)mO>$
z=OVsG3VbmyW9k~bmBJGeq%?LkiC6hE#!O6AShP5-)}>PCVZ<w!<ObPS9`jl1V&^5z
zh-F}CO1c^on6$ssBU!P2qJpqX<ZE$*@(hk7mX@j|Y%Puo-i$F57+JeoRxOp`^=lMk
zVT|HqOG@TsFpLy<ndHf^#MGC;b;&FS<`@aV>B$8$j4Vl4GI|)3_OT>+c?ht_Oj?p;
zmN1Qd)yrkP`iqvwtu5iv?=~u&U{wCXgr#G}3Vvf(F4KO|znzh*R=PGW;o#I;=*g`!
z(fjNK)}&;k@80JpyhyTUHG4a28iyx~mDc5?z=>8ydmRfn{9mx~`oCDk>%V9<pT9T9
z_bSo)tTp`JnG%b{>aEu5^v)HlXK3D{_FlBwYS%i^>KE(9s)e22_g}P`Z!A(DxPjl;
z+eLN4PcPlZNY;{#@vJReIwp)suDTv9g1t=Bm0b0o3r<|gw9>^;ZK}}hiA;Kz1m`kY
zc<hN5koZ`_D*LfylkCTfo8>+(6<fG?i`c@htuFe0`iiO&>TBK3i!SclrYo;!wVhvA
zKs)w-an}2j3^B1uGm<{XGBEYeSg<6PVXdZdq>d&NYsF6fq)SOmuEvQ;MoHqXHN89Z
zcIk&)_0>1rsbd)0qP2qQf057{lNzq$f(p}Ogbqz(iTM=Gm(0-XsqHj#CL?D+GQ(<p
zZ>4HKT>}ZFOH4Y>Y#%yT++z9kV?y$aU8ZXn?dI*}j`_^FB9>vp)tE&~7&%tkyq*}t
zw%|X*q6smVR<N@yaB-N##4;~%5C24O4o<!D$$O3WYn<QG(yCt`H9<g$v$4>CO>nO8
z39htgMFWZHF$q<UD}FF0{Z-^t;;3V-4(7O$wA_KmC`qW?z}8t}p(3M9OoD^l#0@bq
z1>zGLIQSi&GA1cFCUM4`O}MCFm2^AljX`e5sTg;T<J<=23^Flql9t7EB*$=wbnl<>
z=fyr(w?+GTXUzV}IfJ9yqkdVE15+}?%B1}kos$BX^}+;FR9LwKVjGx}w3GN_u1xsk
z;pq7_z?#Km!ydsTo{1~;xG&hAh!kPjbHLP>IjM7E4QD*N?>(i1`tK&11o1JqGxM@+
zXbDSNEf6D>WV27^s3)6zD@&2gBnb(PSB8Gz$x<gy6Y<E(b1{NDUX}KEvZ=)AvZt_c
zPMSXBsDLSxxQdA_!vsdgAoiG5F>5$IesmPZGE6ub`@g8Wf6)w<le*`Uiai%Fc85mq
z5@3wE#iBfwBbH$ThvkNtNt+jaW^&rWsgV3XAmXy1#A!_iZ+3y$|E{suIxo4ztde*f
z&=u?w#=tqZBeLnhx$2k-rZR;+G2T{29c?ihQ5VA*bW|c*BOXplWtpb5gkh12h1!X^
zP7)En1!Fi?E1g)$F+)n@e2k??)}%w+YacL2JyhAT;^e<fk1M=#jvflENxCfGgf1&|
zRvh<aQJtXT;nc#<VthTOx<h5hy@%1A?50V*ADJTsViY8}7zH=5EAjX+cRpAj=pxCk
z&*CwSG3JXPPtGUC<`~ZdD_G?@R8$feg>{Z79Z<M<xID`9CST;O2lHFlCE^T|lItC`
zlm8b>bUR!~ijA4U^hiKINsu97i=!laXUtWtRF!3tLcGU47-M!YwmEYNX}2iwO!B<&
zjbkT+qf(|5;|&%eMut}plNcS6CMCrtahgQB9MecU!kgrn7{jw_qDmOUu8G$>8Q*bQ
zh%)f2NXeK?zNpB4RQ0UT=eA8-7#UNJCMGkiND^eY>~p~FKj#Vi3%*JJTw)>^qW@*A
zaA9QNmgcZyVpyE?E=Jvvq40H#EQjAEM%BDsQ;xAMmAt_bp7BB|MoHL4^$El2s^dHf
z97&0>4DF0DN?L^<yg5WwUdP<*D_r2oz<%N7%|k5u949!Fq&XQSSp@lGnr?A8D#iT2
zVZ`u1MkeWR5|gk7FLRQ564Q$ZkL8liCwVHQa3nJxR(Ko3ndDII5)-xJE@#0$kz5}2
z6RvE#xdh5C6|Z2~z2#*3?dqZ>9Gz*mTlvFZCvk+U{Mf_Dsuae=&CkTzA(<qsagyo6
zQV*UFUpRCp1sXm4#qe}VWmAot<|(%Mf*-nKsy#$qT^djGMXO42Dhd_E{x4_}RFHpK
zap|w1&^lH&&IqL#W<fy~b_MAe;h0xaQ+!#{&+zF^<lxn1>F)R`u=%X5`!%u3i!XT<
zJvl5{?k{r8JIA+FgPpB>(Ju+@^CI!x&v~X!<Jd3wutmZ%NsJ}G<FQ2f1u;=2Hrr&E
z|1}a*FQ%mG-}vdNbx=S!B~@2keA06P)0exu1x!LbFX@W*axuCnb}4XN=6j&7m@3Gl
zdtbryiYcq>)l!~WN-Um_6za3CvG!YC=gSdf>3*ni@P;Yt#haeIE)q^_E?CJ0xw1^X
z#jEOjTb@mo#d*;KhUs^BGnd`vRi1s1ck`_KJj!`l4|u;$dKf&(T3T?GijAtJz31se
z4n`VMP7K^JtgO;P#Wy6Lu?Tu3GbY?(oTzb!OXH2iSCvJL3Y(QXs^``y{S!2NBv!7#
zAM-Gl@x{+hM}9V?dmJY;9?Qi!o{30i<mZ_g_=JrCGXD=6FJNHcJOJDO58^Yr3$Zy0
zay^|ZQrrE9Ws*cPBcChJ(`W3HJ=yv>4}?5t=?{Lv)<5|rZ>+|VEn<zgby(U|k{Nkj
zMe1Mi=&G{K4wP(o%`)5f4WG8}Tjtr54C0b{Z1$D#YEOB`zn@oo(R&{4Ss(az&-%!#
zt<rS&S=>bRPcc?(4p&qjCWy{%iU>@NW!Pw#uObwXyIAS2g5)Q*&5j=qCo>B0BrFot
z{H%9MMRU=OBTHf#9p1_ue({CX@#R+@dk;RQy+YY1Vi`RhTW5D@nto&To%fxkzpCm7
zYk$>G(QNg`bdFF*%O8v}w>3}AiD`JwkuEbiI$9%{VY7UX(J#LD4IhR0ttG{OKV@6p
z@@NZ>wW0EhKdg=~|B6&|uz9#J#xy+$*!C+aF4JFT;@(Z2$!20XcQihp_$SugvDTA)
zw&9E||9Rb=K1pykBq;q72#pnpoLCUBmCakRM1et((ZJa;f;E<*CH^);?Ei`w{TPuW
zF&8~n>raqs*ci*Og+;c_EyiTRbjG+?hDKf%<KUPR4KZ;sLNT9WJf<?ls3bE?j8*xc
zaKMXQnJsC;l9;cSOvbbQPF7q@YMr>nVNy)Kz;C7Fo3#w?7%?h2PU!Djuxz8p0|u6)
zM=?rCeKsApe{d+PFl0&SDlJUV<M?4~7@+yP(@7;!Z@Jd)iwB%sSOhteE=1%pCMlUp
zM#emPA<3dYqu9CWxK2P(z|9yd7FVWhYwo0PNtTfnGb=13PfZY&<Y1fe$9ck%S$`rX
zGAU|F9G$b^$gFL`k>8#O1{{m!&_2e<uzM+J)w%MLQX^?6X0|6nNruuwGRry7vvewz
zF&-CkZ0ecZT5!vQi}Nx^j?qQO1wAU8lYh%R5EN8qWeXP6WeFDCKZ}iZevCr4ieRZh
z`m7k4wcr)!%u`jECT1?MWSqZhlBL4#Ns<SPBJaj1&ZwKPltERXRwbkCmUTnSf~44F
zhAj$DST6qg6~o-AGR2aClWlW`0L$h;#r0fln=dIWW81t)auzqM<8mGzPhq7+F_Z2y
zp0tqGtWwcYk@TtJW%Yf<$I}0jpS9mpfcvJT{UnW#Zv3uJDh8}KfBP{mc$nzZw{TNL
zV~IjC!zST-f_$s*1~3ac^1bCxdZ@5gi0_2vYavxWYt6-;3aJX~UAO~<*&HV^)Qj>c
ze)bSyUdu2wN~Hbf92G`xTaCA_EWV;_{k~!>{ifne+51^0x+uPsV4i3t(%kvdRMPk!
zcdSONYjl<*+w4_RJlZd%`LtKc@M<rY<<nj!$FqBuJdd^)k8WpRv;wcLib#I9#KeiJ
zj4N%mkE&>x|8!B_s={UKDY=({Sz))*M!ii73L5?=X(a#mNy<u6`7<kX6O$s}2EL@1
zNkWNE*;x@vs$z93E8QOMD9T*hCAwUh)p3>zPk`SKsYwzl7J4&YE@^xyQnx~t)pMm9
zTepbVM|D%yUJX&P3ht9K=ad2#EY@I~o~6ketfj>^-BtFqHtSSh9p<TCatrvBU3FRS
z`|9y2FBb`Sam?4}RrNLCRSh!QrgG1FXRC0-B$;Iyww%W~VlJ5a#4LKQ!N4vOmtn};
zr{3_+(aJ5Nu_EN!qE1O8K6mA$Q>P?XE;zyCzJlw&jh{-mMvh^?@|nwex;2g2ij~-%
z<iaI{JX<ct1e{!V#e}E6llk@w+fykM(oe;B#4Jo_sSGw{t8_b|BGGY*rSjlskC=0v
zz9nXSn<p_?opD()W3tDF4q2CimH%ew3!QwvQ<zVE!$*e`nI0BCGD^Y=Ig@<ESYjk+
z{AWzM=@MhJNdC!zvI&eyJQWNJyN`IXu&vl^$hhO{p@@VS-BU>d$0t18ank#WipE0g
zKy$v(Eu4Izo>Np-Z(j95eZzki_RPwLIXib*$acC2N51m)@Zzm?JT^&k@2QM{D=HKE
z1KgZ`Xu2iMP<hsN(%X`4?;<Yty;rvCmsrU?o+Lh-VZQimo(l{ff{g2vxTeQE@{h=S
ze89JZCE(FRhT|$X1%lU2vWw{0_;$lemz~#=g69}<-rgzEbkkyHQho_T$DRqM)_ko>
z+=0JbV)z`&JRGW6E-)~^jWIpRp~;YWAhPh&iEbB8j;pu0ta)sw7+!LTF^l*&F`(@U
zXX37D+&nwAZF*JvlNlF?PE61~aZ}lut6nLBA@%Qu_wE~2^^EFVj&VJ$HST)E#;S7Q
zmcakQ$cwQm|MR$ZYJKd8W!NAja-JdB<8wqklcGh;jROxESYm#~GAxSyufD^MK}8^D
zR?M;;mA^e16B8Z^dAPLiO1sF=E07c8$Q<b-`9S<+ic+Ld=0b)QOeu^K$^Y$QltKi4
z+~tZ<`oXZ@l;Ky?Wu|p+V?sBiDqh%_WXN#+$bl_Kjxrrn-?532F=l&A>ckAIqcw#q
zZ8U66{n_dc?Ba{z@Jzax*c}saP&Oq=Cg~uj;?0<)_k@hNv$)Qgx=+Z7<N31apw~p1
zkQa6)4!jeX4b^w7d-z7BHtA<C$8ABuhvz45N$Q(^LjBB%Dw$K=jEz5LUWjFA;P}h2
zNa{OdOi_{m=SH_9odui%C;Uz_{+XqFA!d)gi9PF$7@_YRK?*Bll(s$)d&h7=fHO%q
zNzmM0{X&z(YxQ|@irkYNY`wXx5}&D@C}rj@6#Dw^!WFjL`<EnHNX6J(XqPlJJn*4W
zU@>S@1IPT16RdhMCk{@&&~QmtkmIVcC7bxg0QHMOg4%+H1;-eocqhcv#r}Konn}fj
z<w6saieIChz!H@$Gmf9==VWqtmK{^=zEC{&k$6!@$uct~236yW+uRp(MKhQbS(Yr|
zVk)v{i8&dgym604ibpa-TZOM-atCt^!%km@R+$Gn$(<EWmP>CI=P|@|Co@b)Qc`_!
zosl_(li?(TUeYUuB%b8|&dCf%*&mp*#w=1~zMb@iQMn*#UyRElKZnGmKS?tSt{Ye-
z^&MbWV!m+bz&}Ao*{3h&M>+41>i8-9<S^6C1LZsmq>Tiph@9Bq=_u*^>4Cqb=b;4}
zo*ZtxCl%vW*7IfY27%W5ER6Bs=3-&xh&j$;%97;Cs9U7R(IBL_Tt_oTbAnz?j%VSU
zCt;c*ez)fQd@@PuFhk>xpATZD#N605A?7nn|C0-eF(<86SX5=C+$Tmj$xBUQ@(!t&
zlR8l+C2`Q(AgL=@NI<q>VXP3tk46EvZ460LN!OAYRwebVxFF#5;Dz$sANdKVlBAQg
zX07VrY<R_N@J4|n=|qQt1as2;14rGM7gWq?bNSzR*qJZvsO<?qf%Q@<?1DGqm~I4#
z8$QtBzhU1Z%20DFY2yaNgB*EFpWJfsee<Rv)8~y+vc?eujT6p`7Q|?T2x(lov@rR}
z|3VY<hvF&{HVltD1-2+~GYYIY!SYD*RfWaM_T~rsldf>Hs3=QnNFKiNQ+x%NB4doE
z>Y-E)#Yr(IqYY&noRk!$&k87eSjF64l6CTBh%4Ja7n_8d^#=JV(hSTii(O_e5=@xT
zx6@uUVTJ^MgSMwYGJ~R|{G<<$I3}K)*36hR!BSL3hhd_|FE`$&M+NL+I~zEdA0%CI
zzVR?d@s|q=&&$~gI~YzSNhayUh^sdUI<`6A{K3k|-H>!R=6sSH%acX!e2*VlH(WZx
z`&h8yhNEDT=m8BDegS{Rq+6oA4?R3}B{*by5+|MA{ps99!IadLmD4;JXRKosKDOlR
ziF+Nd-X~9wnHkf_Z8$ZCiSsj4(*I=j38y2wj4pG(?)Y6~AoO6m635I1lAk>{v~YZO
zZ11~sbcVo;gfmHE4OTsyO-*08Je=z(_U@?F4P6ffSB{S=QcS*|Uw3M-aa`xSVLfqz
z5643Zp&JgJ9CA#Rj22f^0vFDhV#!#4n_;2=Yd^EB4U>ywp_eG*{u7;svpqQ&lO&&C
zN={)|C&2jdi-maSPM(;VH`GrFa{Sd3c*G<q@sx?-A5%cgp_mII6<@sB-nk^n-W9lD
z-y*2N#2Ul*bDodv?YfCv60_y68Q6O;N?tIGcH7v;bhk+Uz{~nc9OpP%6e0y;W-vTH
zt-iyC@$tluzmAApb=<tdm;cmKjuSGwJoz~fNi3Qp{6K;0z!C;|`5nvr<ad<q_iB6j
zpwVHO3fEf06BC_RFl*1`S!>pkC?v6(p^;I2(j?0V9tUDB@$58|_~OsA(=|YLvytG6
z#t%Wl2hJSKD*2?mqm)ViWE2a-+I77hjs;0h?kXHN5ARk#V#o3F@Y!WkKc1i1s3BVE
z>2XPKm5i0jeCrJwE}l~Rn9_CbaKua#xP3O}ibxXA_b-9+hm4rKJNu1R{{Cfa#F2Tc
zRY_wJuk@*jLem9Bxn<7vJlraI;e<BJ>;o%KvT<&)FbOIZm+xWu@I>Zx-LGH~!9^jm
zr{fJCq@7zcX*$<q4j=n2C1%FEE3JCuPp%A=JJ}V+ztYN$>DNyE@=UwR2{8v_F6z{3
zet8kjv#~p3w%ZaZO}lb$$<31(>@}m`&Yn3<X0-}Kj7^4TMxK<rbxIH?qiq^T%yfhL
zGci`8GK*hD+NUjw;(vOsapgrO{q=6M`fOr-qh%(>hs4;$c3Z{IiZ?5<k6*&5SSmBU
zFV<ft%3%L4`^1%T{2M1P7US9M)uJ-VCe|ulv7g0|pD||tk(kRq%IXsWFU0d46;JTo
z{KnLLLf@pSeO$}?Z+VxfcreCX;$`4<VR>;pEOCnvljP(YM)}U;F>cRJdpj6Us(52z
z+Oga>Ltn@;mO<#L`hv!Vje>!_EX=}5O2;@}M6+-|wCIX)eaOU-bV4<jL1-sKdB@UN
zo&VMo#G3*SGA2c3dI`kjNc?9xnQ)vdVzW<U%$_9ogqt!8i=QjS1ixfz2)2vq$l;7)
z;i!!X@?_?$*u?sph3Ur}j+pmHVwSV?{ObCrUTJ41-jVuq@qx~_5A>6sJ#g&cNLjmM
zhNNO5U;obsdeaq;2tBWwtfXdj-?KKt>N=C4S?6aZ4V#@Ve36ln3woVd96K0e_TA)g
z?)h^grXz%f#gH+^Xd+iHQ^Mgyh7*5s96NcOlGMT{@^Q4ze03tT_~e4e0(DaDa~}Vz
z?T{1vvHtx;hQEtq`X6>JPG-N&${}AHGmn#L;wGOM)k=ow4)cN-z754GjK3DQDb7r>
z+3EM>y<$uT7k`qzlJwUp){i+Gmrg7&WSRMdNxH>#W-9w^F(&02PtIb7_c?qMV;212
zU_4S8#rWXtL<O$e;$LUJ>xZQASa&n6oXv0BmCj@P$|FN|KF8rGJJ)x!6=TvR=W}wr
z4*8$-Wh<{YSLT^N0#`D5e3iKRt2zBWc@4QNLuO8r4it#&eCRHz>A@4j5y=&86eGSt
z+HAr#O-4bN)K^&|vlnIa#9H0Tx%+C4{c`cyvvWmf?^=;3GJCo(>w^7L<ecU1F3RU|
zw3<|4Zm*EK>}c_vt=%e*zs)l9RlO}FwA#S`nWe()&fh0>7+17+Zd3o^DX6fyOQV-h
zFyk|;#y1w}nf6IS8d`T|9zAkWV`aj`nN!toDmWzVPI8NRt#Dq2vtnc5|Jk$VXg)lW
zv61OV0s}|PyQIa^vljjpi<uhUc4o!TLOcJSjw!nP-D0XV%>9lW)^MDpeNg8(L#@P*
z3C|{KE)?iIAyetWF(X5YRY+b_>FVppe-BNYDOhP*^i(ao=g5f|z2y@oJI&KsTq7|l
zB*<Vf-_b3_?E5v|x-4EgN8|gXC0ts|8y~vsF842y;eOup>XPpAESA}sS_gHOW|i{9
z-Zbc)IAzX>0tU`4`pYMLb!Sji;Z^iy&@|OuUKYw@9azq5-K}(VHm|MKqzYMfhP!ER
zi%win`R9C)fmP}5g}ZBnbT|DvF`=V?gF*6j%t7J5%t>1ph#mWKsl$OWsq(;90j8vx
zEW9k146YmJ$T3cckYk#$=H!_>0(Unq-^kpv^G9>YoQ>=qvd3qfKYU_FfHTLAcp(XY
z30aoI2WBsxarIF8jI)wA&vh;BIanDM-ZP^}mVJptvW@?fKaUobCC6NR{=}rdp<R(>
zZQ$$~8<}epSYpmEiuKTAlx3)H^3HsowAW*c#P<^q5<fhOnYb`dWX}Jpr*iyrmMxLz
zca_eWEjdeGu$ukkHJ7cPFZEov`q#+trZ<MEC>%F>8om73Uv9@eu?&;8I~`7vG)PwY
zk@|FhC5uqQca<C^?Jv<wkFop5e7$MVvgD}MM&`Dp<wr{=dGy`A$hJ9W>Cv|zLUNWI
z-59gr=*F0(N3TxGOthGs%$%}8eaX?^jj;@8V_23RS^wOBfz;$8j%A16bI1PwR2lGa
z+2Njb$qycSFgC2vTJR}rTE}6=^d$CV2A*j#8@?qS_!F}?nW6O&n@7U*2xYN_Ehi6J
z*RU+$WMhf_KfyMcVI8Bg#%2lDhHV``VhkgEjb@x-XN(b3n{mx->xw>$w3x{o?kGuA
z6#hM9o_--}$-bTYv+ZIQwD&LCcQU6pcG>==`Fx*mh9xsF_1#cpOu8JSw1k;&4HKXD
z7D2X+^OOEFAIRX3xs=FgnB=nfmvIN@xg8EYEH@@@Ke6l9rISaF@om|9*>Lwny`mq7
zPNp9_IJM!@hnTHf->g-<xv=NiuHPGCmhND<x!{G)mYtSetlbOu&Ag!K%(7tq3A3Ky
z&kLVk+`~4{x=D5OF~6nzmiB#}Abs}X!;S108h>6mrgLyo&6N|$4Ms_4lNnYuWNuu%
zcj6*O*@b)0K3E~hx8vyh?h8K`?Bz{znm^;_f>_ohi|04qJb1JrhWmum#)1XAH{P64
z`BC<U!Gvs<Ps{eK?fb^rG3UhgiK!cx@7X%>;Kqe}ZZ2T{5aW20w=rf?jOmWUJqKlH
zbRF%`d2~Z4m}SqlrF(==330YJ{QVcR;k59Nwcq7$WKR~KqH-{OR@CIXb7p0oc;L^%
z`*X>@S8QuzSYq!l*_#+s(vj11QI73UL+t;Qn6llkKEzC2u&?9AgN-|0*UVV4A(mnJ
z%E%uVwkX6h^n7@oKJnv%eTnY<t;v^`?0d*^;$Jf34MCknd(BpaJ2Lt0iSc3%(@9#e
zPf1{U_z%7A9rF(;ok(HHJ9PczhkzNm>@hqsNfsZX?#(#(;7yF>f=7#Y@RZmr-Ba3j
zphJ=6+mqY;j}%-^{P_Lj+62}lrHf1#*iJlQ<(%;AFiV4B(t-mYyB->_?wGcLB`IUA
zTguYSD+`lmOf)#R;^{_>jav^&ZRp%_U2^6uGyelTO<n?<CdHVY;8wgQcp*}tW#@*T
z3qqRmQ$$WIU6sqf`!_%Tjc<Y~F``mzf{RY?{j+W9it`JD(l+hjnVGHH6Z7Oo@lB<E
z-UaLWZ{1K+P)U+}z%Id`^kK$=9Hyk*Q&R*P^l#2nelO8=YL<-6k~QB_1en$zHJx~?
ztifpG#IzerQq+#?UwnS^-$91SnirRCe1G)8!muS<kMl3teq3_#inPuy!`rLc8Zv}G
zggpMSLc;jws%=XebC<1r`qNcs5}Vwr<E|=ECsh@W%}|(UzIoZ2^}<Y<4`#36;tZYh
z@ccw~_uDl&jK>3iE?K*7)wdl9KbNd6n)t*Z_J8?}S?6B19iDOge8c9n9cK(zq<+wd
z+4vz(a?8!1Q4=owS8z=-Nnm1Nj0uhX9~~2CmejeYdr4dJ`JRKkGpx@Z5ZK7JaC=3^
zW0{Sg9NJg(Y)qP%-2QmQ#N@*>`W~nx#UD_b^CEMFYV(Si9ZUAA9-g5uF=0YQ!<3#C
z%a`nRP0By^;l@F}=UrtFuPrDtytt+2d&laUxQ<IXY#%1p^e=Uj`TsMIQTecJf~-Vj
z(#8qPPcJ=`dZ5rFh^e&rgxuOm?jNN@*~Q&{_!hnsTe2&8i|UkzkN@r5^Lfd(nEfwK
zoL{=FSZ>AS*~@M%3_rSbdyv?QDK}Gc79Ox!x-D|W5*5L1Dc#*Ola_CPuCqMDZsBGB
zrQ76IY)@tFU%FlMEo=YMZ6{ZpP!e{%$>zRrsm-z-;<5b;c63~K&&im#e0xOfHlv!I
z{?nFj-?YM5gGV8fGiB+HORUakM2;#Q+ar19+mj7vH_m<dbm?|aR`2Mg+r60jPw{P9
zx??h{>$auaLp+ih7knt0Vz$!Df9dvHtf5P{2i@Y=Ub<rvtMj(y+vo6Ue_OhJ32VQk
z-2S=phtl{Y1uozCsmY_wqdR-2`_i4NXIb49&Oev9^wfLV_Vg8Dg$K%yFmG6-xp4d8
zXB#RaH}*7yCkZ4;@x<9Q9(kgu*FMqX^OBuc#17o=<e4Y9UYXT?mdf6{w~s{s?D_KT
zkh#T%=bbFRik7OZzPFa{D!RqmyJTlj{?2`y*LI%}Y+AbWb2jT#@8vslcRV!S^kUl<
zBip6B_Mh-Mx;X3cvV}L7?Vf(=;$z0s+<_u0)0XY`=5iNX&UAX|O0kR5-Ah)A_}QFf
znz!tz?cx=3C)Yne_^aW0FpKuIrN@QY-o8+O)H3s&C4=LqRk^H=%Z}V!ymT>pZtYF|
zX-kfHajib~VBeJ=&wdmaUa*+9Y`M&%v%HT#tl4;Tvg4iwD_E0E1U&*+6m*36Pp?W!
zIz8ip+>MaQ3zl4nOtw6`VWQIwkD`jCg)1I#CjGcz@>uWHw~h}B7&zu_Ji2gc(y}`@
z7cX7Ja`R8as+YcEwoC7;^F?+qpUV^ZX~FRcjEAakn))w`sa|$++u~UnEEC-?P7_)C
z>*>;CFQ<r=Gpv4c^U}pRGOv0<j^AM3u|VtjN9P8{m_teLX3RMM>R|c=-pO2*Gi>@9
zFR&h+DW1L{wfKgV*uoAau@kd@oS2{fDRV~H;}bi!D08l;5Mx}%-#syR+2!>91q&@z
zpRD+_V4<MIi3{ov8cyCl$@w;5#gC7VmM>Jak$7=Z^VN30&+h~;UEpV067wT+^WIH5
zOCP9<{qMe6&+Od6$k6D?)cNE54U3LImhwl5A~m~u#eM{zG+4v<eByzm#fJnhYEG(%
ziH^BCUE=70V*VvJlf@WkCPy&FOrG)aKvG1KY(swki8GAJ|AUeeUdEIr{aA3L*(+w{
zjqQnQ7n+!*V`O6No-MfC@hwJm1E;_P7ypf{Viz6>DqO#H;nUydPvPn@k{UK2*;Pa?
zd}7{_{9FE!-4U5@dk<{6Xk#7`Ij?)pMWG*g{;%|lPCWk5Ew-R5{Q*<Q0$~PLeeM-$
zTjg(LGJNV}5b9XO$sqA6sbfzp1LKZGlATX1_RVOTc!}$zMDnB=o{!yMnYZvPP3oSt
z=xirL`bF<KFIWAnn0%szD^sT_oQWZ~C+SyA63-DYwG*Nmwzfvni_bCg&scDt{lo3I
zNrCDoZr)t%ck6{^#-~Y)%?hGQoF9^GU)w9hTsW{KiT^?w<BZRZlVV~HZ1P|~xQ#*m
zUXSrJyZcX4ocfk=NixRt|JZu@VsFu#AjR_z8|TjmnsJ`jDMo(JgQV=nJ!T8k<v;i@
zJ(N@^a5ZK|u+vJ1TVgZMcQ@BAyw<vO$Fq(EgH>}btT=k<ZgN9Wvd)UI#P=7H5?;s1
zt*B`fj8T`!R#E8GIm~@i);^b)d+F_y9jshQb{iH6$v1`x$tBHvs&t5BuU|lUgh<kz
z6;CTTns#seUQn_0!K#mQVj9*}H2fB}Xf?JJu)1aM$;QsHiBb4O(ouzkWe<ww4(y$O
z>wL?s^BbCzALa<Y?5@s<c{%HW-IC)!S(7><Ccj>}(D>-hn&b}o^OMi3Iq=D`+~}0=
zG-NswQ-2|VV}nuhqU)167J0_!%+%QQ@@d0|grqNr)-skRGi>l*@}T?1><<kSZ21@7
zXX0LRKa+dm-I#M5E>4+}&Ya9Jm3zqpCg}(L;XFx;KRlDrZkYE$CaI#~?Xid%{mw=<
zQ}!*tlV;PT*2oyMp5Oh`(z{<%gjn2Pebi~NHe2xTWMoX`+eSO*q?eP$eoEe7`p{GG
zi<Hp5We<NOEqS<3=ZC>2%X^DfI>i1jG_uS&*_752{n9?>qY%H&jnH$iUpzc<yk%}v
zd(71rQD+zA9cNqkB7KEBGgDGZQs3+wb`l21Z^*oeQn(W1d?UoVQzEYM0Egv`gc~s_
zyOMa@UPdcBD<_{{WB1XzseL2Uyib;mCZ8BB6c-kDI!<Kb@DN~1>U6v1+>y`t!sQ2J
z((>0<m6}bR^%ab#lzD!to#;PdG3yI^(x*g;IELPaHJj%!TXgK$=ph>WYSxV<&!;`S
z-<WUO$Jp@wv=Y||Mupy0@6{w~1r1jThe$nfzj4f!?cY(WrsId1f9z;ujG6m8b)#n=
z^TyXVcd^+t*vl<6>u$Qd{PkO-k25FfWC*isrMdic{V2G@?%}iUhs}#}{6Ey&Em*&8
z?UHw#G0Z=7ljbkTW9-XW^5N|aLE%8(^N}X$3n$bp`B3{XspetdWCKr*K%F_lpO2pm
z>flJ7rV)GN)3SF=Nta_8;+MUR4{MM<vT!M5uios&Wd~y!mNiaZ`ewOxe#7Is3Hm8=
zw>$06&i(Ou+S1qWQlz%`1o`qTPoF8A$h<k|<FeOZc$2D=HqC3UZ0=XnU_Y6#MR8qo
zYoCU=&hpp)Jta?T9B`Rqbw^D^@p;Gbi{kR1Z1yzXk63$uS+LpmWY)F!f9foKGhOzi
zl^pX*rP-U#Eq%RID^%vB*hHQ4OJB!NvPhEHY!|<&`;NLrZ2yjDQu}n4z5ecP9qqL-
zWR~3IX-nVkE3u9L*?7QagZrm|i0y|>V)v@$#wd2N#>nby`ui$IQfK+=r83jKI(g<T
zf4#58qM9Y?#I~AKou3jEm%K7Lp^_B+<%LCC_p(<9J|188iaGhe(?=#nrU$bscSzJs
zsJYi&tFz=)d=1kIjwiy*89QPhvd%C&e^DlVX3o*2uP$wjIkDq}mG|Zh%MGEGJ-Lgl
zQnFf?zuviNPSg>}xs5^7mb{ugE9r#)(VYer4HF(SE_wAcoMmP=r*6`cS4$toOmOw*
ziu7x^tEj(;EA>R-iGnE?G}Q}c%|3W&$?N4_Jhr0gm314}6{J*1HRK(iVKjT!`HMWZ
zPnW-Xd4=ccq?w;3+?KprnjF*lmX$FkdjjVsq4l$7s4*Npz4Y~Tk=a_Om%LgjHv7}k
zS20yQ{*fHMYbI`6`f7^sY!&X>ZifCvGnB+jMCVs7f8!@}S2Vv=WPat+SE~e)s#e~P
z@m}`o{`Q43Zx-C}h?*I*Stn`9tJpcbT@_1j%(ZmwY)XC<zxYObWrC2(uSy3V{pB|%
z#(cPtJgMhH=h7SUk{wJB>o+dE61(VT(yNAvKX1Ojl7l+`{{UtFf5*p7J|FHrJi#Wt
z^o_InhyOqR*Zg>)^O8Gh=P9=3H(g&y?NL~Aqh!+MXDdAuZ_atdwe<CJ=VXSPKc_8u
z)6IY5Kr-Wk*jB%Q?h2V@H#*&zq;)p_KlY+w;SKJ}pX>q?)D(+8fBLzZW66z&(o1f%
zPGiyhwETwAaY;t^7jqBZbo-EW{eihtTgH*N#Wzl9FeNX)(apuIvfG=#tKxLh;)U;0
zIA_1yq`0hrDSydLmG<P-KYF`2Tow^KarMEf<|7818WsvYIQMJy!TAqfEwr@_V_i^J
zq1~}*;|_U_Ux#&L%<NtSg)uhh{%H)n`Jj(yj`m-}2i8shI<76f@vh!6!HO|yzJ%Wz
z=H)lGZnU{Ed+Cjx?>8jXth77EJ^5|_im9^JOK$8xQ1)i&jW0hJJbuVHVZ!;<CSA*K
zOg}f{TjsxI_RE@mr`WNDb?jNQVr}G#c@sO-TJ9a6(K2D?>4YD@j<1{V_jzi!%*hq|
z=BS*z*E8u;!$OJ1_T*ccE2jH<c80Ary|?U!-*O(u?&+QGz8liydhgCZcxN5M{1RTr
z$R#&4B{>}zC`HZTvtHE_xAev?oe#gV{-3B{P_pUQjb%52U-HC$T5==krRe-cb42Hx
zEx2@Lw#e*@bH!#)UvgvaB_7+SOKvQ^Bzre?$&IC7L}$CLtd1y(TYlqR?T^)B7xs2v
z2vKT!v;0QLdYPELOKyBwYU2B8sqv-CCD(sWGM%;I!sLHHJHDFgFaOszDP{r_YlX>@
zm)84M%y*oowRlqP@oiK3KfY{VIrXZqfwfrA+4`-%*LG?3%>1@lNH&gj$&Fjvg#2$V
zzwz_BSpC^G^QMGfT&vT2^FrVgj_{QqkFV44-X&hYcFB#JOkJB)xz%$P?^$}|N_s={
z@%ew}EV(gvSBait@D{O!u3N<xey>|{eV<D79)B5C@x>D9rh4)_V)f)pcIwN2j#;Gf
zY~##16VtbBH_dObV~N$eG%e{f`+mtK$qb*?GKsFKHDb?R_VM_xCC|(BEjK=YS+TIp
zJ!A8=h7A^s7tWi_Sn2bVaoysVCl;^qTl#AI5<SuE<uCJ>y;;4fVT~GR=}nEQC08EC
z9KEq;!JD5em;^N@U-=WWWMRaF)f)5GT@^ikVkJ|DvNV7FvNx{#c=N^gpOh?NNM7q4
z_9=MFP93G4Q`=8%N;=7zX%TaBQ}yzfm5uw7uP%Pc8&h7r(k!fDNzOu7x0^A+;RTFI
zy^~Upoov~`GW)`h%}ZWivwRSFe#y(T;s=?#@2d!JINg)W!uv#I)3P^Lm}40(B>#U@
z%JPM6`MUi4hPHE$oEvUe^thjVaOuOU1y>#~zH+qRb^fd%+l@Qso$Zi%^s#vI`N+n@
zwuQ~MQ*U^#N$Pr=n6%_Z%AZAdDi>cVJn%1xgG*zUhVQw_Z5`rASmr8Riis2`J;4|g
zet====6ioqH^iPedHJQ4#KHAqdzOCC-f`#+<BkJ2Rz&>SVdWs4bcH9bN8p0cvWwgI
zbgcWiIOSvWO!c;xomY)*jxsGhyL_4|U*k3V4$h<t6525hQ$#m8alD@+b1eCV-G-~5
z_AoLh>Bn4<OJ1RCzgqdtq^@Nfm}mGO)4Y*w`Cw=F+V-w5=YJTSWbRwA<@ut6{7E96
z+y?|aKM2P6{F%IPmwo)Qn{i9u9T6zze6a4s#b1jS&zcb+fB51*>BUvjNhj2kUNi}H
zUOOh-^>SWkx4HJpFuSgwsVwo!pH^EpG@VE?P*CqR@7}2If8x-;jSX{N96xd9#B`=T
zFODb1Y+dQv-+AKxn?~n@j4{hz9uwTFki=-+VRCO}D#t{IZCo+gUG`xeCMq|#UzE;X
z$s0CNcF(ehZ<d`&KgM&*VaY@9w@cqx&TmZCkb1N9LCq(o!+kcJmc2~1U-IDn(x)%q
zEO~ro6W5KE{l}#iKJRK4_vQ3G^gv2EX3=B*q$C-QYkw9$Ir`$(N5%<{*_dPGJ_;nA
zTcfZ+dPV9n&I68JKlp?@vL{W6$rTY;_9=A|mx8u*<eiH%PwU^%S?hY5FLCKZZ&k}^
z<%R2+*zKofO+I5>q_9zE<Aan$t-9rpQuUWynA&*p?86BWUHyr%%O5{qe2#7Un<bA;
z&d=pnUGiw^?PXU^g)Mn>YuT0aPuCf$%RQg)x%#9)*-FWrmN`F{KJC23_IuNh?<Y-u
zEPTp;d9Jv0!_~80FS~@p*DQJDbA>hXcNh1cS!Nfn#_s#KCOV4i!6wO-J(7_#nKm!I
z^(lJ6@{K*3krPY)EV+3ldR5$Hme7f=y|-AO-dcL=R3_`xtUJ7_yYBL+{#mvqa^jyA
z_gH<`F1<B}wfEbyo58uO+s^OH>gHK^;+6yZ(wlx|%ad~^J}z5&<J1g3HSYuY%dY-g
zc=yfHn@d>T*Dk*~XU19i?4|c_F1_i=>iv7^4b78GliB)bCOW_1n_9NuiwUdq%iQT(
zzV&Zhc2g)eh%tger1Hxv*3c=h^)8)pQsL3%)2^SH^W_bzYuNIeetg=uLFPOMnUl+}
zz4WHKFR%7TUhPj-X2(*V_bgD?UV4)~nPKBi3*#kDH<#Y<Gn>JmD&{t`ec@+237v^{
z{|*HHS+90urt6xeH&bRP2J`+XTYmH043p(N7f*bX+k4<}AJb$J-c{ePvh+{+!R9R5
zv1$3u;DfOYn;Sga|Hmxtmgiq6{;N_g_k`%?Wj8<1-=He%zlP=9Oxxu*{Y;ZS<)?j{
zIQ_=*_j(_L8h9uCQU2mr8TD_9+q7LC*<Wm?dQ7xn7G`4oGjq~M#tUMPmfUa+X8I)I
zKgs*Y1cg6KYbPAZc(vr``}gxBmi*MO-neGplApW!B9@(<U$gY*)lVx|FS&RrY}tct
zi+}yxsgSeu*X@JspO-!;Hd|(8^kP>0!yTI#9GCvu7_;QU<|V)0*Y330#FBrLS1slF
zrpFs(mplmaT*&?N-nBiyYL@&~ci0fexBOzxlKPFpi@r`gJ)w6;oVfPR9c??ZtQP(1
zeX``@+}b(c9(;?r9kbxq<OIFN7sSKj7fjLFYxHFAhSN)aSLd?aU3h)+D(zXyD!065
z8Cvgg|Go5`<xjr!<$t&Eq+e|GXNi{lSeEG7kTi43Vr88jx%SJR{9gQj3(G>!3x5{u
zjhMx$vnTWS(nl|Amj2V{>J;+myt||0T}n(qM%B*U#lJesE+o|~dFYujMW9*k!ovBB
zdTx9_zTkLQ<_ewz)^D{J9Ilx&<;Kq?zr8Xp_3#}CSaME2`@*`uP6pFU_gcjsu;<Kp
zE_B1_@hR;_&hDfeG4@LrnG15wHenKF^owZ_zY$Y5`?d;`eogDA!v}URc`p?Egi$v}
zbHU^0*#FK9JPnaC|6*hp<Q?7jLN3YUSWJC!78~mxl|umzvHuGgZ0c4_&soS(w(vy7
z=LHEr3TON{$QZM6!@Q;E=RQl`klot3Yq$BQcMO|W3Nqv*ImZ64^ILL`<M!^mNhS6t
z=P@LuB>#7a3HlQwz4Gsk2M!f_3*IVUF8Xf0^qkm&3$quzI@v6H<4DY-8<ibLt3=hA
z^q)xFSajZ%Q8|JoX32${OaI*pTXw-_t-06=)lC92VGE9ToZ4fw<j?+!NgI4O-oJK2
z(rK+|_{{~W9~b02Te!sc#FeleA1Y=%kUzrt^wbH%A2+HRJCm-Rc-`^-;<Kep6&+6m
zOiNF=bZlUlBe24`T}{BXV&)Bx2bM9{CUpAlbT(W6{-&h%I*v_CE^JnCw3)w_MMpsY
zW{g9mfa%1B&nH)@3##XzUvh6@Y0i4ar*oIxT&W@-GkfB$m7Jpb2Ryzl&|I^my(Q+_
zg=NhQE0b;|%Wo7glelrRkmJXB2kn?sNv;esIgU>b>@i!z;c;r(6su{=e}7wHo4$m*
zUHU_yfnd@`UzdX&vc3ZIk`zU5A9Qj(q_OA2f~XDYH>aMIX<PEQ$bR$e2YgHZER}q9
zL%4duGbZ^7vH#POIysJ3u|;p-I=^Al{3XBivnRga^kL4-&W?{0IUXMOSD0frv%~J{
z1G(xIGY(bRGbT-V+@ADx!CU(SvHweA88&uE&Sy}Xb72c3tHp~8J09`8*!*FGGA~n%
z^}@MIH?6-)OzL2cNoSD$v%uMQjcL-nO&_M-IP$EaPI%H>m+A}RYnV6OJ+M7V=0FoS
zhy4QA35+p@O9YnxS^Iav!5hne+ienN*!56KV&)P%k=uLkC9P~y`Jy1n)XDO{%{ON0
zTYLREpC&OL7jU2YYwn7qzonK=jR8M3Iyy~y9`Y@Fy}xFn#3TmUE6hv2uD5y6U3V~W
z&!Qh4lKQPnZMOboTk@rWF)1}^(n=|N`-9*9Eh~I;^Rj>y$LgCYF*`->Eq}N6q>V<{
z(sxoqf*MJJ8sC}uS2!`>{3NvO!>T_E&RO(u{FGR=lDqLrEW^vwXP3P<n^nIe?a65Y
z_XX#VKT_sDb}Pwe-m*8>!WNvXnXWWZfFo_;c1016JnJRJ+n2xEyJ_jmxhptDKWcIG
zuS?%}>EYfVOJ5vHnzh2q{S?E!Ke`K6-<;{bd4r&`gq<Dhs&C!%E0;fAzjnc?h^1?O
zzghk|cHfexr*7)bTlOq>&!yM}r*EwE*)#iZ#R{H}FMUo*m~VQWKJV}I2+z$2{;VmQ
zyZqHw?dYY??tZUfS^hL~>5G;3mOlM{cmB!v=2(X9pDI*tDZg;MzvQX7e^|`o7ZI*Y
z;(svy@%p&r$=s96jXwz0oLc^5JA-r0k|*<Tuzp_t;xO}#|I#e^4YEZ~4JHbmdnohI
z`;^_{C-x6Hk97&Xs#3afM*EMqeC!j3GcOF5KH0rz*^4*J9>4y!<b{#O{8bDKAG5EE
zox{-es=RT#;G2ZxKmYT7=1<(rad^=S*<&qTsjGdR#aVRXHZb%wm23=>k7GHo+vcUq
z8m<Me5@y9Le$mSG;jz5gy;V|evJXB6zc|{sI7a`B*2BL`?)=_euf3AtTAS&c#@mOJ
zm)tGizUZfTeN%SW;-5VSeV07mzxIGa<&qySYnI<Bzjwp6X6c=CH`IR=bno!1S$;=s
z=059Xf8H;<v-|VXACni|KEL<}cWm_yziTUm-!%M7Iw9VlwDiu>JqMyc@-6%I>0U?d
zn?=8Ve9iti>Br;04sZ9ISX8;-dGWK#u*N+;XMaa8y}in4hN8{MCAZF&EDTm+e^PlO
zXW{J6D~&4`-a5j-w_(9eWd@rME0X^QF27;(&wuIl;~SS;Pg=b9d`GCA+?1|qH<sP_
z*10MD#S)q8*BR%3n9w5jH|6s7j(P3h*NM-MI6SBI-e2ARrMI3(ZdqN`*cEeig{Qpz
z$^U!wf2_E<^yUrj3Cs@}59svsGflp6VuiuO|0~qnIUX3u)^Y6kV-bIQ4d=xwR?e7H
zHZg)z4(mK!A-HYHb?zjU>JDC;{|kaPyogb3T6*m5gQ+(cT>6ldvsO4|`JK15%P+mX
zsdE0o%pVIcS!}y$C%@oQQqq-MP5v*$HtdV(WSjR$SN!LNRS)Eola_sX|M#y+?vgL-
zQ<x&}P0v5Q<owg+pU*DLXHHT{dh|qKr&QO%qYoIA1djf=nWTDS>c$fvlU9TtUGQZd
z=gJ#Nzm|UPu$p}`RKEV^lYh&;d|Ps5&(bgZb(VFfFS-5l^YZWIk;^WgTKfHd<?<_5
z)l0wXa?JkSI{nR(%P;@M1<by-<V{qDvDnRj_bx1a-4Y?G8MEZ|UhxQ%u<S*z%Vre)
znOpIrW!aI)<k$}#^6d2sUtPOl*uWCw5VPrnl4!+~m?Ja#n^j`?0-i(!OfcwW+i=U4
zd#B*G>ZPw9#%$`aOirC)dvwXG`~N!L|GA_$;Sht>%ZK*bKkCFLDF3^@;Mbp7jSpfj
zcDQYRc`dCe!B+o*-MlG9xyxTn=iv7UO8y<GD|UI)&zzY{U%%d$yJ6oa!NXfNL~ImT
z^UtFsZ0WO2%iomM{d13Nek``-YX8Q=|2*cNTl&MV?vMK~5k76H+5LxSrI}VL9{Znr
za_Q^%du#N!E&OpvW>@>8gT9|<mpz~L^ZcyOv;O4H{k-(`bgtb~cy)J19bNh+{^xq{
z%_}t@{qsn5<<(VSo-8)oxl%xOX7!vcQ~$ZoKXr1RyEntqZwubo95^pGBWq7m`0_Wk
zc1y%kMYb+~yLMZ{<}Mb`H!FQUs&>c!oN(dIvVTwic`lzSn)}Cd`mZI6b!9x){P&94
z6Z6MZM)xhRLB|eR?VXZ#r%Z10ELf?Ts5iau;}Tu-813K9|CT<es$cf$_p%4s`O7{}
zUvh4J&WU4oOV8aDsaWzUJAc`e+@-Iq<CmP$^>pf9z;sA!G86X>7I#MBjwB<eE*6br
zH-wfv{M@KJ@4_APk4%O#3x9KJJh~Bc&3ft6TMN#sZOn<&nf33)2PV_SJLY=^MKCVi
zae5uoy=zOKSK0qf+q(3{-uugb-Tt=hg`eUbC4(RR%<Qw5Jo?BjdVA^5pG%*;)?E;_
zY3G5Z+cJ44eo|woU7aGR&d|O=deIKC`75lJyfD4VJo)3wi3|%rT(^&8P@d4~%fNka
z(d#EFUl#8C{4aac@|XM9Ea>|AlRt&Ib)$XzX~P3=7K)t|kY0M--A-eU*}^aV3upXJ
z5{=YcGU4M9hS|o4ygx85nC3Q1z&9n9fw?o%d>iZ4pKJaVf4R5hy&v=X8!`SXY$h{q
ze{6Dm731?AyN=KNl6*t^^LpmoE;;#|Z2F7BKmJvHwy<LJ8pVgPj9n}2BP|au|E%nM
zRU&`MXI1V)`9HSakczqZdr#-jLtmGCk!F0~SagzO&Vn!VIS%|;@THSu#UCsE6Y3nB
zR#x^ce0~0gY+A$aqZc10|FCf7c;R+xt;uG&l}oGtwxoW(dVbkOv6%b=T$=>6yNvoQ
zd?Ma2m|U@Yq4UQsqb`nF8w8R%?#ivyKR9QTfbqoOM<1{Ao=W;~BI!uXqEF9md_2E~
zgD+{1Nb-hdAKy*9$G7P9ghi2y{vVEMS#c|ELeKGzt<@ixV&rywJFIrcQ+W668_jEk
zmv=Ir&^s@%zvHb^tN-H9rrm2rl@_jfXdL-4{qx5=&n~t#-bk|V{`GxA%mv}_)n-Q*
zf0@rBc!HHha)w0xCZ_PE-=2T0JGxf!Qsmq<KaxA<v~#Xt60c!QTJTP8wUSuONjX7D
z2{C~y#WznpUH1L+fr;s#n*49p>`D6B$?>9lZj#y86{^w;b>DxBS^n+bI`yzWNfZAj
z{g`=nX?E8E9nn3EF)JlbGPEt|Q@YsnM<k~4-$$JX{5KcIf1ao?Z>`Sz&o7KBx_6vi
z5qT_jt#(_|rj?em`u}C>R*2-R(LS0q(Js$!rMCS`(=}Q%Hb<^l?3i?1VUgU&yl*=i
zwtPIgcGEiH`D!*si^3ScEc(-_psv`_zc5~qLxC~rpu&&YEA)*HtXXpDVAAcF6|d44
zo<BRo%<j#i3F&ET#h=fc_rHB_`tcQ$d+YwTZ+)JBQaagb(bcm*bZ1Nbt9jCJTJYn+
ziCjmrANWmMYjPlFUrg!2_49iR<WEE=t#0xC+0ixe-}fgkf3FmG*W6>a&b0gE8Hs5}
z7=>BZOP)TE%rGhG^MgrJtksVa-mo;rrO!|n{qQaJzx~3S4kuzh2%Jq}lqj9aCjXD+
z$JIAK+i$KhQCe!yv4<&Ug2Ump6C(6=m=-J&TWKOzxj^yT%pX@yc*SI_m+-s2<oV|H
z5}zv%{+`UZdaapjw$!yH@1JO;txTV+7`WEbJo3NJTJv>J<RVunpZ(k3z2J*!|EskL
z5BB_Quh@{c=HR}MtJexAuXr@s(qiE!`~C&{h2H+q{=<E9#j@_7&l7}WcI{!__`l=w
z5i6;1<|PLwYew8){?Ykyg^QYlUiV7#32VN;`RhC9Z|8J>ho%&*%tIY>&o7<P{bIMf
zhL4hl)pQNJ>P26|PBf`#c#8N{ueh;+Ddzuz%gPRW_1B4b8Xhg+x}lr&Ltt{y?lso#
z3s~J6%5%>B>-?~JjZMf>p0`agpJFHReqHn1<H6<R1@F(UeAxH5L-zDq%i3#mV<#ld
z_LL2g+w(#GB*&s9%JKRfjQ7`?-?#g)ENou$`8R9%{^oBKKR#on_O<n0d)D!lF6CeT
zX|hWClBsKtM15!7a<u!?iOCsNe_Q76bI~%Ib0jmY+i&Bcj1y8@|F?LTpX7M^@<RXA
zfcQV_+HINjH!QrjWOkMH-<Ip@!RszcY@THo?aIV``O~aV8=a3a{%iFLT`fEH^UtiL
zA6f1ldfzT9ylJzzbZ-scsvw(0S4P3faTB{XwppB?G%MCBmWfkEvA^oQ_1~8N+)3-0
zl1|RJKQUm_xgR}iEH@pqnAcF=RdwOb28J8!_=PJ@#Xe!!z2e=@gx2{Mhi`0K%eS&6
zGbri*rBvTWp-qB5|5~n<HPjtU`g7sUvxYkH^&K)5YxxpoZy2<nxM3u|$?=Za-{$XA
zCF|DQ@cO{Mz^rdA-_&yp#I%leO3w_dI@T%vw>fI+?%hW+JUIU}-~PLb$9ByczB#<M
zcG>@%r-!_o!tdE+`Q}ga-Q_&7r~WrbO?U2c=_;QoXM4J<cMZSS(-{osH{R@j8ryTg
z$l+Sf4ev<~cMg`WPn`dKJ#Vqt$ywDqc6#xBP5AQf&%d<%bDkU9c;_)F{`vdwZrxhm
zRcqF4{qeJP^LoC|PiIU&yJ&vhryz^jZ8zt1q;PouYhHWma#M5C9FfdDf169Sbe6B4
z`77d2^Xp*I<bVIBiO4gTMvA5WS?|qZyQZd*T`l5V{-L@576wn2W4;*hMV|TE|K@Xh
z6Z*~E-~3%|TE3Qjp$z+FKHKO&&A**vDmhfc7M|Mtzxn&q3GL@+HqU=p{luf}fAib>
zYySFu``c{wy3^LOg)6hr&1L^3v4SvJapRiFPbU>ropNqbzQ3nY?Z%&$)hQaUZ|W;B
z#&qsl{fR%~%d*e+VkMhOA|E@f;eYw5QNDZW=j&?j8a(q~$}Rr<_eS-}LO~5V#&0pp
zKi__FDDq_K!`QXF?K4=l_pIiX=$igbZBxUOTeq5){#nBtlAsy;zq)IYz4A=U55>t{
zbJy^xAD)z}zC-?F-jdI^RWD6l-!#LsW1aT>KP*c>m)-u+z41@$_0tcZFZrDB?{P!+
zlcVz*zT|~kvXM-D4SYu**37R8ezWZJ^98!5*P<qzQ@Itxth#&oXWx??l3|U!i63sh
z-29;7=Xzd6ts5Q84OU5hvFHAGynkt;)VTinN4qtaoU#AIGCK|>E?&vk&U8ZF_TQfe
zKUIR5ZX8*^@bO6|-IqJkR!GZF+M@YOh;=2e?eE1_kqbWa*C!ugSSKLOwcx0Jfp$!P
z*VMX&pOhIN3Dw0P*zu;pMww%;rNXjhOZWX-Z<k*`DSgfQMW5Z(1R~dbStuN_^z+-Q
z4Ka1e|CfG_iraWQ<;AsSpSNz_(ROI%0>9bW^=p=YE{mM}W}?#MJ^kXZ8*biQlstKb
zSlf>O&)VBx9qnSTSn_%8o+Z9hJ*(d={TyC7M>&$U``ny8%RXnv+x@cYW14V~Wo7Qw
zITJb?TmPR}ut9G<-~Gr5uN0Xg68ATRNdCC=^GENE<cXT~49rhrUoHQ<*XpEz#T)OA
zm)2RlPfm67J?XKWwN|ix8spgpk;K^zVq4BIF8%ynPnW%am+$-bt8bQl-s)8$@P_eZ
zzeGcN_8bGtAJ^48?|RPBzrcNO>F3v1d29pwd2I6=Htkt9dmEqittFqUm-1LolTm9~
zTsm*!WKPpco}J4-zn#Pxd-9BI8237Utx5@*rJvVpE$(Q(cmM6Olh?!6@Oz2q-&~_$
z*tz!G8bxjUb-Ytw>_6V4nBH-H#muV*_bmVXeJQVF_l)I_=U(M;{KVzF^mEkwN8DHb
zpA^ct^~jj#{qoPTuX$rPt>F*)B{KiU+^G|8H}BDpn`C~FF=?%LovQHsm4=FMW50;c
zzPI%A?#rUHpDz8pb>GuZmpT_rRFKm8@a9P2)-Iok44MaQMXOKzwELm;zu{)Z($DL6
zhw5;Lt><5tYCdae*3)h4`7WuNzJI*Jul4%5MZ3FK#67;e<n!Kjm&EIR*V)ba!}o`=
zWXk$9m;a3OdzZax5IMUh?$jrxT}wYlg}-t!%$c#}NmES4lFxhJT@zoJyX5o!`mLf1
zCA{CP+q7jp-(0<u+KLj-_lnNdm9Mj3!xR50Lts5e+-Zg73sSpRG~cbzU-a|%8jia6
z|LT|eX&4%<XuJ_5q+!+i=!B()+ruB<rvE(o#4~v<SL<TwJ38wuEuTE^Utu{x*!ZI4
z9_Qa{Ek5!j|MOYNW`2H+)pY*!O>5Y0dn-;*newEUo%?~`(e;Ya(QEZywI?&2J2`Rl
z?T1MlSK0nwe$#il#`L&OHJgQBuPu4&$$7V|qq})kO!YMN?|as+X^%O-h_7Y^<Azv<
zjs<lFoUaT%ossLjpu`oyy~1#k_5;SUnL3j>e|4@At^QfPr@Z3=2T#SNq@+;c=$M=D
z6&RC3Sj1ni2|Xzgzi^M9SjUfp3=2QTq`YAKDD{BrIR6R({Xa|_EYlw{CfrWie#QS!
z=laOC%+{4FF8<g!Sz!Iz71zJ5SDPZg_Qr)7Ui~v>c-{TM*zr4pscUs}%(A2fI$z(c
z5mb`iT;K5M57Uf}HvX730<n47%l<^Jk&Kin%3)tGxpjtSBIBA_{t_$yEqpWQW#`22
zr4G|$oMKM$e3F>Bv4fBCP0W?`k`X^POqRI5O6KV2a8J%wj{35-Qm5um($jl$`uD<@
z73&l>t!JL6;``?2jt`8BuRpu_ZX!cs#|hDtm_Jf|A3}9j@N81lpYi4K#Om`Muky~l
zc)H44ezs0bPRsSWh3`Ku{TnW}cKT1v{I0(nALJj7o$$=cY~{N53;uX2?zo^`w!q`#
zl0UaMEwJ7&y_=!ogx3Ps6}#>27QB8xODkfH*vg!B`q68|e(qh%QX<xvtiMiTtHz2o
zYj12`_IK{uH4=N)s=j}->`ys&L^ESjnc`~EJ<I-Fd&D*W&B8ynhD@B1AAZ&?`*VAp
z@wPRh)4#7_4m!yfyyQ>S)v|TW+cs{DSpFwpe=YO#iI0wN^om#``tsAVxA(3s`IEhR
z`MbMyYb5ft*RlUzBavypmOXu)^8PhzM6cAX<NVa|y?;u3_?tz4)7NmVpCl&!qEXa(
ztyt=^&wKq2FWlC%@6D3W;q#Y061V?ozUIz)-jK4jV&B&Cd^#<|HYH&Cvd@42ZHW0f
zrQqhr$c@WB-|OPlc)V=Q{5?ya|5*0v?Ne|4wF0`Ymw%2u#X5N{uU{tXWc#%|KbIcA
zxp1rWOZ(M%pPG91B&V<CUH<k%{Rtl3j|*q5<(>Lx!6gmWnX6v&=<Z$1ld|ZxtD(gy
z8Kd<K4B+$sLHqp~7`PZ1{{Lp_WPqL@$a}8xLwz92#B0kwN3%}6xt3S+EwA=k9(C)b
zZ%=b-ujlnkov`odf&*G%Juemi3Fca_;k~<m$GP(j>pSwBW0!xvyX%SM($>WJe`an-
zUdy-q+|>SQyS|&>ED4-4ah6p{uFb)<ZVN;b64!7q`?4)4EoaJ<xCQ4nHyk^m{cqOi
zy12i+tFlh7agNVjFZg`>lKzS{A2zLXzA}Fu=Um-&&U@FgPXD&XdC!vL)1Ty|Zfq0v
zU*o)g$(^}x*Kp>n6Hnj2{LR+&u1hB`II)Ifs?E~fg~EpnrY+gCX2&`89Ss{j>~1W1
zd;9cE^9k$h+D=z~*%VkB!8qej&jF?dkJ^QIe6*cEqk4wso&_1pUAF10amiouJ=Ok%
zoZUs0-JK>UPP49kYOPc-kA-2s^%|b&bskmvYk5^!a|M|yf1GKYev)PP&J$~VKE<u!
z%kp05smiso`Cv9<(u$d-E{h8NDi-W{e8cHS<3i<!RZQut7cBjMboslylZ%%B;F-_y
zRpUL2e%Xw*?5Af2q^)t&6lBQeTkzcD=YrQu-konScz!bW&kDX99ffCC$#kt?|6#ZM
zU2gJ=sY@45WQ<<J@s}%^v3kMTO{-iMzn>-K$s)+i81rZMhB(Q`T<d4;JIU~IWyzx%
zYsKH5IWu#<eE!D=*CyPYD3Hu#`)5gA^1`>`W{e9?Ut_%CqVPSYki)?4<^kW`%ihoB
z2&w4Ul-hogBW}%t_vs5Y6MdJyH~q;`_*3$6N@u)$g?&u$hB^G3V*Y7w$V~jv=_wl)
zvx<MuhPcC=E39WPdiQ_Lf^Q$y7p{0TBfU8+<|xyqAL^@kBDODl&zp31P0WuQ-Vs~N
zj;_?7wbpLy+a>R(Z%V3JTl!gg2P4PBwKrm{cd@LAStD@b@!=KQs^3gnaZ&x@*~gn#
zZ&FH5Ix)pxrY3S-z_}G-&u?BxtXaHYRC48qN7pNo&c&?UsKA&s?LubRnUz8+C+6%j
zinx+=VX4&dnQN0z?mv;l)!iNI*0DqNkMN4kho7xDVE2Uo@~drb6K{&G)7!dd$;WSp
zc4j8oE%{jebgkLTz5gbk_-4LfRhHfSC)XS&{QbCS9#?my!rLX4e^v_LSZSWXn6&81
z-Ux}EA-=0h_RRUgdf;@D%Nh~y)OF_B6D8NJKj8I;P5$+QKOY!>u_o`h_GW>NyPw9Y
zu!WaBZfk6k;8I%k=EH%C6ANR~57e!(y!N!i;P@J&sC$d=d|YSveA89Y)ywau9G&4R
zA<4q5p?M-kKapK9>Glj>`TR~(CP7=qn4Xv<Hl3ep2bLWDxA}DRUyp}U4Wi;Yf=Me5
z<Rq=ODf{PsZ;epa{e5dJ@^*L3`?27OztsP2Yb>TINLqC4IS}@2{ZjLr3K{DyKRwi%
zxWcgAVr$Mit0u*_$qda4_LWC)toU{QWXj1;&sY4auUl{a>BqX{H5QrKD{3~xG8|uH
zv4Qcx)kia2Hm|VkT4S*^ficEyt@-T*sr7Y#HrJIg|Jl5%f_X)KVZ((ahZf-#8Or<u
zS^9r=pS;nw-uV0T6{6?Y7;j(lsrJt*qly(>o0oj@7TZu@{c}y(j7c9i%wRZ|<Q^K9
zAlxC#B-OwW8X6kP%EG`fI{!a9|35naKRW+^cj4&#|LFYx==}fa{Qv0ufAZ-3|LFYx
z==}fa{Qr{C`Tx=R|IzvX(fR+;`Tx=R|IzvX(fR+;`Tx=R|IzvX(fR+;`Tx=Re^TcE
z89?*@9A6k17??r#2Zpi4FT11lMW*dF+w89_?%U=lJa>D=EEwEy_+wDp;kV3dShJ>w
zvx;zBV>#j_qM#GGo-O7QyObBdTwt3QyJJY(deK#>73FK|y*CBR%~YDbA(Dw(g>mg(
z|F5C_S-gk0Zrv=hCu=PamzeVQhKn9jQ|vb6&nZ~?g{93~bcxT~EFRU&<vaP0++}?~
ziB+FtQ&>X~TZ31rD{J{$(U36xi`ucFTUm8jT&}54t`e1)YqRvtA1`&e*DQs8ZbnO|
zzQ4j79mV>|hUc}eR0yxCm9ErQ-cT0zYdoq|e65!Jw$nK`xoI)=URoqtc!f77N@aye
z`Bs%1mqPN^@;|@IT5?K6dCnzGp5(Q43)#b#oO#SYEtFL-Xx@RaywxJxuCbom6ujq2
z#2mS&TV&ofWQcoOUswBP@$8fJ%V`oReXe2R4O%)DbNP6G^M34js>B|-mS+~P=OxzE
zOm^$7yf0*|qI8*-n@m|MTkOL*DXf-Fx#Y|YFE%x{h3jqkucyrMG}w{2%ZjhK)c^1<
z*8VGOJyG_r*tV?~m-u3%t|iKRx>a!{tA0p|L6o|QirZPnrJJHRs{QKg2v$B4q`Bfq
zxMnJI+-fU#)@5t?MZf5XRI%DkU2~qNeHzmv;jk%kf0t~CW3~Q$$3tcDhOD{UdtNMC
zRi~>{#oMyfHdTf(ihJ)W7S^dEGrqm?($P`RFnr0z#FI6JO~FUYYAyRt9)YVoGJ5+g
zX9hfI4c{Vf!WwYRM9-^sIcw+AR!J}RwX0csO4a0+_0(O~&yM2xD`LErr8%g_U^)Nv
zt?Wl9*-X|ucO}$IbdfHT*HYiNygt|Xf>yK2eq|}|+s~j@^uc2qGwYI=z2<MjSZ8Uo
zYu@#F8^xn5E4G$beW?bM=z}F(i^5}ydtYSoT%F3BBc@-nBxbez<*V~JSF!YmxUgRm
zQVZjY5~&s0^K)H5<y4j!)=5#U@v7Vt*QT7-m%1dkFI&7~Eq@$OLA>9qDE2+_S+C`4
zwu)Q!K7aXz?RpeXG#mHwPz&u^mS!*81MxmDMc!^@f1rOfO#P46lI*>5*)f~+){66}
zre95aa*OT65t~nY*t+;TgYAwk%3E=CN#6JEP1{AMMll`P72>j#^}fr^V7`q(iL>?9
zvbH@qDZ9y2an1L#zRig@mY=irY!u*XX^@;$yxKc9_KzR`{K|P3qC~Q{iq~EfXNnTv
ztUBju|Hk!O*iA)T%(i~8T6#K{CvMWzE1^}Y2Je>oYKbyWZC%F09wx38B+Pd0Y_?49
zPNA85dAwW&z1dTFFRbN#VYF9jDof$6CH3z`!u{`ME{(Yp8WUv2ws~&Ky=#|sr{*lN
zof##nzMAK|>~mMPiKnW{m|1%ar$#fZ_wxPLGecc!*3=wr*_vBRtW?zhtBPM|tn!rp
z!ph2;q$w`tW^m}bc7PXOnta?O-5$PV<v(wxJekT`b#4CDm2YgeusgB_N1Y2^$y%k;
zU%6COB1}{{o7W+gPpMt&=~uOOE4}imDz8|5r<u+AV!Lvym=k|l)ZdBoMKZ7Pnq=u^
zr1JdzCl}Nrv)1o<2}{OH70ZzJ?kMr4;u4x>p;OOii+qdH@m*>+^E%7aRebfm-w!H(
z=y;~|=h^(G>DSCoW{ZDX%6{a0Ubw9JYq3<-AeV62r7|`3&DX{EN6G#Yi^<fpp317T
zl;Qan{{JrWb$j__*gK~&%?@X>TFSmQoI8j`fAzBd>)|CYRc>4rU!<jCsVja}wx+bD
z>6FN~y$T^w{A+mbY-L|n)c0;b&&@EFp6Lq5LUfX%*kgDKUbB1+UNiS4+qRN$FIR>j
zuOt710zxPK?XJ$~I2M$2?5Sw9%;jv^`!B;|L>JE8CoRZvh4)gH%EP(;BN%I^<omFv
zZqYXt4VZFvL;us=S6EY{SZZ@WuGI|-Q(vUz!l=q}H@k1@o|P(QpZ2~iU!`+vt2o2!
zb(42pZYjHV_WDY;RP#wY-?gsK`L}x&Pt#Tr9+mKGs>#u3XaD>nn!n5E&y||6mm>M$
ztk1Gp#aEg*u<C5(Hy1UCV$ajm<=ZRU6FhqgYa5$R91r7G7UgSfGsOD8PYPWevm`0?
zil2GAH*=H9mbYgng|&%Bsr_dTi()y;nz7b~!)sxA6iWb?N|s)sCsTGsA=`%4to^D?
zv(=JUCh(<BojO&9-FF)IUKZA@rR?WiUtea?oW$k4JeW1l>&wxlvW(NXri2FD{bYL9
zQhHHD-HI)BWp;{-*cDNUEYZfb{3&niO8Grsg@jEJ`5zkQCqDUQ>6s7_A#D+}t6^cU
zSbHW*^6_-n#j?Ki5?w9kt<N=a`r6kl`dU+4;#e0(@t-{{$oysPXBE?+u;742#~1B9
z9v(JL>3?tF+bt|LTAC#x0p6@SS7xr)DVZ{LtI*vq;l4&ri}#AW-SFLiS$Ve3<E1+9
zrzeK4(T?K(Bir;!M@ohFD#r)wwOyIK5hoX0TnJjx*WbZw@5#W#67y)yq%ijj#mlTr
ze_p8nI`P&tv*+hB!bH5h1v*tcmWq^of8$iSLm-$XQrGxtB*XG2zFTD6MBaq4W@pO_
z<i6c?^vxw!ow%)1mss7a_#Q>~mzgbBRWd!qxs;jJda9_msNnK~C>B9>?rfGds`81-
zQfuYXS=A<qsqM*%<B#&@%E>>nly#EPcBjxw!crm%>-n;-^Os#>jeo<heMYL%W8)DP
z&8sXkw0Q4tRkg{vIqkTgXhM|e*X(J(*0P(5@&}2!X0cvbJWq``<(l}(EY^8jS!T>v
zvD(TKa6HW~dY_f<(Z4KvqS()|_0NsJzlFVucS@YhkLh#Nt=6(`6BWHIKQ)W@*HqPd
zk^EaN1@|Y5&Qf<i)p6og*NHjmRrz-xE?xWF?0NetmQ!#0K4z%rd-6&bO0&zZWJxvK
zp0iZ0CY)zxUZ43|^I{gA5LuQ?7Sp|K+$@Df+jG~8I8HOT!_2frM<mwr(-P&gH}8J$
zV!uAkHkm!(3#+nMXg{xiP{g_rsZ0^AwW6FdRzbYx-bz~UW2Y-yZeeHL8oTm}$hR!k
zu%*3Q#Q3kvD@3{eev|gv_xu*o6%0mGMEU)FrtwbK4A|n6<y#iTvpbt_8AE6|1KZ<&
zvP@ynrA7=-7#KE{#H2BEH(7_>RN&b<Z^?pI8F@c0;YoR(YJVJ3mh|NDzq%@NZnfWA
z6}xQ{;#B$cn58@?h(-5WG)!`MXWh2qyo%0j4JJ=nNrs)#+k>X8WMBK5M|e8h5*0J6
zi?S<)*&4D%VyE0tC{1Ru$l5AX#(ptO_S7U*r#%x{8>WS1u!vk^RS3%V;Wcr&A>ZfS
zCADNXGi#4RbcoD){_R)g<TL9w?UlQ)6F14=e_q)n_t*S#(}Yr8YOFkU4{~~h{t9B|
zcFoOF582z^CA%z&<*}z+S=q~%J?>N41AOE{czL5_B6xi?`HwGU)nwT=<yu8d)U^`J
zm#nE<*b8~$?54&a7M*-eR_xLzcJ>S}7D4|6RaK|CIkv9#T3cD&#gn64>bJ8#j$+^c
zT6FSKNj~0R*Vvb5sxI2A<}dpBlK8GH-r|@1$G`mb)N-nEE<3Vqlft%ECQuu3<swn{
zYho|GL|nd4&tl!ZR(Ji>Z^1HKtymh?{$t?Ly4Le}39nPZw3T5Ze3P!_H1F!+2$OT(
z!Xo0r&K>2T>Lt4Q*Gwh{E1{bTT%I2m+{(V3Fv+37`}4H>VXS%H436Tdt66kbim<3n
zpCP(DE<{EnTg4+&t5ANfC*!SKb{wITybpMUb0n&8#7W0#dR$#{S<rr))}f2DE;6$!
zY+sPMPJFN2b9UKFB8Rlu?`PJO={u}6&gV(n%6EoIvxGhGiXm&27fXg7mzK@8zbY<K
zEIZk_*YopUWwkakO6ax?QZReFXQ}zjsXP&_+?Gq(XQYTMZ7~q%zshQwCHiA6`#+Xo
zuRRav@~^qZH^rOvbj;_u;*+N_#Y|_r$d<jDweu>!s#d%DOIgj_zgzfo&DTt0bNM%a
z372`RN(uY&D3%B8SJwJ?Uy}cn#Tu}a({N6ZI#-j5p!LG-uTE^=YQJ1HbBX<Oc@~wj
z{chEFZI)kRi{UTwVozmhSneipm1mccMe5uTU5hGDi<cIu`gu!vZcnk>!@t6d=ksee
z?yVwrtlUxjsxMPkEoJo*nKy|yz3iozL!Xw+yIz%_Hxz0${BCW~>iaa+<pS@oY0;df
ze=qS%o)Xucn;UvcJacYtXqL!Q%Z@z@8z=YO(cf@Q=5Lh9Tb_uOE|;%}oR5l^_dZ`$
zqNvNeV?tKuv|PVNUD;;qSGugq?XUW{x|ph%S-1Eav)`G<`e<SL7SUTeQ@ptNgYK+X
z70u?2oqIKdr|8o1M_#N+JafF68vH~j@w18E;<KM3_AKJelIFv#;vu}RtW0~CvUi4Z
zY~i*2x3Fy0u__<7r84{aGbSfYUGmzCx7eFenI+gWx>`Iyy=Bo}IT@Zi*Vr{Dv6f_5
zJxvG_E!AdOGFS8mOJS7HnWZdh*JPX}H`ZT$b3KZ^obPXbb4SnS9V&iNqFT$@!>;n^
zO^Un2cE!xWi~Yy$rE{*ZpU_IVw^!Ai{raT!Maqp9uV#5xy~^FaRAiNG<z;qdFY(%Z
zA9bF_wfe8w?`&leWYS;ac%NP5Oa8tr*6gJ$%{Kj)OxMj`Qy9d)N{jv3R?}B(tK#@`
zU%K51Vz2c&yF-jqJ%D?v`!AN5y1imWY_jLJI?mPSo7VMsS$UwXUpCMB@VTv;{NF>@
zmaY<EzRIJd#qxWrPPuram+q>yBGPla_M~lG;w`$T_>%aaAlb;Nno+EgY+;@rMLwl-
zMMST$9jeN?AQbiLYxb!f?yJu1$i1*$v}EaYJ=Wb}Jg!yiE0(gwu%Fs0J3X9xipq-h
z_qMRx@m`v;wp9F|A4^PT-j1y-3)ODuz2#ed^-mZ}#--OgMIU=|alPHC$r72lWS?%&
zS{4!6n_E~c`0r0+`W=(v#Uy^|4J-e_D!$80Sys7r7|)$Hm*wR&rVVS3US!Ky&H84E
z!Y;qnTUp%MO~cIHCKb;-K8MLJWC~*x&jO|-EuQqH?8>H#FSEY6r0Btyp7gywYS&qh
zQ_QUXJZn{XmYO@Ui*IFVV%c$Nd*sS3heCMgM3qnS<ndx(s3w=qa%d;hk~#JIYh|-|
zl)`!4vPIg~iceyd4P({xRNLh$xmL6-m_5TvG-E2OrVszB%lvv#vSK!Qt9_WSu-XMN
zecF0!sW|@?fBu(jQ<tzE_2G}^S6VHy_nNNGE%U!~nx@K%+}d@`Q(jrWEt~hqT;658
zH>23ZUvGKfY30T5%_+0<QpnD&{`^Y!mModhx;0FPX_pUYs+Q@Ui&>fqSHtx7`m?n%
zt5kdx*zXw_w`y{5dJzlXUL7L_+1YiInAL1J*k6Y6SKRV5`1)n$xra|4$Xt>0;yrfu
z=F00H5B!-o7j@gsNH=0W^hZs4<}2kx|Jg*;C!Aw``S|3D-LqAA*~5L;vX`5_SjuV0
zsvO3qxrnJ&h*js-0y9lF`K7W!Ji=SmFG+Q8$^Z45b0W`8j;BvPKl-~%<=`$Z)swr;
z+4#;J^Rbd*f3lL9^+{2b{H1IjV^#aMl2l#xvs|AuEtl~B*~%Kg8WY7jX=$qIW%j~T
zELZ0E{LN-Rx0me%|JyJY{k5!DuJ-YHvBa;v_fmIFZ^tH;iY@G?+1FkQnd{cPdHMHO
zY)M=B^;q6qV+(${_S+Y+V_LEWOVd`l^Ij55d-?6fb+$0izAG+V+f|QUvzhdoFEK^Y
z`=3jSlFX5fUh+(*MV~zRIE7Uwj^%C?`)1bowR}xqzr0Y9>=Jioe6-7neOGVtMVam>
zp7Xk_K^jdgQ&zGr_+qmvN+*Ez`0L}FOIT`Gv83Le=a$9$aH;sA9`Wm}+jgz{uti=^
z)*;HM$?dV~lE2GE8&X(rO?~lgij2gDH&c5!*o;iXf82Pd@Px0VpEYgT_PuOxm>w))
znZcsz<z~LJ_2(tt9agR1w(_v+U75zD>@v|y_C=K2Yt~?`mJe%1{aW5ena(}QXt#f}
zpk&mI&Xvrp?ia4gJPKMrPxe}7%dWjGrK}gOJz;yfw|5GUeb|m^T+`O%#Ic<C*`~2&
zTRKl#&|BG}Sl!3xjkNE-yR*K3PY}x?FV<)L{;%0Ibtd;#ecAMsCF!eSkk01QeC&5V
zOyyrWjXQ<q&?VXFn)YgQS>xHKUSqKab^E>^=TEuD8>eb<;`*6uD_K`valN1`s<Jly
zO?%@~=kD|VTl3QSR|e@h20309*|P49<Q3VAm)dTKR8+C*Om(qlpM6cn?iO3vb@fi=
zhd-5`zxb*8d@HMuyTcOM#WD*fF`ZJs5yu|s8UIc8#Zva#t=BAhR!n1R>yKG_na3uI
zb*+fedX?>Zp1}%!mi1es=SE-B*9mecX5D#O^u(RE73^xhb64ErXY6{gC~wVHRyEa2
zLHu(!buaJ9U8(zZD~qX-WXw~+<z`28`eT-|ES#49c+&av{V|KomR%ROxh31Zf5i!-
zcWb`I1|`_=>Q#v@%ACo}+ns5DUi8LEeOB=gYd+X!p8O<`{OPI7OP$ayY*~HLZ>O>^
zOP>@c;`Lg`c?+w;%;?Fp&b3U)U&(QUC1V<g+=6R1OBSU+c&TEs`9{;qI=4E3r%u=U
zte5QJH<8%NvYA~xOh$gKXwp*oSt32xas@P5s^<5^i@Q(Lin*ezJ(G3Y73<phbF*08
z*RtQT*AG!q@nU(CDx%5%tCS}zibsi+dv$I^5WmK}-UKiHlx)@$p2`(5DJ)X2S$A%g
zZ&N!nNuH1CQ%DVmm%RJA<Lj18_`<5A<x@SEU5CF_=6h4-#H{HznOWVpM){OviyxXQ
zdPU~cw2#8Pu~94m`&rte98Y<%i?igdm#MMoONgyvyS3Hy8~^z*R?TdgxS2vH<{b0m
zJ-e1=ZHP;*7VFxb95;J1F0tKu$r|%TBu$&&YN_vS*~`;dP0drh_(NB2$-AuL;l(oV
zd(B)PfiPZ~+slr4$ri3wx7;E>Pkg@FoMY43R(bKOzGl^#y7oRxa2V^VY&P-lSJw4-
z&N|jy-@iwT^~Ij8C0lu!!?J>0)@ZW)TC4V1&*FN?eogTcn(rN1W~|hY(Vd+;cUN@7
zhbz8mt3_{ZiF1<wa!r)u^^x~e`JZ%$9ACP48hdJxZ`34FDT^3Zrc11*Q9ivYdsSz*
zuVrE7kFeduaBIC{ug)Y@A+NU2+Uyrq*%XQ;UKXqt%b&`7nTOr%sOu$;FDy)1VZK{g
zC;dGi#uiY;w`{KK4&LZ%;xpxXu5M+Y<nQXmwlhm~vaX0Fm*LyghyDG^o?e#9Yaffu
z-YQ!u&J-piyjIP13;QpT$f~tz+gN&3ncnT$yOd?mwkuQF_y1q2H<jm%ib|a))8_kC
zvX`@4Vsq<E7kvM`_00-Z@6Z-AE!OzCXT{j{C!KbX+i^{dVdeSa5SCBc?8>^+zw7+d
zwb5sdoNFp6x_z3&*{L($UJ-rh#Ukz}I-Mm_Yo*yumakjf<PZLH=jF=ekDJT4hRu43
zVJ^?C5cQ2wyhqfxFXjC>cg|n-6W3&xNAcJ5Pki>r!cyaqzo0kI-Xe*;i~m}P6mI3Y
z;hSBOQ}5*($71?YC3dQMGTYN7Hb2;!FS9hfR6o{Y!+k?x&eFA$odk~Ey~f{ii7V~T
z3UAiOyl<DQoe5{nUg@Iogv;km!4($MQ=+qvl_~KyEq>Aa_3sp&q^XxwZ*pzwKVo*I
zPMt67B_jvxiA`smOHYZvU&2;;irsW6_Z*h^YdjrZ=JkJDmb01~9et?D-XFcq*^@tr
z&%b*9&JK;P2Q!#i=RDnMV|0z}+G^HKS5iOilD)are&NQKS9mgm@{3tyt=RMK_n%(N
z_U}56%zEB}FxTDQ%;LN?ZhgN@&McLgwUynMci*YjmrGc)r>fm!(KK6~7nQe(HEBCb
z-Zj5n*&=K&nKVt=r?6|bKJnvKT7QStkJWBz|6M=UNo#pELfwyF;yqG(F;nKmTKRaE
z>t}oHi<sUY(Voj19AdLNivJ^P!z-4xTUckS%P(PcaXJ2+<I!dp=Y#t;J-D*Z{rDta
z`@}Gn&vtiJ-UhM7h}LS0gw17XV9SVNjhS}Tu;Ru^zd1Yg_4i+s3H4%;bz8qy<m*)V
zDbt<3SQ=ilaZi<rR{L=8T=CR-&)3?l=+^rF;`yOdYY#*vywnoAwbXVA`={RBzuNqQ
zcz9EnI%+*tpZRpZoi6Xw1ybq<pUz@_D_i`$D>KzxV2PL2R-MQN^QOf960N><>EZH}
zoFLwgY`#kF?W`OVZ}C+yv-;}BnSS<moW*-)_1f^zH({4lrTLP#UD*+AUN^~K)O03m
zlAqD8ZGES_nXF&w+?>L`FjO-t?@kok58i0$4zZWrlWLDYVY=RPQgf|{j;O^ol^a<q
zPcj?s&5=I+H1(vZZC{_tp18eoFIZ(ucm*c0E>Ub`_qxVXbxU+w&*rs!DbrZzZ7F|!
zh4pk6YujA5--kD<OmJpqy2`R7itPkj`CK)vAd#-+{Lxc+cChUa@;A`5XWJ`wn{}R+
zE<dkP<y+pr`yB+8pT7uH`usxu?P^)=tvrpa%28ZeRsOm!xdYiUuChFuB=B-OAB)Q+
z|M@Hb{=X!ektKUG{%Uv@>$$%y&sOn7Z{^Wri3}<;u0Ey^!jqMGTzczMlL%g)qcc~r
z$86<S;xVy{zq2M&y=5h@N%)>A{PjzxuAIXDZ%x?pINs3pTVKZU&t1)1e3eB!tzGHw
z8H*qRd!MW7tP4whZbZohn-#zQ^FK18>#<9*+<vcbU-oZ(6D7OJzjSq<NQvsV)h;i#
z@UEW8IYr&6q$|#*_H<J7(mh*F$DMs<x0R*&)3jeRdQ>N=-tINB-l{6<rs3x+eNuMH
zU57b3@kMXe@9(!bbt7k)&NiR7tCx%JzpNS?q>~|X!rM8{F(pbr*e4;IHQ*)xS-+Mi
ze9>oD`?1=sWzW0Hl5yIt@968ftcAZq{4=9?983#xztws&ebQ>1nCV~58dExFMHcUc
zwJHKU+FMzZe80_#P<ngfI5X>4w#}>#QRZiM&Q%I#cXXTXZGR}6|M^<>o9u#9MP%o%
zo5HeiT1)0kChMiY?bq__u^zp~rkO2jw6-jk|7XqegedkrrVK05<+<!_Pn@o?%bsG_
zZz){Kq8Vg&u1e+VT9zNI!O^VNR<!|Z<qhY|yv(<0rH;-Pc74{JQB&7%dda!A!-JVy
z_`H>>v?uGW{0r<$R`WM~eP0(O8!z)XQ(t7Ot{T&-GwoT+{_uWb-LS0pQYnx8r4Th4
zkt|kjU4A7-)6Z*!Ys?qrC<QAV2vRFM(0cTmPuD4ynyDJ)UhMkn>!R3q^MCNn>0^1i
znpJtK2nS12oTzVgi^{e$H7ixOOyx-q;|}_A%2UaszSE2S-<0p_9to<V)~u$BC!Ciz
zc`EJJ@WAGwSd^?ktB&pq22bz9{!ubhERR1@<*zsUzLFu2MJ-JBQIK{pJFAwA@LKVs
zY}Z3ry{y)%uH`S2ExWWn{T7eL#j_c#`*qm`L)M<0Rw<r#|GtxXR>6|x%&hKB*F=^_
zv2&~1tySUJBKA-8dK7EST9x3b?AEz`emq6m;_kPnTdrkU6~*4LnpgWOU+Bq<Dcfo<
zo#L6kW%JscQ<vDLX3ICF@_%d#isIe9maQaQrv7z}>{qt<OH!}5Y|iFCxK?Jd$W^Zj
z5v%7H=7t;9MqXn7>c!9QvS6;<q&S%k+5EPlEX`hQo720Nir9NK#04=jsd!qsF5Anx
zq2}2Z{v)i9qr`8lX0`sxqWSDh_D}ImGhCck9-MUT%0c(_lUO@AZ?jCf#<n2S?&4PV
zr&F)_v07NM)b3?ZwG*H8E0fu)XF^e2LeFQ-xcqOoZ)Vy@YB!v}Ws|p8a-~Sd7M8DE
zjAvRFYqP7BifoROabtB{D;Bf)jKxY8>$yw*vxkMbq+7ZAtYuZ6Zhna+;AxxPW!A5o
zBxWu7JyktnnrxYAToB7$ZNJ;~J#XgnI7C(V1gTj1_geABtyPg@4U5ke$mIXEme;C8
zC7e}x<(#g`9N$~S!dUlf^K{SE72!P?#V6byZX~XB#-p8?b;{Pgd^vHfO}b3t(Q_nU
z>a_ly+V+yCdh*VF>^eq0JWEA1GYrHv*P08loKw-uHol?z&zLKD>K;jxv}^3#QT%#*
z4(c|1TUkwcpXbb*sCuk-^PS7O7MDbHs@Udjl`UhLS2V@Md*^+YovT^wf>_>XvXpFP
z_2n&&V%@M><?0pQzAV;~t-NdY{dDEIzm<LMHJ4edT?(g)91e9^w?$r4);fyiy?5L)
zUe&d-QrGx%z1RgqyynV22n+FAt|GjZXF9w1HQry|s*?3Hl(gf&2U%2oubrXGYm+Rp
zRwa$~;I%32uSLVQE_d*^yvAOewr;vA|5A;Bmu?z5%DwaU&pCYhv)~I~(JkWKDwFHB
z>c;Rt392i+IiF2)Evpy%(Mzo5adVigbVUyxU%i%HTzp}uT>T_bX^!<GJYK3-Q<sMN
z$!mBsIo7z(WjT?xm+vFX+Mx5DFZoQCa%Zt*`>Xjy$y=*rF6Eg!&Dl{}Q$=MCS7qqi
z1DCi~hVEY}YI9XpGKxQ)$MAhiU8P<6r9{DM*+W&zhxXPgvo>9u^VU<%JFaMD$=+*Q
zvb99Esmg0JHZ0{AtylZrR;O@7VaJ{BozJD#s~q#=zCBkrPyWuO^5?I4c3qb-StasA
zRXI~g>ZP=s>X|1(c5_eqvAAc7Tv=*ctIJ_Kr97M8aPCuKwv0F)jcj(!m0Dpk=37`z
zWoKPt-@J+?>4_cxx((A<eS7#{hOlJlvNiNS7Ga;^#Zp)v<X0iitf_NiDeF8I@vZ#D
zT+?gT8!crwo#M;Lb2DqL$W<2ocyVK;^GnjTq&{6^X8p>$N4@uwiDH!hI#xkVnWpXY
zCbJ*E#*(pK_U6;go7?7H3hC5V5y~=P&AZ93wY1H9*@H{kUNUYgRk}i0eZ6>{g}t&^
zS@)_PW3din(OmXUb8XL*rK&t(Y<E|M`l>Lk<<Krw@r&Y@kquoc+j?1)(`|kyf6(n-
zwk_!iBIo^h7R==-2s*#&CF}KTVnU~xWY^hjVX@O%${WPn5ys-KtGjS2zah`cYdl-^
Wx!9M+`p5<_Ffgz&K<4`yKmY*S-pX+R

diff --git a/posit_adder_verilog/wlftr8iryc b/posit_adder_verilog/wlftr8iryc
deleted file mode 100644
index 984755caa48b3ca01eca83fbddeaf098cc030250..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 237568
zcmWeAV_3sr#K6G7%)r3F!20zeh+=~A85kIp85kHqe2};l1H*|mtcHdLCWbs-j==%0
zLBYEIzOH^CIT%iEKXaGO$iT=z&ybaY`F{r^Gl*nhPyi_aTLNN?;?WQo4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu;sDu%%S{|wU@7{C}b%g^p2&A`kcz{2jLz{t<YATo)OnTeZG(Sebj
zfq_-g#er3kiAA-Ak<ponja~6Y0*j&mGY7k3gDZRLe>Mg|X2uz;6Brqo3>dW-^gI@@
z8ZnkRG2}3avq&&8v4PeWC^DX6V`4P)Wc6WPz^WO=mcr1g!piE(X#0wt-F5{Bd$lts
z7r%qb0mdnu4IBBAWEvP&ty;B;shEl$9yMw-1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E1VPY8hK
z`oX^W|DWkO0|N+yclk3i*fOw|6=&vVGuSeM4g|1eV$krgit$NIic!eTPf5*TkYI>U
zEKZFn&&*>mHcHINPtA>CWYW<~tw^<HU}DuR$S*3fWnkj4vNE)?FlMl{v}NMgEiPe5
z$uBKQ%gjmT$V<#+P|<Z}u+k4LPAw|dX8@lkpzm0~P~ehSlBn;K&ybv$qaTu*Tfm?n
z7VIAyl3mPTP@I-#%gn*Zq|ea7z`(7{$jIjGVWscM;OF8Q=IIjZ$l#+85ajRW>dX+L
zACO<1S)$KWz{nb(n3BSfS`=TFT9lc?lAq2l9B+}-kXaI6lB8G0=stl%m`#UOf+L<u
zf`M(932TyLd45qgtDh>Tb0_0A#$8OT94@Q^3Je#N7!}x=6a`oqI3*YaSQ#0Z8JRQl
z3_V$N85NkhTm)I=SQ+HD7_rN7%3ol#=95?F5ud<ZT3W&s&BtCfiIXpkVFBarC9M0|
z{!Ww=VK~Sr!on=U(!j|e&R&$7o|&84$@-JsP?h102Q$M5PH7bu7H%g077liXwecw|
zMpyWDD)XlZ)H1}UR+JPaCYLOUFJr7_+3q=;Cxex3f|fWN^D>@>4kor6t67&uF}@UH
z+{bu`fr)|fC39*;fgsNTW<H5Eo(zJFcNw3$a5BhpFfc0#O0h9!Du}b>CgyR^aA9Cz
zH(twPEXd2i%_GRb&&?prHIZ>HC$F5aP6(fzyEc!UtbicHJbngdzL!k5_<3CfwS*ar
zT!a{y1$lU<OX_rpGZ>38m~)779_Hj=;uq9OOe&6FE0QkvZXOrA+Y*N9BKn?x7_(WJ
z9o@t^g!Mh*{a7UVJ_<=m@qUyMWN?>eV3wN5z%I$C$-X{_|L9tl_4D0X*6Rr>?vZEM
zEGNjYU6%1Crve8{N^z0&p09e&47@JELGeYI4e1#r@qY2a4B;X?;f$QBA9!3C!aBqm
zlXwD{RFfw#nlbdKYPe|fDKYS~C^F6A<m3#KX<!Hq4GjgS{83^w1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz5lx2!Q7Gk>>vyq!}2%7$nB%!q33Quz-cjiHV){KMO+=qdauZly%7ad(;D?Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?oI(IJ&kw@G$xowx84ZEa5Eu=C(GVC7fzc2c4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fsqyh
z%nS?+pfdo#{{H`0{=xtM|Nk>EfY1MDlL3n|Ffi~jGBEuAAHkl%2*bJ<A}|?x(2Dm!
zE>mOyk+O_2tTBu+TrrF>zhb_`FvT!}A#)643=5dW7z1K|i(!oU9K#qR1r_@Vr9pZb
z!-P9z89|2r{|_?s|9@Gg49*P3491L4U{VrHGG_dQ(yyR2a|UAu3lbZwC!B$C?FNW(
z3=AOS7#L)kVz|k6o4NqhFh*IXB<2{7r0+2jNsKXXl7y1jlNgg2!{7>-WSNo}V;Gaf
zVm?3we=smGFv~I}aUi%XvP>}?F+XF(W8hk!f$nyYWlCa)sQSskz`!QU6vGZP8e|GP
zsyv4*Qw%dGe3O1AF(!eW^Eyc=i38O+oU%+wY!EYlF)%Q2$uh;T!OR3%!i_4=1CmF$
z3S=uUstP`k3S<-bQRM|>L9q{x(HH@ky5|fG41%&uNvsf;{AOTa5RzqzVTH+qoF<Ga
zF9MQBxCG=@QB)OTAQi|ah@;9&faF0b45kL;8%b0(QXn-jd5|llQRQVo@~EzmMO7gO
zQh{uOJgU3`NFL;h7{;WZpmYHVf)@-742rT$Njwnl7X}6fC6LA#XaWEUD5J`&fJ{na
zjDbccNQEk@3N>^S)KTR%Kqi2E69bJ1kQth&YP8VJ&_<Ql0hxj73SCqcdgvzTqskkA
zOaO&Q5@QSt!uy7(s*KQ0F-Da)0hxm84pUSWX6PoEqsm)=Oh69}OH?&h=w?`>%G-d<
zKy`&JstP-F6YNpt9b}neBtfAO^DIU@<}H{7Dm6twEJ)~p3R*{0T}}{FlSCjY9xyO4
zIHRg?fw?S31eQAQGcYi?qN;MkG{+rPg$KwSNGQdKfM|$~AUAoUs`SD%%NtdN56CP~
zXra2v7gd!XraAtoDgr>}fWikg1qGt23WAseaW5#P2cyb|fJ_0o2B9JpRYe%O3E`;n
z5g-#lVS=2WB2m>up_>tnDjx$f1JxC=s4C*nO^8R8PXL(!3Jp+goAfnF9K8-m1Q`JF
zB&g&~0;z|k2~e4rj4Gc3k_Y(;q6Jj)rlP7y1F1kZAstmd10)Y}5ljuJ<jq7?lLb-(
zlLxsX8&y6BB#-KfTvQc#AQi|a<fF<LfNG*7NXrA%9zm&%3Q=pVBJ^6V7*)OmB#&?n
z$Umj1D#}1AV6Fj$SvjhF1-P~bwSqwPfMn7?Na%v<flAbRy$ZeFuSS)x0r?B$7Es+E
zBLHGS%mTF`YEfGnb)c3;($6GuhzgKx^&ss@j4@&`$AT*723aO>s|-{IF~+=#`5z+(
zia&@hP+o6@=t^RY5d}3cKm`@3$pJG1q@)Sn@_{yoKn6F1^u>tByoad-1ziisRS>g5
z+*XiAaHEMeNdQd0g-C*eu?-X&2yQzlEMlM*fHZfY%6Ec-If*5SG3IBCWX$Urwiw13
zo}_mW13<~F3lvWXZZ{~FVCI4B>p_+81<8Zl1knPru@6;6KS%|#2@_D|CxUzz!w7Oy
z5@Ql5y52y{0_DX?pfrr&P6nl5m{}m#PC=EQ3X(^-2ISsps4Av|R3Mu$166(|NFE%6
zNMSe&Rn2UW8e}u(pvun$$)mbr9;%A@AQi|aEI^fC2$Bc60vu1`F`r?n15`{el4XiP
zY8EX9X@#|mLGnvb<(GoYieZGcazQGVp{iJpZo&#w`IR6OK>mTXPeEp^LRGUG-HbJ;
z@@qk6pt@oms*3gKCTu{J-v}}R6eggw0!b1ecWgpcwHabc3{u6v1y#jXkU1ciB#FRc
z095gBLshjM)0`csDt3a*frLtu2#AKb36#@!p{m@CY1ST86?;KuVY+D_s;d2%<{Us(
zaS)W3lEguwl*E|y3QDtp+y_fRAom?Y)pZzRF2wzyFg=1Qe-!3Ago<ORDvqO@Z~|5S
zB*+9%7$K*iQ>bcAqnmLCRsJl<3{V&$TyYLn#d&lSE}+U^1epK|6Vw!R302i)bW^UN
z%3lSUg6fWIs4A|bn{WeF{wBx-^w7A4s^&Jj8Fx_S?}E%gb;UhY75C9icz`PZ5M%-<
zG(e5Sn6IE34-&GVO7Ia#GlUB&h985}!g33!+<k&7{}dz-@)1M}sN8*qs^U3F1+ob*
zP~~5O<Uy{1sR7j_uTa&z2C0F`gIw_jRsJnV9@Q1^P*uDKsX#X21FHN-Q2vQw0l5QG
z7eV|3s;EDq)>@y@Yqc+^@?Syn2)BTI^9@zScaRF0TR<W916BSfxMjcyYM_DK6C(g|
z3n+Geq1NfY(d+y_sPcb7+$2U&GY8=!P-EyHYCGdUh#T`0H6=hshZw=VFs7tmNlZy!
zV!p%(C;f=|5+f7yC*}vlS?@q&bh1oIvPlp{FCb$;F>fKAH;5uo*@HCxgWf=*-zX5o
zIiR2%9sfbJk3orabo>Vt9?1RG(eWST&=@S^Kad;@YOG)%`9a9z8uvk{z&_@KkjFjZ
zgHVHgtOp^FYorIE0{bWrLVk3N2YKw5&=?OS+(0G#=;#h;qylxWVRUo{b95((G3Hr}
zbPS06pCkuva{Yld&mJ-`Ff__CC4na9o`Iy2q(JSQnEx^FVM;)i=jaFzqavs!J37L{
zFgn7+z`#JA5grE6N&e9N{|^}c|7Vc-|Ns9J==?vU8<fEcVt`f(fEl1!cUJh)0MHct
zC>{-g(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7|;;lgii5;9r6E<JZ$ctje!CC?hnY~FVNyZ
zTzfwdDzNYSK*;0X^?^`>eZL1n9@lOUgbM6CJrMH5?ejpWAKl@RgtT>fbcaU_%jgad
zMbJ)`(H$NfkR2W|9H3>PkP-v5F9WjAgGrVt=6@3CoD$IPj{h-CNk3zLC-H)oJR+1L
z&iIf?VvKo_BnFXs3@WB&nUZ9Z{v^GOVT@smkwCQqyuSl|(+A2~A4zPeHnD<swm?h=
ztt%w!#1Dve&`RLZy&j;w4Uq5vEms}g>j4T6<lPOUdp(dtV|1?v1JdapkbDDbRF3ZT
zKu#qCf1U><W<VwU=w1&{jXS#6gHaKb8gQTF0om)3^e2Wj=2^`D7#Y|m4%j{qNzgvo
z7|2c!$t1=krkJ0jJ3SZ}7)H<XU|?VvJ<9{Kiyrwz5$f#p7#+<)KD7f<t$`XGqoX;a
zqd5$t=XEeJFpQqp!GS)if;hE93UY=Pq})J^=`e%GbOd7F$H>I|k70sHgIYQS#&JNW
zv`WRiK_9RIkK&*^VssQ|^u*TD6I(%t^&m|<jGowvd}1r4+yOObMo;WOKG%2jRMr?4
z(5bAD^a1L(kB;Juj^ZFv0|V0jf6#&c$n*bLM|c{;{1jvv6+x>7Mi1l|J&;3|5j^ob
zdLReY4&)derWqZkK{|kV^jKBo<CsT>X-4QU4frT^=%L}D!58r1s_26!1P5zC11bMw
z1Y&;0e1#0x#DFeEff@@svlU~|CW#q!%?#{-RjC;0IqIOL9^gS6NSg}81=%74Ui|P2
zOumcx86yvBbV8JXoDW`llO&B0fG)lP`3NEiIyIY^D|aBOK@I!S1vsG4hJ*(w8b%l3
zfWiZLF~R5p9OTd#U4S#X00-p?tU-FA4x~f_mGGlOH=tT{bO8?j1vp7k-~~7`F^n<4
zW0+%}$NZ0xg`^?Sv=rzn9ck#|8|fs*q~9_Bk{Dy&!Pe-2D$dbyozZcf(QzF}mI2ME
zj4r=HUW_!lnTmmdVf4Bj<WZT?>v9+v7)I80Iq0`MV!t#8w2%c-j)I0Wz!&GlAPssT
zuFjDLEeTFyLLF-XkMTgXgPJ8sqdO2m&`wpz#wu3uA{_ph50FtEh%~6RgLOOyA`Nm8
zv7<R0F+V|v*C#Q8E|*4V2an>QU%>+#$&rGn1C928$8nM*VV8t~Y{q(hGDHWcr9V2B
z0~))4ga;_@N5^tN;ekAQF*=rm92%ozIpmGyfbM+<Z7c;%=QA)WFd*;$AH7ru`AQtx
zUz`jn?Lejf=s3^lI1eH<U|ojO0J<+{bexBQfnoH@WQNfzlNlHo2KSZ8kn9W^O&UEk
zM-dbZqt_xMkD!cRi_E~lFnTTW;JX$XG|mGlyFjBB;87mXfCoYddOdOs(r^cOoCkSv
z3zl&ngaO2l@_<HG{>ShqF_OF43Suc}JORs!9rzdzMAaiu*9de#4yt!SZeUMh1PyG#
zrpyo{JV--ZSjTrz19NnY2Q;Pu32jiEjE?bu!UK6!V|0uMIW$Jcc*q;$86C$N9mipX
z6tkc+`m$u1Vpw5Q5x*E17_vbJP9WrSK=PoH2qFYJ87>!9MIJ~6vI+U9@&&R?ApJ4S
z;87gL(e*l`>vb3y7`kMcV%Q*Q3Y6-)Wto!LU`;4cA{!mY86C#~4GfHq<0L^#Yfxy6
z9)8Sl5>&f@*9Rbl%PCnV<nfc!;3|n7QoMk|?F_2?=z1OG&>CH@Gve0kfDR;vHQ7J|
z7Lbt~qyY=)I8GAAI1b9P6||8YNPYtil@LFQ!%p;C9f;|$gO8yH=0F5N;{_y);XpKj
zS{X>=HxNP4uoifI4rrVQ)*b<c5Y`bK^k4*!;lPHvKt^$aS~*FKNs<uLA22X5aD!Sp
z5H6_U!vj(QY9B*{KtAC`Rlx^R0gEh<qxn(g1wifV7*=rWS|Euri5;dE<N!fXX$dhJ
z)Y=yU7mUyyZXoN0QRPwg|2v?}|1*ey^dejea)~IaZZVJwnC&3b#Zl!YK=L4W!qk9#
zJ37t-3RC2fjnQ!)<j@!$=NTR68QqtKI!2R@TCQebD_1j7)r^kws0+wKMqVmG^Ei+?
z5mbp)$uh;j>r7CMRE;WM1Fm1e$Bo4>#t48)Ylvph4)I!9rX&ss7o@dLmMMlK>1Pts
zkvgO6bw<Z|K#2$1=mMpZS)lw1Ni?8F+30$m(e*l@?hMj+#^^ZD=r|9`h}KO|ZH_+b
zbqjS=>o%xZNkSU+x`Qe|I?gjp#(83ph7*2(Mh9TKs6ajbpYY}i_^g^3ff&vh#+ZMQ
z>IO6b0Uqmt4N`!HHeh2t60mML$Qbad9f&4SBMEJ!hy1-+pm7xLm@hH>F^oySk{DyY
z#DK1_VvP9~!vnei3t}T^)jP&sEr=9N#(yA2gQ{lu*bhVq6cQYeYqnxu$FRhJSNbG9
zNMZz?%oFoEMh2n`6pFaUeqy9x!3t6Z9{qs@KFD<V_)n4q#4J!0Q9c5MY$u6hK%?V4
z49c=7Jx&#H!O940$ATslR8du^fr@A3bp`6E@){r$Ks^WKk&V%D9^}v%9p@Px=RwJJ
zqv!81jE?g#l!4keu-XjN5-CTW{iu*-ieZMVElT<sBbfwk=zv-fgKC^d8a(guD~2)V
z73c&W(AIXCuR!gBMp-7%j_?>p=vh3HpcdBXMOccUS)|d6utqP!VqjPcZelSapFJ~r
z5)X1{jGn|ZdJ+%iBR;H%{r?H5^ZyJC4^YRGMo(X+%jwH8NCN}kK&>I<(Vp+1)=&&%
zk`(e<6@p_zA~CEn|C6A%Q-PWvkTD^YwLQ>LA;_QvXlR7+h!8|AXjFsv^*@~8^*?+u
z9|@k-12LUG<3A9)KtnO0@hWD}$WPMGB*rAr9qiAO#9~-LL$MG=px^_K{lrMcFva|b
z2!g@@w)O|QgbE}C9{q`tMsD~a#($uLdLVV+@gLaQE08NlTmuAg#B&A)hS9N~B+!}O
zVvrC4^$HYGM`o13%?hMJ^U<*%<Z&!x)X*{kjbkODjD(q@sxU(jPjggx3y=xuBVnUs
zKcizmqhmj#YkW#Utr<vB4eIz0-?1O0rq}4m57Nq*K2ZA>kpM<Venv-rMn`^7d)<4%
z4J?##u+fp9(UBj@M}9^}cNpG7@)n{3qVg5!uw!vRUHY$}whm|*0k)b4)bR(8?<7eh
z59UBecwkyVs=(tr$csqO*Zhbiu_j?z^8+1W0NGCR=nf=IKw60(+X0P${Ey*FVkF`u
zW{COp7~O%`1e!|3HMWB?i~}CqL5&iSzu1x(V<b@re88hS$k75H+lhgXtbs<0Afr3b
z&32&pgpTeY?-Ikm#|z?x(eWMdoa*TK4roD=0c2bSJhC!+ExLm&6J!Ht%(KyJ(UGnX
z4ZxmCMz2L5y%rsOar5X|Jq!$_@8QC-wg*x}gDUsY@tx7}9Y|^b?e&@q>S2Id{SYBg
zD|8;HOonhlDhBxObfo$J2K4#=WvC+&%RvrD-fyx3RemMRugE9ZjGpI%92%qhyhitV
zjqdXTl@qWb574~N3sAv=C=p+R<UtE&VQN6DQC^{{c@0tnlLwhG@b_=Q#&tkrCSO4F
z8p!K*;G;iDk}y|;h6cdnKgjB!qd%~<AfN^Xc=QK#5ec^OALvRHknP~rJ&^DORX8M$
z{XjI5cB&8eAY9c0@dqdXaE<#w8!Dhc29Nq6M+9`t2X&zpc*F;N-4A@!2R5DqG7miF
zgBoeDQ6HrBLEupzSX_f*4()6oh!;l3eMZN9z=v6kj{7j!qpmI*9ruxC1mCCOj~Xtc
z<3349qhJZ3wgadPiD4Wa_Zc1ck!4f_wJ51D?gOcxK^6Dts1LL;1q#{GRXw2I$zUG!
z86DX{-bu9$bsTFusJcKN=h}fPzY`X_qa!;gV;Q3-H6x$0JbHo;0|Ud59NB>_y#dwh
zpFyj3Vi;jUpsqc5YzK9L2z+!0HU<Pz2Oir&ULFD++d(}b20XfhtO|2%2RgkEat{4R
zc0i*W|6};T>kQrxqCH!XrWel9og@~}03t*YXrK);z5^Y40fi)Vd<QvV!J|8<5exD?
z8`$p>sB3?~<2%UF1|8i&ja<n14${gWwDBESB?EHM=+3Rtom=3p{OHOa<i7jp2+!yU
z4+BFSsL74IPca^KylZrXhk=}1xImX&BKH3;K$-uSWdt9_QUsdGf^A?1Rky{U77?Uk
z1<kvafaF0nBt!_*{T~^-x*-02$iTobI`%U<_5(=`p!Gb1e#Ot|*w5(L&k@uSt)t-T
z6=jdvF;o@D(Z|G2pvsSq{fv(NFeS0V*0z9J218@)2iB+rb-_P@+cHSqb?E31>LL*E
z_z&_@5%}m2@&XX>=nv`w5a{?1Y`q6)j0iINgETCGG5Q0kSe`I2FwlSOhj-|$>Pccj
zKIRBK>H`TuP>O_&`5^6!1CRM2M<#s42X&zqc)SOF<qves2RTB)BR;6njyC3lxO)VY
z0KlU@uyG_%8YFaM*RVaU8FsA-C=f=^?1_O6`hu4H7^3!djlh*P(g{YRXZC={&79D~
z#Thl+T)<5jl(URnQB}C1o8XQr?*TFa)YnBm$J!HBjTgEZqxY}Fs#s83jz$fw7*L3!
zo-Y-Ps$z8Hhhg;obw$v?6K(J1Lfg{?X*7(E?tr$vkB;s@Qp4!z4s<GF4Qk(i^rU9w
zzW?ZXJ<wD#dIvjfdLPvA89lFufmVCAVBL36&+(%yQxa&AHzR2IN0LAcV+=cNObXQ9
z29NNdF7bel@gOe*0gv#&I^`g3@DU!=gI&O5Jjm)`BRoh04B!zSNc{mCf*4feJD~B4
zA$ML6Xk`y1JV7yoeS`-h4{9_*#&?hg*x=(k$V;`rqdTa{0u(l&#t+(=Kj85l<S54+
z-9b4`2-o-yVx17k7vNjjVWxtT@h~3cfz2g@{5?9(GqB@4sPq2^Q0M=dVp!3~I!4cJ
z9zC~t^xWprbDKw3_<&lOKV#m*+LoYYPfg&aCu5QrOc1nhyBT~tt9Z<NShWXI*#f#X
z9@0huaa%zeLA!;LSd#?6^jnA|r~%Ukx~(3;Z3o>|53>NIxdT<c6LdWqsEHKwGe$Dz
zbqre!V+>EyJBR_G251*(juXM{2Ce3TnFq432UWfoBoA^EL<`8q(cN93A$~-dfI@9>
zo#`{W!e?}a&*%yt<k6Qas3VqFK{+@EWxdliR2A336H`c&tT#~QM|XG8cXt=^7!UN+
zX2hx-P*)Osax=0jEF(dPG8?od2s{=9(*ZI9IueAuoC7=(gu0vqJ{E+r+6Sf))PaYN
z1VL&|Pz^Dd$AS2V;yNEl(1OC6s4*aja!?BhF#?2i(HeLJ2str8$A1us43uQRqd(}Y
zfG|gZ7-0=6kRIG4K%m|=#91J9xW|AHgN~q7DhevQkjIL|z(o~wk`ClSagYj#!JsKC
z36MPK^b6!Bs3fWyDUceNJje`bRCyVYJi@)81TBlILJp(?*#vo1c?FO>$Q3b+kbPcB
z9LOuRMn{4Mb|eTAJ4uYlD_cfKdqzilMn`)_M|(y`dqzil1fbgiM{npFy`gLLhAz~x
zfYB8{qbq(G7;b}_(8yy>qbq)JtoVU-vq63Lx1e4kXj}%d-3HXjeFq9mWOeW{ACOu|
z-xkzqCT7$J_23rps1L0B46+J3>VsI20Wudn?t`ofG3tXfXaHXS191$f&pf2Yd_bcX
z|54}vAE3<t$8dpeL62dKfu8yk^Apy)2hD3S$}%M}$8aQlj{#kg{vru_;r1Z7&kGVC
zpbc~6jtfCd08Mp4Mum`84?#zTkQa-A$AnPV4uQfJ6fD1!7-L?5R#C(-#-N=agnLv7
z7RsQsiEm5@F&YC(u((Er5Xl;39(ZI3maIY9Z}5%{F~Y(D<h#+)q0!MHhS8INpv|n&
zv!0Q6l#QMQ1Zpe6N=r~Xgq)Lrpxyh?(|(weq>>n8p2f(<{EGq6|6^n!?E%<cFe#8Q
zcy+lHnEVy<e{>xX0|Ue8$k6D>(CEm}=*Z9)1_p-H;3^4e^~~sAu+fnr(0&5w**c)E
zCv-O$Vu1yyg9^UI3whiJX?zHE<OlZ%5p3}V$UFk$Ln1MZG0dpug2#tYSNuRnh+xZm
zK;}ZmhmZya;NwG(#sX;YU?`6c34&Jy@y2|DocNr?1Z!V{dgCK#><1E?phh+EBR`DL
z5g!rIYKj=>wQQh710M5<k%?i9`3Er<lntQg{lF3zNC-UYgGhoPt?>O|utjGeb>K0d
z7-`gjAn0l!Wb?qIKB(p)jr$}a4QLMhsvt<fykKBp7#;H&9rGC-^8wAfgIZLhV?Lu}
zK1kO}=Ybp8NNYYv$9xzVYGs*Vhsc52U!>pfH9F?Q6vGCofk924(Y;>`3=DHXISmpf
zpvBaqr#mw+FpQr6gWQ|nGjzv%Q0D)c7*OW_VJ(l*lb?}KcN*On#=yYv47E&r4qC$l
z8+8Fy9Jo(@jzQ{df^T$3ESvy!bD?8Gu;mq?PA+&vC`lT1kOn>~1Utk9q!K(LglZmi
z)ey3I;1MC@B@n3l#6)5klUQOHlaPAoB#a0_>IqN~472ed&?v?K7~Uktn2*pAAx7lg
zJ0p5T2<fmV@LC|)@FgfggH{3|j|ZU*HiAclP?Ibuq*;>~LBl%8!$jaQA>?EW9}yyM
zcUX)R>M#*_ObFRLq!FPQ3D{^K$P3^xA!L;UuvZL{uSQ3OKyf%aDl|GO1Z$g(jtY&A
z3XP5mG0^s8=+RN3(NQ7v9?s~f5W{LvClGlYWOP&rIW&gws1U4mF*@=yI`RYRibAKE
zK!<)1HSz=N0D}f)UV=(a&^p-|#v}>Y7!jyj2_F4HRtX*Z0WZIW+6Y=~0v`WCRtF#Z
zA$G?Yr1J=J40!AZbs+@C=uZrD63PL-;L#sg_ZQ@F*lHl8Za;W65X72cIQAoqwibvP
z;!{xMjO1}2NGO0Z1))(N(10RDH7Lx$V?M|U8am>Gx)2UL-h;kY2tMY6Wfc(ekPYrN
zK%fBxgj0~ld@xo4p=J~4m=E%*BHEu21aau-s1GR8Mn`={M}1)Jve8kW(NUk#QJ>LK
zANb8Cpw&)fodb<J|F3{D{|~8sLG9VmGol$77-oTnJ0M{KS_d>6)USc{XF!28IwCYW
zBE*C|Ua%XKU`9uT7#L2Wu8$hs8-|=phUuw6$SZEZtAS7#IUtP+VObG`y4D9eE`)3%
zcuWY@MEIx>mK8yeQX4b?1YZw?+*<~Z3c*HrK&mjtgpyd3{=v$6&=3%=F(HUWpdo?b
zJt71ehxi}E3+|VGfUgIF4%&eNXmnf%ln5Z>LP(ngF;)X1Co1r`5c)zl=-3c);s=im
zp(cJ%d_eAM0v%3(v~&%;Lku}-Lq~>)KNToO0u;-Tgbf<K!nGO*)kN^v5VE~AI6oTV
zrqR(MQ2dOJ4vmfujgAg6FffeX7C(AhJR==$iyvJRG`c2ebOeZjVHIlMesoO`a^HS*
z1PFRy;phlZ5_G->w3YuBYMvP$BS6Rt8ld~ZP!}|SM}d%4A&mhgNuUn-;9eVq++hZf
z0wJ3S9|J;O9s%AJhPs#mItqlmm;pQn1ln^08yEuhbuq?)KxYL(x}Bgw4#+4FQuiLZ
zG6<pqGypKXM}b7q)&(&^JPrz)(eWQp^n=HLAb|p!AwnPhVSz}2!V5h1gPfG1<38w%
z;lN`*$m-xDKd6i0z~esXtB0UtKgh`*ybBC9bKqYS1R89BI2)8faIFeLH4#4cgPbiX
zy*&)#h|$p>P=t(*{)~?PkT?2+I{)v0GXD>4y9I%}IY>u22Fs${2^IpL=46DO8v<(J
zgrcelLpLEDRXze_0yrHbHIgDx)kLA25sfMz12Q8C#TBurDn>_!Mn{H5M}`<i?~g~@
z(J&2EazI)Wpmjad!37O;DihRdn}I4n6C@AW&j8T`T6;CRHYf=ks>r?E(UBqK(Ab6A
z=N}yzg0?P3M~0A7$?zB%LSBIb-Y<r_SOGdZguJ8yJT`>B^Z{vn2+JBF<bfdED}*3L
zG-yo^d~68yxD@c{5b{C==-3eYaVg;OA!KzJV?#+SNzkKyLDqrCh9I_sDv=R3G6WiF
zKszl65*DDK866uUVr&Sy#|{);;ISdpECd}LLe4_qks<Uf1Rotj&XVA<Ayo4~@dc{D
zrBRQj29FLQCwio@A>z&mLeECH*9D<wOYrCrvdtvlE)Pj>qvJ#1el>KY5!5#~MC}zD
zfts_(yJ?J3<xN1XU(|lJDXI!HbQ8=`<t;!afL(#qyC1z-e)MK}lu@M7F(8I?P)33j
zTcD0;1|;8rat=fg)X~gDRg(o$1Dmk|wKK9&<#Ryt2opf{PA;m7(Q~9(Vi;ot5MlI;
zVe}m7PFbcH<|LLR#+aWmk}<Di*kTxCc#_^hk|8LWb;+Wv{u$jLHo8A-C8%5h&Eg~X
zXGh0?kV9j142a<*wp21Y285hShTs?w@`8k?@EDdv9-;w{10kz}jsl@CZ~%`4A*(|g
z1tRwRAV@t0>N-PL2*FxNpp_QzaUkTy2;fm5^wmGmks##d65vrF)Wr>$<3LDV_mMpc
zB#yd12of!zTU19!fIuyA{Huc?q4k)7fdM@JgPbLxqd%z2>%ikb$g1FDKS`3HY8hf4
zXweRM{0FKMb^bpAW&U3gl!KrnL#TFv$A!>W7a@%ek$IL7dIrS3ZU{9CLdS-XGpanO
z6oPme)R$6#^n+p;V;GZu#xN#{L8L}Uhd}+F(bYqvtB1&2Jp`S@8(ks9kce8|B!S8s
zSgQlnVNOPsPXWn;@*bod0@Vhos4CJxDv(VW9UWpQ1C2Vs!UPm%<+4mkNY_<WfQBt%
zn4zV-B<u<gP$^$2%LF@#1EjG^mMMlEW)f&ztr}H+^gL-;+Z_}*WSuMoN!g&ZKRP-z
zIyy8uI)uD0Y$vF!2Q|u(`~9P%L!+ZZDCbAr#Fk2i!srn4vV<q#c8oNvIsuKqz(<IX
z7bSqlhtQWVK*xxX%>$1Qp)OuP8X>~6P6$#Dfx5ErRYI_eALJPD2odr^1Nit*j3lCg
z2I@A0$B2+sLdS<t4?Y2p5Fx9=7$1T-2-LA3*`q_C@dEG}LZD!dc@H^72ogA>V??0-
z7^tC2(ijmW@Id1o&=Df!i~}AYLd`hv5hCP_2p%0m&xp`bAY}8vV?e0pfnt;u-1=q&
zWgg_)%P2iH2xJ~C+k!G9uJu8vnGrk+gq#^i$AK91QCH^}fXZiRoQ<v$a*$;LrL-8v
zm}fEKF>gWP62pi*KIVuTdQPA!8hQ1UGpf7`D0ER*Pr0J1a6>o29aY`~WCFN^L|Q%N
ziK@m6-3)J3c^{A&sIKruRpEzj!srRp$gvua8d?dU@Pws}q_0Whkb)O9&M-O-1P&Jg
zSXup?fq|hAb(E$EeH5n{RlWoy4@&WnPz4P@l%lE_9S3TXWde5}#bE7fP&hZsGQ}{)
zh{wE#1wJU~T4b4GI1nM(3epJH%9<nqrr$y&L5+<z(5M)KJGxU0v?Ln36ATnSqdUdG
z)dVAK%oVhpcp2)xrsbfb5jN5al3#%;KROOHIu69ZFnX^Taw-_e8|6{w{~J)|{~<L2
zC==gD&(#l5bM-?|xd7S|o5UEy67w~QF-9y2TIqlWULJw8Ll+!?7Zp4PsgGeqT~q)b
zDMD5SA16XzzyKaALRJYKCqgw3JW_<L3Td1Od4U4-tRYyX2^tiFjuas;C;*QWp)XK?
zj}>8AON4A5bfgH`Jn%RXB!od7_R&!yj+mcGjIaTQCkzY>42moa3=EQ^Ylx6$IQc+r
zV_3=pHAyHNAA+Pm&>S6jbO<@Kz{iHLohu{_(Fn@h(2*hZWqjb#A!PHA#)eRr@qtH%
z(AN@Cdae+9M#Z&42z4D1#^?}oA*P7h2UG$VVMwQ<D5J`&fXY17KDR2W3N>^S)KTR%
zKqi3tK`}@@e@#?1TIgnIqsr@m%s_R8E~*MWbQ4C$hmd>z_NbvXIz9wl@-sR<gq%u7
z$A=*045V5B)hGF&Vg<qlHE#+)Lla4ikhM9W{Z_C#3^Z;rIzA+Z92^a@OyJt|S<L7e
z(<r;ldO@RrpfM{*x&n=E^?}+$5H2Xy^@CKvhQvV4qY0?;6G5Y2F^r&{ZApwtpiO^o
zAeupGbP^~HBe;`6DHvuJ$nYts@>4<b2-kpY8yz14g-Oil_z<HasMov|Gc>lL_M5kZ
zk`(f2*$!0s(RD)5=Ee!sa2ef2hCF^WXx9nFaKP#{(4Y!<6bN;}0CXG(*6RoLC&8mY
z=*th_BSFXu6~LoFsOCY(fsoAuj{+et0YDlBLOsF+JPw4c5;_WmeuN2lBnVj@d=v=v
z2oqfEg&<W7s74tb1A-0`f~xZWpouE%V?dzM0F={&AVD~~N{BkEgdm{_N|4}DAP5)K
zB7%<rA!j@2`XE@#2$Zv-V?fB+4m|#Yo{f>lfRGpJfk%K)GcqW~L6dK2=MhnQdJuY6
zCcHi<i8BW2P%rFbKuIEyAOjU&qoY8`{o>KHguo5K(N#i8Jdi8`Y8H>~78~6y#yGl4
z2$CT{YsTuKgJYwkK%h3r=x#B3?iNFx|6hPI{|{<if|`K~LE{+E+5Mj};xV6Ly*f~7
zz6iBvz8HN(V+pGKQjl4rBSg?)jbT1QgxoMbgqjWxqo<Q2sPacK)5$SZ6{Bm2kjH=q
zat#rrCIb})&~-vFNF5?#Rth2aCBdUa$O{LcV?^L75$IY>&;}6jI1#cs_!trDf(7s>
z5wbey7!mSX9Pk(s>QVrtQ6emBg+R0N5MP6w0v#tpHW55VglZyuln7(35X2-<Nef*k
z1Q7sLhNB}y#ElS1kFFA;+bSVQ>I5ZM`1lY+2s8x-9vwo?ZqTtI)TMdg(II42NFzh&
zR{?@Yhmh4m$A(Z%1dj}%uOg!K{2=sIL|E1bAs1cPM~7lWV9h1aP#LcAA>^uZbe$0L
zT~ec`O*1esjP4^t9zhztag1T~JRyeBePpBSgdq31^`Nfu8C@sDz`!sE)WL?d%Ro)F
zxuE_u>T0EVs4C`zRKS`upuWcfRQb_SAO;484X9%f8$n?P8W{tvDT4$ys2jWqRn=xt
zKq9a5*@7xR+(&^#At3{5{*I0UB_SQMa0xXXTt-hPS5W1zf>Imm*y%M?6?7d1LLTM0
zhw2XKI8c%ZtULk5J>ij{7|xjgNzhBgK?5t`u^{wC1kmvy<i!Tyu^`k11n|)y<fRMX
zu^{A?JJ7Kp)I&qSqd~~3kj8>yBv6NbaIYCc?(~62gOE*xj|D+;2B_l<UM&RSg39aB
zkswA`+Ihsl!0->WI0zCt1l9_H`uyNig_0O!K8&6z#K6En+dX5DNC72TT%$n<70|ID
z<g5!G2|~@fNMk`*RtX{6FrX3wIu?X%B6uVS)kIL5U<GAd(E1<bQ;Dc`ick_)%s*Ii
z1r>GJM}m;YcyNsap^g?|9|=M>XLQdP@_M7uo5#TGjYiKDLLMy|y?vem_u0~@^Zy4>
z=KmQLLEXU7bEikoon{z4ZwNBdG`gRRVRW2`fq`KiYJYb<de41yoCtZm>i}wK9R%&d
zOcDo;n<X(Oy@Jv#NhlMgqa#H_Wuyps9Ow>eOy7l#SfP#tVIM6*Hiz(7Q4$AgPY^s-
zguF}uI!=VTOaMGmgsciaPK3NF2Ru%Mx_SpXQiQz52Ru%MzC-|NtO(1xA>=_JT&so<
z-R03yB3VX7&~VQm)N={{g1AYHNk5T?21y$wk{w+yG>Fy<A(A|Fga{%4>V1I6hmbQY
z(&!MjbA*siCW4L)p)VW+j}IZ62p=6nT{s9H8$w@EMD{sCF-XJO*hhzAL_i}{5TApF
zws4IQA&>rGA0I*;L&7~mgly92xkAXNEREhyKYBa;=<W0|tg!Y9s3aa82O1p*8XX6c
z9UTXfWmE)B_l=GNjgA9>CRL&R2++ExeW<<v{ph1&M2-VNFXsg{f=5Sz2FoZA^5_iq
zJIGMScyNsbA)AAJ90)WH2%Ag?r2)cYK`~r0|6olO(DXZaEC_W80CY46d7%J!EC_j3
z4ty*K^&B7YXb`eG=vWYFF#*h0kUPQSLCETm#)61DX$aE!12wk5D~2FkP+2=V5=66+
zAW)Bf^lTwWQUj&DLAP26;WY4A5QGcL6i6dMSk?)_N)S+a038WJ&ivp}Ams5wP!5KV
z1R*aP1djuu7ABxHgXMH0qD~WHL|%V{eIy8ZTn5)D5c+5l_K_fDldz8iq0aw5K$-tf
zVvJ!$9ZSMKN`!37==syg>yfNcPmi_%H;5RKcemN1s;~o3m>``hK6?H%ctz9b{ba~1
zn&Qw?$>{mhkemgn96;6B==swO47IXMunP=8vuJgoHPlHzk#DOTJ$VRL7K75v=*dI$
zJb7sJbRnc_essMMS?h(6CRImAis&&?ggkn419h|y=@e?zks$1&MaU-M8Y@B{3Bo>J
zglrPwv7#i77$(?}uAsglc&rF{IRJE|2zjLsc%%q*JrI1X2+O)5)Omd9Xc4k`;E^I!
z^N_}hFxCx0S~Z|9FLcciL;zG}jgAv3f;P|nmSsv}hxPbDZ5`^b7?K}dF$5jy|1}u*
zlp(SN?olF$8c^~e<xC-@6-DszA@mCg!DB?og$Q(n2zBuxczg(bMG@9Bg<we;G$@6A
zj0kmH2G=MN^2iMK5hC<)B<!O^pphVCv#^f?p}GmzND#6)qj!<P`X8X_v(d321_p-F
zyXuigdSW2s8px?67InmMbS#J=7c?9ODcnJgq&!HNK?FdR)#zBz=vYvvEK>|K=-#iG
zpD~g#uVdI^7-M*n-XRi67wQ>8qhmp%V?m>L)gzxUJgDy?OA<w1i7`4BM2)c^<Z%`3
z=M15a^WYv2LN*EeXb}2H53Ug*WRtLu2BEr%@OV%RXAH`eJa{|^c@+<IJP7rSAn=F~
zvMTs^P>dw%@DJ|QL$DMJ8ifLn2qBw?G#&)0qd?tO@M%L3E~ueHjnN>K`F~~xl=*+A
zBvx2Q6x56&bQX0IGiWC##Cf2O>nGG2^)ve2oL^Aozk=iud-_2B{f4UIJ4gl0MWA7y
zAE@#_LHB5YLO%xV5?ERR-6j7Ev}Fw9G>}F*j2D6W_26@eKsTKv{fc3X`2snODCs9?
z>mn@jK>@`m%ap_%!;$noMka|d=0y^8{o`W>1_mb3R@oTFB&itaMWvu%VMd+TV*$<U
z#r#Cxi3r*Z#|jz~LwK1D6ap|?L8h>y%5#7kNHHuiplx-GNg#(jhdBfkfSjPPhnNOR
z3tXU(hnWTnQEpUu9*{gJDj-@w_VJ>s7?SIZ5WWGAAVIjGtcZJs5iGreayfkb2+R5+
zM9K#x3F!C{@`@$!=n?8VBn4TfB$=c?F>gU>EQS$W^xThOjFE#l40InE_R%BcF&JE9
zN2sGY*hi0$&A~l#gg(ZEee?*~EL>wpsBXeOdW3Aw=w0^6tC2?65{<4UVqh2@KZ*fu
zTYz3A0;;=4$B)31Xf^QW6H5$Z4Cr!nXc#?Xpu+f(bPQ9{uNc;tXEFa{WFhqv=>FD5
zStiJ2O3X7*>k-5T(J?<`-ot86P~&0r{Gri(XQTVhAlU{qRWy43P!e=D8Wb8-+IJ=b
zDtRDb3~Gaqjv^5rMS}IQL0t`8r&Xhl$Y38yLN*8YI1>6O5%#epWV3LMBcZwp`$!V9
zIRwX%q>@0DCbYr?r5^A&66zWs=tvUsN+9q!5^~QSq!m7vgk^0Jtj`VVPU2cw1WCf6
zZYjM+kw_gWVu5)B<lT`yPNWE4S0obi8ZtTri91lsXmnHv)Hdfw9W@dFw^3Qa;~nth
z;y?psf~a%ALg;hAxNf$Ggg3}0@XciqE-33@KZyt-kF@&?A_!`x4wg|NP!9(bMoB-x
zV~3#d`Ui0(sGuGl6GFO;+yInPz+uH0gLE~6A?hj%BT(`}9!nX$*&aNWGJ3N;@@k#Y
zo9#z$wjaIOUK~=>5OK5p=$H@#!|2^*470(NE41$fnq3^dyNqEqs9A!%Cu$AqZkx4`
zFhd>%A!|$sb^c!gW&WQLG$)BX1~7WB8TxtE$o<&S@gn53g8gnY<S~`e@gmp^2WS*z
zbi7C%wj=l>c+m?BXf!s75j0W<TDJ>nRDeQiWRDjqfyaw@W7tPWjKJ5^49j&yki-sZ
z3W3ilLf%;hUqgiLv}&ZiYS0x#=%-(UR}mqb2U@2F8XsZ=t^5Lw7A3KuZji-3S`;IS
zx@;2HDk9|7IM~+^p|0t{y^09gB<w4Q(AOE^T1A9x681GjsBXfyiU`@P(J`YKarjy^
zq_H$d)UmYDF{9Bjqp!%P&?JKTGLRw<H1eJV?)o5g2S@L^AHC~d7IxSD=$O&ym=OcR
z=$H{`-ODP}F(G=58I6t$F@h(*aNJ`CsmVa`Gdd!a#DYBHgMCa0)We5_8E8=pu2CUm
zbFi-=LSG$(dmRz7S=iSQp}GmzDk5Zau&*IP?j8`ah6vOVLz<`uj|m~~3WKg7LO*#L
zyov}}9ef25aVJ?rLLb!K1n)zGa6wJ6!Mc8kISJ|HuaP|-qzoPp8eKmGxvY3}G)NIt
zh~hqv2sEVw$={%%RH}^zi9pN*tu7iJ31VOv9SIs82|`+9762O2z+7V%h&nDdx_W4I
z^$^`x524QgJD|+}gI2|sqprWL0PhlK2B+npF_KBp#wuv7PbKPp@+$QG=GCb3qr1&O
z;Q^Zg1}z@$0EHN&9tDN`=!r#Wy9#E2N>NDofchpgL8Hc?Ib!56oQ0}pbY&3(1H<Sj
zQ4%X8*?`7mcA<`$?FJ<n<aJhiQ04c6QdtZm@;WQ(>^4KVXmpflbd(5^8bIBr(NQAM
zG9XX|_zsjcAprv_@83gmB18Z*Rz<{lM5Cib3=E1a3=9k^pi!b2-Xz8tw$XJ%BWB$Y
zB(H!n4}8@SmXn8I?I+N{5p>lMmUD+t2aYgS4aKk#bFUe)Rk+59&{q~=Up0h0u#S7p
z5b8P}?5l>5O~SQe2z_M{_Ekg3CgEE%gz75nV@1ejjqWuAPq&S(8ya0VG`enxfuR7j
z2Rex{20RJ_I;jV?3E?>d14AL|cuf)L96p#ls8LmnDqjMUM?I&n6jenTNCmP9qa#9~
z1}Z45n?Vr~BOdb}7CfL)oEFe&jF5^K#BBwwWe3%rNvuf%VEQdY5)>J2s3Sh@qa#9~
z5JH5{G}Iozbo3s;=!g)*a!?Q<k6)}n9lsbo!+OY^VGT+5pfRf9JtBm>YUToJYP|@`
z;gB)iBo@>)IM~+?A)AG3<q-P1AndD$kWIq9atPH;*w+prn}loS5OVV#`^q8IP6835
zLM$;%N&g{f2-K4UuN^`^SqQp*2+IjXuqq6s6~1~1<NP6r@t_VR^z0#s0BAI3h^!of
zjs$}iKaA{kL#m(=p`_7uLnCM15G1dHGVf3t4MJL3G&&MQ{gEKl`Tqoz`F~K;64W=0
zffkyerimr$niVThg^heJ(&&x%;IS$%^l<S;4Y$!-&XC8lMsGP|$Oa`CL<yY(%X<(Z
z&|tynDb=H=RD;sN=qM5E=qM2r@~FmAP}zaHMr9dlzj}0(2symAg9=yVF|!@0p*5&)
zI)hXQpr+X9C{YaK=qM5Lz|H6=5#*KxidPOnJ3OPKL~7tsqS4caKvRC8QO=RDzYJ2t
zpo|kigg~uN+&jyV*Y*&zuME+M17$NDrwk#D{@_|KggPXTeT)cwO%d)DL&yX3*w+i8
z4$R|PF@$Um_SHh@Yl`r#7(zA;`+6Z%SK%5bLN><~bwt7p+=yg{#5AaRVU8+q0ctdj
zjs`I>xTA)P2exqWL{&378iYLB6NR4AqESO@bTnvmGze7Rf~uR*U1XqYGHG<pkSt`L
zZVIThh15}?2Fg@WQ347fh!7~njIJ31g~{k>kSyeko~@Xnu?=;!XLK|O8dS$n!{s<A
zt09k)oj{d82?|+I-b3D9cM4U_X>>Erpvs>GnE^_x$ZKZKp{h9#F$1-kk8j-&vRT+y
z4WX|H!nJY;*(B_%hEQFFd)*MSN!V8nAveu&tr|jY<YQkqglrBG<3VgO|ByRw;8jD&
zCka7U48=$xk2B#uZwOHigYUhEa6u*YP+c#?jO_2>xMGNbffaPmJOc<YH!%GF$uJ$t
zXZ!!x@hZbV)b-5&LEM<1NsO>9LZHjxA$!c!!DB@<UQrYpCfp&*B*nl0J}n&7PaQp7
z7Wv+)(KAJ2SdvC}iHz<N0d2mh0~J<DKatNGt_SVeNMei;gO%%`jT{Z5r^`Z*TL$%@
zMsFk<JzW-5v5uY_g50wiJvW4bsy#kP*8r5xu=n?ndrbIxd#F7y?EO7tvvBqG(EEhg
z`+LYH;qL9Bx(R!K57{JK{XOKq9QNKGYLAeJ{@&;=5e5bZf+vTJ&i_Nt4H=#PN8JrQ
zI{yzIvBP%H5b`k6==?u&(LFl<4;^8)0T1Oe#;_qOe_PbKUpsK}LRy7jk1FpV%M>FC
zI(;(c+2{$gqbJOQrinpK$avILk^o9);8emmIvS)18h#%=GlYR*bTlaDbqre!V+>Ey
zJ4gWxTG7}AI^PAs?FO}XU{(3(Xb?E_jGiJpdWtOQdQ51q5LDrejs{V8GzfV#1p9ap
z>X-_?5g}x=u#X3!kM-ah6GAo#`*;wlt8kA9A)ADKJP5hNfNMMmb+iZjh!C<lM2rWG
W&i})9w}YBVm^V+4sQG^e1_l7c<aMn8

diff --git a/posit_adder_verilog/wlftshqd8s b/posit_adder_verilog/wlftshqd8s
deleted file mode 100644
index 2801289362628a1ac97a4c874d7f4afc8f1ad4f2..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 49152
zcmWeAV_3sr#K6G7%)r3FAU7fH0RsaA69WSSD~Qj)z~BnygShex3=IyfhK2?vhCE)5
z!2zy8!Mgswu6`gn7*2jzc#F-*z{o()kd=Y?e+MHoh-6?;04V@l0%DBf(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!3g`hQR;-46O_dU<{h|XLpfiU}g|tVRunr<Y#0MnZ(G<#LcMa
zz{t+Pz^drtz^cf^qT0g9=*+~%uJ|H>MNxp6gI%$~l|A)88-pM-;|$gbj0{W$j9LtO
z9Sc~ESXs+dSR|O(1V9T57*DZHVq!F8^<mSz#+EXP!I9CI$-{+{gPq-0mZO%fdW}p2
z!>U!QRx!j-(SM^xjfTKz2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz%UL0&|E**d;kA4J25bTFnHHL
zBZDmiYgut-ZZ?B0BLf2in=KQAhKE&*PhwJxLT-LaY7T=0LwsU!YD{@%9)qz_VorW)
zZVV%nj$Ud-sx1Q(t8PJlQHd=B6Ni<Rp_Q2>gPDOX6Tfb82}4SLX-QgUPAW%UVlIP<
zt}}y`erR!OQL#P)_&fo9#{z}|m&B4peV=@W<is5Pkks4)2K}&L|Im;$1BT?P<ZN3e
z7F~w)(o9=s4o3Y(hJFSHZe>O`24@c|eNR6I7tb(HmrzF^28Dnie=k?(5C;8#{Nl_K
z{Q^ct*7(GflvIYI__EZZ%$$6tbavr*i=<44lK7G&y)s634-R2A9aagJcqR!3Hj}%o
zNejyJi?Uh$CUJT(=D9EyF|l$8u?jF=VEkpxc7cWE1aoO=Pzh5K+YWzDKK4l*VvOrp
zH<_@llT#95QDA2}&cM>ZDbAdkXDG;Wg^}&0pdd#a2Lm%}Cks2H9vcgP2MdEVGlRSe
zCl{C0L`Ekg9)1?5c>)Zw9sCT6d<?1`ybPK=47wfM42E0`rXHM(mW<Q9c%m4lc`y~T
zPGuKYkzh#S?BQgb%D9k0T!pEawSrx>OOi!Zh;f>OICD`lyHBtRi_a2aRyB6ttt@Js
zHXaO|j;#MU7#KJm*^3lX(=&5Zd0ja<r5XJh7$&JQgfa4Rx^{>&B#AMkd5AJ)u^3%q
z&0{f|EX6RD@r(-NH>R>q-b&^&CbmKqMsdc29t;d>3?~>>l^6@<7_KPEGTe}1xZ@<v
z^nfXqwV;Z{P)Uh{O_763Nr8h;o=Zr9H8(M@g!RTm_B3@4ebzJ;#v;ZSoSYhxJPhKQ
zOeXA^zAPqM3=S@u3@#cB9!!kl4B{*msv_--)t*eujPj!GLX3f&$p)Pal8U~142m7P
z45~T|njYGWx=hZK#5$Pl8C_Hu(?w4!SuuoKGDIp_FvOZOBr2IPq?$5hDw#0k8Z#Dp
zO3HJt;|_A-yvvl!dt(ykG3HRlG8N7%jLb^*3@hvy)+pIBY_MV2(qzrJL!2p>wL^v7
zlyO5RBQK+%3&Xt*XNE^k49_|o8D2RszGD#QtV%7)zYt%NTOj^hNRUVLw`(J(G$$vg
zOant`XlSS?I9H7lqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3^7mk<EW>m$woGe|QqfH6pn(S@IZjbQ-`
zmlG2^>wgx8Bu07Y#3t-M0J=DF)RNH<7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70RkZan&$^$
z0?J0EM?+vV1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^D~<aR@LoFff45002Aj|9`e<1_lrYpa0Lm
zBE!JK5W$`rz!<@v!NPo(QI;|0NK6+aE8|BtekD!@Sq<ZuXKbRptj3Hntbz=T=NXjw
z1sRx`8Q3KR8MuEj@ZS@N`5VK+V$B}N!2gql+vObt{~rcn6&(g~25p8g;SO1*jMEti
z^W-&*GoG=jg3QZ+nCHndk;(TrQ!q2P0Aq##Q-;t_mQ+S=2}Xv(Um1+y3=NEHH^?%@
zoaW(ww}OrJKa;wEEE7Z0;Uujjsia>FNjGBnl0L?$bHqFdV-$;d!jQxmqnX5*^iq&R
zn}bOxN$D|z=4WQvj>j>K4DuRGtO_4vzB9`&dc)xPiPhF2=3^2gyY(biY0jGru^j3w
z>@Q=K*cg1jGvq6=F}puya98@s-1?Nc)g|TwtNRlcX-$^qN$(l^*)v&et0uD4s|nXL
zyr1waM$nLDqtXZFR;6bwt?yY|JsB6WO7n1f3dFN4U(I4Woyne;+v6>_F#E|>Y>nbP
zoT{C&ogBYkOHWlu`X0l>-}IVe>hB~8Zb6R2BC_veRJdc9g@oKQ+2wgeFA8{av8cXg
zciqY-%V79|lg)b?L$2^fk9Q2^>=UOlO?DACeIw%jg@b*%F2mKOBJMnzuUuu4RrDV>
zDFi=?VUqm3L@1HRblqf*lOpl!`~|*hscd(M;YfNPqiHM{WcX13<X<mQYr~x?tlB5d
zgRj~}Ype7Mz4Tb?@j0p1Rb7bVwsZ0ePa}2*b$dx6*G}i<F<hTmVq;!SbkU13Icjpc
zfia0Q#w0XSOu&|xCFY7kKU2(qPhnld=MHx!Is9T`WvK8F_K;;zn#3rmxXqfe<FS|g
zD;rs%fRLA+0%gv2F}{i_-UeRQf}Bh*1lBQnB(Wzk=Xj^O#CS_2IozJa@GOFlCDFxY
zzB-FMCsQ+HOvlS4HU{_a480v(0jI<{WIXOMPxaDwiQ#^b<giqU!|a320hgF3T+SLS
zvYt%c4p%0zDl%PWwT<)BpTr)|*w1uPC1M@p<cSRP|8mO<Rtjjwc&j9NJWJAIsPR}b
ziDfBEC%dc)m#F15PafN#T2@<qrjuUkEb`pq9!YPR*?AVOWNnP+;hmz&*2>b!@tQ~S
zdyJ9*!)<ZV{)JxVF~40jSrWS5CvkiFh4U<CQS|1P=GZfZ%eR)zHI7Fyiq)MfRft2G
zL54Gw)q4@g91Ygo61It*Oq12vJcW36z6oSu=U|^cRiH*goqg&{4hMeCD4FajD(ur`
z3msw}B{9hqu3${!G2OLP!A&IowXjB!>RgdnfuAj^dpTkZWi>Q%K7ZBq_m*lk5%g9{
z)w}rpl8`-Xvy;;6XE7G}mlRi;M82|3oy^5)n=CeA!}E(;msr_atz4}G8C*47EhkO*
z(&6T##u1}Y5Thv+<34wW&?ljoj+SVn&r@Q4Oq=Ru$&kbm!;{3yl5}lGvQW|o#~GPA
zG547bN*+vcy&8HV=JSN+4tdR~o`Sa<IVQ~IR*AVWGiHM8Bc916_ouk5d*?mD-heyi
z%jAf27ya%eeZBkqlYz|r#4sB_g$CYZKRSP0m~qEp-)@7L&z}-}zTI(3j^X%}m%tTs
zqho&Kx0ruZ7=Eq(G{x{vZ0ZEL1za&-r&zCkw|%<4O^g`V1jEaB?Blmiv-|ze%D(fx
z*bUElU;jMZHqqhdB!>jfnA<-dZtP%aPx^Kvg!ONX8Q1Uk(;nUlTK&77Gv?cti|<$c
zuASl}%9%bf^iI<5Uv}kF?5@Uto8o9?f1~Z(1jjqc<zN54o8q`?f6WB*vU`zzF;g7g
zzWVoRisSJwQyuSD-%5JSaU-dhak8_$^_#_iuSVWU-o1Ms$K2S`KYw0*seS%t)!Scs
z*>{qsPPDE6v!pZjt7y{yWvx>t*v8(OVi#IpImPkyn#oSjdt~>o-d8lmF}(i$bjP<j
zQyjv-PoLy)e!64z*&EZG>dKaM?fzAlJ>Bttd1%}e$IU-J&$^rReN&IL_P@KypBHq?
zv%jC<So!1g`AII>aX-Iq?tYuSCaFiR{OZ+v_mjJXCpi93)Sc{j{l~s3_ET4{f6mb%
z`~AY3m?_So@jItDtp2}kic@s{30d<yTrpFeuhypDPu~A+g_PAD?kNseYv12VHr+qP
zskH3Hy2qSToOl2Fx4lEIx^DZWwQFClNt@#Q_SO1xw^HU$aVlTEcan43jEuWKIHox7
ze)X!)9Ck6r|Nks^85lsA0aOJsFvM%eFebf^VQdHk72+{Z8F(5PV>DtuF!3=YJxkI`
zVw}hz|BjKBS+U~@gMcQBd=Q&0OAMnPV~koWi((QZBO?n#>a!TOPG)ZA?8i)=93Po8
zpC&13F|>bRZJ+dnrJY@tsomo}dpo<WDwF*d^%xd=Mn{2|=S&i;nT+i$txBJm-#=k$
zRr<)<`k1A)lU<g{`2)kzj_=(1Z<r2ud}g+N%y_sV=2Oh~Bp%<6&pf<e8QDcxm^mF{
zOk$qJaInZu;EcJ!$juPdz!~!<Mwi)}V;@JQR1(84XdUFC9`k^EK3A<0hs#TbNF@%g
zO-T%;N=eKOF|6wyISOJv#xO7PNP5F@nE60U42x~dN0tRDOxCQ@f-I}mSvJ2^iD46v
zU^t}`!^WJ>vCt`r+b`xR=gvtSTpS$C(kdM0Y|@@Q#*EDZVNooZEVg@D>OIsL+nKy4
zJd0sxoXq~VMR-okGnQ5r0TK3vo~+V5I~iM5-Z64BA7070oW*v!h`sPxkGIT|*&6RF
z@o=g#{uSgAea+0B<n&!+QPOLPg#y2mB%}imb8-txoa2sJ$Sjm}m{ooYkEp<@7hEi=
zU)f!w_+*84Td@>=Wt-^DwNc#E<qeM^2ixQA60bZogjf~-yyDaJ7V%Y45seglJ0S^N
z`7jAz;V~_}r13{2{;Q{jhRXKV7!HRl4^3l##hI)0PsWQ{cN*?wm9Ac5e$_6z%9Ekp
z{vlKImn2tpPlnUlk}5B(R2|ek?Pt4o8ar#md}4`JiFxHKZgSL<n=wGhn?J}R+vKGH
zFSy=OiTTeQZTQ?X$UWH6Vx9`P*69q2W^IZI5)DXtWh1L(VewL+%+qJ0ucH4(C(nyX
zFPK<8!1ax~N{s(k2OixJVHauL5YZs<mX}EZ_hY_mxO8w?tP^)|^0*iL(o5efq}w58
zSIA2-r`Kw#Eb)BGLeCg7ql^D~Mi+Y-$L`e^SXvtYdy&9X^|+rd46omEEuO^NC@9I=
z_{-HtT{XSFlk53w5nF}tF#-}3gv3Srz0700e*5q;xJ`JU#O)Uz;=6@Kk;&gBFpA5!
z_9dIEYtU46R^?7^=_C$i7XeXDR`01?t3y~Oszzygsj*My(icj&$HdMN%0Asw!;nYw
zuczWhjguiNJi1K6k3sc?ry{G;QXbQ*x<<csMOTV^y($vF-$DI_sz|JgfwAgd7mk=t
zb`9-|lazP&uQ!M;={c(_)#}L}%d;I+cX(N|HU}w9o$h~MA?b6>kNl0CUbbGANgNC@
zJTW(tgk#?9N}6K(O8ZI7=LgLl(o?27PH_=_Fqiw_1V@e-?kNtjcfXr;Jze+hPGas9
zhZ?SlPJI*HxTZM0ef9f(&#&m5Pg7mivM4jKC0&hSiMjWGvfB{`YmO<d_pa7|zxLzH
zn<*}3v8D4*NZ*h6GR<Y}-xIROIBus^mE8*bJjMA|X=rTZrjzEcH(h<Ur046WH5;n_
zO>xn$W1s5$d7^V&LejgKe_O8JUH7kxV{gj$&pYL^)=2G1zZF^=x&7+i?K{_5SHyhV
zzri+kisRMo`+r{52ABDElbru=zfx{C$@ksYDUPq+Z95@TeeIkqq%ht-+5GI*E35ZT
z@c(=#HS69a_a9%TIIi2byY@!nwJDD4cI}IV7Iusq|Nm#Z!oUE+3@qS=cBCAnlxuhl
zYLdo$Wa42+dYYsa!zhsSA%>Az`Uy)6BLg2}jCw1Jd=evrfdV6_q?*La$jhwF?B4N-
z+5HKVd&fss_s2{+6EtE#rB%lV2HWq<nH+Bz1T<rmzy+4A0=U3pX&3s;*v?e&g_D_+
zjg>P-0bFFU$#-zX++do)#-h&gg*otb5(Bu=oOC0mgD>eL!?_16_f;568CsNHGK6xl
zRVyVix5cn-Rb>3|F@|}CO41t!Pv&DSF;AF5W!18dB!=xttkNocmfVgmFJl-cCNW&_
zh+$)X%#qoW#9b2el*L_zgOB;YCx<PYG*_C723O8|&Z0?tifoE(wsAZMS=9IxpT)3u
zayqlSf8c)I@r=d&J?mK&P+QrRrS%<qYY?cQ(ihTEQfKLY%gkQO*4WKbt12St!g=*I
zkEqLckq=3N>Y#E;`0wFHP)ixqP@a%<nBy-ysHEa(MU+$=7fU$VyuAf@g>{#_6A)*g
z=p}VeN!;`e&+cCw?9-<SycKZ|;?ex7BywFv*tb#mDWtrDHkK8(gBr^m+K9%o_Q@c{
znXtz45?1Y#wzAQBy1VS6RRj*3UUhjH!_=JQsy?5s+2e)lPWGchuAMfIKed9I%D%EH
zCP!@_PD=6?_SnfS%;L3zH|B~XxTVakILVXWg2CaJ3KOfx=}F)+i&0R;ah0?1!XRl-
zq2<Dn_EMm1Qi!~-qW^Xlh82uSFBF+rL8X?ax4O^oOorSL$xM^KA<~VEt}&p-F?+{%
z4+}1iJ00Q-i|;wFT;io4x^X&}fB1P7ril($JVP%BFAsaK>h#)6E$kzctI9JDb%x1-
z0S144j9WmB;b%dgRRla(mU{XagHqch%W1K(o;$y=+Fs%jXR%jea(v6R_$6;6oAXjG
zZuUkmlbcgiSssfRE4^ms7Kr&CBfwE2&KbKF)EZXxF=lY{02ggMl2I&*Og9&Si?%Op
zu9tWeS>1(rl{xA_ZQ&rPR+foVqck<tShr8)QDsc9PV`#9n8d+8U2CP%N*+!9Oh0qB
z>5aUGpi)hdbqSXvkLjyvu<lHVs!X?#mb>a+4kb`CSo`8j<=uK0tuuw}O+yvA_@BiX
z-(G4OX{$b2OP^hK30I(PYLrrc6pKCQ<XzK3nL&k{r>~-qrk7;{sD&GI!^5@Xo4>Zy
z6zg@bxMMzBPFU9=^>nKJ6xSmYPH<0f66Bn4;cM&^$I|^%>~{aEmhXD}?fRWKyNOPR
zZ!G1S=x~xrb&5mT*SqPH%pZT9>X0oz$&T|t%<Y)JF`P|3^51e|{@qCqzu(Rk^XJps
zRqNkOaSYwVwP1F{mW#W8)qzVQQ0ue)cEz=u_G|7W#n#$$--~&(a^0^}caox7xs&cB
zynnU+%_+&7&E?0Ne&t>J^J(3y`+q*(v%3+!e}a3(-_N&iBpf%M?o@s4_xG9`sc%?2
zcC%lIVcFlY@!<cMe?1%PS5HZNZ})!E98TB&Nt`#r{1hfUI6mP<bw!Nk6uag7>-SGx
z6FG6#`3@O<1=b|iqzOEUOuT<%CLXsxKgH&1dE~5=<1>F)J-ZXRYW<pN4#8^}SF}G~
zu}1Xp4G-?MOZC^>U$dt8YUrMr4HuI(oIXC)K|6QF8u`cx?prQAfAxCJ#!t^C*gw88
z*>&@Vi+o@HByq1^bLhbo=h)J@H)2HpZ@n;O=a={=6Fj$En7exY_eqW)lkOzOew{zb
zF7yLq%v1;O-xD48yjc<Rb?#Y-_<K{F=FdtAzme1_bNqxv-;C7IH8)=#lelp#Yt7xu
zc84BLaVh_LHG(tdN!!y^>)%Xw-ul5VcFv9RH>*O|{knB8$tr!KOYs!@@cXILoX>td
zr?rN0ievHDyZ0tJfg9iRr#RWapXzvN+Z4yu`!`Q<o;Bfe_{K>=`D@rGIUoNr;fnpe
ziMM!@9%WB)3Xea_F*kYFjXA0FSO5NWJ0)%AkJvqPQqP6nkDTsgT|UMBYwW#gPRSgT
zoXy|=`4m$-eUg*u?I{jd|31H!bat9k-P<V+yMNh%(#ZY|7n3G9PG;i1lYD*Mzf&vL
z?TXm5Nj`g;<NdqW>p+eEFY~V0ea)=jaxuLA?fq4M-`sf3edG6y{`A|c@9w#od|Y^f
zi@e18Ub*A@?j+ZR$MXuuy!jKOdpoIXiv7A>x28MomgQKG#2xb{X^P|OeV?W{<xQR9
zxcisg`{_<UA9VI}f!gf(@25M3y_@3n>i3=xw*4P%uWp>;6dOBty7Sd@Q=Gy#nl~`4
zTD58w6T|=iETIexAj|+d9g>kDo(VG8!Vtq4!vU)98kmwECut>pX5wOqc^t!-#K;n(
z!NmG8=DQ%X{2K=OPZOEtpD@TWKt^7er6)03vr01rx-i<Rv#<-ii~;rU1sM$4n6;k@
zGNgZGPJb%M;tm>mQDu>4s-4K({GPFsJ@X2SZ8uB18e=~T)5&Ks?469<>>EEYw{|>Z
zIs2Zq6{ALBvY*Jy{gzvrt#O|#&qP&jP9>&`;0h&%=iqCON>Gi$J^e5v_x+^zG006{
z25o4C62ukDBfE&fkdw_jnjv?Qu<kqdiE&&Xl|U7WEx1DA@a56`B`gxD!YLXlshjjj
z1vK^|RbI+tI+x>QiAa2Hkib`!?TRrR3bQ9^YP*9flUL!Q)=GvuS&i?nG!M3mj$>#S
z0yTfZ11}7xt?$3^v`U2zytpVrDifO(9x<TWqyaSS67x*Go5gB3ygFfyo&*|r0aqs$
z_a+D@{Yqj`0@o)@O)fEynaW?;$aY%ndMQw*Vy)+=*vY)i%bL+ai{k~yJjNs!P^A*%
zr4AW;F;;T$`Djz%>6vWumtCF-H1_f`Nr{c)*>?{MB`ya8afc=$@YqYtL~olUhoug4
zIzF)aKXF;9!Y(VIrNWrxaD}b#CF5mQ+g9h<F={M|lNkG%Tva$iAfqqLonFQqG2RYI
z&s37MJk&gvc(N?@F=m&Y#MEgyjmLHgw>Yb<uvf9VDoZT4xC&_Sg}HGh*Fr4AFB~E8
z;g^Ff3~rF&mk?hTMQ^U9fl(;KFYkpolmj+_s+>gvqR`<Np?cWx3(rCD@XJ@o@QX4(
zuHhFibFt4$h1QD1{}SiW293ce$U?_pJT$Z~D)0WKchOJCKFVaONw^1S?8Pv7lJRZh
zNPG7s8vZt^*_lfHHcJ%+55_#V+84#j*6J?p<z}t5HOj@(AXBKr&8H>CQ9>X_Gsb<c
z6NBuhn2wev?}X1WKdS$$u(5hsaw=qWerJsF0QW)-J(L(cb-kx5?dzB=<)O_09R`h=
z^uU>O3ilMxU;E`^K3mQR?od>n>U+DDfdkZdj}eY3c@s0m{%ZK0q^T~F6VjWwC)jf&
zaZhpB{VM<PtvTQBq?^W+PjUPjZ#SX)2V)G^L?@dWS8}+fIo*%l`t$4A1{vuux2HIl
zUjIGYVB?0Xm6Mz|Pjr8n#4+9Z{r`8jCpb%g&7b0Y{ci3A583t8o&Vmy|8t6S@24rw
z_t)*dKgA`Bfi3205=+eg|F_eA-ne^oic4PU*ZYj2pfTb#Oj3|ShG9Lp@jOb5hQMeD
djE2By2#kinXb6mkz-S0i5CWjS8VG}p000gZ)C>Rs

diff --git a/posit_adder_verilog/wlftt2fvyc b/posit_adder_verilog/wlftt2fvyc
deleted file mode 100644
index d68985a05c0d659505eb2e7663be60eb832b98f8..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 253952
zcmWeAV_3sr#K6G7%)r3F!20zeh++aUK@0{41``Gb1`r>_m11Bx*}!UOXkcQ<<K-9}
z;2IRH>+kF82a<!~<n|jDY(@q~26~3949x#K7@0vN1A_uc0oW1{V-$~uz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2v9Ku{{Ls_WMBYe&@4Zji!=i>g8&P=ivlA*BZJ5!MrI~%Mnwlk
zb_NDkMHdHFMJ5*27Dh&ACN_4(7YQti0?ZujiVd#pssGs+1eqCUuufoPU@~CTV$kzg
zz-q);=ERW0AjKlV#KZ<#TcF5zij9fU(391Nbpfkp6k7^Is|qWtE2Hfzc6Qqr9BkFe
zG7Su&p`oFy`c(Aes8ORKFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiR%LjW|_5BA#s|IG0W3?K~N
z<<H1q%fMPzoSB==V9N+P1;CbxLBqo;#wRf;Mj<ypB{hdZf+0S!I5nm`GmpX8C^08L
zH8+NlNk=cWBGs0GiB-2Czo^8Pfr-P)%FxQfn8DK0mWf}txP&1kzqBMRGbfcJFEN)v
zMc0|ZN<XwXwWwI10eqf-zGDGHflFdZqP|Z)Lvmt{en@I=0fT;6uzzSsb}@rNaax)!
zGY2D+K0^ls1Gh3GBb&2_mA)r~pNnUhr%R|KgO5T$kiVC!Ged}eKz?y%i9S;SBWrwO
zN(w`2QG8iyQDzQHemc8wyhTz&W=VWWl3p33`veYQHXT+8j(8>s2DV)$tVxdL`9;~R
zeyW_#os8QUcQLVYxUdQ+FkDb#RA6UP6kuWClwc5GWn^GxWX{Yp^kmUxRAA<E5oDEP
zVvyTn#4g7ve}U1OPhOozd;)W6X$ey_AA8j#PQEaP1&q6wu<mF3J5f%A;UJ?33$p}E
z11EzxM`}eud`hNDS{lo3b|WQEhPTWN9|bvOOc;I|b29wpV&vczWLOu^@iBfuacNS#
zAN!6d7ME<69bM1(wk0v{aAEA>;A(PW+`*X4)9b>__Vg8lpbIC<Ms5aK6)qNjMiW8K
z_iQX@SvVP_8E-Ohws5dBo=QpO{&7XDRhd6U!jmCBwW6dbF}Y+(d>Nw`%PGy-VvP)1
z;%r5!>6y7osVw45d`pB@vrH50<r8FB#LKXZ$CJ^P@ekW(7Y4>Z%p6;lSi)KOo=jT9
zpv|Zzc(y}`!Ca8Rx<i1$o}baVL7XKwF;6%}fPsPCcx@*`Jgc!71Gk4L13zP)iZFvX
zzuZf%Z*og6@yfYs^T^3cb~4P9oGH%0et|)ppI1<pK~F}Ifmxb?UFs#15kIe|B7?D_
zj*C2lwH#xb04E2((D$Sz#qrz+#7(5Q5<S`67-oy;PyWN0&BEN|CeFc}w?rnBXRnIX
zGv2*Qstk`+7@kj5oT$X;%)UN||L9tl_4D0X*6S&0a!l6Xn69MGF<Xs!eE>^Jaglr{
zFRx25Lr{ECW_m_RyoX<WFvn+^_KA$le;G4en3=;QLnmqeFyYkTc2Nsrl@0b_G#9W`
zv=cH^WSnKtDd1@-r6&;Bp(_xoBM{l4EfA~4Rd|4tlT)UFVb!WttGK{fZj=}efzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4FPI}0BBwxY5t!<nt=g~L1K(9{0wXi3s|_EnAlnWvoItv%ENZ#Q_K2M
zb4Ej8Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!ns1O36d43S4f>EPJjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-R~zPzW$HFff45003M6|F8Um|NsC0XJ7!I|Ia1^7G+>y;A3Q9`2SxQOh&M0
zFb)T-coyV4MX={(8Dj)v7-P6%7-N3Le2rm*LgpC87#1Y<w;0BlFENZUDsVMFp|ZSS
z8YB<X%NTPREQa7Sh6#7bGAe!p1trMZ|Nmu~GDI^NGZ-^IgGmW6$(ZpIO5cOhAQceI
z0u^D-V9Y>f3qZwxX8g-w$@rbY1cKoVjB7W@GAdp~@+VV_INa@wG1T^-x&Xv~42-f&
zNxU)aNnewgW5kmfW8NeQCGjUQCNaib2?Hzo2})wJOiA1^j7g#~A0UG785kItWtox$
z5nL8orWnDPUom1aj7f|T;k%%lC}f$E1R&fG3=9lxvP>}oFnN$E?5Oe_vP?0&Am1ka
zN@7d`Ip}qgP?7-1K@dYgNr6+ADTyD#{m8(;z$MER!w)kPWC=H_JP$}7;VO`=yr?Sp
zKq`<;;764gkY$SDhNdsL>+dlzFbK*rCGkOA@`-_gK}eP<h7V>A$Z5i;@**I4giAnf
z6-8Ac22z1+f;g(Y1V|o~T48EHzL7*#BLz|elLxs%8dY8fB#-I}SyUBrAQi|a$fL?D
zfaF20h+$0n1xgo?Ah^%Kz@RA0lq8z;86xn5fq_8@q&0>;21!U6U5yIJyd=gLHe^+*
z=&IB(O;Sf!qX9Ar<hK}BWV1BU)oEdxrH!se2V@qyt8~#->0z3rkFLf5WD+R6l0c;t
zQb-u0t2Dwi%@|#c3CJ{bcbTHAGQ%{<99@kC$Ry0rvP4&Bg=v;Gx*8jhS?I2^MOS5q
zX_7s<8V6aX7$s0>#XOG@i+KxXfx=A&%({w5T8`*Cogk(s$;4bosB%VE<pOu9OcG<v
zHH1o6bd_${%yUOq<pDAe8j3Pt8p(~G=&HT2ndyzL$_Hd7I5g4R=!>q>51V=Z=&Axh
z=7GWwJp~4$s|<pehn#zY(ba^2Oar?PDJ_Shs|v$3DI8r*1jr<Cn4#p?NOW~km}W(z
ztBC=bh3=|YbX9SfCdH$xNdTDy3N28pBI#R_7^DV@L5kNzkTH;?11kBGKsu01%w$yg
z6p%c~pAapel0OwyMH)y2vI*&^@);m`kegs?KqY@Bs+ug28kjt&<j+Qx&jHD!x*``<
zMIJ~6vI+U9@&%xpFo`jS3FML(Mnqi>stpTKYt16`TD2Hez62zXa1F>mrKl>(Kq_Fa
z0fkvPs(b~wwE%WY(ythaq<@gm1=T~9sO^d>^ma!zs(cN|Um&-D+8!|iAQr?dP#dNe
zwWU)BYUw2XN)m&p0NGX#(w@W^BMNgYC^#BqnPQY<7{R3&W6bNA|1p1KG(h17(FbbD
zG(z+xF~*31nm9?%V%TFClN3N~grX*R>kHbh0vX;6(itNb^B!g+DEL}HE`w+Uaa%ze
zlNe(dlUP6rIEFFlEkqI&lx?68L2%na;SmG10HnDCRlXAx)Je=qpk}v3%<CAo7{(Z$
zq<1ktV0u7xeHSRcAZCJ6UpFYWVDcb4dr;+jLGmE?L9~Eu?L$@34^n|_!UR<Li69@w
zFoN8b#Fzw%wl@&7KzVW!C@mwnlR+sNW){eKQ&8ong5(jd0l9Y?s*33#704#cK$V{f
zk_W{dOby6KvryH{2C0F`gIqBOReml=9@Q1|P*uzasX#Vi0jm5$kUYp0;J6Zt`JBWU
z^9hzpK(Vw)mMKOQxqM#?G9ZZ^xz)A=UCmOEsWFU6Y{;sXp{rVsY0?UGH7h|Tfqa<6
zifq;@baksS&02%5W-Z7pbXToISG69~qz&k5HiAq7g&H`wAXRId&{b}Rm=+_Ggw)8`
zg05;S$ULyiKurRqM#eUDmD{nIw*y_(PLO%fPy;my7?Y4Hqh08#cVjbi54x(oATzPK
zaUZ(M{n*SqfUfEwC^sgFfkH8fG3gbQW=WC(vyj|-2wmr4i22Cr;Rw2#qj1+E#m+Hw
zRmU++I)Sd{B*-LaSR$Eq3SHf4Ota3Qt2qlY3mk?>CY?i9bsp2C3+QStf=mL16nYB0
zgs$>3rfFBu)m#OchVHIw=&G(`nsftQ%}tO=n4xtGUEOU=v+kg)xeGE2-BtI{Ro%xl
z=>fW$hai(cp#^TxegoCLG0!1|J*bR(1Tq4`1yu!)L8_6<%qOVwPeJk^KSH#C%Km4l
zDxQN>Ae-<4RsJPN9^@XF8c^B)3RTT(kQ$ggsO*1(D*qNFkLrqds4CurR3Mx10agAZ
zC<n$cgWLhB4<Y^mH5fjj)|{WwYt}EQ@?Syn2)BTI^9@zScaRF0TR<W916BSfxP<~5
zNn!%ICk8qS1Zpn*LTy|8MsIukL6!dt;wCYInp_AMff{E2P}@8ILEM;MptJz-H^?pq
z&;T9-qbyU5Y7A4-uOz0VFEL+Zgpz*5e2tNg`4jUa2HIo*4RnD<!_<->%3j8>C;f<#
zjrkSxHs(`|ehg#G)fk<aA4#k+S7KOU_31a%(J@HVE#_woOU$pN|1o!xKx2|Wlm0`T
z^N+5hW)M3;K`=UAhG@@&67uMH8F*wDR8EeLmw^VtK_M~x#><czB)CS(kb41m#>tRO
z!Zk*QY!coPG9<Hbjg29jg=b_8$s}B(V#p?qj)}pNI(5dxkegehqhX+Nozc-SMnzD2
z1LtTMXciMX%bLU(Bb5Xi8<PT!kR_>rn}|1Kq+^(3{>R*cv|T{$rAAq%B=8^_NI{Y$
zsBISWKjwW5W0FMDO|TdvgoL$3zcVl}4CWEDq#rR%5buFpO6-UkXeJfZ)C5fsGcX)r
z`2R=#36#$!%cuzIOpK1EF)%RDV>B)4Qxa1Qv`G(YIe^F0l0G9RK<J1XXzUFU*WfWV
zRCVxCHB^=0aWzzx(2+G%b>OizRCP$BYp80$<7=pDp(AXl>cC@csOsROY^W;1<7}uZ
zp(Aam>cC@dsOmO?`mvyV3mWS{RSh0*LsbnMar+GGb%AmYc*G4=9em6U)kN@!8>&j!
zm>Y_T;4wE;bx5ObsAhsk+)&lR#@tZM1dq9)s)LWZp_&LDb3;`L8+Ai55j^UKst(7v
z8>;!>F*j6w&`~#3b>ML~RCVx?H&m72u{Tte(9t(kb>Q(gRCP!raHwj*V{oWyp`&o9
z>cHc0sOsP&ai}W6V{xb|p`&rA>cHc1sOnHg<WN<E$K+5|Lr3LM)q%(5P}RXl=1^6F
z$L3H~LPzIN)q%(7P}L!g(4ndYkI|v3g^tppssoSHp{j$A)S;>bkJX{7gpSssssoSL
zp{m0&VwdzBxzHRPvm4oCcA#n37{-`yF)T4mNsKX<z)fmsa}iRDf@)jHcpj51Qw(Pe
za}s9^W6akWm6-1_|6`bve#ZPx5(QN&Nze%wP(=Y6(*vz=`;jCY^Dl`p=0%cN(jAC-
zpav$BEK`zd(x0SPF^n;+F$!Qy!A^+z8^aWH1?(7&n5!{NAV)#8fd>7+tKSF@_o1wc
zOX35&4P^SinEy#PVpwAS!5R{OK`lJcI4Hyl(0D3YYvmx?L9Ou7QNPhqKSo7RmKj|o
z2OIeq0juPYM#V-){TLWVNBv-Fe{|GO5i}J%x&{tB`2(5<qthBVtfPKOe_~i;p2z&h
zKH{eYn&*vq4sI?<Brzs2#rzz3V}7IKdXUB}XmE3MTn{p07Q>jtirUj29oK^{S_Sp>
zM#uF)p)fkGhm<5n$MsNVCPv5g7{SfJ(Y1K8kjYxAuEj$hKf^tQhghd4nZyHX<G~ip
zCEWq>k{FYICo#e{bAZO^AY*>avP|%OC!kFYj4>=p{4pP5WI>~7F-*v_#zc(yf%daX
z#=L<H;U(c5lLL?QVR(xL<Pg|w6lgdQ%Qzp*Q`lAkvW|}Qf%;;j`(eT3bHwe3Wrfc7
zGcYhbK$-t%U|<;CD+?Lv9NjAmp1)v0UiUUS3OG6n2<b?J<^o1X0m03`(NREpjsk)f
z@xeBwfHs6MhWRPTGAe@ls>5%o-srF$q_i0wwqsym7+sFXn8b!UU^%)R4^-}rF2@5`
zK%k%)U5>|&nnOmH<3VZ=&>ZFH7Fy6MCeYfmBu46Op^f1K_Y=Q?hp||2Ewux0uZ0Yd
z!3MK%jnH8X=^;kcAVYeP82t^}zX0Bl3mPy3AKU_V2&hrW62l+!E9M(~IPWLe<M$yN
zL8E}!2KBhXrk{_|jA4rT8*>XpLNtKZ(}9-uL5KMuf}jKi9?(N@LGDlm+XOnuj4|e2
z%&!<Vu$w?bf{=BH7^8jQ;kzW|q@OV?NmoI8d?Dt61_Ggr_CTQ)^CN~S3GAq(zYql=
zUl4QP9Ypo$B0fkmg^ja<VsCWoF1XqR_2fsl?!s0!jc(mVKfnxe#2wOdz|pO{kU`?n
z<LpMa?lO!XWXHfj%0YH0i};ctTX&^n7-N3NFvq-z`5z;Tv28a-1-gJw3N)b$-g@zF
zq;1<B9SejsWk<&XN5=w__&^7Lp^kKnjs=1Sz=#+N1nmxk&;NrC_ea|Q4>n_T+b+0c
z1Pad4ZMzs_?xWjwN7hk$pyPg%7;%rmVPEtIUgq{4G}ah%4KxG>sk{F$Fff1*<cm>`
z`I*ELa}^{7+qVrGh(H|A2Wl2FCUJqr<RAlvF^oyKKs-<jFo`iqHR&eAOwd3WcytlM
z1&!<=jUYk<Z^Oq5S+Okq<BRzSA1OpSE(X^a;e{AYPy-ctw=J=wgP=<-q`)JDpi4(!
z!NUX_l>!ZEf*fcV!<ck0Mk<CWhCSvRWF#_18yc2OAng!eA&(I%VH-dMj}Im(CNW|k
zKE!%K2E^#m@xsyZLP$yg<;u}bzp&xK(M`Wee5e<&j2`s|OB<utUobEbzW!o#yl`~9
zkYRMZkWmrTP$lkS4Dyco8yzo%G+;)@3rEKbN5=~hS7@M)ni4TyI65YXdidPvnBeG`
z;0WLH3my~v4mw{s=>}}@3baZNJQf(E7{eF?8{1-pjqZVl{-Bq0#3*4O`~!~+!k5B<
z&tisD;h-@HEF*)EQ7!POAoR92(#8To>+8_h4e}*1Qo0Qo;$~3u4$JyL_y{0G)lJZ3
z3}^!{N}!<(2!dQ`7{eHIFNrZml9(Yu$fzII6@^$w{6LWj2};mVBl7yf(PRG*gRP+W
z99=O8o)`mV$I%souwkFk6@#NI24x|u0f)#4AOizp|39Mw>i+)$z8rUSbZ~TZaCCG~
zc64+QRJ(!>t{yJe=8j(U0okKJdeH~U5o)6seK0VLUi5*sXZH%|f?Cuu$I**E7-Lvs
zz*qB*Ui87hz%ZyU=LKE9kp#MYgEi)F5+h{&A86$sWXS6m0|NtOoDjzvK<Fr85^PBu
z+CU&=ln}nC4So0zZJZEdCuo?B_%(v;l&uj&yAXt!UBkFW1tG2nwSz#TfC5R3D9b8Q
zh6zEACSlzm){#I+#DP{4#{7!;p9H;fIOczhW(;((C1?~EJSv!^44Rcrx(>U54zzTU
z3v_975@V8L(vKL%q#Ll2U(moXH|T<Ah;Kmiu{<D^sAFrqs4DnCDqus)pa9@Ul@|ct
z2o1UtnGtkVViJE6V-j>g8Z;~ou?l3fAn34T2p4orpb+SiYnZtprwF6Uj~*jP!ZCuQ
zM+HL0eMXN81h3;BJt`1wZRF^MA)szNXu4qZsKC*q0zvgOq#_2*r47V|A)|YZL9HrC
z9Dv$B-JmuVteFOCWc8rRk6r^jdJXXCxr3v7jbDL!?@5eFETd}&L7Rd{uK`9G!ydf`
z7*eBxh8JFfMiW4}1y-wqnmU8*+(FQiGsOOX2bB5$7=DOfK&^w%=&ge<sI7yqAbHT`
zY7i|TpMFDC@g1ZhhA~Dl=0_4^%ngV-&>FoTAayayF+Y-+Vy?r23N*I#6FjT~I>L_$
z9Et)lf-#IS|6+b8vBdm}VUD>0?r4IJieZe=hM0E|HlB!U<sfW4Q319O7JbMNJfa9O
z4Aclh8$pEZLB_rRnfP%<(3l(QdPDx0ub_RVNxzanR}X{k6#fGqIOPFfK>QHmCQyK3
z+lx%gaYl&EpeO~eCd9oukppt&F=*s4=5`Eo3?s-dN#aS2F|Wbb@%@eAin#zfN-;(|
zhB4+wjAjxeD8><Ef1pjwuo1;SF-%F<lbB!$0we_;Q;Y%K;`cp<CFx(xbr1=0A861K
zJhF&wG!j0>n52L?9!Z7KMx-tA=$9{#jyWcQPQVm}#1d#Pxgz=+F(ptziMo@NxT{M>
z#~eq;9Am^{-a;#a(J{x-F-Hc57|5(n3>)%gHKSvWqhpSPX3S9vR9=B<#hBNS6AqI&
z!42H=kUA37#sl4K0&3<kLeDysNCF)hJ$hL&^a4&$W3&&{Z-9gtsE5)I>0dwuKuz}v
zsPYqKnUX-$?~waq1Y#JI-ayoXx@wa^Wd?#f8QdU&+66Lv3ab26kUXNd1F~&&Z6Z^Q
zDC#<;rJzv4JezMB=8C4}px{kHTlusCQ{CvTCm6deM^8EgjlzxYTn2B(0tLnBttV*Z
z$mp#nkctgdXAZK_N3up3V-(Ow5Wypj*hUbcV~$BmF^r&d1Hl7EXe$U|qmO@(M;}3}
z6wpT>MPhhk{wFcTe2-y^`5AKyG-w5Cv?VddD1+8DLc$o-bOWzvL>#n-KEMbafrO|B
z#R(B3j?l$yu%&9CrEJ8HG;(5D!N?o)iP%#P={vFr9vepXCup3M7e1CKodjwSi^cGO
z8ecKrL06>2{EfK|rd2@$!jNDEEh`0i+c1VH=}wGv3`-14%=egEF)T5^ah;tA9a~IN
zO2RVm2p(4i$1~PpN5tqNXtg5~>Ox5H=wgx*=CC7)s~RCbyT`!5Fgl_L>N_QkjwrGt
zk8tRtkE$Ag8r9&QCTO`l(tRC<=qioC4RsXLMprR{LM~=>L~(RPadbqH4^|<AhC;GI
zg$1NC0*##HKuQ^y3eZqUE~<(=kP4VQ$b@`U`OzCwC_e!a+lV4l3=!jrsPq2`DD(gC
zM{iXDEm;P&(MQJ`L3tL`=!WEcP&S_j%GVGss34dRQURN-2W9gGsPYRz^3WdBuNX1V
z>ao#%(4+gHcVUk5j_!jV-3QHwJ{vH)4;ntg`w*!l9o+|wsDUWm2OXn?KClR1)d*g-
zh_*ZtJnERFjC*JiI{Fx+6oWCi2p)AzQihH-K_?oqjyfjsCNakR18>9q7xNc9m<U=C
z`3K@{<nc!EK3jw^>En!O1`t2O2pT&>UCYQ419CReH>uEZj1dw*p!N%{kwvB$&<)M3
zF+XB%#IVGuLe?n4`Y)hWhR_v?h=>ObKf;bm{K>$;016Mo7^avzNsKX4#0)-y#~0zr
z0cFi2d~7iWw96gq@FQe|5z8KI=m;aWJ=pknP(uP~bgU6H1~fX>2&!&SS7(lnHG(>Z
zpz_)leJs@uGqmi{)i}s9#ek-y7-OD~u3m&5m*|HXZlgP<VX16%_2TI2#lgLLG3ElK
z%>ZhUj;>uqU3oaVb9!{=^ytp%(Vf$yJEuRxy3wG8ilaNHM|Vzx8n~djq8F%hMMGid
zG?ulC;88-9z0KfV(%4oe!bcX9Ko_L^1Z`slZG{ERk3kwzpw1<DgfT`LbNCQCvIt+S
zhBSZ(9$7?Ls)n@S5wtE2V_jnsZ_@vmpD|2HkkLh?+f%?R86nOA4VaNQq6pDQ+G&g2
zLuRca#CM=z!8MKuzV{}EG3j5-jTq2H&Cq}aE#3r=Ai|PG5);HM(9j}i<PdavA!u{}
znkdi*7ePTp!n#KI_+gSFbhH^|coB8}zX4_bA3Uyzl9X7Ipq>D^6*jsETH1)V<`F!)
zh;7Xy+DVNNkB^Qsj*c@jFfiz0jsT60GlD8eP*pHG&WL{3v^V;wst>3Qk;I6)@7EVy
z)#x}Q<j8>0UDD7-6R7z)x~5T<Q4!RZsgh+H%;StP7a=W%(NRVQ28Pk|85w4Sn+!-9
zc@C%!fTR)7n#8#v`O#I2pwX69nCt9Tg8~bEoz)slb!$PPh`En@^!65%@te_Ai=(R+
zM^`P%LRK_Cz$`^ZS1rmiDuNoDA3((}qK+c8Y7ui)BJ?aqumswgMDTbczBP&PamN@%
z%wa|Fcq4on8njvk^**8FjVK52p$#j7#~oou@4<%ov7O>5lEeeq3kwMXP*aZn<BXtD
zF^m<9pe@}4a^Eu~BtY$N=*=z=0no-1@QI5ME=V5hSYr|oXxKRETMTQ=znFhXHy|`b
z6JoRxy0{e<H6RJ-Xd^5kArC}CS0v(I;|K~t@ES*Os7VsD$`L%C2v1%p!;sLiM3e-E
zGS~<iQN*&w5p6^<i64@<U>i|D-W=U8J-UVw+=NBh;XFFFI6AfnzTU_SGi{8HEyB`9
zB>MR2=$+7vpc)TSyMk)yOi=QHa6v;3S)kS(tda#a_eRGShr-xm%q>V1f|{eF>la7Y
zFOHm%MFv*H`Tq-0=KmQOM$ctLJ4<d0=D5aIQ2CEJIy!nTBWTol^jt>xuHDgd8PW36
z=(&uLCI_eyIq>H)#_*$We*Oe%1;7@m!NyZSBYM#BM|`I$g2y4Ttz3kUKf;%zAq_Kv
z#~)D^oS_XeLdPM&E6YH)gFy9y90eJF1g%A5OhUR`24l4&B+PHa?umhLK|^bFSl!4w
zTvj(G@gy;UFTwen1llA07ZQq~u{Q9^MhF+w`GJivLNEP+^@~6%!6S^Y<b^!+2pU=B
z2lcU#m&T&6f&>L639BHX<BRYGvnYd);IT!NWQQ{Jh&I9)16rT?19Xc`(k&1P@hqs{
z03K%q4}qgycs*k8nNAYG9E}~l{e^*HbnFo}zBIb7adcfHLj-8d3{>LBup*ymIy&~q
z2dP{@<BA!OFam`VL=e<$936X%;RWrj0NpefBLUl-0J>wWQkE$RX^+OBUe`D}_6Tjq
zgPP%^s~SgFHDcT(GkWjK=)EuCp@7j_ry=X>M)yvmti2t*bsEwp1T_=~a_=;FI0t0|
zG{)-282%W>m>;0)f0Hy}w?Ko&@4%yt_*O1LM;)=9rU)KwgfA?EHYPyp7U83fD2L~P
zOA<(cfi^^gM;*b#HfTeNu+c`)r5-4Qir}$EhykEMu0b`%2pZ|aSlO7w7&8RVVg#>i
zgkF6U!xZx$65^n-L+qoB5P47|5;C@kWyK?WY!SRwpDE@#^1vf>eIo8PkD#yxuX!Z)
zTu1Q8B0OQD3_4<tDl*0VkNFY967v^);v|@c_z1Mw8aB2Fx=Q&5Y%m@q0UcX}uAF2_
z`VZP|o`e)u!l3y{aPmeQl@x*WC}Cr=pl}lfsfAbyDoVsaDq!-UlrD}cF9DJV?_GxG
zIZ&Te5><^9NDZ<X(x~z>AbCWu7UT+9R26a{704#Yqsl9Q<Uy_g_W~J{M3V%P7?ZH3
zo6)gHEMt#I^Zy6X=KmQ+?~s8FRF0nOh`thVbiXw$ZH%so99<Kc#1E-ALDgi1EYs+?
zq#|hjPZRXgWl>m@1$5R+vn*2#cZ^ufdsw9oYBsdUGQ|i&f)K=Q1!)BB+)ZKuRp&8`
zNpB&Npaw!4XqP&I+YTD*g;@a7+<_|J35t#+<|M|LUojFfuVdI^7-M*n-X;Brfu6hr
za%mT6wFAUVP!x8f-zGgeE;%|bIXW&0ZRdkB%;>sD&^W^AnU14pIzsn6-@;rQJUT83
zsZl|L3A7xS#2j~g4;mwgVFa&H{1F2l7J;=kK%<S|J=ihIF~5@Fqm>|GETfg6Hb~6R
zB+%dteB1>zY6u>$#J0i_I!*~+Y6dMbLBo;YaY~ehW}qSjssLmte7q8TWe3`DBxIZt
zV}&DhloArqpw`h~9-ZVLMk^q}*X6*PokWjHLgES3#6*lmLN7FjB~XxA;IT+>vPK)E
z1dTz0Rv_XzArchGB&?0Z9EoIv4}t!VxdB;u2^)O{rBc{f<ewO%5k=6wH}J7Y(0CsB
z7M*{ftI=avV*bJW1{$)2jYwjQWx_`!p~LmyYzxY~kU#^43wVSQ+i2#{9jnB#l6G{g
z63bZS=y)V-%xQEya&$ZrdUej|I?2&>lAv7)kXjPd1S|)QUO~8^md5CKB&gH`4O)zj
zM?z8sD3MG+9r2t98gY$b1dWp>F(wJbFebf$s0DT2CV}Qy5!}g;-W5aul)|Q<%1;H!
zgL+O76(HNDp{ke;QUPl`gG`u#DnAn>4?5NerUo>wI}26K$l0Skx(^#|@95}0Z1}$7
zgP?qyBnHYWNsLLPjz^-N|Nj7G{vR#Ok6?~(9R=l5%)Rf&FjXE0WnJ{MMo(a>I|(uo
zRB|M-f^LY1lpmmy`xK_y)7Z>BgQ@N;$V^OEj;^5`T|)_K7l3LXs@*>W9<qUtDS~!|
zz6GT|aEb=q^nzt9@*OA{V~$0_M=(KpV}61MQ9#2nNJEC8F-6!&<{!jJCTNTX%Sa|@
z2_1NW8Dq>9$a1L|M#LISkXhg{P55#(=(r?E0y?G%U1Y|R^bhr}8jywHu}$#64BD_I
zVoXy3vKbVTr$Ipj9?^twL6I<|MlwO8X6WlIIb&GB0sA3FHs)W<{}`s2pGk}{4<J4S
zd6-d_DTz0RJ?Tf1ENDn9=0%cN(%`xK8sbjS)_9`US3;D7A`U*12@wJ{)ga@SSXN*{
z$1ky+ISE}Y2_JmC4jFoc7z!F+1cf>1KJMR1j4}K%OyK*uL1UGm(<gt&+=^j|(T=$g
zqaDKp9(4rWxdTnZ-xwGeV564*K%<tRJOwcqBn2P0Ok#}r4H|DmUPB487&c;wG2)3d
zVu^pu6E<#%G3E&!w}fUbEF+%aHJ9Lwg*4g<8VViEt1lVBrvv<p`42uH;2&)C8075H
zam~?jO-R-Q)hwg?zeo3fkM93wU|>iCwE|(YWS~kl9n@}wWE9W{%;^4a1_p*<F|H{S
z^Dl-m=6THj7}=Qr=zG7FK~mtpyd;?X74tvleGFrgMAA(#AKdy!v|d0x<IyoqNG<`5
zGLDXEGRy~+8?aGRP(iW)RI)&V2vm?P1j$3vQp~RyG0-SW(kIaR7Kjp1rMw7p3}rFM
z5cKhzC79}#f=tI8YgvY=a&$}+ZG3HXOp{7unrPuVI&KLM*NdRs3CjC1jOaV*FJb1;
z%h>Y#6-;$kL8fBn`D>UeuVXXu2Bx~3AQM5g9Qr8m=o-r;KIF2U=y6NTamm-9Fvh(?
z@(n1eFh?bkMl(V2gL_;OHmZp>E(ssijA4xV0~u<8HF`lc4{S6Ov~CP~iX%uEbu?2X
zhB1a4W_uE-F?9_z_>#mJqYA0RE;BGNfX6cNt+j-XX<|D|5;B&FvC<Mgk_oW|G|V-W
zM=%Akti|Ms`3xJoOyWvnj5!bS>IfXWgm@g(enN~`eosPLj0svVj2N-}0lmQ;esUye
zOdK>`$qgM77D-}^;f?tp^F4+oi7DnXh=f=HO5dQUFp6PJx*a1M!xHl=h6AJ^=2wh%
z5_8P87-m=wK^m(}QUWFXq#K}Y05Jz72_B~eCwi>InDEg`@ZqaS!-=3VPVfk2j4~)E
z;a<849j#1K0^cYDUl0vi)d?P_1l#=`lCMD|#A%>mKcvyhB<Mr{tRMqP5B#oih*Lpl
zhmDR<j*d`5QUfS&4(}04l=*)q29){#Bu3Qp7(LNfT#nwH14<yHr&o@SUMhkbgQcje
zF3Ujc>tIzkXmDzD^m26cG6w0GfPPSVfi;3a31oEia&+_(eT;SVK5pn54$xG`PSBVI
zsLO*sF0>1C3~BT}?$P_WNAKf??oA(R_i@KSx5PraVW8nB@C`ZO^C7V;p@fcQ#wek0
z=mw8sCMko84BSJN@G(vBo!Icjo1hUK@F*tORxE>+(6P)ICGc84q~S{NC}xr}WP}Fd
z1yDx;V-z!qCx$WUU(7G?*b!q4bQBY!0W>6o7{mMqR&pIQI0I`@g2r4BW0;^Zm+LSo
z(0~ef3=?7$Xb@|dja`CP?4hr|<Vj+T`2-)m<V<239lc~=V1SKYV!43_JbDS97(g1<
z1SKo<aZBi6J7|(P<_3s_q$^Mcfv&1V8qEhSyZi@H07~?rm@<lCjJch}7$ZYya1%U!
z3ClR3ObamwG_(mHy9DnI$2z<T9>D}JUq&0+gpOLqD1q`1?!is)2xgKp=Fle6*kz1D
z5@QS~vtgXG2_C`3woY>ZPQZi&+vr#(Blv>n(c5-F+Z;fdad?kqj*eF{gn>FpF(~)k
zhog_$j*eH3j#rM3S2BQZ`5s+u327;SdI-Is(MUv_3pAkJ2O7afs2CluWME*J2U-^c
z2@}w2%F)%9Xshi;$16w2D@Bt~b{3EB5+A&)Em7zH6;S5?K_l*?D=}pm6+v@z&rr+#
z=ir7mG^>NEPw+}i@L&pj01-57iFE2^k^=bV8}JrS*hn2{5gB-76LX~{bWAfz2~;QJ
z9=rsPaDvaFgxd=mmw}IIf-h0VGI$9d*^E&}AG(B&X~LG5K`J^>*AYCX30~d>9eaU!
z0W?sFF|rxMox}uM>K4Ni^BXp<8KVr@m<urz6gc4VP4JKj+MaRPxF*KUJHvZaQ<%Vt
zOzzPUO~i;MBpHFSCTtuNV|gapI3{SgJ?01U8ctA70gqt9G7xg228~?uB|(mmg=HYL
z!A($v5xH&?K7N^`2$>fEWow8ZK*O8hu}kFag;+fa%3#nDOz@$yXoH*JQA<MWHjzd!
zlN2xqIKg9=VB4_{Z9+#d!Aqr)YzIv<(Ec_bNEnTdWsZ(z#;_sZ4m~=SIl6Ovbm#c!
z&T+=kvCPr2%+cF<MsMdCy`2X-903})8NHncwAP%|(=gG-vPXB0kM102U|_fl8S6`8
z1D%xt>5hW3*gecO$M->lgy<`{2h-Y1@UeUFfkMz29C+LkyuuO7x=N(c%NPapwUyv;
zOG0Zap`)1KTf5=*f`(nd<Cb81VPh-M(hD@$2p_$SQA8i01dm(7mWV+j1Ed2wZV5g{
z56b{0c=QssGz_8(<Oqy$%OqaV;0$Qw5=^74$ONs7gN;~%hDlJCTS7-HAx47+rH1!N
zC1^AYWBny~bshYk@g&Y9#+VC`00AYm5q9<^#N(iu0q6=$hyW;QK*lSvoQ;V#UKzs;
zo-kkp-v`bJ8=3?qL-3d-M%DuzKKd7S^*1PaLq{-SnGa?HXh0FV(h~Q&O;8Mj*KLAh
zRhrPsP4M_7EL)-uZ9>N`k+UZ1;3jwk6P7t)`=LONL>je>Q2@K+8~7Mp&{Ao%JB7d_
znAp~CLdPz_wu5pl#=1@L2qt(E0&QrM1~-#K(!l6g=IB`F=vXGw7W>hC<e(<ZfUL_z
zo&R@0ng2&0Uyes#Ntys^?t)LeW{hD;`j#XX^BhvbfxMFlYBfW+poLONAhoa>2vjX5
zqspg%<UwUTL<^{%Ohr{OdO{`x1H<SZ^U*b%kQ@TaL!)ap85kJmfJzQXn1BkJxu8-5
z(E<e(B%^CK;o~@~L4k!nLNU5#6FT+)8rB)zV-5<1(KVZccg-d!en!`2BCpHDTz3gw
zpNZ@KZ}6xm=9){S@y;aBMo&iE>n_2gpRi?PklF_{<N_V<1lx;cU=uv*iMi?$KHdpi
z90oBE)Qkp?cY>E@VHwthj(WnDg+X+IoB<y1#8?!@h-hDfMv*W^KS8HzCj9{269hh3
zP&MWz#6-~W9c1Ja<4nvEHts1(V1*{v=!hrI6`GK=1ZuXTk8|?GU@YAPk8r{=D6HEE
zO0>{1O^jvH7=xeS5l&bJg_!^vRRoP}@_}*!%F<}Gm7So7CURvbbbJ%GWE#=*1g+}?
zk8L7nU(}&bq!G>}g(QsYg}|el7}*$Y=o31^nS|v`PVm?!*mkT#pYRdRB<MnG*x)N@
zWv48t9Sw;yP_tSN+;D`plR%Luk1DSKl81~1fY+CbLbQ&Kb&ig8j*fMXj&(96i6$Y1
z!hno*j*e%7219aD%k4Z+xecoxLFIZrs(gVg6R24j1Dov?fT_L5z`#%l+A|C(=s{YG
zK>LMZ@*um4QRPcO@}Qa*q6M_Ycyv5-bUYK18bAqXbUbr(Jd=T8C8z`fHKWl_d0d6L
z;%am}^E0f#1q}=Bzzm_$@k~&_jE-lHj%Py8!W@k2He;a2^FgXY(0Chozd3k)B$joV
z(9uoI^De>TnivbiKue*aJ$KNMBGM>l4C?%U0?PcqLJZpFJ>W4<u$!<9Z9+#rF;Bw;
zk9oqDhCw_Cas_<M6Zt?tSXl-drvZ<A!j^?W^nr#pp<|vHhxwrmZh}WZVGF__x<Q-m
zF~&TTc#{4j#ylYkLBk*5@lFUA)G`@i<D8%|Em(^m9I!DgF=*#&#$1Gi4k)pXuI&V!
zum|e#fY)|H0tS?9&__DCV}2wt#@v7<b5KG9k92}F6*QwGMhHO(7CPPu%jz(lprKIk
z2q#8n#~28Ok9We3w}qJj%4E=Wo4D73f>Hu_9Vjv9cY;SdVObs2f`fzvXdo16q!WCG
zCUiCf;aQMtz~h~;EDsxu1W7>0I59Fk+E6HXyc3q`VfsNq1s~}I7dBW2L&4*n*w%rL
zj(mcOYaPfq0qR(uF8YcvJy6pf_58un(>Fn711JbbcdVn2z<HymA0KR~%okmiA7(1^
zM^_U7G6^&vk;E9of_!d3AiByROw)qV)r5ddOJYP#{h{cpMo-@a%@mEU<YZuA7#;ac
z0^M;wde^xuqavsw*bN#9hqXvR4aLzN>!Ul?M|Z44M<qZbbfY`gLBlkoJJwOgv(I6U
zXP*Z*#n5)@U%*s1de=GQpxd#IxlZ#bsPPRNDF83Vf{uW|1}H$|AK-CN*g`PKZ~{me
zIs%HZ3=EWqpoI#k%LN_}g)IVu=mw1;B8`B8PvyiioCzN5#9XNf9p!{A_JSA*vI#uO
z30`Ui9oc|+0yKO9AM1o&ybRF?ate5q6Jv=Nc+3r=2sC^F9rJ`O@q$Qzf&o0r3APnF
zrUA1RG_r&_*2$gpBZe^vdQ%Z-;BI7(a*E?$%^7nG640Q48Xe&TjbeZruJ}hdAt82)
zfq?-$t_jQV$jK5qq6uCi4bAX~Q9w|l29ImPvOG*DC>y{>GLsZRMF!I1Y>Yuq@VF){
z<HO7VWkt|<CV^8uLCJ#1wVz0%nn?<vBO|~Ir=bN9XxI}xq6yBR&|(4MRgi0-<C?Gq
z+^`M;ND4fbiBT}14S2%GHIo#<t1eM)WCxFEg6)PD4hXwJ#RGI)6WghtqiZ`ssU1|T
zkB)Fc)~{Nlk9FCAn_*}pVxuFRqa&Q7XL1guGdWS`{~J)||3}w-$}+_WCjCkhgS2Wu
zdl~AX%V9-fZ3@sb*algq7-rC10|X~ACP|Hsej?v=K05lzz`!sIRAWL?5~zrn4eI&A
z8hoH3;?aHVpb!By>_^vqf|{S8LD6lP<67H6$q0Q1|LD3;P-u;w*9kx8VRZC!5R86e
zp0@b}oOwZ8KA<BXux12k+yg!q8l#AQ)+Trq6l19u+F&PiOcZw9AEc@QjWL2pLBUJR
zuncq}jfKW2pr5u09tDLh=z<ss>J>vrLBWUWLB};<9svzqfX6~%%eWxAK+b@Vg2pI<
zHUS}B#EdrF2_6%LE#rdd2ZaxG6clVLbVLJYD`-3eJQfODzy;9-8o9$51%*g~hNwpN
z=qG6G3S*5Ys0EwE81n&kkNW5sDCzsz5eW=D1`6SVntafaPgt>loOr=wp5QDBEf^4E
zb)cjVANhn84KTf+VNvi{Cr06bF(e8d`Gge@FcUx-7P@W|_bO0OS^=*Dg{BWFLg#^k
z$30;M2dGU42?fxQD0IvdoQ<J{2g0);*MLVpVZ{fmg$$B_k9J}dAZWv);E_*Q0Rl4t
z6jabLPq5w4A_QSKs1N~<e8LJ5m`;$y=>B#{41$XP(N&(1LDA7MPzF!*apBRkJV7~R
zbbtHk9qXg_5{=$VG`h-jbd~4mDo^No2+$DS=qgXppv~wi&(T$$(B0^_Fh}@rg9i!G
zR=D25R7baaiIOzX*9|@bIT5zF3(_$JjkP@n&EUj9u1toGW59+PK%*Go(NEaIE{HCW
zFnrt-V_6p{uRseAP^%g|3JP1)1<?;0SA>pxf)|uw8Q=tueqyfVL>l*mE!~0`39<=1
z?g?Hx1s$P)c>^>I0UiB>E!u+U0yzXc?uoHv3vF-{J_-t3vIWr(3JCDHC)if#*aXa0
z&;SH<^b@vR3!)1Y=-_cr2p2TSMA~>K>iqu#l=**t*!Tx%+28QK&q#7~B`D_ET)dz?
zOOS*GnxDpgj}by1ZLd2-0yNByeH0WT4>A}$_6aLYkdr)o)DwAeIAXjGlx4tUpRghY
zrWZ8Q2OaIiSRjruED9d`gcT|<6F}J<H0H?%8Sg|{B#w4cC@AR=xmpxD@(Ei!jyfy~
z9`yugerS<{@F>VN@Uc(W5^`AP1WAI&J246#v_Vnm*e7feIZQt&u)w39V7s9O55jIx
z(StGenIr(I5MXA26e*&Q1Sx?l19s%Sx60^hR6z9z`o3CKbX97YCaI&V(EynQo>pQ-
zwowyZoff89+UROV_qv1DAAssXE6mUuT?vYr%0@>)L5U?CJs*vZf{u=Yj*fzkj)IPk
zf{u=Yj*fyt2Qo%SLB*25<2p&BqoAXsphIL7G)5rldJJQdX3X~_#u%oUYoHVi8NdJy
zLV!m@kr!{lh7mxL&@oZi0e%Qw;L%WwWn18^0Z{~MQ^UtbVTboYq(GyM;L%X9tyl&<
zp<|+$r*VQuLtzWEAcleLK^hH3KAsQe5zrt5cuW+wEDNF&<P7L&D8|uzXv3c1u~FEP
zEQoGU=)gxqkq_pB*$Ns~0FQ~n7Gy#6fd)OHqoEK1&>#{;qo5;bq!Tm-g|X^0i81EW
z=!#E%L~5e#SSQ3D&|p1$gcEiEFmlodk8Of8ICL%rF)9bjI?xeLSiu6*2^!A>k7;5Q
zEf_<eNF$sv(8GRVrhqa$bPXr&RiU7?1YQ+N%-Nve@l9C4gF5sHAKL^M0$7JX!6Tfo
z!Us0&2y!HJR1>54K^y!8k8r|@ADDhnP+^X33da18!3c0rQG{!RGf5`qI%tGC3BLOt
zq<VC$6E=uwh(0c4ggL5cjIPE6G@gq-GHi;j$_&#ab96NpAd@i1>@3mMjgEDq-qGWM
zJ={jeIzjEF(Xmd@r10ns?U=KsL+eH()cOAdDD(f2X3FTUcTh_2lx2$HO=3=BjQJHK
z5%W5RErv0MC+QvN<^V`AfrfRuK&b)31$9YAcfF79dPiHuwE}Y$*XV6W7&~=G>aO=B
z8PKwdn0rZ#Nk1XQ2xzF|5N4V=3~HL8p8+ts`+aoxI|Bm)>8nCP-B(8Ns2|eFkPk3Z
z-9u1k3pDZxK2rZ%5@U>Ll0Xb&(zO^yaL4^dj3(@CNznKNcvKX&Gz*fPLBi1SP>h9H
zXak?%(NWm4EQoH<Xd`?)6x894!7}g(9u>u0?Fk(Zg)O~;7zk>}gU3U`OP`?Q4#*=Q
zNTZ^#>zN@sK~4dWhhi+cLL2skj*h|>T|sn%f&n}p3bq%z<O*glXzTz!Dhj)p8KMtV
z8i2<`AzaV^(&#uSBWyJ1CIbV*KhTLykkA3$F8UwD1)rrL2@$-_z`($u$jHFJAU(R`
z6VzG-jf+5n1{7X&UGWLA7L<tLqo5EWP<tCZ_K9sxD0I{lyx1FKln#`!z+<1VVh5I~
zKqGxfqn$|#paDpH=ZAvFK4HZV%oNagBWTQ%!1<w|q($V4Q25Aak|MU#L&2k-;6egg
zI3Y%WL2iMLeZm%^!!iO$3OwG4Q8=Luieip^3dAr%$3FkX+yYq^^A8dyprQxY_-Bkv
z5@XD@7)7x98z2&*2UL>b9tFidYC5|16SB2@bUYNa@*4H#9!K;MTPIM11ogHlXLL0#
z;HEO#Ii;@Xs@yP5az|G)Ivxre&>y|$2vlp0-g7j1&(Y{fpQB@*NucH+ycYs$MvtEK
z32QNfM#V?RJU_!)=Ahsh-2o38>4C5POp;Cd9>WxK7c}MxOEsX5!|13dd^B_P#v`;@
z6uf)kv5scqzVkgvCWaBTo)gx6g{48zPy%sdpfQ3m|G}$6wPL=-FvYOO{EE2&UMqS7
zGFA%d41+r5;1N-bWm%x?09_jn8jysJkHU`WgXjj0H-bk*!OOw0412=IMPn4v&+r6~
zh{6_LL5u_0104|sAB6`UU4Z!=<W}&wC~UzML>I^rNF$;#3ZTIw+^2Yg$46mHt{^6W
z0u?$U3bq%^&?k6Y6mz{Nd_)vt5NL>KbR?94f#I(#Q;a|oW7041k@L`GMA}FwD+2=q
z6KGtNf$0It{67N&1895-W3?za+CPr27ahp;qKIq&9w~)zLA_Gw_$anBM!_Sa-~t5e
zz$w!BXp91Q&>VhGJ!tq8JR*uwcwww5g^rKHiZA3M1-b$h_j*!LY6Gt)1*b4+LT8ME
zM@L}=8)!fm5(=O*Mxi64;6eskxFN<RLB$(*d=yr^!J20v3CuB3p_qR$j4|J1SYrOg
zT#sQ%Qj7T)b1R7vHZlrotl%0M1&?tmgRWeISpf=3++(8nMpAK&jDqa|9jJ`5`gC-E
zJZ!LPbj>LGokXK!q_DIxdKWwcLp=I8cLHd{96b8Y7{ikEElDiqIiz3(wHgya1LY7d
zsJ2N0sfCsCpfzvFsPdy@q@!b`qhq9_W2B>Fr0~(4<=`R{ZA4>qjC6F2l!1Zape$1o
zcM`___#~MmM(`*pW6T}MIBAS}67+In(2&aLSSdVrjgFPF$6y@+#W!Y(ZwwUo$SKqw
zr6k4}=(<r*S|o1FG)Vx|Ur)Lk!x*Cs>X^sChZ;bGjNoxn*y1ZlV-q9{9T^2L{lYQ?
z3LYQDT=@wf8HFvef*1&D%z{Tok(XD&TC$*l0O<HAY;hGt7swglkx`7LRcPxzk;X}r
z6d+eKLregL5qM-2Y%i7pQ0Vw5<~g6>kx_^tpr-feh^Q<h_;9H|pdnC5xPXR*sW2ic
zJGwS>(60@JL^voTKu0_w0-!!9c$^d4+EJttPVkAIScgHu<DA&mk3vT_F&3_441t2j
zIbp>Ya*+ZW-{b?0ZK5n*M>|gxl=z5TJqjJ=ge__Z6{(O=01bhHM>xTS4Aucq%yCYE
z7$(ptXVU+eYcVV_N=bKP{y<E_zxEU4pO~vLilFgP@H$YmMW(n%JHaED7^9=O#yRng
zjp7^a1lxi!K010XD5wG)-76o%hknMu=-N=o3glq)alsI9#}#cQZ78~`(Y2wVI%#y=
zb9CHuNQ`@;?EhzGK$-sscd9{6>NeCCcDpPSXx|^CaR6HH*nuiPx>ufoVLE6Y1UBgl
zYLw0Zm7b6w0~HW6LGqyWK`=F-a2#DX3TrKc7D|qeiH?qm;u#Z_OZpbW5_20e9tz4y
zkjw>IU@<x#3NE@qnP@nVhhiP)#C@L<zEMtmqodfzIdPARf=26O7-Oy`fyOpLo#FqW
z(M?#%1{zu<Zj3ZWDCQq{U8yFhTOI=%D+LWQYC_H*g`{?nY2eXP@Uku}gQCzeQ_OQf
z!K0<H#ZwT&Ks`eEXeoF{KXl{(+3nylQ`o{Oh(6GuD0H+G;}|}Wi=nF$K{*pVc8a+s
z6lt^+d=3GYVNvjyDdxIR=x8a#A)tD8bd*#P)MWdO-bSPTC@E<431e;O=t-i3Y!5vo
zXMmcV*vCl`@<^kjF$yt1K+`&~j1OAl2p$&&7c*FgO`)Ts*iIt_kB1UoISL;gg&lPa
zvmI2(LDzcXUONg(jo`JT#GFM69vg)feyGEynB$^?F^n<)Vt#?fH$m$^W0aCuVs6Cz
zO=5ur9msWf*MF)aum1$8#yuh$BSUC371!t}c$5=kEEV6lD8BJjT%)64TQJ5_NAFL9
zttT8kQxtVK{^%ZhSjre3CuLLwHP1%ZhcXm_=2l^K8>p>TjC#*v2}mAP4?yY{(7@2p
zI#U$l?$L8YL2)}e7CJf>3L1x53L5W?!8qx18RjW_qw7P_*2ZqZ45QIK^q@_{h&}W&
zNlf6;P)6{^G{q$7&SO|50~(+i9TOe0W1?8cId5R*ikqM^2GpBPV#PPsiF<?;`$#9g
zu~EWfow&zIp|+`j1{xqu22eUBZfrCOv{>ms<mAy9mL$fQE6@!~j4_%Jvo0_&Fn~u!
zF;|2_$3$VvrXcD;gP-6rQRF34unYw1wZlh7VHYq%^nu(A9up<BA{078ig^+!cuW**
zE0$qTq><4i1<>ihuoG56i?YCDq7Y|*26#rtLS-2hK_`6vLY<GM!&s>NP+c*KI{&YL
zGXEdL90NL7fH6rri81DRk{BeVfdZHlwa3B*>aoD`3aHh~jVjLrl1J^)42MxtNO*wy
zXW+3>Y%5NoqoUv?>sW_P!DFM?R-3{{Lz5IiMLNE-O2K2J*w&kZ#zaAfQz_vdHU%YI
zB3GMYj*Nm%3uT1540MPJXeF#-3=_B!@+XD~5<Z{;5<ET{^BIu@Knql1_rrrv4+Z-X
zZ7Tq%)Wtnk3LcBZ7+b|PK1z6G72jAX*e;CGRa~Q__(oQ7kClS$z!+QAMPFa12dd;z
zN7?kz)fj-*nt&=q@Il?5A@KmJ;6`s^VqmaG4=o2-CeXBH3}ejm7_peQpm2*}96fmy
z{nP+|^l%HnmC8nU)sOC~R|GXk^FS#C)-C{z{^o-UFGz6&k}r^Dis1%dsLBY=bpkN8
z_ZS!$3Q^k-qobxwp!OIzoWP4Jzz04(kC6eNPV+qGe~fI*{}|||P*CTfQI-ijTl*Ze
zHY!OHO#X`bAM-wjF-aonCYTQzMPLL~y^uHo4OBIOwxGr^CW*opCW7Lk85B`5VlnSw
z5d>=Vw15uRhiC+GTR|E@W+kyeclW)8NP-%Kqbo?Kfbs$)KtM@<Dkvv_LJJ}U3ZrSL
zDn?h3GBAvenldJ_#Gu>~z6o>OXEP|_px*{GI%*0Z@58mLUN(slJZj1mb2EuCMk(n!
zqD+M3N>B%6blemkj)P?_Dc14OYnb`sIwW7<8w<rhiV7W}MBCwxd;FB}Xehq1RH$ue
z<Dt06PvQPjh3ue5q*>y|P-7qqo36(&#wbA-H$j?VAoHM|clanOY_Sx?5>Q_fI*N*X
z#2&150LnMuu~f`;qVQ4F7*JQ25%=k#;4xLqm7>s5RIsgBhD*U?shF!okw#G=9so61
z27dH3i5pzvfY!19i}??mwSl-0v|9EPXxt3K1+|_(gIdI}W*Ml(@C8-=D@Y#ErU3cz
z8>))$AQi|a{6Lle32x_sT7*m>m&J%cEC&tw(SJ-8G~R@<z7*2_1s$jm^A&aiY0^(n
zgENUS<~+o?pw=&=EK?G1413a#Bw6rzxG$2#lI|d+nLv%z7{(;Ym^TnXP+&33G9?Kj
zxGbRdZOpG2Da5c9=wMY=(8^kfDo`A-fySC)2_Iw%JE}Yf=;BaN%RT8=(&$KP5+5Yw
zKuutz^`;O(Q0Re2QXyQB8tC{bw$n_(Bd6dV4%UHH`1omzBIa49;1N^8D^j83r`XOi
zg{~mQJ+P`E%ao*=^aotX-bPBb@~Eep#{7?Ain)=b6>~L)DTWD_jzGhs;4#xAq`^Dr
zcxjSM()A?u7{;WVu#5~+4IU>&A7NDiSAd`$yLiV~agCXRM<g*uSn-XM5*}s6HD(I7
z3uA;8_h>1;F;-k-reHfj)eg!@siUK(ur-Rd=p%e~piV04So7%UDdvd*zUblRhZ%08
zqo=S`7K<KQahRbsdL}6Y1H<U(DRT^C4CsPc=mxU83=9mlsBN@5P#Z1j7i?~t<Ta;K
zF-%FnVpwBvjGuyfexRvu&^W0Cs70Ivn(iD<BdDnJ{|>10|40YikKScJdY3)gy3Eo2
z`J?;u@$AnBommPSUu6XCfR0g4x&|pjL1c_Fq?dP%fq`LkjFnDftXM}*uV9XxUIjPF
z7?arGV~o(tePJC`&?%O<M_i#JnV{Me>10s+W37b8PH~UBLhVBvImI{D3ilUitn)wY
z{8G@kCGPRo7#YxR{1`P@7=Xq`!6U6PNS*YDppXNlZ}8HaZ%K?Xl1V(^?*9+)qLRO$
z!6a~JpAlvbXfPGJQZ<P&2FsRv=twK(Nu=O0RzmAe;Ulg|ilAXL*!odW41&j4ajiUs
zj<jN)Lkb>ag=AjP5Y1p6Tjhby?)-zz>p+}5I<BgSyjB&IRAX3@gk#>oMpKd35R8tZ
zf_kl>VP1aF$T=hhfrjD*zysx=^^S}&BCw2ni-Cbb5S0HR>Oh%82r>c(5ddivMwQ2P
z^F2fZ$OIC0?L#yT=}}bB5Gd?oB~ZBtngiei7oUva!b>uS7kM{+5(~IERYHuRLc#!4
zkb}ohV?HOrPf7=^Zb2SHWlRDU{?}ueA$mv}MO6al8_=3pcrgyL6Yof>N(@udb=ay{
zkZR&aQj<Wv;J-<XG1p-%h<iZ=INs4zC1m?R#U7#2RLDwWP;m(xSq9mGZ!|SVDdr}`
zHJ}qBMn_UXr3EP2j*g_FuO9VAANBKrl#DTK$gQW*kyOaptI_D86@x9bMn_V?t4wo1
zVFRiMASDr~w$4SZWk*L+L3IcXMp8kwAaornIU}h_&to{ySEIrzNKkD(x)v3Yq$i-R
z)R+iez`zWS0#MXK7czj_jFUh)0-_bfoeatiuqqwY2pwIE3Y}2^C9=h!z(QX=xdd}e
zWOOa+=vq`+MnzDP*@u}r_G3#$2Qby)T8j!BEd>?SkX3j$kk+I!CH;?4O}Y!o(x9%x
z=!hvjM@*9xk-IrWjh8Bb%4P7)^2|y9U}Z0;J4JBRR56Ju<~m{(AE@I-^vEe_#1*t@
z_b<q1P+vOfA0*9yOd&Xas*GwgD4h`+Lj~`^SAqK#TC9R}g2z#j+xGXNIYcJrdW;&#
zCWy;GY9XVjQc2LhB&Y{P!Z<3T=?BW2qa&%HyB1+74%8Ta2MTydV1rr%@6lTWA5i5#
zf?F1lb_HXSNDO1r=t!y{cnP5-BoINXVHp$|85kIpkVjHu7-LwIcw*Rx)<`Pq{C@(<
z{Qu~#e{dxO$}}XbRfQxHP%|66LKWMo0PwY`;CrC3E?)(&P{npG0CY_%;ajM{D^#(a
z3V<=Dn#2>s6!Smk2lOBnmKe}T<?R^E9sEg>up$~%YJ*Zg<mdo)$imYYm6*TK)vecJ
z{>J=^(N1EHxdsUj&?x}mHLA!fTH)(cv9D<bkETM5h8O}GqE!PIU+j?epP*_I{Q^Od
z)9{U~f>(iJtZKzIl1g|*EACNMuuT|iT5*l1LVOgX2-(a5A|ak8JhBS52V-69=wAQP
zz5bw@9sPc*(J|K1F;)hKOi(cjDPTbLTNWgxKm<T_S2n8r=ol-wDFB*Vtw!D7Qv;p}
z25p%Fb=^S&anMfC=xS9+Y65jZMsJK7-S5vgI>rhaJ=}mfD!36;+CoNAM)&(eLW%lg
ztf=QGjE<m!Yc$Z18F~Bqv92Y(gqgN4gPRuY@D-QP4i0S56lhEo--s%7Z6|1C6KO3e
z?om|2>qzmBrb6vQTT6<2>=f=V&|)H#m8AH_PO+^e#WiA@B$M<n3A{}dv=A!kFQi%p
zb#o!(rjnrJD<FcnMouA%rhdX!pvGK_0bM%Hn4}KTb_sS?YLar&AJA<j5MfZC9lG`u
zbU<1Xp56N3m8h7z_2Fwz!M90a*{=^?fr`0bAG-Dw;tWu8bcn1u<%XWa{C9LOKWHfw
zVx&|Vd88Cv(T%P-Wf+EMph9vis7DFixepNlW#OSb8X9vOG|3e63${1~R5F6smtu^F
zg4eyt#6Xt$Ux!t4pmG^J`iZ_C6?Fs@%ZgMY$3StcM<qB83L5D}S(8e592B++72A4L
z0%M^VD^l@~g<@Ziihq?UXe<<URqE(C=;$~oxCsh62LoxR&geMk=s4);I4JmZBJe3o
zNxxzwV9P~7`{*lC$2qIe&pD#^I4G#qIJ(x9fq|h5btNceJM&TJ{~J)||3R&`e$c)r
z<kcjj>rffygGTFMVFC)I1)%x`($WPreHVh{!O4{|=2wguXxu63Qxa3me~1!LV{j4X
zILYWbROrMBD2<Pfk)oU}uosk~FmDeV9U}#$l~b5`=`<)qqR%&+!Blq^d$^v%R7t@Y
zDeCG`B1TAYt{o*}oD|FIQKH65LF219SB?@jVv1$;DE?7X+-pa{Bd5q~NAJSp3CrqH
z$haxW>QU%gQ`pF9(v2i&*^4r23hL8CieykS!nWdcbljAIf#D4(GeN=$RJ*+e#RX{L
z6+{R$y-V>5)6sELLF_9`LE}T<);VbCAGE?Wh6lW!XLPrI64K#Re4rLFY^)8GAcw<l
zeMt5KH7~&<rw}eE1HxC2#wf=809~F3^EqgxAb8y<?o&~rt4Cv$z{%|fOebi20C>G9
z;WJS&R*%N;#ry-U9gVpf!xE#C#1eBgi3Q?VP+<!hC6$1!8wCxV!uR0EfKEGM1g&(8
zxgNuq1e%h9ZdU*`k-_7osN<`k++Y;L7;_t(2f)`!5n6K!9zR81a|&HQihac?c)S#S
z#i<6U`~%e$F|3d^nxMiD+p1GsW2c}o$s~*wr?|&T39mWDHEs&F31h`6{t;7%pRlhx
z#Wi*cwg+RysWJMlWfM@yLE;LMB0%wKimu8GRH2}rGHQ;l#sXv#G!Br)@GQ~QSz(%G
zjjqN9WEQ%sMtAIkXD*P!c67%+XbKaQVk6O0P!wh=8{M&wbh7v8YEtmpn!z}V3MxY>
z7)2euJql8hfffSyfm)pqE~u3@I#SBOz%UO~w?e`M)KncEDMdRobq(go(pqq3!kEMc
z8YhMX9cVaW9j40lpuj~R)fycs9UUnZO+pESlb{p@>ZByGqNXXjj+A0uA9?{ZRbPa-
z7VKUY_-aZ;aJLZNK?SW6#XWKgU9$-q!$evmif^=(@G4Q<qoz>X&{l}zA0vhP3uBci
z?lDqqYeaF6jKan`V{U+kijY@{LdHkYR*6DKNI{*yq(9&razNLRFvk3a4GDmH>X7xN
z5{UJspdLN8aZ(;|Y<&l<FHQO%^B=apG)6P&M$8{bnFbo1fbPu)wLx%S`~+Qbnxq6i
z@DC|I!D~!$omL88X_};%^aHVk6?7F4c#SE<5uiG0sID#L1>IGbbQLnM2=NYR*k)wk
z5v4l1t`yV?1?4D6k^nVKNnBUT%D}+D!~g=!3sC0&85kIb<T<C1kN~yGN5;r0=%5$m
zLsM~#obrLkys)lK1&@-VuT4cBDaEofm4vZU9II3Dj+bJrOeJQ#6yMrZykn*qD^rOX
zGsV6>72lXC>dMs7@lsGzC58ogrq>aDtj!73JVYHGc1Bm@0`3^0t?zV2SLKFjk~_K@
z50FWq(lmw@*;StC>bx+`8XYek9WMpdIq9IJ0jYvO&CLu*iUOr6h#;tj9^HK(!wcGi
z76Urfdvw()W0ELrIpIA928L$vkpf~d?_qs0P?Bi@-E@UWL9L)ux}be#0Wkd*A_<!Q
zZUe2=MQ}%V-;eITAKiTqJ-c&sycA`uaCBuU1H&L1FGXD|O7v(c&K08s$4s%T7bSAs
z6g1k3bHymZ(Nip|MG23f;$ATd9z{iNvEK$YyOP*JW3}j~jY7syQPzvX*O$UZQBhZn
z!beaMtvJvW4c0MKkdl}`u$p^x6cyI60kvUXfg%OcE&(--UxQl8FnLhZnGP#WM@LZ^
zV=&G!RYM*{1+6k2dgqvqo>dB7astX$<eycF$eQpqrVt@ervkjP6x(U0&^4t=N->Nv
zSkE#AuPnuOmMO+sQqWPuF`z4UK?k1yiMa!wR)S6-fu?dGt4YOSt4TrgAJEmLF)}f~
z!9_1<B`I_x5oopwG8T%m{uH!|6g(CRo|O9?gL4HccvKX11u7_~kaXrLc$5@*{VCFF
zQtWF`!K0$+Yf!boMJ0h#PjQcsg4b(etU$#zB1(AuDgMz>ux%KtP;rfmf~Fv2u3=w)
zihG0<YzM{))X}x2=&LwK$4X(Nl7Z-BxIxf7g|y~17+p;WsKo-FH$hSrimoaQ)1+{8
zH4z|_z$FyQ$nxmgQlxdGqhqBE3=HL<9oLX*7t|)M7&<%jL8*ImZ7H>P=z|hLKPXMW
zdP<<i7&Uj{BhCLGVEF%&vlqG^fbIXEo5l<b45PP2LEDp{KL6-0eWbt`9ZMY@OQq}D
zQ><%Baqrd#ckr$yF~T|*uu(bCYEnXDtDuoX@C{syu-oB5x^R!D5?)b?Z(J2>8`_#u
z+@q*)pJA*g#XpLQZA~ezu~XPsDCUY%$OtOhic<I(DyTz;drc{1EER1{DRd+?NhawJ
z<fPLiM%YnApguli9jZ8D9V%#8727&g&{kB&nC~$xpab|on@Yh=66h(XSCCFQ{e@T}
z3W`?fn$sB2m^PMEO2I2nF;6LluQ`RZ)<E_0Fj;HLoy3^*9ds!S=p0js??CH!NA_A%
zb>vY}&@$W@x}9Mf8YbK!%Ou6X03PH64W*CH|HmM897k_B1t$#9X4TP?rNBV}YO9a#
zmqOcpwg+?f&FK99==?w0ZKL?wsYtC{P+Mtq{vWii3Uqfbd=C|<#W_0v&+rm7Bo1yQ
zLB|U~jh4~*e{kId8qxa+UgHV6Hi`)xN+OU}Ht59sU#P1$e}m3pg^m4zLh%o({9h0^
zi81LHc<2=x+BX>(82+KI82u08f{*<fo&RTG;0BGTLc$yr9Xu58q8g?<r655B>e`OZ
z|1&T!jL!cnf(B$p=l>yRr;na31#a%3%xI79l>&9cN9X@Rbw(<v6$q(9Kr7SIKx-gi
zgYckgcXY25Lm8;$0SgmQn2pZ=GlE*g5DaVgf`)UNKwF+-M)yjA5(#bQ{}~t<0D1a(
A5&!@I

diff --git a/posit_adder_verilog/wlftvg4cxv b/posit_adder_verilog/wlftvg4cxv
deleted file mode 100644
index 6b70105f803eab9372e159a6edbcaf00410ce6b2..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 49152
zcmWemVOYap#K6G7%zz!3APMs`Fz~-&H8eCZG34=b3=VJ&3fA@ab@fA1!jSyvZ3CN;
zfsuipAu9v({|-iG5Xr!x08)g@5|G>|9u0xf5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C
z(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2kHw6Cw
zXQ*ai0AnTw1_l-vX$EEn0Ty-_1x9{G29ZgO%uL*jiVlqI3=FJ_B@Qf#9ZYQOiV3WW
z9ZW3ljEt!Q%pB~B4X*6Y|JfJ>nHguW3NSJ-8L-S)Fqhqk@zex1CPqV69~IU*##~Lt
zLbhb3{|v3HtkQv;9PI42?i_5k@-nL%7*?%XrA4j>M)i$`z-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2C-4FS+x|H$?IsLw}3U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhoehX5l30|N+yee(Z5gERvJ
z7=zXXFuL$FurVxP;c{YPXZ_E@ki;l2)4&iK8XC$9Rz6CMhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb23B5CF~dgYe*R&8RCzLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(
zGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz7>A0cN!Q|F{4D
z|F6oxzyMkkz$ODTF@imnF@il~*x*npn9oM((GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!Dx-
MI>{e2*AMm!0Awg!?*IS*

diff --git a/posit_adder_verilog/wlftxicfxy b/posit_adder_verilog/wlftxicfxy
deleted file mode 100644
index a779de0c2fe933b32519a664c7aeaaca8c56075d..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 122880
zcmWeAV_3sr#K6G7%)r3Fz?qow0Yoz}FmOQW2@DJjOi;E814F|CRzpJr6GI*^$KU|h
zpkQ5pUspe<G?+?$wBjn8k%5tco*^p(^ZyP;W)R81pa7BuTLNN?;?WQo4S~@R7!85Z
z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu;sDu%%S{|xO63}6hJ<!5)1W?*IzU}1MrVB}|H5Shft%*4&8=)lO%
zz`&~L;=roN#G=~5$mq<(#;*7xfkjb(nS))i!IeGrKO2J}Gvf@_35*O(28>z^dL0W`
zjaXR9Jh)gSnAj9RD+?G;sjx9I8nXI$vMylNWJ~F0Xk}$}b>ZY-XSbE*sAa2OBh$dJ
zYSpS$3^7#n;iyrgAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*
zAut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?Y(oGv*AMpG|Nkso7#Khpyvv`F
z!IpuwtT;0_o57Zmfq{Y5mWe^b!z#unF)2nNH$Npche3iNKCw78raUu`!PqD<CqFee
zhLK4}FSR1omVt>?w;;c$#Fl}H!^+Cg%FL3%%)pk3U$?k~Atk@GBrP*1l_M`PmqA6>
znZZgwv^ce>Sf2rWo`Ak%0YiaHVo9RDPd-C(Vvc@DYHk68eps-7Xh@m?LvmGewk<OU
zBa=Qu2Ll7QG9x3Kvxk+wCxf4hXPBo;s3U`qLO_tem#Z^Fh<-qRab}4=QvoAud}2xp
zLuyfcS!z*c4oiMIyKuZkQbT4*d`XgC8Ke6I4q-MORtb)HCJ6?%T_&taj^+79*{pu5
zoX(w$+ZcB-v2wVu3MepKP-0YIXHpbkVc?Wt5MX6wU}j{_%ro?4(PdO%=5i5am1AO%
zGcjV96Y*paVoYZ7Q)u86XXdErWKn0Y5o56Q;9#(2%y8jiaOGs-S7K~sV-Z(kVTfgB
zOkBuW%xKM5?9Y>}z+75d!nFP?U$hD*-ztVLo}B!=!hC^~<v5ubx4SUEW#M3AU@uBd
z&&*Br<n?8`!eynR%HhEHNRX3_m&1ogkRyPbGeq2z#fUeN#mHZPquzy|qnVGheT6s^
zOM-_QgCuK$i!g(#5CgM{AZIXx?ppR}7F}1)g`y0GN}LxMIInVY_Hj;PU|?jt#G0F!
zSK`Tffmw`mm5MsgZpI5r(hT`h48=l{4CR8R;u9Ga#F;EuuYF;$P!gOZ&#*>Gj$wl=
z!xkqQCJUAd@qQ(74-JMZo}wO%+>8xEj9)k-RXQ0y2wE#KuzM&n)i62>u~v)sXu7gE
z8!#9vNxsr!uvXG#u-9R5R?=p0*JAMYWK`$k;#?=hxrv*LX;LmPJF_t3GZjWF(c?;H
z3~i<iT}mbleZ~xvI2r$NS&D;HaIjl4R=BWQ+Ath&v1T}8#lY-h$-r*Gz|CULz|SDg
z!H`-}5TBBnmgdRG%OEH&uj0wV%h;`C&%kZRz(2{BQB`s#<0KVMU7o{=3QOX1SsXhV
zrI>vZ85~t4`x(zFc`*38GXyHRF@(A@L@K#3#5yx1DmgKvIx=K7IWX3XGv%<ls_-*1
zRtxen`Y}xQ6=Y!cVPN;3$iVHzz|XkcfkB+9nB8)+1A{EDbw>yTcQ6BgM-YQ>AcJ^E
z0E4tYgFFL+ICE-zz9%CGgO0q6N+}C3XNOWa19un$e^V%<syK5Ii=LNei;`?z6oZvg
zB!gW9V~B@1OAdRSxQ0q0Lma2wM9$|)3|X9-9SICY@eE}haST<l40Rnb3{BCDGgLV9
zHA6Thi)4&TawiqAZPCtW)bOZc*djT5lAtZ)0mY>q#SABk7|ysb78WpEQDS_R$8blG
zGd+jlNwy#Za~1=8rXT}%1_OV3Cj+w}cPaySM+yUfGHas>=Ud4ZLFuh*42_1KeCCYO
zjOr5@7+M*eB-gnJ9+<%3=hDv*)W;C!(#sIl!@%s)&A{Hp!0pn>z~8~Z?9$G_-p0VK
z#Ax2aAgt8PAl}3vt<=aM-@w4HRL{U%$H1;s%fMa3z%NwIz|8opQjmeWf`PxBlY!Za
zk&|-`Cv340xEvcLMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhnPh5%?@A8G!dL7IU9j6q_IF8mB^3=3GeoS4{I
z|FbY8G0Mv{FocGNhO&;(&>HpjXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD49*Y$&GUos;B?)n
zt42d$Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nhzS8^1_lPu8316l|NqKAU|<Mb!oUDN|DS<H
zhJl5FkC8!u;s1YKCf0}*>=}$K%<J1!{}&4SaVQG7FfcI4GRAPkh{rI>vN2v}WtG*9
zVH1e4in+nSdRUObl!d`kY9fQ}PX=a2cMett?gKF{e`6TM85xu(F-9^<Gp4&Ra=&BH
zcKO4gughR8z!>v`iB+tViIv&#6_cO|d%v_RtN152RY&e5Mpj1Um<NpdN=eM_0!-Fk
z+-$~d$r(v(EY>P9UzybztQ|PQggayzx%4^y|L4^I|DQpYDT5<JJOkt~Hh~Pbj7v<)
zzXh3C9U1uFWHRxym@A!R5@cf%SNh2$%fZCDNRo;B2aBMF44bvaw+vBsU!@03%3qi?
zg;<za86yP*nfN7Gs#zzpuzzCWXXRyJ&HP`P5v9r+z#P}r%p#o6BF`4iz_@n723bbM
z77_OqOk(cJLISR<_K4~7s|zrS=rS<MG9@u6B#9<TB<+u3;7QU;IvS%Mqi{dQGU=1x
zQkED+f#~l^qO9Wm&y$!LV!p?`i#ZyT<dF0}>3@uY=tjn`F)T^H7-F8rNXE1#{o{zK
z3}ehyY-Kc%WRhiyQ4kdP_mV-6-66?4=6}pDp&t&yF=8=H3~nk7jI7LhN`DPJn3-lP
zd`j|4`o*xYLoBJ0+4G-4at8~eXN-iGK+Fe;SwW04PTylhW8SdDXfcY%{;%(3lw+>`
zV=%RYmC5HLi%*6)i;oi<^R$=`5}q!e49q_n*j+>zxWyRw1sakV*(b2uvO05cGEZQd
z^fE?KAv);?gKY<Ek`9-<EW@e}W{K}S@;8+pa#%L8aQU*v2y(b8{ELy`@>XJEx^zK7
zn@LAyA!F?SN=}9e$_2k-Tv?`Za;dv~V7Vp1qb|(Fa_fC2gC)zYAaMpy)>~h=S)EmQ
z__8&GCNea7aFuHaxG-=Fb2Tezh$S)1bLA`KWexJ@W0_{oZ_DZ|(8*gU#P&?*pbEnr
zf$oXgYuOprXnU&&i3qEB#Qv`pUc-CC<(I=IL8df@UrAC)5=lQVBq=9Jie$40aXTly
zk7?kFk&2N>;uGv+h`Ezw8}n>I((IVJ7?Ei!gxTCXMZ`A0<8bBRWb}^V<K*xc&AT1b
zWXlozG-ftOaz-snXqG5j=r1vrxhuul+%+Xc4k*2qisWEk$jblrR*bEqROOSH*;0)r
zH7u(oP1#mU{pInzBF*MrBE#nyEXy|cvK-4?e|a`{Uj^|QN=ge~YsqwQBz@3O(UiF7
z!Kb#?(MCwZQN!kzN|KO<lfq6x4UZ=p7A&5c{GzKI7Yk{~X}kXvj7U_J68(8mY@L*j
zl!}r}v|{}vNr`omuN1pIlq7aB@^`6TRA%#>r6Rh)A?aNVr^Z7SNd{&QOF<ci+ZuIt
zEiq3tTIR$^24ys;Ov+MaTewP%b>T{Nw%3^&B3mXZ%;I2QDjTJAi(#*l9fSO1hNDVz
z7}RSR&bqR$*W?TI)nZ+5s%^^VspBau6~M?FD6ebEwovaX>%uGgY_C-e#P@LcFM4B@
z#Lg4C)T%`1Pv=bmNjs~qjz<E@bF8L#R14_x#s?bm#RnVl#`_xct@Jfv-n)t~ex)f}
z_)0U;jmym07A~{UNedO<7;MSM9&9Db?`zE$=xf6lXm4xEx6%$|kv-pM6$jHDnqiJX
zLQi5sn7F6#<Yx=aRZ%!DdcH|BU@2qFXN8*{#tL^mTAlJ|#%y46RFPqFclK@2PvVIA
zlEkaQ-lFKs7$f-I!!aN&f=kGwS3|B|Af_cIfq{J<OH57BC)NLTfgQY#V(WvQcv*d&
z**tw+toLz=|NM}2NzQ4V-r7J{w#C72yeoa(+1~nki2YWLtoD-i;nCmd?#UPK>&3d+
z)Z3JAq0d$R@GHK2zeD_Ze+T=ExlRu7-IXP<MSrtHg^Go=!0Aj2#h7iSIy<ifitP^x
z65SshEXNrfBEH`@R4&;!Og7mx+*EFD#8kcAqWkT#BGtrKMX8Ffj8;or8KW+~ELLsz
zvN+Y<v*PtwXC?54tHek|8+1C9Mi^;oM5qK7bHsNFAFlfHU2CpNw3XmdB_WUB>RKBe
zXZ0sBC22nH_#WdpaTc?<LlRTW|K?7m%nylLQ$=$>B(2n*n)6{<GMl?vitglHF}WXB
zq_Ty+Ok<h5Je|#5ErYjoWrTVDheeretCwZ*c+Sse`#dYh^lk~SXK*f`=jA+}=l=P8
z6MYMKJ(VU+c*f!H+40{&pw8ivgG}2*rOXf7LK_t~Bqy<KxGi+M($B%|uS*h(`0_%g
za;u(Omx@%b_usl$%<4S3gg<<i&yo&XGvzNmx4x9J29=btOuJmp>Kt4lGK=Nq?gdFd
zVw3`;9xyKm@|60wplP?Blfj1=RVAwltVygpV?4TBc1a~Qt=OQlW5IHkOO;I9m#|E%
zV%ff&rLUURd0vg!GLILFW(%^Zcm_xwEK*cF#~8EY7E{uag)tnl|AopI9%7$Y`;sec
z$%l?Qp0JM`93DZQ>I+{f9q`mre(A~ZO6BfK*_L`n_n21_H&ht3CR|X^is4B9zouPq
zrELR)Jg4qi9|acA041)(#fc2MF;`=pWA?Me1T*Yko#Z1DBO32>$&tzNcZ>$JsStOy
zg3ks)CVmgD&c734E+?5PBrIOra%cfVBbURJGi)o4H#|zxiMh&@*x;D-Gv@S)n;lwA
z_VP@n9zTSbIb4}Gl&wz+i1F;up0Q}<-xfB;>ZC6UhgdW|#Qv{RiK*Nmvg2VO16LA{
zfJ&3r#S<Huk~V7njL}M($Wc?dlyPG=M>CfZV~oqon1!a^Ns=zi8|JYmD7%Qv_`=AU
z&XDvVX+{iF%!2BemZaJ(y__vd^FGCJc*XqUm?jn@)VOh`cxh`V^M?)VUT|`?oH+VF
zi6N0AY2kdvBn6(BH%Tm;9xAS!!kEOd%2iCPP3bSoN0vD8m_}cg9qr6JoGi2$lLWGx
zIBXe{M0QBJNbYE0ZeGOsse{c~mCIs_i_-5GTRVBDP83-GQqujxCzVMnH&0MWx^u%z
z!kZ<D-$0K!=AYxHd(26K98X=|aJ*#Vuu!_V%${q#3S*MsPl-yFq@xF-q>}zSBsC}1
z#58kQDP_ttE_kT&Kc=&ZrB12ggGm?D{6!yF9!s!3?(AlH{C>yBEi8{6B}7;r_vo@Y
zA5@sg-_|Q!e!#S4Wj$k(z(SP+jx(h^7?Xs#X6*c+z?l5Mo_klIq-G!6wBUZ0Y5o(~
zoV}$}x71IbC@*y2ipR49H<V5&Byl7;NHZvMh22Z?;Pps)mz1GyvDxJf1E&plp3n&n
zi3g=jF$a=j{wAdg3Rlkf(KShssp{9Jte;C_lut|)wdR;CY#sByC5da}uNXeT{Vg0X
zZId?M%wQCK5;cY0`(%TX__L|}@e@zX<lN=6;I)g`#M?UzI~bET-mg1zYS}cl#mlF&
zEf(Y2TI0*&JA-AZF8gvp!Iv||UnVKN<@(OSygHMKTZF&mR?^Oij7b|_Y9z64uA0Rc
zzG^ma_{ur_;huBFxATUZ&a>tFJ%20PVzID{DxT=71w7HZDxMqXMlIC2e`B%BYoR3^
zH{u+$k|biz7>K(#8cAOZsNm3w;b=_a^b|TK(agZ!w2L$8S&Sruir}B9Q!Ghe1a3cy
znd=yFgfS+LL03RJrb(lt>SDmICY|Rli<mzD6nk$XrRu(zlY6>@H)GPo4QG-PBQ75I
zP<r6W$ls;ny@cKKn&?}VrK-LGlR6pq@5oBJ8LIFhMo!AL>2^ezUD6YVn=UJbY8W1N
zsjOVawtU`lVfzr4<(F5md(P6kxl-i4*b8G0PmWxcwMM3s9?r3hHZ=9P#nHL)jLYK$
zkvRrWG#Ha~;;UA%ZT+>HW$Vf{EL&AgufAOSYb{T#tJJ}2-uTPw*j8R%&lB&zfhS&H
zJ#b>7??&E4C0`W_{tq)fAJjN4ev!$NH1Q5&l8mc*{7ueWC8fqk87;2-`J32|`fnCd
zwcldOpTG4lPkzvkQn9mNwuy;<+0L>&c!!91w(j0nJ4Mbe+r_qZ`EH)r`Fr?dXYCa|
zn`LSFp&=(TV6IGc)jq!DU;9P4UmoB|Hg%oy!+pl%gR162PEDR?pD0gp5WTIa=*gHA
zz1W8_sYgUH={m#NNqkK951JY>G>lRbRTz^rlo{R@O0qgOJazfQ_%lh8(`CNH(OO-@
z%ZJ30FCUiS_CF%e?R!*~Th&|kBE#kw-Rl>Ru`RuPTxO&H37)0;u|ESHkDt`Lp6OFA
zt9SX7E!)P^e_1wOIisE&a#l6ji$C0}y-V-nIkx5V&daQ=%eo-?zcV*f^WsI`=p{_u
z`WK%HT%KUJB}7~Qbk`-m^m&(A_Sa=y;ZNUnl_!1fg!yjM7hMzMU4ETq>HHfayivL*
zgKvuLH@#)cw(<5~p71Mo#P@%>E3)5P$TVQ?EFar@e9`mni!jz@J&?)NEe(lmpY)J<
zy;i8^UX`f!rh5||`!xh^I?jHgHFw4mkMCNwQOzzf8WUr71bHNB8+AL(W^z0k!xH<y
zuWrWg${Aq^EHQuQU-oz;^`kV!{ju<^S0yQ#PuRUX?f(TzJmqbX>F{K<Ot6@EW39@Y
z(v(Hd*cLB;&bD}(5>si)q8BVnuemN$c`2-;WEWeO^5qrV<}0t+HV1K-OkH^84S)ER
zw|wE3-?1&e{GKnoTPvozX5X?8JdxAInIrQ&J~FTN(NKBjJY|QY^6Ya9BThQy%-XnV
z`^1=n5Ro%1m8Y1KsvBZhlK<Dn)XiQj(QqIt=o44Y)cT2^b>>d5@A|^#d`)zh%2)m*
zqtL5U>aTobn|9?p%e2cs*r&~k4f@IJ?^1E{Ipb!(757)hIITX!7^5Vm5~D2jIhIMq
z=NL=UzogEY22D)0i#|S3{a=5A(c_oU?xmYtf3s{4`Z#^rri*{roQ1?$6*>QQ^7>8K
z$9O>QL|~BJ&Z!f>S!`Kxzd7km(gqiYn13<MGcI)`F|F_a#}qMT&AK)IW;^C^Bq=mp
z`WWLpb5>x(9haYbvNRdI<}l7Uy_K_*Vdu8ujf>75^y?7F+~e{{S77Fq9g+-!NsdWO
zNqy^f$oXtps-18o=1z>+3N4ufj!8vI2i~muBg7NGv+FG5j4AJ8)RSf;#4<F-Jl?SC
z#GlQP8&_Cty=Nhpv~$BfCpU)F)iEr|XZLM-+3C22jVZZcSIp|kLc3ovvaS>C@RMcA
z{B<Nocf(Vb87~jE#>8!Wm>A=*z^#EX#w6+fsv5<A9cD)VHs9H~I&bUChr6~W$!~e1
zbp65|55^etj<p=t3Nd?Qq!uu?IqZ<X#1U#Sb74_SOcF=9L<~!iMEeDW@NK_EV~V^b
z+_(I=e|Pe^F2~z4#aq8#pUf9Ef6JHO(JQTv-L2g6>F-*ez%Jjat1(xPPV_50w82T{
z;(p1U8@#R^UF^^1sTNShb24lFhDqB$>ds_V{}r@hgZhf@4cnd{^gn<4-1c|1D|zCJ
zw!95pDY~(E`|J2sA{%42y!z`l!Qx@qqzQ?e_HKQ?djGT)OV*u{=}<TvzU3XGXUxtd
zgXdjljXwo9Sj70ZET6P$>vp-uf67m{PBHu;{B4#|+u;Pp#@+6Z>Ym(v)Y7<d;^v4=
z=a^%*$5;v;(c?OzSUr0}=N_i)W4AYS?qxP~e{xXC`BOMU{_KYDyC1Qzv&OuNY1({X
zgLl&JAIl{)HY`@(qxFU-YBP)efg65169cP`m>+m@r(?6A<iUM0ryj7VZeXzN@apf7
zHk>8GBF@}-*!H4klh@^(&i`|QUdeZOoZs-o@~VY@pFv9V=|y|BpPY=@Fvn(s4Bxsg
z{un-v21AY{^#c(vB2pNW_ONfX>)E5-@b&!8QU}JQ?<Zy#h{W*kkxn}<U(|XnVUKY(
zi#6Mal^<dRc79^nXX2FPb%FKRQqCPJ8)AALUE8me*)<w(@?;9=4Su@EM1^td1@Gjp
z-%X2nc8JCZ3Gig?lw>@4LYQ;i9#MsuuOH$nKOO0|OZk$p^2V7xzUeDC6_}E~F@>`U
ztuSXws(2p5+;D?~>B4TNB#B!|<_*t|v9C}(cvRpkgX-V5q@A9BcfCk{)UkzmZc=Iz
z!>pLfB<7^<B%^Zv4|{x1@rq1b^r*M(<eos67;ce$y@@@0eECIGZlv7^uw-D}Wf|SS
zT5n&d<%x9%b^LqF-1hjgP0QaC7{oG7dXKLp=ju7uk~gfoDi8kr#~34c>=lQAZtVY}
zQkn2Qw~sBX-4|oZT*&a`+ku%MBx1N5wj11;k<(+g;x(gai@>|2hCShp2{EjDA}kdp
z6=U{DTz<fu6d&_xmyd+RjTde52TrxO+bK?d&78bHvEznLU&yhJdpjoxK42)FJ&~ci
zf}wVbfd1q?8O+%#r#3O<iX}~W>nJy0Jm%4b^A3zLKa)D1JE}~5e~RI;8|NN*MYATx
zr#49sHG~$-PO4<h_uW&X#B!TSpk*%G-Tifuikx|G9yU*S7h}VbuE3adpYf^lr0{aq
z=d<^8sxX&p?S8~0@GO2}<wWTv9II4B`WfG=Ppo?I->6gh>%Lj**}od?nd-^%Rq~G&
z-{r~s=kr{yo4jWk>+2;-d^}hC=JH+5n!IN--_<3Ctzuh^_wUQjVfW;GZ0*8vcaqXp
zLxY3w3SVX^8EV|)c`YdE{g-1+=|_oZmIgJ$FMF@6@_+ZV+Rnu*^TDtCcV-*k$)}7-
z$HZRD+Sx04!Xf>ndefqguM)eOrp`ILl6S&FZcXj8DH2cjeDu<&Y`gFxW@CLK=M3&-
z#tWiHB&EeJm2A8*L&Ean3Qh}C1<?;lO`Jb$w<g&uXe+QL$r|J~8ZafrCCSVX=%3qh
zsI|%=$7k>7(^AX#+|M*!mbQ3#tn`eJ%9<Y<RG264`8=V{)iK*;kHQuf0~x;qMyjPv
z61)#%6x7S-OmyD+KeLNZWc95B;f5y^nj~hjB+XT-&tT!1Klum~>(P5hSf{WbH9NxO
z$$s=xg9{Uf8>8y^Zi(9un+hhYU6j1<b4<v)CD_8yz&7c@gX2r)D%@l}>2rjqiuGjh
z5&m2J{YQ9I*iTNhSjEJ^%s=teQOPZHBu|}Cu9&o;An9I5$&$G`#;g}5*3G|W%R77h
zRgpxsBMQ1avjtLDvRu|_R^?u-d1j`5>k*SE{*~!RG%vAUpM6B<lDy@XEj)@$D^(;d
zHE*vJDwebMJ!*EDPsTd`h~*{T`LmDMTw*_dWe3agNnCRS7YG!G$k@(4=5>vKa^NnJ
z?A#;nUi_11A90x?Ynv%r!asG{(IDGd+CsU%G-SR7e_^#-WgrwYXTQWc3rmm8#|fRe
z6N(KOUno!1;Le#QQTE~OiT0#l*-;O-%{)@{MYc3#zf9@uBSl+u1Vi>O(Ge|?JsL3Q
z+w^lf0ed71183DLB}J}$Xka&qt?YgA>?k9dAO4&(ZEKFqo2NNrlk%$wVa}BsEsqPv
zwB5duZx*52QXr7@hk>z!&7$FtR$<5dio8EFTH2XE<S%Y6QSv>~U8TA__(<O^`SVA*
zmxx&RymXdezGBDu?CA6_GG}KWnf*mKciEA7Thyl>neEB*-MdLr=JfPqt1UfmCki~Y
z<#atIb9(EMRhqf_@kf>i$(|01wB?^T^XQi8fss=gbobhG9@*n*%jtjKhST)IRujXJ
zeh)_H<yKvJ-%fBktTl@NktCVYe{<)gi{XtP8;)GaJek=m5%}Wa3zyA4M^04PJ`6r`
zdX_!s6<f|Orh*S4{41Xwz4}GQdiIf<UtCu%J91}>{lz0UJ!P#uJ*#=<7ez?F74dsn
z8seUM?3JIqZSIk0msn5FKJxOC`&_jn&s0O*Cznj+pL+C5rEbnr^SAR?zFp15b8r!-
zO48lnP@ywzl^-Hm8z%NQCWNW9JU5h^e1?s8>%99R@mZ74u$jG_Hj6{)>y+HrCTF)b
zoRi$sbK-jpm+Ahqav??qN;iHhtuSJmpxwZjq!n{G$$}wAf^Fq@m!vxyWuhI$JS-<=
zY6W6m#LS&F>({iHo*h1C<Tka)HQTN1`{Z+8<CICp&2u2;8U0Djxmj;Enk?zy61j7=
z=gYG*wl!OrRxl>@e(^bDGpXfYX9ZK?m#J6M&b#aC?P!o#5@S=avhSPGnIKQr*9EtN
zR#*1^NIjbp*Z(8+O#Gz2ADTid80Vck`8qqOeaSMO+w<QT#nm+Jn#B4(pp&KW%lu2v
z&NN)%zpHkp-jnaHauVCq^z+?fho3S|iiuK-@!)(sK`=%sq9eMOvtW$^Ytn4#lQ}V^
zoe}eT7Wkf-Gl~Cg$}x_@n%%{dtm1;#sjwVbaOcbEp3IN~=|3~iZSvX?BowfLVYTS&
zj@FJFHXWBaekTR2iMb~~A%0e)SPElIQPP@QF=sYZZCgM6%$7ZFv%WYv*-SkAY2t?d
zye0eHe9rC6+T*tT%!Yk#<!836+;eyCnGL($o=mX6v()VL3>NuY`iwE0lagkv)99VP
zOgH@OL9vdN9lv5YCrn~wuu$MldV3>F>DP=!Zw_1(6KgnZ@gs@zL~c$@eZ%3RJ(F)v
zbUU-}+|m3qhbFOHI(yXU%)V2{B+sq#Oni5I%g%ErCL{%(?404Jk`ckOlR+!ybrOFJ
zd(1ngGbf&Mx15-0Gf`xY=0$6U-&4+}oj*7G{)0{n$rBfZE>F0;^M{66%*5MboCl6i
zJo#$h)6Iu={@B~WlaLTIzk_e`qtFM3PsBXAeDI|*qq%&;ftb!G`)_}2I@Wjgp#CAZ
z6}4(N*NO4WkYQv@6OCCM^UW@4=ZuEGF~u>{lhliXA~-t4Y>t_oUbcVY?4+}|pM5?Q
zlW2b+Xye9T4$3iNkF(F6Y&q(~WRtYk@kIf9lIx2yvki9+GO)_UsB}0V`aj|2A<+p-
zj@!)eJhcBJ|HnfIS8z<Q-J2v9wqm=P&<?>Hj4^*E{5qmh5pzX4^=MeK&gypKAD5FJ
zPO^IN=fR4Ypb5Ve|Ey3IzGBRBGiv9NXon<|7`aOENh<^s{SL?Uzy74(!r&0|>_DNv
z!AzT&6IzolUS;rQXy}+X;X=)YI7RW-ZlBsXLXIb2-F^1-uGfcRf)_B{JQ#cD+==9u
zNgr1fo}3`|+GhTY*PG7w99?<lc=e78G0)GOxc$PijV<Z?3FVFZe9wi=`mun=J?5a$
zu_>RXEI;@%X3L6&g6=zbn9{p;cUsLk^@u@q$EGPepE9VPJ>g-&VEAIU*(RU7f9Fof
zKR9%g`;f;>znB9K?2dh>Pd4vZxTERZ$@RaF#oV1BBPZH^LH$|G#4ATnoGy$xby{q~
zojr$RIx9CG?vhMC<N9V|dF0u%7CHz1&7N@hgwV&IcPpnpcxZESSIq>jxQ<mZXLme_
zebj$u-M7v=>W_KlXJ1k6`Coft<Jr5qE=h*B9<$teX*c5={{>04iMPMUF!J6?EWEba
zaYj-FyM7YGJ;u|={!Ezif$PnNx2Iokp3vPEW6!?g$cvm?9oEvk{4d_^d=eAEDt=<8
z!nYXz2d5sNF8(<;XLFd%mETT}US=hAiu9{7aoSvD%lSXUG;G35oyFYi&V4z;tZ?vx
z?#-!-PEMZQcj99*)2;UvzbCM6{5d6Jp}B_?;}7QWPn^A{PAJ64yhu-wPuiB`a$)9%
z%Sk_9Z)AFK?%?4V$BPA>9~@;SaG0O_dXag;R(=8b58iJdzF5l~)3V_B#hjUE-%N-x
zVtmcPTr+i1j_8kNZD-%?{}9suTu^e&1mPQ#Y7H+7x1D?w|Ke@UiTBs0PD&BfIr~oL
zs=j#sgwqa8Ne7Y=8Eh*=W3D9anfPe~+oIqNj1$)<e~`=h@!M`jWD?`Y;FyI;$$Kx9
za~%4?nGhp<MTUL;q<K?zPJPUAIrs_Fu_+r=gU|k|{a!b5_MXXRKR4O&oM=5f`$xf<
z2E!9Q2ESqw&oup9mGgPy`3Xr|uN=O2_Qa#)GgG(idELMf=BKc7kNvyybCthVS0*)b
zZJwc=d|>m3ze(p8wI=PIv8LVX%wm0uq=ckBx1Jy5IJ@Mxgw{!)>>2t8#AEg)9X?!B
z@seZDT&Ei+^;GW0-?XfsZ!34Qrou9Y^Uswt=aTQub1OSgDR;tn{)MF{!g}v-P?CLq
zqH}{s>#qwY?5|_YuAhh&v%C=Xh>6kL=|o)O{u!eDj4@{O<{eCmXPAGtN#X2)Cw+&{
zJ#0MDZG2|xw*&hnSWbKDAG$Y*aqW$_4;X8%=>9y>W55`5Hb!jLspp42&dxY`w(E@X
z%-ngKV?>irl$>mC_?L7fDfv{@MV9a$z7x$1<wqEH|Cn|8FyjlM<BT&ODKQGl#XJd^
z{W5xXxNP!C#&fNkW<(}G>`LT5+kPx&?Twp<|DEhO!Q^rBVPv;i<CPfevoklIV1N8@
z#>biI?2IwjKE$k!WoS@&)b4(E=8vv3``+uG{IiMaUxOLbzZj*7Osryztmi8?&i6H9
zvR={f;eU+E368@OXR~gcoc3pq`;U_hn^&}`bKbH(7=P}B`sw*MPC6E!uV#_rcq|{Y
z?eQtW&H(-`F${?@Z(^RuxWpvwW3c&qGxB2t8yizhL;j3}Kl@koPl#s-I#FhN_=2hM
z2}!<NYhr{>C~o|J;*8|E)iVVd&eumCUOD}6((Vg-5gS}SpHNC_=s$a1JpD}5qnL#S
zANMRUziPi>zTHoOiUTnR7PLep%-F2_PeP=A#yTVRGiN)Vo!{njwm)(~#R>Tj)6WRs
z_Z4KAD0lI{{mja~iy{{;?0%?oW(6-}QvZUw8_QZd7PTM0_}QU2rkx{ECgF0--0lm(
zXGEv(Uj24%_?P(Sr%oJ<@tGHPy848I;_q#9>Sw5LTJg4FtJ<Qu-e*qQ-?cyCCwBg1
z(k7Pth#ODNp4^_?v*7HM<xQ_+800IGHZni{y&<@lrNvU7d-4%Ro1~3XHhemI^UU#x
zj#b4MxvnkfU}2E|6Z0^YMfKn{cBZ8BXO4eNnip2|x4dk_gf|Tlk_UCH=Y+S$?7#A&
z!eIl?=J^rH7bI0K{=8+X-){Zw?8!YdL@Q>T|FdOM&dIM42OCn86AwK4vtf$F@y_fs
zXQCGxZ$3HunCOp#vu=kg*d#UHNY9>q_}aonIww{>x>5dV*50Ex7j!RJVxGUf{@68(
z6YY;qt=-&E{A}iyvol?1{pONCcIdM3C5sc!vQO@Kyd~`TkLd0>#o}=dGdz8+I7ptp
zkv(g-(!(_>ckcBsVOzw0l!1W(bdo=G|NjAo|9|A4z~=r}evo3!e;CrlP&+dw;fIKP
z<0GY{8%df><{N&-JlJ!r{z=pQV^^I{Zkn}G&(z~zk}5;ug9*nDA3d3wcK+_oh12*{
z!jk3$?3rm|d0FuJiS#q4SKe6jqe;>KRh0hB%@vF>8`z9bth=|#Ye&o#!N{8rk4<K}
zdYN6e{?5MLSNY5?UwFej!>^G!MkMCRjWvz+JFjuAoq73#@|o^8*V+DT^ea@p>$!>X
z&bj?>FR1^S5mE5}#OpUKKPFEQVdNHXl{xh?M*8Fx+1Y3BTnKv1^26cm4S&TLM~0a<
zSYqy-oF|cVfk*p-#+hqZcz2)q`XNdCa?lBZfQA*_r}nfSdL$#7v|Au4eA7JEe=(D<
zik#WU9;35SKwEZ^@bPOGO!;gLk`5*vxDb8p0@pR(oU=zVPck?Np4-sC#~7Ei$8ORe
z&Ht13S_+?iZ#{eYousorWwu_LV*ezjjrUK(miE>KyLuBYaGjqsv-=|J(Z5srud=dA
zBq>VlPddb~^O(eC{)>FaxUR4y{hzipPx^wbTyj&u-)YM$l2*Jr9OLqH_3VGs<O^bA
zV<ayx|JKO6@z3<&tNYL1ocize4gX0y_MEs8F(G`-5wWM6gpQwCXL*G=?$wE-Hv~JB
z*?%Ri?}$CQXybL3$jcKZGwPqdE^9qERW!-$_7AuJ9dbYa%=mcndh)56M^F4Y7JTAK
zS9`~Irlf~ST<KR?f7m`0ZcsD-r?Khko}U&PEE`plHXM{-WtP9dFQ&iYl7L~-#REK&
z*#~s*{JF;VZHM8XbJt^PrytOMD0i8=J?Z?7sfS|r&fx1ib7Su9NjK`x9SA$Ivv20s
z_WLLLWWBHP|A;xzko3^;K;jRJ(>EJ!W1LRj674_v?!>l_C$3qX&9>gSSL~`#nNCCI
zo*wRLCtthX()f0=f6ar>H!scqJp1B|bMHT&d0?!ae`etZ@tDIWS}SMrPrP%Gf%ndt
zr<G@)B<j2}I(}ki+MT2Me`02IXr(na*j7HMJ7vG8*)Z+8!pR#;`Tr{)I>NYC|E1?A
z#;sdkJv-lFeEzxFoUi&b-P6uJNM(^aeJAJSv}xzR^IS;a@jv&iXU(Oo8L_tdr=GW6
z%)NTCTmIo|!=$^H5{}Pg$ct!9KJn$vlpl}hMnBg*`FY>jXPeG`OgY_}`0m7;vu7@y
zU>7)d_D!R*?d>z4D$m_I!m*L%<OS}ebAK;v@0?-x=TC=IlVl77tIqjbpQSIbMxMNB
zvGu~!bIuo@pWI(?>EyGpNjDzvy>O$I@x<?Tg%kIVpLr2F@BDq;bHCHio|I#XsT4ea
z_MzPKm^j(FOr4?(8*MH+lwC~JIr}g%X6pss#J@A<w6<J5<{VSbaQ98agxkkeE(kNv
zNwS}PA)<5g_kx#6XZC#Csd47~`6kI}2a=K+uGy~dn0Djzhdn!WXPmicdriCgrqkyO
zI%zW$W%~}@n4CC6vHXW*Z{+!zP4nln-92-S@#kE&_1UYN(mWN~6!f2+eXw_edfJ(X
zZ3!_8A1Efrc4UcX&)N9w%;VMTDgq{|NW`3cEb%{v_sk9Jhkwf!&oVsoMEd08H9|2R
zJJmLAnmy5lQC8>7*XZrO#%G_+@0eK{!FcAYR8mO)kL2@DXFmE7A=-c9@5!&nH;BuN
z<RrbD^z;w&%k?MO56`IYJNI;^=nHTAHlq_yg(h73UcvZ6{qy86CmC7KeZBm@{MgAO
z8;*9J-F0@Ozuvh+o|9j6pX`!fKPUd}`R$J-PF+nrxIxnP8)Ij9@%|fOa}z$#Nqe;6
z!qM{|a%P*I-w>u3)~F@S;Ni4?>1D;3MCS6i*$o1p=O#tno*CB9xIiy-PpekA+1YzN
zN!F*oF5LQe&5X$OMs54;=PoyJ-H%{gxcuyin`tc^Q~%6Od3@&l(IYoc+*CPv|IwK%
zTCeA%pV2;l?dOS4duAjnGqK8_UO8j-iSMUwPG*u^vh@3>`F+o4$(_G6`J>{7pK3QY
zb)Q&rYsZ}P#%%`SYDNrt^UpsxG28Se_sNZ(XV1J$KHsWy_Gu^g$FCffI;S6<J7Id}
z(WmGOT5lGMKWd7z?LYD0V(-K^PM4-`>^<Z4Z*I}8#<=WhXRn+&_b|6}zP$h0`)p_K
z#_0U+X#2>t!Hth;eS`XqIaO!R9X?mrxV8BFyYy2>a?Zclf9}lsnT+Qze%fR+YeV4o
zKMfn6-B5`5xAdF4pby{0rAZgpZw;zk^xs|3?%V~7i46IB{%#0JziG8+X3;UVCR=S4
zaYk10pzY_+3H&^ev@a=Thu5qH7iv?KrDpb@KYLD<De?Eh^vK?e$7go(+s(eP;llm%
zv%0vF*DtuUk#R;{_l!BUPt^6!Un;xG@^qWy*1t2SJ-&Hz&(sy0R;iSJh}ko%ZXV;7
zAB-2)NBqBF_UDSg&x@>&dApX_*L~O!^jL1u2h;Oc*luohUV8q-qX|p`=8bxvw>x{C
zNP6+(<BGTT#~yK<c_^O56EpwRBboJcKm0uNXy2<o#&eG+E{HhuWaXZjj>q3`Fw0NT
z-4#A3b!zVUCwni-emwIe_r#?qtJ)h)<^QqlSZ92G$Fb9UXJ$)rMxTB9hH-`3^A$$2
zKX<&JXmfnxg5)bspUyu!>UiRrgHFu-U9rbbKYKWX|Mu^$CpRXY@Mn|!Hm~^Ct9z&S
zJ$_+)bbhLp<&Nm|9jE7rr2pPF=gkQ>`Sb%^CuNGy?oZ!3$2@y(g!ReSKlaBQoZ)#m
zDdxz->1W@bJ|weI=G2+woksG{PnyjR?`1qY=U`0iDOb*m>5MVQS}zMO{H(X`%+EBX
z(-j|QSj}6wB=*O_9mkH&$*i-8Nxp2lZsxh4Pj7h6%<6yDpmNn_=9(LSV=gdlKKcCl
z%uIXz8440&F+0Cqv)@qvapv0twn?m~Ud*1MYA@Gx;(PY#mq-5I=wUwbN3J81rF}-T
zFQeeO%f%;Gc3ovN_da{$G$;T08=L3kmT&9)*mvUN*>l(Tp8t6MbkL0j8_v$n?A!U;
z@Z9ra;}ie>{A=vBJhdq7&#Y{nnQy0^eOq?<w%y5{#pm7~J85&~W0d8ghxfP|G(Vnf
z?{CwL7l>ISvi5KGsZVQm%m}#IAnecKKJCoAHw^}9XC_ydJzB80`A>|{1#R=$i$gA1
z9-nJ<+U;b(^S3>}@7tdFzW@3A+rB3b3h1Bvaar<qZqYY^ysKP)w0FLFb|OOdri^5x
z>e)HzaVas3a+jsv%$V@}e#x724^IjNUY9r{b&<I_rup{b&pZG2pZPjl@*=CNK*ygA
zD}5d>DB8HtNPdg{jJ^LFbQ@!qCe4^FI{V^L26>6+r#Z@!PWBXDS$0Uq?PSu$orl#=
zK24s{-oLvc<nNn>s}H|8t(y@0{{X)!M=V1Nvv%_TYvm`VB*rb6{P@lVCFO%m4%aMq
zp8wns!OygMMzyC3zhLdYGfz`EK0V<&lyvx3yufY-(bVp<pJtvhjX(dq_~5A{fA-C|
zQJ8${<P&j5*2lVgE{gv+|6-ct@hc|U)6f4CT6sc-iFJvap70eO1IFwNl{}1(7#Lq~
z-2ZdNi^q}|?RuUEUuU{~)q3ig=K5{d)HYpLSi9zI>!W!Sp6)r*TI_d0NV9Gd!+imj
z^KGF;SA;k2JF!;Yr7Wo-=;P@&uB87P&VE?9fbCH11;YpbV$S^exvKvCoV=J#D`uYk
z`RvkO^VcW5I!^LBudGg)5qsv}%?;Ugo7PM`AUcnUGh+Mp73@iuH!dz%@nGWfGaXwu
zt>BSkiAy^F^UsE*Y8!<1&&xYG<JgAC`IF8cy)OG>c3ywp3cvV@e+NC>nF{2l-n@PO
z&l|>-E7LpHnXWz|cJt(4kK~PFE3Ut(pPPRvZtIGh^Gl95ZCha%TmSz2jq2TJ?!P&6
zWA&abD<5A|;o29IzT@)_mK}*_zQtZwJGb-Y4w1;262)hJ+}<s5^yL4YXTJ9yNxjH&
z{HmetLH>)ZG3QdQ8a8ri?Yqifc2L9Y<mEobYkKhy@<U^;XjPwnXuKmk?se9lL%Wkt
zTo-+QQvJ+Bar+a?d;T6+J|m@mPm{&sJ7RAi6ek__li#EI|6oG$HBsyAll^9=ZtuSR
zt>V=4vpbfZe8AoJIqTk>sco}jDh@geGAqWsKPj-0u|ag+rtPtBPCiJxups63zb%{g
z|2etx$H`fr&wbf@RUo(gitx3qo0GNQ=AS*;{^L5+ww;di7t0-;*(Sxf`i9X-wy86<
z`{&e}7GII7oMHBPPEOR()faU7XI7ifUuey>Vb6&x5-0!OyE3On>4s3{>J4i;Um3ET
zXk-1Ktn$B(orl{z`KInQ#$7JlE8f3Yo_6-n`<)LAmCshhJ-lmt=GSKCCL<|Da2J%D
z``w$3dy>z7%lxO;VDY(8r+df$yK5fYV`7R?+I@rV<KGkSxn8OyNiy;a>qknO&Pv&Q
zk)bh0CTUxP)71pgn9Dn?ds${IHGQ)$W@fn(L#ck#4i1qR2F|3b`ws+vWLXi|zwgua
z6v?G$o_8mm_%HvyDS4wrLrnk0!_B*s(#|}-`DABS-1)zMZU`Sbbn?cJ6VDP;&fK|m
z?s)3y)4mcMd1sE!KlSJWtGW7#qf%=6bE{7>K0fp4Sj^1V{g=L+-Ebp6?jlcx-9_#{
z7kD<LT;bVr=D0WG)lCvLM<e<!zP~TA|H7*M4c<Ry)VDHjxETKCN@B#!&cZWCPq991
z2+#X>BPsFFgQxcM{$J&YJ$th9O3~)0`@JepJx{j2@M_J0Y0sbRpE@BXR+**I<Nu#C
z&uc@){oX&kydh@8^1cn~_Q&|oC!T+P-)@ragWpL4NmqUxC_c9@`sBgmlczjBdw=fv
zAB{<8_M~l?ko0--(hos5GiFanJwHG1{GSIi@_ux-^}9}b$S;soCh|cunejxgyxsrF
z&yvp{X*J!y?L@}xjmu+iNd5Ty=lqM*150gZUt>RP^yZ_>qjS4<z2bTi^H2B8eaj!V
zF-Lx#+B`Eo^2~#$YtC(dx|1{KP}`h?CwmXv+4$n}tofG5--uiPJn(1FtFMn|II|x}
ziZ~dPeCBM~nSK9$Zrga^WX1byx+yW&FDYG=GOxTaZ%*RzGZ(HMJI#0S;<Iy)=l;~%
z(0KIp&qIec<ml(5o_%xfAotlPR#_}Jt{s};x@Sh=vl*`EFDBTV&0M2?Vg-BZ<TFQ3
z1b>`zzbWR(nX^aFi9bFaDe?V4>;5+~9SkoxKiK?#b1SepX6BC@_amzR96WmZO!wT9
z{htnQ(6}Qyf9CmFQRRDPMOL2NSA3HHUW?Z0w=#ME8rUY^^qCbW{`^$rrb_elJx@+v
zGPR$ZU41dXRprQqn{%r5jvaq-?uwa7BF{X=q|==_myC~{zH;)0*0Qsm+h-~~J9BSo
z+Ug5JH@=@Ze}wbuwV!LN%x1sSev@(S<jgg5QbMMk*=PU#Ow}H(kFn`z58rN6(65`9
zo^$rl&v_A*&rg<~d}ykEx{R4Kd#2wcCSm@HbBAm<a{ObTmD4vf{r(e4w#HrOPvkSl
zG$*;$U0xw+{B5p(%?XdXPkf(Gygr_E;`RI&GZGkME}fkE=0eah#tZJ<H;oTE#GIL)
z5M#PStN+>617}ZutKW8J!BdHKpW0;iH~IfKv472{D(57LP`eX{em5E&WK2pqvv2#{
zpslkGoZ5f3QKQQ4<l_I0%<1P2ot__b+w9_&>N$B&&n`ZFYJd9Ny!W5aFU_5sx4!1g
zvh&)Pydzpw<?Eg`@gDtftooD0*1zxjX64(LCCxnW=Euj!XItN#x>%5OuFd?z{>#ia
z4=iG}O?tm^*9VPXANU`wz91%0_1{qV{jH>mzhZ0G{P`GM`t!r-qfG&NpR^|IWbA&N
zaQ)4nkCSF>F0Wwx@T^sG$9nnQ4T?!upZ>XM#Lg5GEs(S%rp(<?@%^pNpMO6t{e7-g
zE$eT5a*|KG+Sal&6RtI@CBK*;yzya=^3Lqvt!jJEEZ6U!w0!c>^JkV{mixioePY)9
zwEGbodn$W_H!9pYGwI{QhS0jc^OFQmO#1w=r2Ec_yDFPM?Yi@RUV3hWn*Htvvo_v2
zxzgeS=k7N`IX`(ep1bMw_3!V-F46U;7XLW#?a!+tY43&RAB@?ZWZPiy`sWwJvnLpi
zGrkwn{(f#{_Dq%Z7qaqYFK0+Uyfa~YzhFnn|Hl0>HgARMrDC4&pH`pq_`ugzmC`+P
z+)Be*RMV?x%I#sCq4oIOgxfRSo*(*fBW8}<?3VK`N6##(oR_E_cc9_I*^|-|=l0D%
zcywOU)@`SzM80@<_DtQ;q%&);-J5H0^5EW$hv&E_wMfX$KAn5A;q%;NbIvs}%5Q#c
zI??*M;Y|G}&Yvw)wSS+#zqwIbFNKl8=*$z<$0xs?z4iX>&+U;PyU(7Sy75orW4mjo
z_OEHuOrPs={3KiQ{LrH_^`w;TPaMA2(C^Q@+3esh`!nY^Yn^F-d%ow~$>V+Jm!Ce{
zaXI>g(4RBsf+|mS{5f&!#({S-hpu0pex^gvB<4(O?I!NfM&;S(8$O?Jd9t-{Zklcb
zr|CbYQ)l<Q6g#ePs_Gca$#e5N=iQ&kz5ZO)*@j!MH|R7)+Shev`tqIoc5cn1q?hwW
zlh5=vT>n1jhTfU*n=^dpH*Ag-R_ge(y5WX8qwKMo^V3gNJUc(R`t{9iKW3%2U%g=3
zE3nA<?2L$dvkMlhzn!?TaqIar_1BJ_ymEGWnBBR`><f}_WKXaAIb-YQjyZbU&QAY!
zuEO?U`VIaWUc5=k_UA7CK38FLYW5nLSEY~6PqvOfTlM?Y`-u#hy?y^P*EgwKo+{k`
zO8sVczv$|Xy9F08JdM+z`=)Ge@b15-UMg`ZKR;PA<L3F!rSB|GmohyT@S7h~owUA1
z=E>Reyq`wOACDeC{oXF(cFf1-vmZjN?=}27{-RF*T7%8mbIVivC&a&!OZxcu@|_#n
zpI3LzK2wt&#`Geo@JtPROw!`Gm_J;FH)pRqQ?qM#r{4l)mLDN!PqnB02>U!Q^#0Ft
z?Kc=tblf>tv+sQStq(6ApQ-5!`cZX$gG~R~j<stV#Am<csQ(djw&Ts>D@mK3&Q5TC
zU9s7s_)OE@TduJxJGpl9?Gr!S{{Mg31}?cnuU~(d^rJyveDw^&jVcT7&2Ha+{d9}s
zsw=-I`iXb%`El^g`LlJVd-5mT+hg6a;_<oqPZLb-*T`<jKURI*{YIPObm_-Q-#)h}
z9^H^u|E;zBY`fyVEh`F&&opj3)AQ%V)MJbrN;a~dP(6NshVk)P4{qfDIkd^+*-4e;
z;u-Aik7r1g?%Cozy=Tw=k7vsx&nrHRnQ-m+N!9d2W}h4GWPM_DIkRZ;{vDgoOx}CG
zM0NJL$tUzgf6r8kY-Q4a-PCZL@#MDo2W^k;m>+Sb>|C4j`m<Us-0L<<oGYJkKF8+V
z#77*5l$#ymdrqX!FqEAZbGE$pY|rkq8K2H~K4ecim-Kn!jYntOEax9udobYh$<`ZZ
zD$kuS+Hv0F&6#yij-R(VUwhp6)Pu)oyH1|X{ZcmZ-k&q4FHK&}bnoGx|2F5UD;v7a
z)A#S2(tiKmeA)Y3&zIdkv)JqA)A#Eub(&bt&QR(*5oD_UvM_9>NW}kN|2EH1R%RCd
zsV*|#{`^Zx`?@AE;}czf#7@lFD0t#Wf5YVZ&s}@1<4&~JoTxc=CTXYDk5;z)^)scD
z&rjcUt}E??P|lf&Q|C+Ahe@B9S95aG8pcmg*%*_Y9-mU`4y*rh@$}>tu4{Xe8ic<e
zQTStjcFOl}XSye;+gzO2o^*D__D$z|uHQSq;?()#?G0Yn|FlS5|8}N#p3aT?6FJ*v
ztHnNic4FP08J6-fv(J{abAFw3a_7mC^AigH{g^BLxtViT<HV~UuXs(`+H}|I{+ZIa
zndU##PL}>in#pN<WNwmbm*PQ-A7{(#UQfHf?Nr(33ptNZt@txXz3a?M?dxYNZ=P9d
zwR@gM@YlLY5l3eg=NCEbJXe4A|Jl0h8)9PqpQ!&>e)iSlhg)OLG?kWD&+$BdqW<}O
z&$&fs8tUeGIwn0oF+Ig)(XQT|<=?&+^vzY>&K-02Cxh?#DJN%m>YGitS$?KD>|tHa
z`34=Ki4~vDXiR##^IZKS(GSV;a#lZF_Q)h{Yn#?l9b0p@p}sWtgnRLUe`U*>j-O!p
zIpc!u{vUrVPk7}|F#5rmG%bAZo8Fz?Qx_VSZr*#+@??khPoFtTCp%}nxz5a*^f)PI
zUeXzdx}Kd&(;xr%DJt<hsqJ)({>hkc^Mdl@&$OJ~dm{Q6$AV?AAF?F%?u@@tw#n)I
zl12NTo@>wh_hdrk`5$Mx4g|FSnvwN=GE2bucJ1`lm1kNXznbvx=-Jj|2T$EAWI56C
z=fQ*+zFCzQ^nY&OIPuHzk`oh-KRbPI<E$ABkI%MNXP>EkdbaiSfy_szH<(_N*yQ)|
z$JhRI(?8A-I+k>%>Ds@OHBT63ESMGggkj#~OW}Ve|2cl>pwpw%4f57=`2Q%*6#jXB
z=4{TB8E0o?JfCYe_2$eOxqD{r?LJ_-xpPDHw>g|^&d$s^n|rENNNe`Fe4BGs=MH_p
z%Kf~7PjvQ-PNp4mE;sEweSDse{@c?pYt9!|o~>MSw&v-%+NwCCGcDWBmVEj5V~Nh0
zlI@R5Q@Hk=SSkNe(EG}nQk{pBf6t6KDUfoV@zI&GUB$N}znyIO^YrznN0Lg66O3c`
ztdu|g`$S6j3mwy&FLE~Y9Gy7lf5e0&aWTddvUY7J)b*!ay0<0o^of|+Gk$;Fe4_5r
zyrTVU=9o<H{89h;gqh@-_V*3C4U9=Ik~;o}Z(PV-^&{r@kLSu#FK(1QSs=yFlr*XN
z#UqtR2b0g9sXED!e5Py8`O@t_8E5p^Cg0$CAt&E|QT)$e(ccYn>9d}G-_syBpE2H|
z_I_&quc!CUPpqmxS5|p$Vs`bJ(zoX(zO6Z1@OG}**3S#1t!r2=%lt?>FiRwv<^PNR
z_!Z{0ZyJ>OlKv(1o;Z58;QQ%c^{3|_zjI<8Kg;e76K>25XjQY2J&+tD9rL2Ff5yl1
z$IT~-KF^so`ApIJa~HYv=BS;{J+m-7{%mpX*+s8o&lTpLTwHu>$}F7^w=OmvzrcBX
z_lciP8Zi@R#Xe?SAY1=up3T!G`@?_TpOCcfN0ai=Go_|UyBl3CAE*3ZzF#=;Pt2Uz
zavNfn*jbs~)QLY+Rr>w+q$&2<ryIi_D8AWNQDk?ns(>l!QqsAC-{-5cDp#_dZM^--
zJ?lnN&;#SbvxR3LOnChJBm3DZR;DDO>Wwz%PVAZd;_<oCy4{`OH_z1N%nsbNu>Nf0
z_t3BV&N$wi6R<Dj_G!nQAJuv1iuN}$KRaQv=Rkkuk1ywEynnUxoavvr0bae2lMV)o
zuKATT=ku(euS5UL3Gn)PuI|zP6<OCUSXOjjt9meX%@)(Re{%wsraw8bo%c_Y^`ABC
z&J@<{^0+tg=bO3mwy(8M8JBJ5diZO`-OwKoCOmsHC$Q_JnQZoinrkzZK6i9!eLCU%
z;QXvTiXTrh?L1d4{WR&`$2ozmzh@|af5P(Vd}H>#Pe0O*oILt%M!~v>v+bK#%(Szc
zCHKvKgT_PV6HSjF&e-_<$E*iiS`VBnY>%3ycK(K6_Kle58^g|@HLaNV>f^bV${As6
z)`Z>rHq-R+p$W6x1;o<M<VT-v{CPh0-uZ4hPU|yyl{2Gi&ZbtMuQ5BDkb1t#@LXKw
z*~ZhSbd-3%6rV}>cE0fk>-ma5GkJfUtJl;&H81XL@unqjt<N3ba<1rl<Yn<SGi4sl
z6<)gir26A?rAzhw&*vENN1aVNt9!uk@kQojrWdmPzZ=BDn^}^6FL)h4nSu3k&iSX8
z^CKs`O=g}YZC|G}Px|)3t{+?D)637~h0T}S*tw@}+L@~J+vD_a&QY%3Jx?ZL2Giy<
z)gfhP)8CwLi>W)4KJC?t1<}W6pZWc>`_}nY4DW8tke#R?z98x7jQ9HwB>%5Hd9&ZZ
z_W@&4(j4RMf6r!b)ouE-IjHY!&eof+4kVu4JXQbSti?79gX?B!RoI=#Rc0|*ckTXx
z<jp7Ve-!K8t}S;l@%QuFDQjD=KNITS@psR*;CW|K(!U*?q1&Ni^rm*jtlG^hSLKJz
zkWEp)dp7lFs#4~brtOlqPfjR{S+VZ?C8PPL;}Vn3PP%n2{Zr++Y3I&mT&g@ZJw?m>
zOgvZ2?)|g;B+n;!pPBV@Yv}fKXJ%dcD1C1J<!f8R-do>vn6YK@+4C79drqIvKXxYb
zR5@Se>a9~w8t$AU;a6JzdtvqQnJnEu?}*O+c<4;_%C}oH551Vf-n}ie_SV@7ueU1?
zoSm;$wJ~X9X5ZQT<$D|XyUyg^T)1}K$;H=C<tMM$ar}H?@Q)bJ1rNnP-Cg|QQN=OI
z7Qxqh+F4|^|K7bGpL)vX=&@PT7v8v9ykZU8fnU3m`cE0Hx{+AEwk~|z(&yRn)6SZm
zJ5zFH-g(nK%bwpZI}z)1rr2=bN#nLtMU`hwZk{c;^5@BlEP2M)GqjS<gc_bLNbUN3
zbn1TlxD{>358aSw{F7w2Az7Zef9Iy7g%U|8b&iRjFrUyZofOtIn`h5X&i*sCFDsu;
zh)Mi=R`&C`@;h;-Gc6vjc)Ne;i{lj&ZrA@|+#_>--nsJG&sG$EJYD|b&-#hk^Ujxl
zey~(dM*n=>&#g+Wb`u_jci1q@XWkuh|Kox8hnRotvHv#Pf?qP}TF0+76-B=v|Cw$2
z<6zb1bB&MArYwEa-81`4!113)y3aOFmpu`c^nby%=c}Zy?s>TP@rgLY3yZ`~#9KEA
z{o5m{tAD<J-`Utt^R>>Yos0jyEpNv8*zH^MPOF`Zw?9*Q`r?hhC*$6o&E5Ot;-vGL
zyT2be6?rc2=ehIgwmR~&?y~Mbu}46ifmvq%lE<4{7)n2%h^yF`d48Tu-MyKPXV1n?
zKH()Ae6CpReE8`z1(oL$Jq^vibsX8e;CY_diJ<*Wter+4PghJSH$EwT?3B;}_xd%T
zRvewxdEkBp<Al49#W(a_t@w4aY~zU(wyKzpO6D&!=Wg8M)ql2ZsqWd(=rg60Ue}%V
zxp|_6>%&im=B9t9h8((VXT5LK#9Z0CXXfgkr{eycX}Nmrw9SmLT_0H%e5hN)xZ?bL
z#`hI(POwjA{C=W)<C@c1_qO(Kp1J+mR=>GIN=-7=ue`pk$Und1|GLP<??rj6V{Wdo
zxydNL@~rufq@=m8{60=trWLmHpRcg^zmqTbw6J*B%}O}B@!jl|K7X74CrMo>dE7L0
z>+zk|t9G6%*>gI#qG9RBv-=}EZXIn{-ZxX`tHgl^f7{Pg$2@((d~kNmiH9fHi~pbX
zx)IaZxNfrW8pG#%{+yk1CV%?p6YIJ=4wZl0@h*GanRTf1{|^}c{}y}zoBy{xo4vPb
zpZMvsb5rLDFaLHfch1bH(&7^j`yQ#+%(0mNZSUKvt!Ec3FF#wi{p`Z&xA(mJ7y9-^
zYtNhW=bN=R?Um^GcVp7o=FKN74^?hm@#@Bz%9Zc(?H@IWovEmPSUK-Z#l4gE%4;ol
zKfP9Yz9MV(<Zb7hZ=a~_pHXrAY~?4plZofgR*IcWdq2xlY2}mjbA{)tHK(8Nn4ur5
z!fL(w{`s>Np|#Ox>|XwSw&|hh>n$bIHk_#aJb6d@KC#$S+v@*4J!^aXgw^A{hTaVP
zeWzRZFi7g0vN^UX`()eCX|GRit$+Vl?1bI(O%u0%h~0ElOz-I#`<Jn2T5`|ZpFG#{
z^tAJz72lG-JW;*LA$lm#^hk^yhy0_HR>_;Rk54}I?5z8#vkk$UpH6H`{$YM}i+<j`
zGY#_&o?z=`nxHIeSF>Zr`LhklNqaV#+E+5K+OH=5@7V<Zihq9=-99Gy`^lO5=Sc@<
z$hNW`dVYQ54t4!w8y+0EwC=_ZGx6i6H7j=AjQsuL>^#}%dlPbPer<abvrA$2q<>#4
z7&n_9Ke%Ey=LvtYq_At77oOj$V84fPhF(95|C^nDv$i$HX4ie(5GQl0Evf%RZNu&G
zjlUl}KGRwLBWVYR_?{T%voT!<_n%*QVSPdLF59q$7mxpZQ73lF;qmrQ=NtMt&m`$r
zGVec|a{Fwp-zEvqA5PEy@5|RdR~xs(>-^c2=(_Xeo6aZiJzp*#eJ=UmxzcHz|ITKf
zoG)8-@6X@UXA8p59p3x%bJe@^h4)SdSzSAmf9i<CN6t2;`j1P`<m7)_c<cQ6{P<4{
z#v7}xzn#oIyEA3g2eBEB+HpTty_sRf6wA=``(((3I_8kmR-aFvO`9vSHh=!i=MBu^
zn`gUPA3M-+dj8Fm**$04POnJ(a6r>^|I^)XPfvK$)9-!lOlH}czReQnYx)ibo;{zn
zd9IB7H;J=rKmT-ne!k2;QZn~UUG_KW3+zXjqGRSX&)e8`{m)#!ZF8l?f3ih3ikzRv
zlRxcDW3tqv<Kp+4{w`Z{@bvt%<yXJ$G_JokLq%A?XWc{*3vUkR-Aq?E?p~<(<4w$o
zm^TLx%@-)B*l~fQ(J_ftb?%yt&GIaNlIAsVKYr=dyZgj*@oz7CXP!SFXQZ?#i6^#E
zVfLBWrF%Afi#$91?BSsGktcdL&WOuDGDqNf%?!t`vyER*pK(YzUA5<+W#5Mb|JVH9
z{o&t<<%by$sINOIaOtS}yOWGR&Uj5ZHRbE)*;~>d?oD8fu|D-kJW0hMuI^0Dt@8<!
zj&3iXDYp3a)sN>UZL#_}qvlNcw{wYKHfib1bm-oDBz*R*(^K8GyzFk~96sxnI9ETu
z`dqTz`E%1M&!*VrpPM%QY;)~PoimeV<=@XU*?PYDyQcEXmjMCqXGEUhsz09_eQl0F
z(%utmMC~*#&OfObYCG>-XvKw95lasImjCweOx~*Xw@x)yuZfv&ANTvX$kZP(r)Qb&
zKO*w!W72UE?bHW*P1i6d%@=>Zv8?_?_=cCqL{{7ADW6u~DR^_j$D<;1H|=<%_eN*d
z@BW=P?r%OKVz1uO{C<wu+`Y%X{^`t4KAZh=wf*TMA3pCnZD6sWKL4Cy<q?tj_Woye
z($7R@`JdMbJ0_aGf6~vHXCof(J*`)G%0Q>$tbX)S(fRjJ7$lzx-81b=<iUfszt66|
zes1C2vqqoF&W3(IYdGah;!54~hLOj_=6)67esf^L$qh`i#Ew-j*i<=(?ew|OClmkw
z-*X_V>x|^bBOl-X5NCasbWD23j&lLYXNxV<SL`@boU3$*p_-AQ`u)YT#~M$?WnbH@
zyywimc|UiFR?idvy>r41#?y(dF})pkH(vazeWM}ih~C~e=hojow=(na*%@awEN^XK
zxF>i-`0S4FuYVjgFppzCkbd-%`Rfjb*tuVKns=XEes)9n%)KWQ?z@}{3_KIQhw-SS
z-?c06^^?z3oC}bXOL~1<F73dq;!R#_<WEQ4I3l^-{KWf|az^<b&w0*w#P%>WpXfe0
zYxCiwCk4CbOKWdC8}w<e)akf+44=*=o@APMs$TNacl(nv*Um+JI^TR+?VRoU=f@*X
z_#Hjq95MC($*0G(XP>d1bH@F+SMeFw`p6j)vi0W^k6lXGp0_Xcz`+~aH>8<|>CZ57
z<qd2*tp4rL)qchaRewL9@<^V~FTN+{`i7LQ3wEDRC8wXszESt&;MvpNcF)c_|B`vo
zEcN(|%Yh&N4Psi($jm<Lb~vW#=^d-OlN*iCdPwfbetzJ{g_P?yr&P*j=toFDn0@v_
z>MO|$asQ9}p7vxxtly`|J^vo;N;)X{|IY!bz6<u(1sPp_TTl8kN9MqSpRYy#{7bq}
zARi;wboyla$-LRRUvKD~xGGw9Vnbc>`wRcS|4=-U+xlX`oBto@9G!gLE_-*Q+eXF>
z{qoFZNk0$%nYlaif{y(EyTU<v=k4uZm>;{j^st`wBt}k_c_$B-$DDC|e<I%SLdvz9
zOlN2E^)Xf_FvdJN(eSY5GV{iXFS^dVtgblFu|~H0M7h<C6Ez%f9xO9Rdaz9HvefID
zQ9I7K<o}*kS=pfMFA&rAa=H5HDEsUaJu-|Bjy+1gaP!3OqZdkF|9HWA_OkWki>v?4
zW3DM%_^DrQW8nP{Nsl@<TW^?~R5jNzdE#&R@H6i3U+AiEK43ULQ9`elA$<jF>_mq2
zJFLEpd*7XN|JD#SZ?@k3HRtM{o^{)|W~W_cv+enr2Kjf?&$;&`JTN_#WPdE?g~SYj
zx+fK$oJ*OH&k@KE{r>c4?8Xk2zK!gn{lAkKSW_01T#5UBj4|eh#OjC+jiV=X>-OxN
z^tIyb%BeOTKkPn5oIE_0h4uW()ivwRd+(iLJnv>{`R6;5)<0q#9xXq9vN$`ed24_8
zgygel0_QgT>OXVf{hpI6`)|nBe_T7M>Jjtqq%$jHKQ;WXJhM{v_ld?snhVxsyKtOP
zy>*H0kI0Fp<3A7F-+g1dW54);|9>a13^*12cQRMtpCxC{rpCoz-+3mW{NUv|tcSeL
z1^ixfMq}z7+w0lp=K}U_+>rS10DqzKiX{=VV@_%&KiS~^|H1sEnyV3^DH~nSgum=r
zrMScY_)66uXS8mgjrb~eGU6ZOqxOEr)0*be2h7TkukvG_a{An~b5T(TW6Ew#IKQjy
zIOE^h^Uo%&Ui*{($Apg`A6U$P7*{U5^X=@z=Y-;R-hBV#K;oZ;z0bBdema-@<b=}4
zNg?%{cC0wBB=Cgkf%NW`uO$z(?|;(z<V<E&{l}M|c#RKEYG#bNxi)m-$-r~@+P{Bv
zSf16+pL!)xJZ6H;`BV9oHyiBFH7$Mfu+O^e%-ZF)KNs2UI`jHu=Fb_TwtWYW%$)P#
zs;LEIQq%dO-xq=o&HHn4)@+&Zk7r6I?|6OmREfn2R{7HzftH7lpWyw!+veGynICT(
zWyekWx@I2ljhO<+&o?~T@hSArNh7B_3=`fQ-Vn+)tN+09DPP6+-_laPln^NpvNL&u
zX3WFFx{5b3v-Dz)8pqxD;Fn8^`}})l3QN_IJG%QPZ2nMvCNuSn_pQ60zxSMNK6$Fe
zK<-pa-9r!e+gxFr!$0o*Tg@WKBzq%9bK~U~NgFb?!X6f!J=vOmq3r9QJ)h>EX~|yw
zuq6FJSK*%C-RD{>l{ch{ONvzPocsMuTU}T8*_+>1MQpV=zw_I^9cS96G5Bu3UwE#~
zn@vVBdfmA;JL{9|*X9VGez2h`?(|Y#xtJ$AV;&05_GM*EYFHh^6Z0lUe?wa6<Bz*`
zdDuSL`tWz%?!TK4Y_FWU)s?d=?C;@UNxCmt&)<Ch+H(JW|8vpSyFX?BJo{}vcg^|u
z%0JsO<Ig2V&S(96CVuYU_4DT(syUNz{?8eI$s1o*Px$fkRMLc`7G0j36E<!)>pGM4
zcF*54!E&b-zkj&tBjW+_sv|54O(G9YRnA>@a`~a(hH^LBKS{XH^s&0uB9U)>CZr-p
z`$Wc?htv6FUO(9Qk?Vj&w%o;<Fvc0it*0+ci%*n)!1cf3Z;WHo<rCS*AKCoBIb-v~
zn_ZK`buMHd(oBtccr$pF<>3vLlJBPen5lFoJvaJ{*Uw4!KAz~9t?~JRZPJkoa>)um
zQrm0KrRHm&38|bfm>GZ0>))TXa~j#U^j^Px^3M7Lj7ip~LT~;&QG70Xli{Wtj6dcI
zot)2>ofy-2*|YGuc#XuZo^#EXPi)pbo%ZYLo|EY}PPOEm$<3YmYiaqzn!3)t=TDse
z9r@?+?=@3?U#UDXy*=jN<E=$!!?*r@y!GDs!0Xj-_?NG}**xpQzVnR+?>;h~$&wSP
zv~Zdk&dwC`=3CN+C9|y*-fV1Bvxr=MB3tsZ*6*k5E?s}R^u*O)>o-4{JL|@WzmNYO
z*!Nwhvwjm3^Q|}bLTtRd4m@3xB=B(gtX*f`O#eOSyx04RI}iE~Gbf$N%CbJ~b}#7B
zf}>C7<h90d-L@<J*wJ6VM(9FBch`iglTSw<iL=;rTJ8C1%?U4y&v;h8NVSzd?f3ux
zpUa|+zt1k*eAZ{}>h(GwcD#{z;VX4J`@r*SH#hWZ-Ld;xxhH1VgV^M|c7K1pIUw=#
zz}@o;R{i)}y>rhUyL<U(e5=-PlYR2Hdg-4jf3Dt}e*4q(Kht+vAMbhUb&`Yi7015H
zli8vhIRqd6u6lG}#m33UAD`9MTz}X8+wBQ=Co?ro`xa|+E+}=I{?s*7?@c{pyz=k#
zyHBV8)3u+_5h5$XX~bB3TIb)(#NNgUOOH-^*|$66=)=PNY3Kacc7%7I(W|`4S@EFZ
z_z{lHfA;J*KK$U@!#?8!5BD@qp51w*J^p1>ZSnRPwX@1HF$a(I1in8d8Zo(I^Xm)s
z)6RP8o>bVwIceF4zc(k<*EMZ8zi|Egk25zIc5MhN|9t6t_X~;tn@^~J__5=Q)d9w&
zS4lHx$TO`@W;lGJBk4{0`xBg-&j=okIdJLqkKQ~}OAhD5cjVU0QTcyDvh&olH%IRp
zuljfG&kidYxulJs{=J{r@#B=2gu+R|n;c6{)Y<2<xE#E-XZn}OpK~5c9!<Kr)uQV6
zq}xZQ9Gm@nj=24g?Qv&9Z%_Zychc<ql!fz-eAkTRlsj1VXM>&^i^}|+d!~O9k3VBR
z?Tp<M?)^J|>72E#{e9r>#xu5e#18a)`}Lx>Uv|gO(3FR4v)S(d>Fzn)IAz1>XGcH0
zzrlDy`Qu5y#}nTkf1t2S^5Cc4PZYKv`E0rQ*vaGHH1+2gU;D9W&!kHm`6eBmJ@Jz5
z;{*RryL>()oO71J?AXz38&f|2ooptTeBj+h!!-|$X3u5VzUH)1<$2pv$6n7dV2-fa
zkZ9U}%4SA%{hJ@#D!y9m|8&M@+lQX3G6!!+{bXT3(KzSqbi=!6j(*fPbXouCWW|M!
zKR2@HU+6meI6LB;z0uJxvDXfY96$V};K_}X5wnxdx*8q-vi0Q2@HaCAlq{5<#He#b
z|9D_}`mEjTBj2t5-;7Q>`rYP?W9qT*b^8w)J2NsKjfkl`V|VN5_dCBgc(-e8Xu1BF
zX~P=X_aAK2j(l6S`u?Hvh$rXvpE{?SbmWI0gX^?2YM*}W?w^?GzLEFY@gJK{C|1n$
z=sEWDjN<W|hVO1p{B!)+?<r>$k01GSW!@R3y&s&Vcz-|G_jsem@gMuG#AeuepHtej
zglF>au0PAqPkrJa9zOHfuWvW*YyV+6k<HC2J%M|7@(H$MzwGL#AG`T2Vf&e*zdr6c
z&s;fa$>yJN$!A!!*FQZMRK4-zpM%RDb((z7Id=Ek#GaZn>Z!-dj22EZJIB0w#e|<9
z=7=Y+Saz1_^pqFT&yRfnb0W8ULa)5_k*t|#d2Sv0mwuXO&jYFFNB)1_$UASs4Abn>
z+}qAFe0p|4>*uabrmvqS-guL+_4_I2he^_>f-HWW4E;Uz)yy-(_djmyKF4x?!rl45
z{N$52xJ>!9|I9f_o4sd^u1z`n>Eqtx(yK01*G<tfIwSq`=j^k8W4jK{So6zYiLrV2
zO!mWbPH6tvF*oM~v)nnm?maU$+uZ+n#%0<B4bk5ZHy&a<BeyZI!&m#>MvJo(?)Ckh
zYXAGBf5lwJ<vB-=-aDbub13JJPQ1>6sJhKU6$eiEx3YZji+w!%gui&@$;J=YOg{<)
z)g6txankDOd9{i|fBu|tI5g|`yt+LxU%vb4omcz+pugb%h8a2`6E0udXxIL4r`F~L
z{Z+?Kh;MDGnEGnA+=C~jn<t(=rnKYdqlt;f4?a)(8Xmgo9Pie%95*LD?>^75@yO4d
zGaTEF{YW{>es9sL{}Bsk$v!^IepB@7nKSIuj(-0$<@>d;leszP7{o3LTt3GxZoayK
zVb!WtS_};T|MGreV92v%U|?Vd9sbzC1Ud#%k&%@_M3yPWK8A^rjq!F2M+^gF5@QT^
zj9iSy&X{l(Nv73IqHkjKV-$pvJ|r<Q7<bvSnBQbD?)b@IF2Z2lA;G}hz`)M%FHBuP
zmXSsAA43kKEE7W#=-flOq@4^gJV~Ep)MM@o#MmdjXI3~U$k5Bg(5@hm#F+GwA)H;1
zS?nEy7_%Ug`6qS(7EVTWriCA3lzuRaePggwVr7c|$gHmPp244uQQeWD`$G~VyNwAu
zn<@t<voF)fmoZAL3_pJ`>{nn-(n(@wXzx&tkz}rDVOY??$z=V3xwGQ~!$vNaM348Z
ziHv0?+-#~moy>_0q3^iD6|`cQ8J>zLC$U8GDl!`<=`j3iV2)u*`X8gj#Ofiz$jbcF
zrI|yKk3C-@MmvU;VfiE_hSeO|+%g?a3`+d0o-7O!j4>=MNh};be`CZLIYI<uL}UIm
z#4yE3Cq0Q_3KxiJ;ST;gks(rmc`@f}76yxFF>H)nUv)rdq%34`VT@r-?`UA?5@gz_
z@GD7*<9y4<B!)9d&D;xJI9~~IS285MkKu}uit&(3dc|>(A<#+CFzFvdo69eTuD?Rb
z98xjN>`h6F{<GT&vqW=zVvLSy^5i|lxLR;Mivo`)Tg+=gfz>h$o3}bLZ0Ag}V%Y2Q
zL2z#przFcMfs-6De_fIs8ASI86fg-szMXU+hN*_>?&BEA7^PPBQc<>x9ui4E8SHp|
zEMj~k#(KU(Lg2R?L%INClAtCdOOh}X_pKybK_>P?0(%u$lIAc9$5baNyhxHvYGl9Z
zB+i~3^HVCtMVN{82MhPazZ^!AbqarDnwS)o{_;ym2zv@LS-fRp<xu2^mxvK~*byTe
z!x?&qsa(N2<{-o529=oEF?BId8Rja?j;ZB!n8<ielD(DTWedmdm`0xXWl}6}nSLdS
z#wgukcz94^Hit^mY>9=nNlZ^Amd{SAjp>w$<<yX7i@e72M@2@Sox@OwlX)l8X_-zA
zM^($)497WG6eJj9n)We>s>RG^kZwqdk&b!HpsXMj)65av$&xSlR+cS)mK@vhuKfzh
z9BK^5>ynxtE9A~is%Aav!Wb&g7V4|Od~}kOyr!x^eT%|+jwFFnh8WEliKLI59G#lp
z50X3-W4=qday)8MxOgi`Na1>i7L(v^iM9@PjzA`fJ`NU%7dvAV?2<TQ|8saLD*XBz
z!yx1k6O*(!DMtCT%Up?{id>sieoEvC&IwYMC=&@)QBrxKxI;;j!%LjQ&r|V;q6{Oy
zkVc36OJ!3wPnAa1Rp0|0+d3pQy2J(YJU&P~6=Y($El_2bv`0{5fx1A;oTeDYjSpky
zYi#hS(w?ul^olCm>JT;7)xqj)o|83rI|OVz-U>JvY;lsfz!<}@-Xn&OfnPMh<(7e;
z9RvR)&KHu?B>#9c@V-;@zoN;uK17RkeXus$&&fKbY<$s9d`Wzf9x+e&LRl9E>hc8!
z>#;8M)#sb-Yrq?*q?GVRDb0ypoHd3|sbZGO>YJR=E=qlmEVii3Vd9kV>WblwHx%Qa
zWyHESE6Z3ca*_%2UW+Kv-OKp+<CmL?ZCqx?8$Zij?Ds4Sk&U}nTFND^v=ZfCW-Yf`
z&4zjXRldM0wrb2FcA^`D?bUoIJBV)#Fk#W`dlD1k$;cUys4+)KfSZjq##3N!U_?L+
zi)288X3{UgfV9sH%*Kig>?}#1lN4DDW6m*p3&mJWW>D03fE@kEpzWmS&KM*3QNc0x
ze|>-~BclUjOnpoXOH2ZT*Swe-$Dl>3|LZi?Uvbo94sl}rAMC8>d)b9=p}(u%QeQXS
zrKawtdhb0-b(RKunuP{?nTGm$o6Yz25&LZKYijo0@2ctdEB<zlO9D*4>nyF#3bfn0
zD#&*0%3!<yFGJLVmxsEAFAH-GpB3)5a8`s%_^y?ae(WoweA$;p`voqG(OWt{)^Fvk
zINz07S@C|KCnbn)bkdGCQgMikFqx#OVdb?^XaANMBh73NA&-***+N<q8x|$CFeN!o
z{2t?&?=g#6v>;5W#O9Yq<)p-*+QJ#pnj*RNNu0s8B{L+FIGv>vZp1tbO3KuU3AvKY
z=H8NGD;e`HAahCbsgPp9+cAYaPZuWbsf~$QwE}VE<J@H#F_NlYbBon@{rBIBv7N{y
z|D-^x^HW9*%j&F5KE_{JEUQ;$^Lf6^;qzRU%Qt^n9?$dn`Fzi373gLwJxd7noS<Ow
zhR<Kf;)k<3`^1DoZYT517aiJ8j(<3+J6IZ;Zga-6I4J#1V(FZGRB(BrP`tv2B(K1E
zCP@-C8bzEkl@r2ZJXKRpW?pyAJzdP|>|Y|jP)Uf<Lx|nCC0$HVa+1SIMz+~89e*5l
zl(GiRD`T0KS5?kBt*atu%gcfnERvUg!~{&_(qo<=E%nc3Mx_9kgHu9NjH=fS57wj!
zZ@YqBzIwfAnrJRmyP0u&r63pAM;<?M-<I+!9=}VSsyu#6#0peurCwCCI(OFa`Mt0z
za}i|o<hZ2NaU>=~iL)S&<4@9?Nih}o3#uwkXO#1XDb;eV{KWHimROHi9m~9ik4Zmb
zf(p00%#eALaFj($`DId5jO!e!dd`m?F|Qbs8MK%>_JEF#bopO7->QM(wTgpK&8qVg
zGk6YH39P%T!Z5eu2qU{<4Cn~S2G5wCN&ZPJ3_BH8$N0=x=&7K=s<_BOXuC8c=Tm_s
z!I%#da&;#<H88F;7J4_~ZcOt_MqQT0_S<7D6`3=WxR@4osQ#~xkZu&(IwfYFgbv5u
z4p!zQ4xN~*QJdUjnl>$1!I%`xRGYyQb4-v){$azM&5i>5ykmaNsGaaGNtacTZL7wn
z*k2rsNn#SI%t;DbG2BUaXH3-i7xR!s-cgX9De0zE`-GV>rJiAIvL4LbQ43jAnuOdI
zo>B@*vQ}g$QDQgiZ&<%laN*)+uH}p!F)w2jCA^t}JAQC_CkYq|B#AKW=5LAN5Y$Zi
z%5Z$i%olq!Jz9iv1a+J=Co?8Vh&VAA@h35QEn%FfAr|A&${oNV!1972h%t$6Lel>v
zZ%!c&B^73C&UGH5F#=3I42~Mf|JfWTwF%vmu;mC*VO^jg-xV{}vYq*|%cPf{i*mbU
z(>hq4RhXJ5)C;mS3NlO<=;X=EPG#bH!lLcT81vO5_J1AM_JjT%j7dx0{oZlWqf=Ca
z<C@A#MTU&Yg2J;TIA0`n+=;PbV&~s*Re>q#8%MVg<BXI8Gm?~^Z`j7?Al@X*G5=PQ
zIYXlg$4aRcO<x%V|0Xr>VCVR|gS$G0>5)Rt+@#bbj#)941<c(^O6B|?x}-j9YAoat
z(VQ5=D<ag*{lX!T(Nn^0Ws~lyi9M{&ydo|FTZOq47Ae*;>eqSnGJj%FZE}oYjPc+~
z7hw(RyUH?cNxyJC>om0q?9(QSsDBgD_sDnQSTD$#JBdYb!3+su6|RgmFDH7YP2`=;
z_15DV!v?Jd3ZNq@Pg*!~^gc+EkjP^Z+F-_*WafEb%?UpS*$H2h8V>&3F-0LEhE;jH
z(u@;(CNW(R`nB<_q+$%y9)(`exlux&1k)Kj9=XKGOT2DBzJX=3Ov3vlF3pu9b3ZEG
z7AUk~I4|^op>)Hlq@W6h+BJGxrm$sm<Z>``{E1KE`o#P4QBspU!`Gil9olg&hN)Be
z=P7Y4;#}3o80yTJWRvvJQG*A3a8&R#_S;LC1X|ecE}qVIcd1s^5mnDyjE^-NJV2*L
zNfn!vvp%1p%l=#-{1OxMOYwds2`+ibT@zw{By}1GNH%-SWd101dD6;Mg?g5+RbI2$
zzh3-m#rE~rY`)7Y=kQ!sQA}gVx8=V&_bT7jka;{;FBx8Ddw6j^|Mf{+H=VvQae4?k
z{Q#dEbz|?unJ$h=F@_o{_jq1QdMo|a2rre`x`<`M+%IR+S1#cBt}3;ki+7^Ti|^W#
z6f#vb7D)V263YmZR4Dg+7|OZQ@v6#K1(6Fag3fV2UL-H<6y;UXea+<3!EvEMOX6+E
z83kv@2L>({M`8k2b0l#paSA4JUYKIlAe!WHBgWFw)IwXx;PeM4PR0n?tx5Jt4<>5d
zl{Luy5X1mFLn`K}OUzsg|0;!&uBQ@<q&zu(s;VX_d1|OLY&Kmsd9kpohwlW&B*qJx
zn;L$^D91=$>0Y*k#j{oLPRt&S;tm!AnK*-WDk`N0J3JnO4wVW_Z&@m;9rKRiz6wL4
zhWW(E>3jxHuO9NaRWLE*KjefdjZ+>KFPzS-3tYx>)OR_{QPUM$S&kZ5doVK}7ya4I
zcsA*UxkrrJMa$$ZD`oB*l&LsO@la4<Oyb<X$I{Wl&^GD8g{dxc6>hTJxfr~P<)rUw
zo_^Ccb9wqT)jOC31Q<=(ukcJ<vQ~C4-$YS;<C~lfnnmxE?g?^CoU3%X+?RLuI-c34
z>#wq0yt0Ai;v_3q&A$Sv6Ouk@g?w=q3+#O8m(<W`kt?LvYMHyyQ@54LC_#3TgMy^v
zjki~LRt9fkx$e7}XQk<uxjZX1Z<p||T(Z^mj-2)UZ7j#LR&5t4T)BhgxT;5a;GC6A
zCuMBab~0bQ$aUhatgY`Zp2?=Wugchl?2)y-l$p#wHE=KQRPRzIW_E?7Z!x0AMvCmL
zF%OueIkUe>#3osIIy45e=iKXv`DRqX7_nMKgPX;^sZ7DUJ?U5Z))o6i<}TaM!#V2!
z&(%1VLqR;(*^bTm){r~BPPp3qfhW_eh?NmyLQ+!=?AScZUNS3386_E{akdt@SSlVA
zek{bR8FAu?XGT9Kuk3mrjs_l<Nl6ul7S%?IDhS5hUT|2*ETU1f7CQeA?*D;q0f6rR
z2lICxNODg4lf*VbNZ^lF>3mC$`i|R;9U_%6%}FI{iw?<Lo^@EnKFjKe%;lAl7wmao
z9F@Pg{20s8S;u8qv$9U8%$%6v$&zqOD_<oewDitJ%S{jMc~wsG?#*bZl|3JFil;yL
zw9I+mGqUGZBOOKgC!XCad)C6{&J^QSHoPm&v0PksUS|5N3n~kvtayW1F5B|@UgTZ6
z%Z_*DC7zYbF016vx}sC*=UIP+(S7@stGp|Jg?O&KCQ`WUx{mp*8zzoX4Xu+3S2CRp
z@y=RxQ{HyvEg9Qow@sL5-Ld8Mn^>Wxeo^bLti9WZ6>S^W_%Kd=B_!dzf`?HkX7~Hd
zAkK*^yi|f?okTk-FU)0a*yYjKajE6~B15%%D%!K|v%Jm9dSLV0)9gLZ?w&iBvOk+#
zb@|fqO}p!t!S@)hxz}WVu2}ZaQ$CsLc-BjyMQ3)pX>dk#Br1MLYLi<b@E}2Vf@qTA
z;X<zl$jMYe7Hlg_6&Pc7#wc%aQW9;L$ui?P`vS2SF>@ErTJbC943EbnEvue?-p;Pm
z8CV{3)c;Ou6P(WQSePrub1DPJ0vQX=DNHf@9%lStNm>}5QJLEk^@Oc(Mv;@D24m7R
zHs>cvvK)RwY}E&Io=S?U%$TgiSimNAV!z|TSjHrojjm1;KgIlUVk)qUdAwr5xdn+m
z1`}dAEmr(j{>M?gsA>PUXYBt~I+uAmALM6z8Y8nJb;p{U8PE9{Up6^SQ4@&S&%w#W
zm$YyhV@y$1Ma&ZUi!WGfRgx;Wid<GQ)u$|TeW5EYD5}Kpr1fz1#X_%_GXIo>OgLsK
zxUW1e%PX#sWD|3Uq0@+wWAegsl|~NnO?)abzYiZddAjQrAMaiP0~KZ!5q|d#@r;eS
zi`b1`^KrWh%wXJ?-L^2=hU0<ChI0b1FS2?`K6&%x4S(Z`Gambb3^q;BhzZo`XWqJ1
z^?$AV4vV+qY?2#G)fdj*aLgq}Y?spP4|9(s3fN0ckP-Z)r2f(&W@gO)SO)HTC1#Iz
zeE(A9VhTieOltU*v?(U3;O(3ZZ}+w=d(Zm9L+%pS!6c^%yh#UcSU4ob{7d?B;MAlI
zKRHwd(-fFu8m`NCePGG_SmAp@nK^mQWuK3>u@j#K@9kLLv-!!+UlTi*9-Qf+IB{de
zq)CrFD`qJ^R@tI-bJC$tUi=?oc4T=7@>;$1+o3Y)nb8jStuJL7cijCfsPghXgN*jW
z9WPaqw(R3s`RR)%t9!*?L2V;8nU!WLU-_FEQ%)plC7s!HP-EgZX3N`hJUefE_tXhw
zNWX2|w)4ghHdVEs=EqfHp3j;Z=p=kZ>DNrAiAPLN{ALN?VcT)L``rPLg@4#oJ^za8
zD{OJwA$NOg+rij>O#VJc3S0g&FOT`SqUXq-lCK8}3m6Vve<I3Id+hoVt=+d~1QqZe
zy2cWb@{%!WyF$#HB>k91Z}kmpE;uF0GH70En5w`SW5=p|a#4Y#@Ucr*_fL3XA$8<(
z8{>hQEl2uq9=RHuc68SC<JUhMKas3Cdd+J^gA1dAkEqtprkgtdlcWz^V`hr^pQO|*
znH6(qrSrib!b-+{r`bLopF5c`_V_j9-l>xmwmka%!eP#i=_2=3UM33M;7L+Av4_ne
z=|qfn()$~y4HRP}k1d=mCRr`;b<SzsqertXPxdtG`W-m2Rg$^sA{%2;wc-+&|2pDq
zTVo`S9#c;GEu}L_O6estx6QUEFP|PcURQL$iTC6+2gaD$Ni~YA9>hFJn!85QLh)c~
z`0-PQ2Tr^`qT=+l_QX2@CdR}VH>PH;k2_PO*`GH^&N=YC#s21zQ?*4q&m21KvX^16
zIzw^?W0K(w=@(8D7?U^@4xCM{I(ykgbEYg?sjnQ*(bS#IGA9l9J-!fh_^iaE3*jkq
zlN{DI7sMPpYns%wn`^duj0o3a3C0UEm=7FJ`X6h4<XqCDXoKa8n|D2l)H!l)*Rhzr
z7fT9~j-QRMKRt>6$l1^zNlsl!F-OmzT=BQF;!&4-Pv7+;=N~5>Ils;z>GrOT7R*UU
zF0{lr92AS$uyfDE9h;6_)^^@;^bCXIx+7O6FDN{8SvlrGoZ}2d?xb56WO+n({P`()
zq2TNDW8y2+8-0?Rlm%ynGCsb#`uL?+yW4gpIUT*U`@PtL4V*nOyLPlc@tDlOf8^3{
zzDF^9pO0Po5%c_++3`zt$A2DWJ#@)%$083M<q2_f#7@K>zapI!`*`b#osPxFubqFi
zsGwrQk|T`lNk;^Kb{>s6a_jv<g965wmHah~JG{&`&Q7Xe3SeFmQ+DKm{bNC<R+$|N
zzuvO+A9<3;_j_ealHL3ryo>J_FI;cK*qD0mq4tij$1m9`m^$v<Ir=;~Y0rtuo{tkx
z=zZ=pF?h1bphx<oh`_`j&65jiCi1O2`g;4ulP7t#SLj-9dU>vLNo<epq+=gv?>}|u
zAY)92trFK_|2;<M4#e!>J(P6#!^Gb^k{TM0Pr0@E*k|q8yA@x2Yh;YE*`;v4F{5ox
z%!U~Dq^9(vUph*g=OoomY3{Gz@$Tr6?<p$|&sm{&f&cSljtPMht0pM7$8?=J+c-s8
zVpn%h(ZoOJkN<jdK`^KDLC&2STQ?kvQJE8KdH9i8+=i7!)dvC&96a}8@9whn!~0~8
z|B;hEpsIYnhx<p&tOa5{8>Kp59o@5F^N~N+@(s=Zdy@8_$XK!9?4(L%=52O=JC+~*
zDDii~r?fSvbY|-49sOCzwN>fhU$chvbBwEO4^60?pKf&IzdDoc@r&jM&zL3rjcIr{
znbGrxU*V54Z6{nAFUU@m^qwr^E1sQqjy-<=&v$3ozVCW+Lg4Zlw!kDAzISI>dQZvE
zR6o1-d(zI5;&Uw3$2@yBYMx`ceKcVDd6wO$cDCL;_D)D4gy;UTw+E6y6SRllrcJQ;
zys+lPQ|&pn&kkrL$IO{|^60B;dxg);Ir;9$^YwAkGHoXx@Lp;$zL+4_xII-g=g6b(
zq=nV3%m-f`J@WR`6Mi<=&TAWwzj<=x*|`hJClrl#*;JlqGCO!{-;w)2KODT@vwz~T
z=iD)`W*_`)kTXR-f9BS+NAA2m{_*sYyHk&T%1^%$vZ!FP)idp-JqKpInCc;AbcdN+
z?7;h-3ir>5%{lz}tk`6Z@PoU2HJLssPw!l6apY4<j5fpWgAvR!N!w!NxMoy0eN=j_
z6mxn4-_ePykG(j-&+Zs=`J;u`%Ol@84J>1Bq{JN15}qhfxZ}Yii;M?Hf89RvCv`!f
zqLS;K{+U0I-dJCApu9$ImXeb}Owy4b*%AsdJ2q}U=Xd1c>C+mO3)FLVe&_jrV8Z{~
zON;JE9c{2X_~G$OlcnsR8(MxWJa)qR$JG<Eg)v9oZ9DS0?D)IFn2)A%XZG|jJa**n
zdKJdA4t4X7-<hcG&S8AW%A_vo$hWX{N1tt5&|ca2f6kj$4$}sfB>R~C4)1af-l<7G
z`YHGL-Pbt>?;m@4d7)3z<jWh6-}T)iwb(#CN$iu4*uk4(KQ;)f?2O?&Ya!2n=x%f)
zqjJ*Xm@;dn6vL9^@7_HX7Qb|`<F<s7-Gr6?0oh4{uagdcjCgc`+hTshU$^SJf|eTd
zjz6eR6-zww@L<yJj-bko#X3hH={!8gAAS7sx>f0Z2Xbr{thI?b_}FgaPw(STejf<W
z*>vaA!Sth{o}EGm%XJvveAd~1<gxsn$0t;0%6~rg_{~X~j~|5%d5-KhyMLp9h4+ys
z>hc$Il1?9a>aTf&UGC7+DKYn1!Z$jxGbPPyJpOps|DT)}Tx^a#KF@gMYfZTI1nqNj
zleKP^KYnm~^MSQD4y5HA^Nc+5bYJ-d!##}Mx{^DVZlCh&*i-f71-b`*@a)*G^!PyD
zjatK<dmbcJCOtX8k<7Zot@wb}u?2QVo?n`O{P)hnn2!t8k1($Ykyvmk^2l?uBfo!6
z;E_-{`s?@%okK^To5$RX?YaN$_zT-7hj;w{bL`vH32e578z;ytu{q7redyG&m`)SE
zN6!y`JF>Rs=&7eie%F~jeK5(6@%Re<pPWzo_dYoO&R338?%~Sk2ciz1G(I3(Q*-ph
z?PD*Bj=VVjY(egWe@RE5#EGu|Bv60kh3$d)2VNX~__%sP@#DtV4-I!;fAf8U%+8~a
z{C4`<#C(uYsS|w9>^%FY*U|f$$qR0+E#NtLuTgSW_o2goV&Xfpe!e+hbo6?3=gQ58
zP9-D-{@P@GbnU&y2RTQdMjts|wBX>mP12Vdo-Mj!kThch!wsgG9U?Wmgg$X>o3Qy~
z%bpo;k6bo7G{x-3;=_lgtvj?g?c*uqqceSyj%?m{<i)3>XHpN&{E;+4X5)!N5{G6S
z6FT|rhfnf>bCVf4e;xPdu9y4x_4JWf^NyY`I(ETw!*uSOb^ngUsB#4SyD{<Ej?WWC
zm3E#xd*sQclM{{~d6G0$F8A`WLr>l??AcJ5!sU7Nx_0-GM-!rCby$;*omV@yt$W9v
zO;aYbE38yDKC&ZjVq%JL%fYM0$F^ARpML(>?XTx{h)!SJFBNQa^mh6I{l~v1II+qm
ziCvwM+<)vQ$B!S4NAk^%umAi0c)Ho~%_p@lA3YJ|c65_cci6;be;OGwO^li!c*guU
z$W>t!XXZ|8KJ#Gn(GAD)3r|WPJF;f#=Drhr9~%W8AAfZ6@PrLIC;nF)dvxmf)Hwzf
z4{VYS9e(if!n}$J6F#<_-hOnN=Cz~=hj~u0NlCJ>Kd_r=zWd0m`v=TVXcRoUQE~CV
zMbfQD=a1c4c4Th<{t2(_k3Tf8J97W>vg32NeY%inAM@~2g!+Z|5lIUwb&lRiKf2(b
z&4FWLhmIaoJN<jnoTN*E8)E-YnJ%2<6z!Oww8(kuyyM5~^Cv#8NxCiXcW8mfuC~T*
zwF?%7hZczacq_peGw*`E`UNrX%<PG$-yK<yw=-ht@%jH=>mEHL?>BKr0n343#}|4t
zii;gPef;nQ#)fN26GP5-#?3xBv-tSJ`3KG%J-B!0kwrE~&V)^#9dmq1<dL(VX2d@~
zzVueZn#V`aCNfRXJA3$SQfI^Qqh}}gD4#!k@$sK~AE(a>zP|PN;_81)8$PU=HoGM$
zc0;?kb;sN{F;iyWiQjo_NyOfR)BY?F-*IHgt3L~uMNADpJ$1JK&Ld0iEz;uSs9xcE
zZDr{X#tEK>nUjt$JNPu|--4y`to94^<T`WrFeXiz`{n(t*gq4sBc{wNoRw<Badb-D
zlzDdLM_0eGm=O7q<;dj?OuL>PW85IjC;E{=ka<N$+Ri%ugE99~r_I+(WLhl~b0GQi
zhLbm^G@L$mdHT@}+R7`$8Q!!X*pRfJd3w$U%dmxyZ4NJ~i9534{juxaNsCiN`#&Zw
zdpb4u(5ASK!x@aM^RFM-etYBgnq%v)P1wq__zI);7Qx-e_SzooFgtWP{eaIZmZKA5
zXB}8`_Q1ZI3l@DoBAYvHiLRyK(*-Q^H(uTQeXGq5xw;jsH6PB~ZCp{kpv!E-x8#*O
zcJOaLur_ka#gBV95>)>mT(fz~vPp;5oSkhe&zKVvxPzlc<io=!5)6S;cAs5&dEU_-
z*7-YD#Z8#fbAYF_S3K<YA&wsh53K#OBZE`OjWOm?979*#+BbVvPOvz>xBJlQ)ynq$
z2UZGZ&N(SDrFO2*@qKoOmft(DA@xSc3Qa-AW&aKz+WK#YYWfaO!_!7~JL4uF-NJBk
z&q4c*pEfP1oIdgN(RFi~%z93~>AWwReCYLr>-}FROtOy?TJd|&%8U-qmCREnZ#{c#
z?X}~6Mn~72RXMWe$&|-ukF42pG<9pv&I`|utlGZ+T*^v;Ge=fUJG%1Cl$oi=R@EHk
zn|Wl_Dzlxk-;SRX5;Eb+KYs2si}=xV^G}w2-Z1U)zulX66e(+*+J0rlRhEfDtw-ck
z!;kEV-z5F%;JL~pn-9fkAHRHF?#PDOKmK1xK7VxAx3DRb=V>1Z`F!wr#jz77H*Tsp
za$@HD;~S6e+>mx`<H?1o^BZJDt5=@5cIcMQj=g`xXK8=@-5{5|^T<RCX%@~kb5foj
z*|qK1$tP1LJ^y>)-U$cMBd3b;j;!=PeoF7ys?$eLh8@3m@A%@wax0i-pO89w_5YhA
zo6|H8A1Qp8qvWuEi&pv{j-(9=CmqhtRF`JdUzN0hG3Mlo>|-1=TIC%-GAZspe*aS3
z)c$QpkE9%1b@Jf-Zie7JTU|U2wlXB{Io#l1ap2y@hJeF0$Bt~C(m#FA)HNqODvs`*
zeXFDRMnc$uKR05Q%szSK=(&>~>u-pponSxy;7Ub9?8oDGg7l8>(m#49dfJ4{vV#qo
ze<rN7XtI!A7*OSX<Vf}L)mGm=&Di;bF>=B4^DBz_j;~3*_k2Yzi?-a8Bys)|`AjJ>
zy8_nEFlS9-oqb~+XRZX}gQ-dj0&;#a2<p!~_2i$!-z`VZA7A?^|CGwnW3!}_%Jwx(
z{<GnSLDJ#v*ABMsS-I$>!@BEDH;x=zeQM?pF4@Wi;dMJUH>^tf=%o^|Lm_wbkzGgb
zJg?9^^>fY9FH?`6*j}H#z)<WwXJzE-GaQ?a-+XfX<obPCVFA0Y>m1zh<A2OW^@*Jx
z9X@Mr1)X5wo-$49ss4eouoXoq6J=Mn`cK->cJ#nq2IW5&pPgj3y&W|B$fl?2_a^+Q
zo;FP&$vtMKUP%3-8}p8DxcO+u#f?W#v5O?V{g!n6)V-C;QY_Lh{&Q*Xxbtu2mN&cE
zeomh9`SAJ;Hzyt0IP>_a@OV>3|05fZGQLpkI(~ZVo((%TEWGe8>G*+tRr*I$KHoa|
z@cE9W4ZD*LO?x&&B%<P~=<I7prfi>eea|b~{+(N{>h52BgT=FV*Pg>Z;@_AKHSRfM
z9Q*Is>02-Nb+4&BdT7g|9fEIOyf9=ra^%3{q<Kj->&uglpIv|N$gw+SyB^(KsdemZ
zHupgRjy*BE4^+)Ka_A=0i7AP{UYs>PvOj#|<3rJDhxh*Yxnuw5DHiER&#q5nJhY|p
zVUpOfEvj->JFX=k-m^i&_`sewOgH999N1ZZ(@XEjcAlh!+2*sVKe+xoe)it+wb9q6
zOs|@Ku;9QN^NkyBRv%rn{m8Q4md_3?S@SpP$ljYr*Pc3(dUN-(&pYluJGM$U|KcC9
zoxI2X%-*>3^^Id^X7Al4le1Io-qBUxj-)?7$g<}6nbd<3Ju5Vh%(IS5exdY4`rL%B
zvj=<9_Fg!C^K<{v8Mk-tnRR5f`13>hd(3VgZ<bR1^S|ap)r=$awjW=+sng3;`_S4s
zNjupmwwYJo{P^u-C&P@G|H%xEk__99^zCHqKeBkuv2_zSXz(3bx3~D%lAFiYbu%)W
ztvR6j;mgr=-|~*E@ITsn^T^5S^T+xkk1YOnv`^(^xc1kyV+UUxo0B?$>FBLf^AEk<
z___M=i)X%?Q_d|ve&opgx`zQaN0uI2eq&nG{m+b#IFoi1IVPzxg!`Q^W@J6L_*>`X
z{%MW&kqjlrcbz_Z@ZP;6J3mdSPg^bZXQFN7@kPHj9dJoHvS{bt8)B8mc-CAfkLY;3
z`Gow$i=4Sf_HUni^6irbQTw~^&YheyrQW~xq<H?(Nq=(>o;-VWa^>+;r;ktlyYK9p
z*yGcWZU1(3+SF6`djC!6lCA%k7<TO3qz_t0_)i|4{`Tnh(?>R)yM6SWrT*b{`;PA{
zI^LjbS@(l6>EPj=YYr`*P^01dgm3GeV~rn^Ua%-L+{>P@tcZp4iA>$Yj+i6O?~j~b
zT6DZ^>XFmp;z!z^JbqDh;KtD-XU@Gl+IH{o`MyOt{ZBmp&N+B;<~94KogAqSNvuca
z^Cl%o3aA|Dbl!0-hNI3vGN$#g{;`K_N49ufKasST!|r(dgohkH2irHE_>_LMebu)k
zkJAsFPmY;VasJ5p@5_#~XIme8lz+T!)%#CJ7uP;J(vfm$=h60W2Nxv@#T@Cd>54IX
zSs#3)BmKbA&7A>1kMFqIS=!8)bgWl1`pA-J$NSzaSW$7)?IFvd9qmjHyyPF<dNEOS
zM*BgwnBx<F9N)2WeLv&z9?SX-nQa>+9lpJrUVCuagQTRG1q~hM(g&AU=l7W1{{DXF
z?lVXFH}5%Ea`Q#$VaAvv{UuMEGsV~ZN@^)gUisPQX#d$gAM)h?9iFjDx#MsBpSd3z
zKQd29Wc!yie@#;D-yVsTmxGT_KL7B9$415-H<FK+>c<~lH}lB!zV8#nzecdHx~RYy
zW6hAze~^1;v+4Zf>yOO++4YD^@XrKZ!=%IWkL}vua8&!*k%e8B6EwfR*>R&&KyVjV
z-J3(}PjYnZNR>+pWBc;`L(;4X+Fd(W%RgUoq)}5;``h=Q6OuN5`@ZSuocYE_R_r~P
za{S0_|4#zaFU}-=%HP~FH)`IoRh9b=Z~lC6_M-z~Hd|JGYxwZ_&@5$vuh|p({`Gau
zS-5#h)BI0oTp}9wo;^C#U-HOC`vWsA<|bX%?LOT8<>%f%hi6(G-K;$!MQozOPwu@R
zOXn<1p1|cQ_&)6D?K?+yos{I+xcBj~S?x><4j3+MdK1@B)La|WxS;&cMB!svlcKx6
zUy*%&aNhCVdydRL!IX1k@98JAB=R~IyGoXX{WMwAarWlsRGp*i-XGZe=Ez(F#tU1g
zgs=p%Ub*q-;5<Pg_dohO?x~&8n0$2iA^Xn<<|#3;ukX`L-R)aZ_wnVOBPs8W98qC%
z=e)G(5W|s=LXQv3cV`cdND`RfbG-ApylAAtyT-}qcTRXdagi@$v=RHOV;8HB&o?@D
z;qB1{nwyVZIKDvr^RYAkPRqaPv_HhS`P8GGXAF;>iJqRIT72ZpX@<=os%LMo`n)$b
za$?@uV`p-X#_3-^a;Em&PX41C{Lg>fu%NP|{OsR_&yH+({%X$o-$&L@Pmz|GI9-1J
zkrh9D&GnCO{dr{Rxef>Yuv1Dpm$Lr-t+G(O==Xj~@0oYUm#Rt1M;}{y?!uDBTUli%
zran>1{}ZJ;`{4E|b$fG<ZNGVB{;A_Tw(a<L_TP-Y1O2kv2WPJNKPzhDFNKqZTW+*W
z%|3cj^3jp)+m0QYG`((b?XjItkF5Q6eCN}n>rNdzblUBKpl!*u&IzACA691OjI=0g
z$;sFMe$VXKzLT6@=1oWTZj3p0Fi7r(Y~9O)2MUrd-^jVwb;$U}lOH!@4let6(S^fc
zNz6n0qx+-3KDv2yzkl4(rKgVXKll5{>`g7YhlO`NNjkEB`3<=v`!lm=ao_8R``i?h
z-Xtaa(CP+bOlPpIoz{^>aZDYCjf^o)9p~>?NM2aBXTqVA7TbOpbve9vb8`QUsq<9D
zkDrK%Yiy01^OX1Fb=hMIpFS=Qf83d7{(oAJ&j*E-Dl&`~4hr{=uYB{O;o-AcGUsoI
z9a8PEs}GxSeDf*AM+a9OZ8&Jv5fj58Keyri{hEs#Q>u-R99eFC|LC(lO23(J{G9*!
zhyC-%zJI3nOh5kS<Mf{BBF3jrYwa%Ho20^gpCfc?;@wS0uS`C$T2%kgl|K%X)<_;c
zD}V61bf-jmWVQ5!pZ3RUo~}5l-+g@b+xtgX`&+(vxw>n!#L=_&kKCGh{A{)Tw2je6
zZoTw9a_Q?N&Qh(Ha}4*coi?F8X_v<Inp2#gjCgM*|2Tg8<g^LZ_peU&J8->m-<!$p
zADE7>mlc>jq3>YL*@;#5bw}2(THE;T(UG;YHy%3V5yUuk#;>{~>t`_?S+Dy^h+*%M
z^?!3TC+sylxIs<w$hrR$C!KsLdwjirZRi}XhI>cW&;Rt`lUjw(;{{$Dzipm4QTos8
zDHG2o&E&7{S-9}YgRSy$%#+%Ej-3yGexz<``lTbat8ZRDQk%8!%8~xLo7iWX-TgCt
zVp#O#(1{FQ^US^%P3r!mbg=rw426T2Zyc-HbbM3n?4wuX_!dV_%xPub@cCiYj=gK<
z^h`g#VeP$%6?$REYSv7Y{yDYNawpfDInvvXZhk!By?tEF>{lB*J=xe}?rXouXFGH(
zDM2P_(S&Q|8)Dj%s&~v`XG{LC-N$OS(PjOV$sy;TOlaU{JbvWZuVY6-!wy7L$gD8!
zy+5J(@1ALsn_`}?2@;QEUZGNa;%wOhfzJoCp5I71IzcFJ58H&EV+R&3tGo8`5znzB
zF=1sZBYS4A>0BlH`S|f~$ER$X-Z5=M;-4OcH&dsW{yR9K`SXX<>Jmpc)of@!K6%=a
zgF90cSr(|<{$Hb(b7a%=p2L-2PKrKW5HsWcn@@*s9GiIi=(e1R0`~Ji&Nx1C>)xr8
zwjZ6iwPykE3ia<dk|q=!OqzM9zWdMw+m8xsZ@&2Z{J@0I2U?kD#{9F1W!U^w?C{db
zM^+!6Xe&O4Yc2PI6HF>GNt`njKJA|Q<>UdegKKvjX|8xV<x=iVO^F$o&#wr{uA5rZ
zeMrNgBI!W2;urSl_Y;m)PAWe?p|$$op~*2vCLKF|>&V93qf^%ZIld|P*euKQN7jEk
zI_2DvwWlOEb^qj?`0@Rom09VFpUpXvzHsTrBdfL@nf3I~^tzmxn)dZaS4yte`9G!i
z%|thO|D$WKP2^j1Y^CYz^%HyrSqzr`>pr+vVrI;dUDuAUs5!ED=aKfF)wwI{@9P|2
zS^vA^@5iG{zZG6N(01?0(sj3wG=*-RF!5^4k>(;s-UBUha|&-CZ~c1j;Px|n6!ngG
zB=1hy$r5wC{pR5<Z)Q$@{;%rz${ktP4s2J6;9=&hKe}z_(YD&7TYr8%dh_V!a<jv;
z>W^=GdaTfoVdl4E+fE%VntEibZS}EjZb!m(9Is6*Dm+%Xedcu8b1WC89qOMqgE_u}
zZ2^<Nq0a`fq}EMM$NtV(-FL7-IgWcu-0T$(3Xj#4Zt_@jXsPAlx`=%-YBN8dov}!Z
z@5JfY|6#Q&?`>W&r*Gm_`L@{?zOpQsWB*TLj^5_k9cj~>x5gZ8IG!}+Z`RNCbM(I-
zYq+^6n%^Nt`^SepF^3m_U_5li;$Tzyhm*Hd4>!decrjo2-=B%!E7#9^d;e&&=$_{{
z)-OCP%71(X)8Q9W8K*C?K6miZk>=9(M=mWdKiV96`+@46V@=oZ#vNOsHT##)my4p)
zkF@-XIo{H%eDKvnCg!I}2U=`?r2m^(^Je|R^lulRem=B9>EMUo)7sx2X}8ipx?&^K
z^r~yqj;yU<KDOoobJFhxJ4C&Ytc^Rq;?=*hV{PY|HVNmiJJR-$DQ3aZwnsI47Uce3
zx9I9!y<=_KN6VicZ(Fr?y6e%aU&Hug%HC~pzkRe#c6a~r@<Z!dD|fu>eexpV?6HbT
z)2sJa=dWa}k?Syy+xh=6W73*h>uJX~UE<q(ZpPxNN!op#A1ayn*BqE|qy7KJskP-#
zH@-RE(dEX-#2mNw)9u4Khh>i^oqBg{#`BjQCuQrHdbZ#AaUkgg8$;c}u>OPbrk0$j
z^ZyF4^Z&u~|6YgZ#U&kZlwjMG^!&)YDf5mWn>%%m+U>Q=t<tyfSMOiHyd~-2#-xat
zNxLR8ac(uqoqyoVq}0D}HvUVV(&)~$vG2gAnjJ3g0)pojuifZhHRs{pi7f7G)~qN?
zJF?_;$L9k~F@g)$NFG`uzoCKY^#RKbe|Mxk`#8D%-hv&U4}{F#G2=1Ii~@;+hnB8B
z7&l=q=YbuYj1Mje>v1zWwq*0(iBd@mZoQeF8gZo0GWf`{vYx+@8!z-NNMzi!?EInL
zt`*Fm50pJV+OvGkkqu8L7K*ArEai%|Khk5|yxPs~U~l!;+o=bI{%o4?=zy2$^IgXe
zc$psGb^5@BBCBs3rfr-c5_zOoGx_+MqrIu~5B@*aJ2mF`+PFyt69qVS+$gX3wUh7s
zLCNP6bT=RFeY9uQoQrZt7kM*Hicm^A+N-(j<*^x0na&>kqI`hs4F92qB>iK(&yP*q
zcC^I5Zl_$~(FwUntF*Ttn{d2$`kyZs<{a%ieWbc9?^xge<8@Dt_T4(NWSX!-&cX7$
zV}1LNHb2?;wD?HhUdCvhy=QhF>3dzianHSD+g83gFeB+mH+Rg<=R4$%7RhU`JRf&-
z+s|{yOCL|Ip09WP$c)qMZEL35=O5WUebbQ*=Z?J9ZaaA3MBTOH(?89~iTIhaaiVqq
zk-c}%eK~w@-?7<yj!fBmY|i>UQ}S{_J-_LBCk0%8|4l!-YVD>Y?YYz1=dYQXzxUYe
zwRetGY&$+X=2+#nqch(gKl1tB^t?SsR?R)$l&p1hmA^!I%2tW!<45M7`?~k`y{WAy
zk2R(qJ|h2-vo&dp<*6IDVjk9QK2&pagUZnfG4oGs6TilIV9yQK9o<KFq<4D7GwtZO
zs=%6*X#M;D&fuR@@=qUKGu!fdih@Rq;QpI`cV!)anE7)`-uZRCb=7;8ojuYPR6St^
zZ;Z_01C94Lu-V-^c+mLZsXwBtHYFdtXL{pWjMIwK$4@MpzoMhQLUl(p|G$`HyAND>
znUE-#v@=08`{yy1xP~pUG1C7J{QLQF!{;dr=O1fV&;GTi?BKhP8{SF9yx)61^+>1Y
z{{uHZDj(f=OYKNs_ra%*-_}f-nf7wS4e6iDH(ppF@nYLeZ`X<IHXiMna`fJO+XK&z
zJv_2ewQA4)2{WHhDCdu<(LZox!knZ!@4lUj{`(y7n|5?(q}q|4b?4Myi5=Ome=@q~
z?V=wyc`7uHetcpeb!@}*w+od87&%rmzMseXb7IBW4dL}=3+5y<9{S#MXzGi|jYs#)
zIWl|tyA%5~0*;^bzd5C9_31;W`l7ORj`XA-_<4NFoa$>=_?|>Y969}T&G9XtkAAoy
z+I8$y?4IMNw;bCNzi!p`MM_<VW`AsaGVAz)sbUwmzVDwf`_R_XCoAqwuRS}v$Ih&A
zkN(m5+Yc_6{k>4@#`K!AH(8~FXFoV}@w?9PiNAl|-M|rZd@Dbr{*eW;!tZCDa^On(
zGGVUVf&KZPzQ`Tzw?97rYwSm+BNJo(>^-5DbYy`#_wh+d5y>(07nH1-Tx-a9WPa`Z
zLrt|kGtU2g|Eb|(@+ozB{Rcnp9ce6Fu|Mvd`pKi)tjjGsb?uMj%|AYE>8&G6@BEvn
zZ@6Uj#9N%38Tj{n+!=Ww$8g5OV+R*bG0?kteDTg_k0)e{9%DS9dt~O9a}#0?^8Z+T
z<Ltp%diN&E-*D*QFg!40)2wv|L+4G1yS``9`D0DJUVS_L&4Z86&7YWJo_{oc=Fyp-
zrqo@(*^&D3gh=1VPqyC}zg)fX=ftMg>O)K9zcqciqILaP@B6N^g~z*h{Ej)+dH%ys
zZYKE+$C<fbd=Y<tLifgzo&7Nvw8MLLeLc{%`G8H|<XZ6qKa*IK|JNOvs=hJBf-z>+
z!NZe}bO~7Z95(Ef7kxdgA@_LqGsYR0-mJ-+wtn9KNrJnM%=~-q>#nY&Gw&81ZIGV$
zCvxNN4{HNuR$V(f^Y-z{+m5%+{d;i7<4)^-#v7UOItMGmR@!VlGBbMSk;XU2S_C&T
zR3u3<)NI*(lUs8A?9|`KX1<-c?CgP}_M|UITlShCX{(-^y4>ezQ{?ot<+?{&ejjT&
z-IIFj-tjp`NBt{rwjDj5Kj}#I%_I3m_m0fEcdQ_2-jQbABL&aD9nhV9=!~G1&Y_ln
z$5TFj^nP|^-QKk~oE}Zh-THQ2Z+YFM<8O{Gn>?kYbk2UEBlSyP&)HCWWXjff30J$5
zv3tJ9ym*(8bm_)2`*U`eTrT@teNuEg(BH+KzvsxLnC-%I*W5hud`jZcBUx9rA6qch
z_(<;8xT(8u9?w@%cBr1nvFvExpB3rp$F^@bdc5-9S)s|2;zx^a9$o17ZGHXiqYKVU
z9**)q-aGS1ytjqyqz~sliZQIUIh20wKwJg$jeqVR6aHO@Fg($I?bf=FAF`Y(OzZz$
zoN9a|aqi(A|9g%nA6hP6#<H?HG4@F8WQJ(%rGHNDcs4zH?b%~Vw<qj5d!XXD{fkEx
zGv^r}Onb72qv4`RGUK7l2fJd9PWWj1k8#B{!=srDu`vr{%9GlDAKiOnqU+})3**v`
zrp;8peKfUr=jXU7k!DBJ(~q3sXH1$T&Bhsba%Puo@zJc$`+gWc`lR`!V8yJp=Pz>2
zbARJ=Joo(<bF-tlU;iCC>fzwAjAOms!A;f&rXG9AHL-HOKugY%O}R%3c5Oa#B&hPp
zw3Vli7l<V3FXoIpbhPJC^T*Q14{x;pnLcguk%E`Ej$O%mfA7%VeY^imE&V*ve?s@v
z6x+#13vYcCKY7`ko%O)VDx(vo2MWs$ubh0s@krsyO@~&_et7%M@s-;bev%J9UK)3F
z<>}*Pb2cpedqeo}N`Hpkd$<m)JSKkPr(M_s#)(|!H;w8m?kw87`S{A{yY<hGmE<1p
z2;2GRhV7jr`N;=Lk8~bRN@~b5oO`5fPtAix$D5~bj<|Dl{chu9W#@MvPV4V@WxyD7
zr0nm_2}YBdW;_e{p0jh#xBEx4Hy<lIk}<vS(w7x`XI<_*XrFw7>)VR=6<5A&jAq(<
zZ)&C=)9rsNY$h_YA1$x{Gtu<t(TS&y)=lZ0xA|Cj<lzMqnIevc>sNn068^2LCy{Z%
z$MXjRDi4XQ+3)?M>Fvft$w@nJe0*?l-oe7ndp=YgUuap$DR*bq@tdpjzIF0MFde8n
zIyF%L590x?4gU^#C^J_4i=PsBkTGV3uQEqO_J{R<VkQ`;Jt#8Wy;3gnV>IuNqz2C9
z|Fy9UEmL*=uer(i{ODY5<0*BwO?kh@h&8U{eZ1LhV$SjVXR|gYEqK_qfc5ji!bi^-
z?AIS^nIO&e^H8*X`ue#?7g)VM;JAV5&e^z}pC^R&u<r76WQws82#Y(|m^^QhbI-vg
zFY{z&q+$*z*8HDZH+4s%Y@G7b>9_Bk)l2;xV!!&~49hJHmVECQm-}yZk89led0Kw(
zwj)da>?ru4a>IH4n(XVJj!Y>zc5r^jP5Wa9=D#q`zBjRW&cW`^7p>0^t>|?)V0?CG
zbl=MSuWL>+CrTzwn9^R?apQi^34>#E6XPGKH6$f1j48SB<>TS_$CHyq>!%b~9_edm
z+2pY2cwbQ2k<HrsZ!P_B=SZJd+u@$L1#hn3s5#R2{Ll27<Rg9ax2+6MTO}l0|H1Lz
zmxS9#md`oT7yd+Y>WgpU?~nKGIlAQJ;mYSn`sSXRTCHz=WJUL?%Llj1&p$1BsOtEU
zKK=Q}Hs1U?SwHfn-SOiawj8b5Z**+K?c?=E$JXW_sk7dEZ0+}X;hVl3`*iH^+9UO4
zX~)*S|GN0e&P`{JuHAF6)i(NQ4M^x{Ve0X<ajbeXkE~s7zH#5Zqw`))i21A_bNQv=
z&HwkhIX)hl=XL+Iwc)ga`jggfM^^p$WL<Xi{`@bON`<Vu*WEtee(gY5&&?WH`%i0{
z?(I7=_05sCxyPriuRYRy``FCW>l?CfADgMY`AFZfsruDZ6V!uRR!^x;eZ^{<{L=M_
z$NZdKPvahbNaQ~uB6>{bQ2xxu`GVVPX6`QdmBjME|J<RfmCvdsG4MHGja+-@$l7_w
z7U>-8i#)dB?cE~_!j8`?I<heJ_=c@ZgZx;oRQ^8jr)NWNgQ9u<$6Y5FV;K1luIpnz
z_h&_K(u1nAPxs`1N~}5F8+l~m=|?wiyjbAsefr+bWrjx%?TI<Iu}E&$oO{m?Z`^o7
zzVg_{<3Fqg-Z1dL{(o?z2V+!%no0#z5@*bh2Qi8V`&nbY8^pB6upM7mwzhS)($Vnz
z{NwHVoG1AYc7EUg;PJ*gJG$m^a_JoG*mM0CXPZq-plb!wjM)<dRU6%8zx~^wp?KKC
zw{5|P1HT#O|6u*Fi*a5|TuhM^H`C46abI`V-DG}!yzbW2%v+NfUUHYd-2Xl1==_^E
z7O!dKeE!@`^k=f-FUHMJ!n-E^`F^ANz|z*I2ON{@-gs<{J+g4x(Vg3mbWS<GGj;lr
zg-3TDJ!PCFca>w(Uje2>aYptx6Q`*&@J9;!|Kv&5INDcPeX!@+BcIO?YiFIX4c+rY
z_>juUb6v-FrFtK4zjt)!x9R!!PBQmgOxvJ+WY?A>JGZa>dL{hW57rl#vM1$U6!`d{
zHNGP0$WCvi&OZq&FFn8cO8obV%O9H#ysnrt;pEh;=&RQz)owog>c-25XK(gCn=)nV
z=i^;>PD=}(lIOb3-LTUx|H$sW$5;OTe)MJdlidQ|>$c5bY$>+UROXRY{dtMpKjzz4
zTC;iX{d(iueObTfhcu7(pZ|D3Z`$z*+dggUS}5~3WtR4l1#_z^XRbOj;r7gB`f)3}
zKVI;kSCRDQ$bzCz69SG;sCa3pb7bQ70}Eo0Oz7eIa=(64<(cCX!taZ6ZmcMfKfs>8
z<I?%BH>;|TPw3uzp;^@Y*eb?rF$X5T`H-A;!%;rFv*g~9i8e<k)IU8Ev-bO!Teq+O
zn{2hF-96{nq>YpG<{!?9pVLrwaMJN(vGMbcPp%I?w&C`X_}8(=S8P2Vzje*jqPerG
zif5$6wXdBsA?1UgsD9Jhx{i5;2NxgPeRl^Fx8&*LvG0yAzx8>Y^|fO)F~=9*oK|@H
zaJK%n54wdN`|mWi<h(o9a)0OZXE*aqb6HxCHhIZr-D}#i=E#!i$C^*haE+MY`EdV@
zTKUHlO*TjfuUZ@@f1+?!MJz+Z567b|N7hxmbl!Yq%H$V~rpYsM4nFUhx&8R7jUSb}
zkG5D=Ps@0Jr1N#m@usl1IoqaWUyk17zUSbS$`4CgMQ>~T|1&8&Vq$1N<41?<JqzR)
z$sA0Q`_w+`$V7Ijm`!b5G7}S|MGrE4YmTX(WwGN(W7__k(Z^35etf)n`r4zD-yCb6
zKIhbfMv3o-8IziKt&03H@%)WEyG@*P4wc<J*m87@^oCzQqWdQ%)c@!>P`UBQzHZLC
zm?Q1~j<uZpc!w`3zv<hzwJw{Fw4Pdbq~p)gvXsL<YYvs4U|6zqN7}L0x#mauqK~#N
zk2%(|?|8M@k>cA^6ZLatrcBLx`ln0u#Liy}@7z36d+tbi&XKa`e~x$O9IMf`o|1n1
zNX@yUbFy-e)@o}X>8ftnApiepPwx7by*bCLqQ6|yP2Ya&SZ171=^-TnrldxL-4mH4
z7q5snUa;-lZnlM6W*jMA{k`MH^P}z0kHuV@o?G=vW9pG<e(~0aeT$D4{Ez#&Y|jbl
z69q9x3snq`Bp+frQh4V$=d$C4+Yhgpz58?VX)hzkvg75Frz7k?MlCy5_N3tEhX>;C
z8~!RAOJ;v*j6PWMd0{j^fBL5Nhs*waY4~|0<x$L^d-Km6EmhmQ<^Itb`;M%B+sNMc
z<mt)Daa~8(<d#WwcrnjPW@vc6_TbL^J4XXl9m3<prbK+&7;(tvM|j?~#+dUH-d4v=
zRLwc$_DB9i(Z}647I(d<-@MVFZ`Nj0{h1kS7Mw7C{ITuql&<P;-{x+b5>R}5N_6E@
zUiBlPpC(zQ9o!@Oluz+Uq}}raTMkFOIk@Z?(~qk9zJrmqjlaVM1D?!L-#Nu2_bE@+
z(U^0WYbAC*DL)!LDVi%)_UB#m@Z)h|Uw#~9j5&~Sl8t+dX!Xvc@w4`G?UwoQF6r^B
z(xY2$A5Z$a@5q+eBT4%YZajY=Ir7*h;h?Ya=?C(UZPE@unmTu5K>VGfsh=t&8+f{p
zZP^-gB=vjTk;5<V9!b3$cl>Z>__37O=|?-?EBjsI2|t?lsUhLZ>G<2t59QxCee{^E
ze97(K@s6sS2hY8XKKu1d*6t&jr;m2VecJhMePg_22g~e(-7~+}9PYYypmWWaGutO}
zs&I)PwAz1s#+BIPjNew>|9PZS>vf0Dapn&uS#c{^3J+!MJKDMb^D((?N772~9nT1j
zJ|23y_4EI!$1|+Y?OJeTil^4Ot`!r*^vkArT53;84ZYoXY|SKvSzTSFHyEcz96d52
z{O8f|-*=CeP2IVuwr54dk?^y<+3zGLG@3m+B$$6B{OQrEs_K{K*A9donebxYk#sw|
zohROZ%(&6%E&BfBgquy1k8ZS>IpyZtso7Inj?KS${g~ga<9^R4nwYO&-~M#h!<-|2
zbzkdF?)Ydq$;fh{=Fab7O>2B+-aH~Ob?%0VCk@R1_gJSLoz$Py@FMhZlI<rx?Fy!t
z#>L|I=Dk$iGh_3!30kf*-u$WSxs}%aG4#)oNhybgbe8l*A89*v*h8Ib<LrZn`8R)?
zo;JDV$JdjGoSyZC|F>CL`|fDlr_S$oN(_etSG4O-R9(aPAvtnI+0lljT@!g?e>^=P
zlfr4-X?y;L>iiiC8{=XW_WVe!ToGex{dHUAp?f;J-^U$oId!C<q^{-1bK3*ealJ=w
zxBl3#vcaWp-|>o1(?X{o>G^GQf<LTb)8|`Tr5XPv9js2gH9=O^ex*gui-VnU9ck^1
zGgp7SIlccyqxi>7`zk)DaVgE;V7+lu>--HDI{s|9l6qk6$Cn45uUT~Zct`TFx~)ey
zXvZ9@tJ-;d;+jt@(myzh-sDI-QnxmBOV&yIn4|UIc8OFd9nH@yK3e&S$!uatH&bB>
z$E_pz%Qqcqesi!~=Xm1Iqh;HshI#KiR;GJAe&>;rdq)zB@{W~wA5UC&v_$tv!t-M#
z+m0tZIaYG-c;cil%jeELSaLK`_4|>MeMd9z94}E-c=)^F(~pVW=f9lIJGN2rNO93u
zxt+fIkMvL7eDz~Tht(dr4oUv-%1fVj`u|{DapL37zztlT!ufF%^cwYJgl1aV&OY^P
zN=odfNh;PwipqDAs&`iZ+5Put<Dc_w$96s4^H=xvn)=wShs(|$ZMv3w-K^$Fz2$X>
z>?4iu5BGiEbF6Zr>DeRo_xBy?dvm;A^+iDU!I0yVY@fe!*?(j6l!V*YCKd#<B>g*D
zbMHw1_Jbcvr<hzll5+dtr1^V3t9u{Ijypcj`eeW~#=Qq3tM;@^U7b8FF_r&dv()Z8
z-`*Z6)cpPJq6*Xc(_7xB9SPz;*eaDhwR-lkkTp{awjG_kcTeNbZpmpsnocB4w0Z8?
zxaN3S<>ASndzaY%JQkX!etf?9%^zF`=KKB-Si{j#IRACT#>4aHADHn~BI$*P;}5Nq
zNy<MA-n{9!Db4!7AyHY;>ff#-^FMb=+Z|zZX#PL5dv>Sx|76A!oevc|%DyLb##n#2
zY-`4LVAZB1;o^@glhzh*k3D*M*^$5}S=>jQPaO}PJax%j+oNHrN0-h2dmz`5G085X
z%;gZn4S5FkYX|dKJh+pdC-KZqdaGBre*;tG^x)HblD8l6(tbVJU(I3X{*SLCj`&zj
zKN9}wae0c2War1LHOGQd4|aWi5IB1#%l#9#y>fmAY1M5~+IT{4)`|PF^ACrxtSLL<
z{_|-2y(3}aamN>II}-Zzj6>e;sioJ~eAuqA=|rG;|B1AY>-Rq|?EbLt;6f#%8QZFl
zb*}wBJ#}jR-blSE_3zX5k91~BTI&9_l7Coa@P1Rahm~Exbh8t-69eY5ONegBy1Hi)
zN7s=>;*~)^juqcLw74%y=)|6Y%f}a=t~^rw^4!cP^A7Bke}8P-)+rHNSM52zt$gS4
zQ2n2htVb69{9${}<eJLFD|X^v_q7DRomz3L_U^w4ZVWN2lYC-$P8J3;Ge26bw7R>J
zh4sR;$tMpKCg}WZ3G2OZ{QJDwhw|ko#oRP3Zka6=o_wL<pLvocOE}k*qaUYMRGob=
zAtUeF_Z^K}W5QN_T+?w*F6MpXtH|lHG6xl3zCYBvvFMSY+D7I=)}@;c?VH4+-C;kG
z@9M{iOB|VFOgns2Za<jz;F|r-6Fa`o`Fy-QsD5hUshZ>Q<<UnY-zFV961nx(l*)af
zxzkkhcXy_KR9e(9d!kS5$7z<)?~cS|+aKmB>QHJGx_K<=&&tH411?8r9s5wR!f^f?
z4fXHGL-G%}9$h4Kb6wK$DKXLM3w8EPQGR;FHGTdHhaD-KKQ#6q*Ub+<9{hHH<)^99
z*EjE<sM|Lw%Kq@i)s07VwjXzVy={iR)wzYQ4`y5cd(ql6`RLB;#>ebaPp<v*`fd6V
z2Z=)m96$YE#5pPL`Gi?-4$e&OSv)u9xUJYhjoC+YCdSs@IcooVieGm0k?7gS?P`uX
zh#hU4ebC{kljiP8T_+bEnQHIVb8}V2PH)-WhgxpTkp8^znDoQdH>O5(hTmXW(bL*I
zi?zHtK1TjP{q;GslO{HrPCjBk*~xVCRGTA-&wD0_92d3Bp6Yvhg0ZOKCcU6tOTSHR
z+0&^jd3eS_-m|Lzs}AJqg-s8<xARf=Oy#@A2aV)DGHJgot5H1cb!-FU#5e0tYz&)J
zx4NS7ui%P9LV|3vH&$F&|9YaalCanR2~j6Ev(A2FIk9i@5u+pd^^-PQ9EqN8e9ZXf
zR4?`9y?+nbd_ER^IqitK|53}EN5W1Wx4N8mMD6wQf|NO&+Ya8TI2O3+NP+*`BSAN(
zc;=@c)jM?{<MFYWrE8`{Z98J~{LPxY%W+3zP95>zeB4@n_mS2)$8FMIPl-rf{O`uP
z1Jlpnn`VEKUE-*8P2Hr4$0s#DJLc|rHvX21@D#_}SF3lN`gqjygw@&^!t<sUT#aNB
zJ+Lk2ZIWKcuGv4G&EFq+a&v~We3Bej*_9){o!1oJ@f;J1{r7+3Twx}oq+|6fu1>l8
zE9I!rtGx9e7getKxcT0~u2cVx?&x{8BTc0+>Sg`pefy@^?d?4zru<#!sAKA3(K)v!
zE@1v%d~52pn{Ot*NWWrwe5cLM<Kj=Wy=Ep}zjH*?^Ysjej5WfO7RvwK_+r=pN$a!9
zJ~CA_Pe}YcCqHlJ5z##}JZ4GH{<Avu*uNc}Kfgp+W*>|1my1~$_xHxX8IJbrZ}0s0
zXGNRb>;Drr9TS@H<DJ0ogrooSe@x?fdcjmul9BsC#*M#8tshe-hxZ?jGXJMNVFBxj
znB7xr4@>Ph7CBdYdd*S8^rK6^9W_$rO_g*wbYAiC>zPMH^0ZIRdBfNt!^On^AX&ch
zhu{HYogIJT=3VP~S$`yA>LnN5u$d>$91(kZTs?d8aq%nNbI%@?$o+Uge)Y|L8<-D7
z9jd<Y?Ae5h|3^5~=e*#u{K%PFQguvjmCm8$zMX%h**NE%C|JAl>YR^;bH9gYUOuiA
z_t5PiV^Rm}zLOmLAEefwJMe4b6mF(HNlzC@&Hl1_zt3@v_eUnA*6C02ixT0VeOx16
zp!?rUJwXrF-Ten{e_m^UF5!d7iZ-i=q=yF{^jz3)ksVQeS1LuZ<4fK8{NzKgKO8-K
zdyie*55YN|*&pwlY9Bf>DcFK>`w{)0U3)Jc{CMj_-;~DiKQrq2|Nmbk`ndJyeWPRg
zS8F?0HzrNVt6f>?_-Ic5&Kck0H+Gat95;+)ay;&2bj)ya(q+#hhC4qUXpm=pd_Zz)
zUhEC~8Tz*Om?q>MJuGLybj%|EK%=SH*@l#|+@_bG8#3n4{8B%;Na=97;c*+YM)u}o
z)=8QNS07Be@hR3_n8EnX_XT?Mr-p_8U~0L4_IO736tS}#o2&lK|Gj)&-REQGZ<wcr
zB`r*seZTO>&o8aB|4$4He>1^i_Cf{Q^$TJvk7m3)f86{^>I9wIH5ZONdT~K^^^JrZ
z3u5HUSZ2&(|DRMgZ-wajo>f0yHgBBn5TyRgMf-^H)46|ZZ+-8KuU;5uvw58j(_!Be
zN#(zmA2sotchpCF{i|h`3-$ANN{H<#>0j{jj#KEj#b$bT3p@6|-1?DmL6W`Q!tUxX
z;meMBY@6t(w}C0iVWPElMT}hIbi<CjI&)Wqiyan?i=MIY_{wdE87H`X6x&fPapXkz
z&b>#2mj8Kj@$BUzM(566IaYW&B5B{@(2o=K=Y5H+jriTLdh^EhNez$AH#~dPGVSO~
zv+g6oFaOM7mu8w1V>_XF!a4EzJElxb`TSs6@`Bdd3Z{c1e-?)E#jNg~nDS+ku6D!O
ze;Z%MoN=9Y#OKoyy=~09-YoQ<wLI|p=`X$Bl}AE09T$6hB*aQAW|brN5pSi{E0mb3
zlUj}+i-?_c)MCQFodO<5qQ0*@I9nif&BxBPBhEWxj%3_iFiHHL$^t!K5&54>8K)i*
zIJ&pHUP<75^2GHY`pq9N);a98XM$VW#9eO|M9x~Yw0z@+E&WWge?F8|uASp^ys&z;
zynGnb1jodK4IkR~F6qAhv4O#wN!lT4ZA|j>83_~KyqR%MigEP^8Hv;T4j<(B`GL`@
zr(ynyV@0PIb*-9lJbv?$fW1ePU-lkvJe+#}%>t&`GJhUyOiG^d;DK1owGF>5bY?tV
ze<)mHgYM6j-#aypCyQTO*<yIKbvnnSA51fT*_tsQ`e;4T$A)=B=(maCXIJ^`t2|JC
z<JS)P%`4T93tu?4;l+$MdTj^WG?>a*6hveHSJ!tsuVG&F@9~_^S8Wa+);rR^dV)vf
zjK`wqxA3kzQnzNolkNjYL=P&w_?x6U<Iir1Bgc0ynDTh%!_5<d9`7oX5L>WdQ;Vq6
zhc)R3=ZKnVbic?x)OqB`j=ZM1Gkz>|w4Hu*;%xikP516i^gY`7_0g2c>e-!zF-hBQ
zTTec|exXa+(bcDB=SQAs+5CD&`oiqeJ9~up@bCDWHc@Zmq>8l0WB(^4sj~<kxNFYI
zaY90>Iwp?u<vN>*=9Bg;XUscx^5#Lyv=d&1v-z(r+;#rg;XQ{F&tKAv*z&>b*b!~k
z(Da$pjvu*oIH|AGL;TIZq$zdNj~$I<U0eCF!|>?Q_Xm?Fv)%aEYrpx}(T*42=gjUp
z$X|Nq=uvG}wc=w(Q%|h;JfYh_{J@zvOjB~>EN4Hrp2&Lb%iLY(I=A#4FX+89>)GGO
zJkzrOKH9z^@xhxIy$vaU8vh>te0O^GBM-SF9Vb|&Vp47Q9!+@p>&?w2kB%m6ytSnJ
zsA>B2;|vT8tg!Rn7#JN;=Kt3mNqm0);DHnF_D7R~!X}6Pxw~Z20?YpsEy@?L)(d-F
z`@4Bh$kC+q`l&iIk1RgDa^7moQ{2r5m%K<iytwe7{U7NcZ!9;yP2Ul>fc^W8))k%R
zWlc-?()GVL|2y#W;{wjQX~$-+nd<msLc-An=iVP+j5&Uw>!a2}Mg4D+-aS-UG3&d<
z^8=GtAN;eiZqB+#ho{&akFnf*?)AcN&yGaDe3DSrn6mlg^aqDy7F>w!xp8lzldblN
z7PlLZQ%i16b@X=GH19~z`6({9wR!6&ADKTjX202yMbnEkZrI#OzoGog^!$VazdM<3
z)<o^}IWqt4?xH7|hmJ(8%{d&G_ibtQk*J?j>u-PA7H7S4<MZ814jMexIc#A3VD7i|
zJ-Nvjna;?}f4i`5_QEHj!i?g3>nF!-Y7v-aIB#m~Uf-j0uYdczv**&T858z=+4=R~
zl%SXAzU+)nJu>s1dJ<>xAx553#+aX~KfY|Yjy{rd{olQPmG_S(hnI>q?f*abd)Vy{
zm7kBM=vIgnAD>~?u<Y^S)H{=>|71L#Vs-7#4!1<68#Be%H~!kdIOj)R%Em`($EQoZ
zh<QEp$ML)a8EQ9<?{3g^Vrwd4JG_-KDgH>NS;N}L$EUAoy_)jFZ{g$GoS%|^*cz;6
zfA8D-W(W7P6*>QHx`pNLcE3OQXXf!4zjpm(+~BTk`C)N(=c0RG`_669J;0o_U_t4j
zKMR7i|J+)TeQf=P<?R2HUY|*t(Q+hiP1{@T&qwCmyPIeke>`*l0X_T28=~(fPTjMv
zE&BGisMkKn=7t)5zJ7FWWk>bdl@&9O%-#29l3``@R`dUhsuLNLj?dM~o@8|Gd+eua
zhTA0O|Cuq5OJYqD(}&pPwMu_&R=T`9Hvjg4dCxZPJHF%4&w~s0Y}vQt==}SeZ}m?w
z5<Qo6aQ=eTX;Y1s`y5;F{&YjitZQqk<L8{Hzw-Y6nq3E<AIVz!W=c@%@dbI+4JVQq
zuGQ?y&{^5Yc2GPn=Gd26ZbuZKHJq!@+4$qy+L+^e4p*D)Jd%C1*m9z6@`<FAk0&y@
ztaxy?<<$NYjFR7+;@=%zUUQ`K?XlI*4o%t~b8Pvwji-)JC@B;_wCvmGMH@f#Jo~Wf
z{E<TyUwY1-od4r!@3|w%dEXW;ZMA7QEpNoKO62$ogLO%1D^JR85Ub;#Xl}DXB5vp7
z$G>01^8bn1eJ3mBNZZ^sJIr<-?YMVrm9MM-&zny>w00cpxpib+>LP}#Z&vz9ZAhLK
zI>lq_=c7|<H*sF;a7;fuHTmC)VA1r0h8v9Ur)=_?@knNd^^A>7+>8$d_(kdumF)Sq
zQvG?)U0LnUQ{N6|S2Qhraej4u^1(>sBTIj7TvfB7@ZOAP=Z~b#IFc|^>{z_)fio@7
zkE(ZFb!89UcVvF?lI?F8XY85%@q+yBTlFWHgb(eQeY?Jv=fnfC=L^4WU=B}UOwu@V
zBJt<O)8Az#q{J36u;m|#k36=j>`45-9*=FuR&6<AeEZL;_;p9S-t>0m9$Qg4{YcOH
z+eeRd$5yZY@aLxF^CMlW;&|u${xW4}m43%wvE$1=O8mZkY^g!`?PZ&k0uC*^vB6jN
z+Lxo{n~vyuZ#z0`SG<M5o_`yvW^Ig*-S{C=*+OphN|~QckHvp7b8kqJE&IQOD?j?!
zto+;m_N?@JyyJ@n%LC=ZH;;-R$g}o45FHSA?wQm<t)!##ZXS!P+&Mw#=)BYy*?(qE
z{=m4hJO9{=!aHjwA89!~Y4)qii3RrB$MPeOPO}qBIzFw8<we6o*&j!L{JSy3osm0k
zV&3D{hEwr2M|S<39@H-PL&CJuapEE+``s(HJwJN;=CPD}b{9|YUpe{N6hn3G$^QF}
zuP8dwzWvD5qbJ<5j(s>X!KMD&%1NzE0dI1Syxe)bb<^>#tw-Ce_s_|AbF}@`nbrQw
zj<$cFSfss&dByDRk6MrC97<|u+E{V@<BlI2s~eKKk9StSpAwUMq;u7p1#FfdcmAG`
zbApxgM8>?cR}GJMn(ldgptDi-<B`cX56yenc!kmIXy@INnNK?GP6oc6@oV+X$p!YG
z5~9u>o*daMyP+xR&UU}BH7T#VnQyMDO%X_)c%lFC!FtixQzqveY2UZ0!$WV`v9@*k
zH_s#<>9@Lh!sqjX^G7CxZDMdgG9h%+sn16y+>PG3+5GYG&J|L}H-CI@7;~h1Z{voe
zD;rl$$i3J*%PD7esN0dA32%-a>z(i==~&OU3EzM0GCz8F(lN$$jlVv8nHq6g?6LZ{
z)&`}s$0vrxZ-2Rv=V;gN*&CLAZJYjg=A!Nc)uDS<cu6nWSn)6Zfjm=9O!Lj3wMVO$
z>jfWgo4)1k#{=g1WnYUvH?TfG5czq>ujD7oJ~o=9A8%Xr_j}-zWBt2xcTWDJ+_2<l
zQ_kiO*O{4;9_{|nu(L(F=15<t^+uEZoo}8U>?_`AweNUe)C89`OdC#a+<(y9>f8q3
zgI&*#_dSlkbW1k9Q}|-w4}lHBrg0J*ULT*JYk#Kxc=OhSLH6}8+{6wjXCDlC)4atg
z=jf!Je|O${zOwl9LH_3lifq3n?c8>BnzQ%3;}hrXez7%B)VlHUw4;+Z?|N!+$l>OK
zt9!bp@0k=Z|KShO**m8k&z}3I<w4xMqm$2XeKl`a>gL1w&vzEY?wM$jen8cIMRZT&
zjOhylTNs(+lQw@9&puM{_E^)&Bi-R~yNX)(9&dSGexxn(g_GjGHM;vJ1|Al@(O17l
z>PG+b36(X+-IpCJTf1ZLvjZzOPV}?BHzD)mhsN0lQp5kexX(O0CPjJ!TXXuJvd(jl
zf9^Q)_rvR?iGhzMX31+$?S7*=DbV70+3L?tJCB9jI#M-tir4&GNBbwwyd3{=kLjkP
z={r+6pY4clWj?`}$b7P1<`4_V{6mow3&iUf_Z;aL+~87i<G|M&YKIb1wx(SAEk1vR
z+19{~M^fJ$ZM(VS%kv{`E01hg`LE&E>z@ZQ#osrur|o=ZzJ6k*65rMOgX`xVtD19Q
zQirVl^8-`&FLM`O@@;C;sY!n}{#{XS)pzpR-`NLZKfXA=SXJ8RsO3rF$fHR`Uw`gA
zzVUcw@_{5lsUO_0rzROCeOq&2S}Ws<imQowC%$|>DUoy}`Q|RJynhGETJ2Uu)jynb
zpfdE&(S*2X$6Qt)wYFZ@!TA2>od*3#c9HonwyvEV@$5*3?&~iT-X6)&-G8rc>#@x3
zpTAUWKbE<D&$0N_4?U*u7i!;_@ZHzwz`bJ`pVq{k22oSIPM!1;of?sKk|Ff#%<QtS
zON<``)_q(0`J(oXHMLXMd@0R2o~3X7y|ntkLh-exCv48^9*O*YBvZS7dT8p8xbrvb
z@_LwWKD1E#@#nw_``@4b+&mDeEXgWdJt5@T5f$4DC3fc?)gLrjcSQEjLz(B3)|SQ`
zD~mfG{%3N|tOE_^-8Wfdc()ykI23cx>|JD|ul@S9tw{&FuKuuEz%CpqsFV|P>W1(i
zjt6~dCtF(o94M^+ap}XVvnvg=<rXsU{wcAc>V|aXLbi&>7kVXRUo8Gp6LW^+`N^&4
z*M?_RA6>C&N@&p}&UOdCV|Pza5A;sETNr&TsB(&f{^}h@Ne!|6j4KMRzL_bMzO&`?
zv4TzCGv{vcxjx0A-j6#tY<g7Y|EZ2|j=ns7)GN5|VBVbHbI&}tmp{0SadnsCvxzHu
zZ9EEpFiuKuWt!k^yD^ZrYC_xd=}~iIj_}@_8da%%BqH^AVS4ry-^#BCCkD>&__1e+
zckagSqdT8OEVd9AYdS5KJte#Pc(msFDbaVzj;EeJT6FG>(UGD*YmBF4Y+b^&wbJCs
zrhC()wRI(gz9mkLuKYd4`Hp&_>i;{--%N>``uTW7k-m)N{^N_2Z)}!*J-PhxkyC4?
zl%K9V9{KWklF@-1uTMxWe1ASaa>7a3iIO|nHS7-V7H`x1;m&wdcH@DkdG{u+>zU|3
z@mgt3qiNes^>eSBKR3En9NcQb`NIF$#|b*e`d76i?bPVGadgHecT1HujK})rey@pH
ze6&CMdwh!D#k_+-pBvJ+`Db^hbf%s^5`F*ogaDOE4GlBa&wnv#hv?lWmHI~`*Q?!q
zRsDWV(WaMYZUnuEc8$6I{J}iYIL?W&yfLmDZyXccu`t`HLuS`Ewj;bro4Y5iioIUJ
zxUfY0_p8Mpn0K&eKT70fT=DSyLElvy{w)+$XVYHaD1E5;c>k`ef6r@9cB%iiYvzw%
zJ3Y=HNc<IfFw*q((f(t9I`n59=)cMwvm?o+F6rQ|^RJs`ea$sre?(`}k^a)%_di?B
zTM+eesT<d=-A9ZK_AE&GcEEPcYUQ*Kk+$!@MLa#~wmO<;_r1>d8#i`6{u;5>XwU!n
z>cjTOXBNNP*=F$PVE?=WR`%ALgRUJ|cXq0K_r^(|k64$LP51b>cY@~g75#4}YTlUQ
z{&Ond!KqqT&mA-S^tNmE@pWR^kyBlt_DtZOQ}X@fG$-kUzb_rUvC_+(b4yR$4Do$W
zR;GzJEj_+6Xm`ZHhT`2p|2}&DnGzRYHrZ*<^W8T;Hl3g5<XyQg*#6ONu6wozEoxbq
zQ(~%;j<sLxpCD8Ht@Yfeqv4x(-1&G!X6qEYsh<vg$l7;Y?)Kh$XZQC!nSI=D`<q2y
zEjRp^<Wt?f?ed;y*ACnE><Znnr#6Kn;p#5=-;JlAuZaGBgK5X!R>oZ$j_!Qyz?Afo
zLEP@3iNxw;hKanhx13$+ess^%Cx@)g?p(ERgXn>G`QMIQ`tz~w=MnW;A3ym0Uvu_q
zblnuIPkTO0P-bLb<gs~j5Z{`K+pLnAIQM;4{XL`ed7sSjgZi`fJbnFkcaX%4j~|6N
z{;rJ7Dq=|6k++GNe?!>KFUjYpnMIwOb$6ocsv8@$_VliL^F3L8^}%UL8+O}&QWZ#;
zF;mNkiFNPk=TnVk<G0zzeMvmG=-Q86MUy!sK3dt&-xAi?Te`7ju3q&K#&1)-?1~t-
zPWpK6_|NI~TG2;>)Ac7w+&i)O>{gdUiXV4m-@8+C`>5AEhMjXxD^F9Iy6I45`tcCa
zr>sUtLh`jg`pBr9nXjllMfqvN()v9sc^0uM?U`abdHw#6Ut)sJtv@?e{=E3BlZWjU
z;*JK+Qao?7vG5eH_nu$HU&{_KY&;TBetSY-@2>+tZSToVuqxbjRY>xI#^c7{N1kol
zyJh#UzlQ^Vw4L4l)cNuub=%zsUrH=+f4<|xinn{_EB^dhw0Y0xH=iPW^$)z;vwhAX
zp&iok#fQY=jt80T-*wWM|D$O4o*h3^yn1%+NMYgJu_J|<b4HoJ&BV&%en<CCowMid
z(lrN`_syz0exvs16t>%swdd_LJpWi{_Lcuff2vod?L8TP)cV^O&szucKfF3!u6>D1
zg(X#P*X-XrY$|7-moH@HZn<&D>_FsAYm1*tKOXk$J}$UiXYc#xdpCUB`(A~2ckrFx
z>-HS^KJ)P50~4(C>rUivoM0n2XZC}TdH>|Af9?sJqN{yUP|ZDUjb*mF=gprSFAjBY
zoG$WNZU4#BN3?x(w*ETJ5dZ1<%zdYn_82MKDb4uv`eV&U#uHgpZ<r@!S{z(re<U__
z--Uf^X04Gp`9pcvB{tsRJy)K7F!$xCE;|(e=fo|`(;W84;%4r@b?->__C2?DZkjlk
z*&%7~`UxqKhh08S{6Fu4bL?b=sYj!yZ(3u2>$*`<`=0wZkH%JB-t$m=pZ(G3XL}#+
zuKrl`lJThf^_hDfPpZ;C;<0!0hK&9_k9Tf56yCjXdHBa;Zrhp<oZa_)&V-=S%?I4l
zcfVLOV^jLc)AcDI3-`a6#^AmG`Nl&H_G?&9-I#ZSea#W~n-dj3E{Iop*e6*~dLZ>9
z6DQ*Y?c|=x^=ld}la9NsUzhiJ|FfwbO{TkN<Rl-|JiCfpyRLCn`;!~Y^>2=8KK&l_
z{MN$VH(p9@{_f)c?Hb=wpM5<44)`4JSWq#AapQ|qNnc#%8}0oZUe@z><IV;159r1I
zIT|p(gKP6c=cJ9-Lx22g65TjwL;cOEW>1fN+9flI?Z>y!n+L<+Z(w>7aD4B92CZvH
z)c;H|OFA&;e8aCB$JDidPc@&?V!!|9`Dv0*dnX2VuiD&bdFaA%_4sogDIG00k9b(G
zf6-lW)78FzR>1}v<)0l-%bIqs=;^-naI1OU#qUmr6I#Qrd#WZMW%;6V_JC>Mjt!>T
zjg>v~b{HMi+;4P*>*sOJ+ecjDZywh<JH@o>`SF~SM?9kL9oHy3!Z!VgXQ_nk1jW@G
zCpIf3T~vNpHR1H0)h@G+YHr;$)y(VDBJ&k~)#qj?G~P&>BJqCn6pM4w$Fxo#;eK*R
z=<I@=?67aae@_3C6V`w5WsPLR{`u2ljE{(K-Mr;<&q=eJ)eruPZ7kFOtb48T^AFYq
zvs#&UEDcv#6vJ5Zd&4s~CJy;E6)SX~&1fvOIkMy4!NNc5Jhx95o`3s@@4eYaMQ=}6
z>)!WNUngnZ3#WTWwT%{7ykXh#sbZ45`0qDYBBt7{?>%JJQDj@mExJRr`0(?vFS*|i
z`aaux+Vt@Z-w6$^HLIiF+)6quzhH)5+zY=AF&#Hj<{i-x%|19w?Wk??BDdp`dY_Mk
z9NVFPgk$^B5Ko5H&yFyiIck@Fx^Pd&24@vX;l2Y6Tt66WD@2oKo%r}8p-W)%fq<k7
zv#(eDJMm`Dfe-4<IY+oG7iZn%u-*Cf$EVeiwvQKHJP>Lw$;dYUVEB;$wmAp>Bz-${
z;Fe-M6YoYwN5O^_^A>;Dc;V|jCij{%D!-2if9ovmKCZX@%<<^oQ@Bqb(Z7{;EVBNn
z<<FNQpVxcd{&zce^HII9v|}Nuto}En<^NB$nk&%Lb2wz8!S$YFAvfc$pE(wC?Wq2y
z=~i+7CMCGCD$P6+@_x<4>l>IZ_0<16VzTel!WY`}j<P>dxOm*;{lA+1|E3*bS-q+8
zl)c<>mj5lMl8y&?ndny^XRSMF&0rsXB&62uv6sW<J-;pp_J8)fe}iw*KjWR!Hvf)t
z-TUD5X98a*18-|k*_<buAMIqfA5A!_bNWckTo2hl8-I4)o4I+S!o1^t-ZtLVQ}ou)
zJ>q|i?dB0D>-QHjJ|1`3w$?0u&rz4V+m18OIb1ni=lZFmOlh;OKV+ORr8MHmVVBJl
zb>;`D|2gWMI#p-;nIpP&A3J+aw0)dzZ~x8h=M;|0=)J$c9dSCn)<6HO&QaU1N>zzA
zncS~e#{E1|oTz++C9!60!2a(?S?8RV-F)(I(ot4R`*}-FR|*|rzjSk#dCoztbBAqr
ztG6@8oasM(l)dQoy1=)0j+k9FYr6cp_3HJXM_k|Ay>7XBediIQcW>7OT#G)=^=jLS
zp8R=7Ip6I{Id%A`-tuopxaNFNi8*R`^Wr?&$}0OKT&qsrY0x@in0uMIo?}yk15?uX
zV+M7Hbmkl}nDplF<PX;#U%zwQ$nNK)?CD%{bdMMu)fNB8dSk_&8|!CX{(WReeajKv
zP0j7ckL&oyUY~Z9r+9&MT+4p--4A%%oMVpgEY<(rzEt?=>!a3fG4Jl2EWLS@r|4VD
z(&t4-jp}div^jpy#J+B&i))wOwXdc{$BnLr9pd?Oz;{;XY4JOZNym*+JMY%7X<FKS
zKrMOidU<inNfL(*|KBsQIAHF*NA2Bl{d))dDmGrtS(RFM)8*rgrFM1=o1ag2JgQ&y
ze&*Js^$K&%j~VRUn0Md!h(Y>#g{fzb7>Ms*eWU2n4SUo59cdAbL7OM}>3zF6Gdbo<
z<=M_}S!u_0{?FL^c-P)P_oAnr6|LPkT`&IKQLUPz!n==YOYWbfn>_LJTDR|OCg>->
z$T_;F{nyXV|7VXW6n|ToYj~(7>`rHH`T@<xP4yK?M+6IZwEnyC{OGRfg}*1n*|4nm
z`5`4nVXB&6+*H$>dw<;h_AR7r#-V#33U4S+5IuXayz-%zX!)K$yN}5JxyU&0BdfT6
zQ^&u<zK_?=p1fwVY2Oa7%@eh{8?VducPDmz3vH~4VS6hgzkaIKmSei=yF1rJJlJ@4
z=d8LtEi+EfpILeTVN*lK*F6o>Pe{A0bl2N=O#RORB?HD;SFXL~GTQrR|7`8VnEhLC
z9aFq?d!_i<iRRU1n^#r*efrWi|Kkq5z2DOhOgX~3R_Ca~+oLRN_e^1WyXVoT)-T)k
zK6(8_`Cw;@{QfUFZx6~9?t8qI*>}xBmYsVZ?f-U!>-*Jf9pQhDbJcF&bMJN4`k&sF
z6HaejDcjZaYwD3lZ~h-z{yXBZ<er1Vku%&TJFK6gQore_<eyJANqf(26qqnuJM8e>
zvmXvxonqnM;*@$+?)Ki7(~iom4Pq%@^X!)H^Of67@BRFtt~0Y^&%ck0)hf8(cYf|<
z=Kpu_c=?_mdN+@%p6fU^?@sRRJ+J2OeY*V3MblaP-+9`{E!+3D=h4QCk2W9DQ*zKK
zYg}^o_V<wLuw!!fj+t6nKYViR(?PcVw|;j2-gsPV>JhQ!n<hSykNIbN!|otU($90V
z4~ZQ;DtqqP-q(M=r5~35o}umc(SUi$v5$ZDzMpeMX1?#<4=0&k9e$GcZr}UodmbsT
zIhat<^Y39&r{dioOh@D@!}oqn`%@jh_oJ%d!|sKyN5#)C412u)qt*Y9H76NeZp_+u
z<GB3IJs)G!Pu{%2*dTZG?zDYBFGQL?KXmS3Oya{2ee&}c_8gU&y5^3{_o;_u#j6jt
z?TML?T6yDx=>HAh)4nX)8oTFxeB4CwKgT<~ckX?+e&5_Zfk!#^-aaB&Ry4tB?}w)o
zf0RDSYM#Ql^wg1z|38lkZkpgx@$(@sV@&v-&%ckbeLg01bLYPlM&_T+ACC*CPB`;%
zy*`)5`i=?DCNm#D&OZBqu<wZj=k|PCX{~2AdC$-8sN-y_U*FpQsW$b-)w7%5rvLmp
z@g>s)mGX}>zR%zPa(~SJPy2h`m7h8)5Po~l%g+Zak5w;l&;Ijh?~Awl->i~+xWcQ9
zX{Y;SM)v)0c1Ql&`FwL{&%6~SkN56>e)cH;^nK6m^*eT~k!_fL<H^(MHOKkyuVL&w
z(baWibN30mHO-wjlHY3GUvp3}?Wn-+w|idx_@FMny1_GP&&#F0``^d)Io>`hP=4>l
z2b-of_ok?voM_tp*vkC>N{bs`6i*%#EUTWXGXKrXCT_<46C`eKNG#r%d~TQM!tiXJ
zs-4>3E*5P(ViLLc#m%YeTGi7;^jA+&pR<DD=n;mppHn$cPn4atiqC)MohO0ZN7g9L
zecSQvdB@75doOQ{+4247H;?0c{#^aGMZso;(Vl}lo=^PD^!KB>?D3tGlV2U1*L_>Q
zY<<_=ALUI!;`Rpv!WPfk*!}y_ae>YIUf*4}=ii#s*Zb!*7Vo>e{ivY+{u=@}k2^fK
zIl{rVK=ofz*?|MsZzP^nd2_?;7*mI+l+5k}9BPd0(Z}wGP351&vVQ-*wYqzLyx;mo
zzkaH~%sm^n@B1F|h++Ppj<;WVPJjLL`_j_LmkSd9#I(#gy=~v;lpXI=7%#j~a~G8B
zo8w$}z&BoJ&xiB{hxhFNJZ0|}?dS8K?tT4x_n*!^kM{jkuhi;4mayG&&57-wxHS4F
zh|brT`exs+Cm*LAoyPv|;q91@@$nK*X6>)5{Th<0zyJ5&_s3=5JpV5z_xQNRQJuNl
zKSy@IIVo=aanZdy7B`PD`PJ?F{^`cdzLQy|kxsH-=E<&Ka98r~()W#59!(M4|MnPT
z$`P&U(_i$M)O0B>e025Bp2u~^7(X4?^#Al~$I(pt{G+DRi}pOaecV(ve*e>-2XZ&g
zR7jdw9lNG+!|a8B{@?gJYhvQ_1B?H!dArH~+7$M0hs^r87rt5e;nAME+2wb8()OHB
zWpm$q-ivE}-6pT&w;~>YUGja;B!0^qFaF=yqvTWjeg$uai|ph*w~ld7kKJ>*@+fzv
z{r->Frl@UNbK`#96b3a7hR)X;10Jog7JYx{_|1u~qOU*hJ#O{D=HvT=CY7I-n<kxP
zSkEzI)5eLL<eZK_@Z9}s>g*jQ8&@dJ6P#J`^ayvR_Egs2dtaSC8oGYV5!>@;%uba}
zXDqr~JbUkrO$Y7f3(kHsh4IZVpQ9gpUpp`+9b`D)Bj3QYO5yLC759=S{xx5H)IPU$
z#q~Pnvsy+GySK=`-T&_Wp0!GwjtWfub6l<b*b9L}rTc%LEHK?D)njvGs$SWN_kWJs
zUyqxjudaWTFMrRM<!h#UoQ~V`dDESYTW|M%UH;~H<fM~_6>T`?y`Jh(6!+cs^ilh~
z&1Oe<K5sTZ!gKjiT#w?x_m3?O*GzUYIB+p^&Btr~-xh>_-smCE_<Fj>rRXVq>$ATl
z9kE+ov;RT(9OIA26uS28`TA##!I@1TSXS?~KG(f}K}L1m>QkS0eNCS5!nBU#hv%P<
z27QJh6*e!v+h1e+87a(=F8%wb_6O!eHx4uI_$vJ{``6wB^OG!Nj!lU9E%?y-!`zP<
zYaNe;Y(H~u>rbcSvDv;Q^3H6YXI-X6Yd<~WJoWsS-c>Poqfa?}d=qQRxc`L4&zYHL
zdn~#=R{vo7k}A4smjT1dHTG|2EozZiaH0Q2<qB?b{_TE=ky^b!nQY&Ge)eeT1l3JR
z+Pz<Ut*?f^N&4P<E^?*H*$sU2Ki1c8e!-x>X_d$JOLg}yt#-c@bMJ7}@uQm7ruW`&
zFun2a-{%8S+Iu!{Fgt9r;?A$incG_#zrVhJdjp@<8;*THKL{<Tvi93~iII7eiOK$-
zlV34>{kZSP;X5CS))hWDQdqb1@0x|I&%X7Bu3PtMl5q8;+1YanzxQ5Wx#tIilt*3C
z#CMZlRAyCGlzr))zUuqQ_4#o>PQN)>()FeH`@|1(FaEmv@msImw!JUb|2@d3^kI(n
zzF*N(CAJsuy;>SQU1IO1MjxxXJ@?M-e;oey`k$$9-u?bscTjXsLrY(iY2k*)byv-9
z@4sD^f6?Ihs{KC}9zMS4Q`+Q{K6?&7J<2!z(;6qMV;v&zZbm=bbLiZjZ~oQ&KThxY
zl-uaF=BQ@zwTXI1pU&SGxiI`_M-hnZ6v$swyK(i+U7^K0c6?j9==T0yDSO|hUf#QV
z+MW}q_Wn2*ce0O{F=_V?^=A_lOka10Zd5&FV}EV$&s%$&CwGLJYd_%r*CG3mY0vfZ
z8zNubT*29^wV>Gi-^&?ZA7{jHC*51U^ZJ^`MSKl$F+G1z_kZmBlo9*!(N*nZ8?W>{
z*8chG#>OjW{VeyNs8ihe&v4K3qe(mOcI~Y{xu-XE@7<#}1*#%q)_>UcIBDmyjc+eK
z+Ia5#n~z@BJEm&yTCs+0LP_KVmh&(6&hFeME|+kCy^gsjyLh6$+=|l^EhdODiZ1$c
zy+Y#<+vf?|)fGw13%>BiGW=^@_~kR|{C@(&|KAKVVe0`FEl*zT`)1*n<UK$0e}7es
z*mv=C&lA7m4?90h+bgkm&(GWswzA2`KD_5XzqncN-xTKEe;4nOy!>>3(^O@K!2E+^
z$9Aq*+E^X==K1M|zO(oKKK|y<X}x{(ULTR1b0}3@d*{nz3lkULJt-N!=hXInFX!Hw
z$`!Wf+o@xc_L2KuU1YV`w&%>-J+J0`v-~?rh;#4DxrbhugD<XI#l`Udue>z_LzxZ(
z0|N^K0|O(2EK{Un%&!<Jh8Ve+w@HjiDhx?d;QQwk8JZXv7+%UUB{3;5h%m-5CUG*z
zFtBnYHAp4NCA~^wX47JOCCd~eq`>UXY`;#B!TvR)eT)KQ%=;uRM)N>+i8m~|lixDu
zGGuqWV_@cFHC*<NC4Sj^*7#)~SbEhyvYu^9Vm$vWiH+f~0%HsZ<1dw@H;mj2mphn}
z6q#7X8My^w8X0yox+F0&U--=-;PQzn`~$Oq%Lfj{&&-ViN$+D=RNr4@5c$HUs`8cn
zCS$cAv;R8=&2PSJn%`OceSfg2PX5Vyl3_l_a}IsR7$FwM{p{htCNhP8WENnY)bX2T
z`3KhJE{wH**i<L~We(@ssKA)?gV|r{8^iK{O#Vur*c1NqRCauf`N1pymEpVsgCZlt
zRV7V^T6<0_PNrEL4l%a`k~m^`1rm3v#6)lhaXfL_CXlZe!;!}k^OGazTa1$&ht$;=
zCUYT96(;KjL5{Y+%-8-2a_BKKeHUdEXxtgYlk}M(Mm^?!jD6C3LFVrVIsBPA8NYMv
zddX1R!Op&xnaNy)WgiPCmptRl4>7JR&sdq_yLimlnEfZjd=O>fG|OOTQx)Q1_GQ}m
zQYD6!ft^D{C5DxGnxJYBC)0KjGZil8<q{IEB4*rds*`z`!#TuNBn4PBFLLhSWz)RE
z$Kr3o&!#HC$-10r<+B(eHqOQTs)84}Vt>i5R1sp16nHR+OHrBmvgGX*!YsO;BFu@5
z8y9h$<zzKnDasPRQj9fzr8rBkrUXx>(p#>44yBzguVNG@D68&vX_knRWIyYX^p<&>
zprVNs>-i+5R|3nK7?~ZC{>LcAFfp*INHVhOGjmC^xW7wsWlfUd_LpLL8}rH~hDC^7
zk%?7Bf{~lscu}LoJ6RTQkN51}nps=q*rqdtDlo<{Gk6O!`u}AJ{>Ks{t`RR9^ShHV
zo=H}kg}bRK=AY=|j=u^K@+_H3AJ{V|GPWp)vofvZc&+#(hQldIK`KVmfOW!~n12lA
z43!gN{wjuPT<u_F;FMud?Rd`cQj|$pMPj-zryygtqLBH|i$;<kS+iA?xN{X%Tw)|S
z)MXi%og}<tlo+^$82E*K8!l>aD6=_tBsI%_Q{hc_NO~8;$*|T%l3}APN9rWb-IBQq
zi7X7!j4{F!x#a(F)N>vekcnaCZ0<_pl~!fRR{F%aUL~f9a}#sVqCXl_)mXMFedJPQ
zWXu#!`jsTw$suSdBF)0?(ZpEKeAeZc#x-@;#ED9_L7cT78nVqCyh@fq9PH;O3Rtr<
z9cNFS@FzxKHp5;IS%%ZhoGL9Gb5)EzIjc3YEEck88=O87qs@@?K1nD>F-FQI={ZMt
zqrTY`ExuO6I|4tF7^cN|GHmB=X7py!X4@(e^K+um5e1=B;ztxz|JO0x)zabVQxa#;
z{Gc(NlT$?T4`ULOFw?1<NlZyhwv3!f`;wRhB_iY*_~%Sy;;&+mWLfOOSfk5c<B{}}
zYl>Bk5dRF$aJ^pk6EQ!m7prjmG0f;>;AMyvX52m@=@+A<fR=_dEAOHv{^$Cv#V#Dn
zl2~Mv46_Y{O9WYB-*9oVdy3RByfqZ!h>;a6NV?6)rOFs%6SGf{>86szwmB|I)e?Ii
zDR?<B#>|PSW@Hyh;+bfu%ag^y7bwFYBO6{~#Ix;^LL-ak7GvHmOs`yo7A7gED+$?3
zvvGPVDBJ$yd>>*W6E=zGILCKE#tspNzqdHHD=;S62yjl?%M_z<gW+t)Tmi{S4rvCa
zq^C)9k`~p;pD^VOZqd+-X;hiM%#7!t7(YYOq6Ygn=IoxT+&#*s6FvJZcoz!<Zjtff
zn(rdCTSg$;$ssOAM4;H^7RTvd5&;5CF%BMg_XsjvSLL`nM<pgfB1KSn(nE%q8h<22
z6snV$lxJPk)VE}7pT%>+itT(H?}cD%HqXm8yuIB@1-B)-73`AsNKIs6jA7WBG$%%>
zR$%T!NnfWJj{cY`$?!$&+ilr$m)WsypJmUseU^i~I*W|<lO$uM7(rD=kHwx^vm(4%
z*o7pxHOyiJW!*KU7Jr^7k!+y&f`Q$GB`K0sS7n1iAEUmY^ka2{B^)d<TP#IlY?6d{
z1!qe=lvarSU!TM*(dEdfRUh+^NkL$KjD<qlF@czxq=&|-a|1P|JF=Y!cH$NCb!PMQ
zb>TU+J>UQbBk!@8`4c(Vs;mVa_pfkeTfNeaci%F1wy(=P<eZfFB*J`UZ0s2LRUUJ!
z(LAuIhGR>EitkEKzUY-+qIt`_`Iav8;f+?}lUGrRd1A0lTE>-ip)cQGUq4v^Q-4!2
z_W)0M0S<d}i^+UOUM4G?Vs1(>xY!vbJmQR9WRz9S7r!!)t$1aS?73yZY<ri5@Wsy$
z<rAG1#=0;oE1WN0D?;x}sp!Tlk$gu(qFC1lM~m@ajuG4FAIlc*8^;@H8gI(BFu~K-
zO{2rpWm2-B!<z(Q4^53ZUU!7FyA%Y!dorqe=4otG65J`Ipem%!B&@lLk<;Uvr`q=<
zp<N8}jN-F6ne-XNWBv=o#KuTQe{Pu=p_<4vxiG&&!t0ZykD^*qvZZ24xkQrmeTF+p
zwlU9=X2*!s#rXIno3gp5bUG_3oP4Knwxw{QM2yOHx7#s+^8}v8%w~|S^<@c7WefF9
zW0`B8Zp!AKq3d!WD9N+%Ji|hDWyf1FwoJkTPhw^>N!R%DFlMr?_RV5hZJ%w*_BrRJ
zbUCl*@?1X8WqB-f=jZcHoK?W<>B1%ZjN|pBj&^}cK7SQ~)+8;Zn9O8up~*_OGW4{C
z<_Z=Xvn=4c&B+-nu>Nn75R35g#L_}XA<hp;UYS2Kk|acyDixVrf6tIV$&;bjR(FA&
ziSMssTUO_iPX2JNn;u;EO-$s)JQ)QYo-j%XN!t}JEwyExR(6+V+Lv-xXO#-x01hvq
zmyK5}LVmEs1W27>PWmSlqr~P>Cg8~=m?0lHxyhn}HHp>py$gfrSI!iVq^4>u_U$f}
zQV}0{{7l4o{Hi>8{2G;b{3eTWoXeG3RL$zFUc<b7q5#7SE)PLA4^M{Pg!7XE%NfKu
z{x~GPiP7RpQ{agGU(T5?$Ud)@Y2K%cEc3*8-m=v3y#1K;gC!=2^KFc1jN(QMtt91-
z9ZfO+)A!XgKARBpiXopti$&qSRt!f+^8d>8dkq}%9u7inh1-=H4;}7!;Hl-rCK~Zs
zh?!exovuL4)g;FmTbLZ67YUx6usX?yqthWkg)1{6GAL<+4vQfZqu|S!KS>ROF&|=n
zCF!!LvPerX#@zMPIl`#Ah^ryyLd^3ROBQ<$F0B=+|COp6&Np&x@{F0veYfB%3v<C$
zrX-zChN+AP?RWSqFvV<OsCBG-ZjvNFbCME6HxHveD=YKEBo2Y3=P_p)mb(h>NEPbv
zVe;IW%figV=#jLU&A5}vMXaNQnc-j)X9i=^4TirQ!X0AlF-;FxBAJptbxl+8iebv_
zU`k>(&T8nG;L)U%I#FLGs7Y)?X-g8j>B^Xfncr26r&o$MHFND`jCrY~#o;a4m-IuB
zGcu`0I7x_qhTZ|oBu;^c0{0n{l>YHwYmqYZ6tv^MCZVQ~Bof2VkekWbBc3PJD#gpW
z;)S5VEXD&<{;MQ;bLs^g_GGr^*d>t8BA~RLF^OYVve<?;sTC>`{sCV-Sr;f6s@6|#
zXTCh^iHGMbHQ9=Z9jwkw%_=)Cu{0_PO6Upj?D1TgXn9v~6_cpb*VzAco<jK?iz7;e
z_N+Ro*~!U#o$+$aOHW0ijupZygeLe!%)jFjV<ptbUpb*8>6?QoqegGX2M62d84o)6
z8cKv6JQZ#wnM-Ww<X9=S>#IYw!r!Fk8Ny9}XNXtFJj(dWFgGbRX;w^AWr10DQaSfw
z7tRk|N_&GeHuH!CHH!8osC4s)oY)f-!!4qFN2Q0=nO9^Y!!}_iZpD5LM*Rswy?mz}
zvly4ecr5BO)nC!aGHqEu+cfnF@OuS2T@>yKYBvZmZ4t;X^;G6Rdcg34%0%ATOgAPy
z<LK4eBr&bSp~9V!qqpHfl0*zQM?c3MhDfs*CRQd<j-;<iO$}04{w5_Hz1uNk!P`k(
z>K?y#yzw}$#E`p3Vy*&nQhdy(S+WWeF^^*86>62*<r5}zmwO)g`%uiUzf)s@4a0el
z2Mnc-FPBs>u=~8un8KDVDB#7+@h4s+=@akEM}i9Vj29w0ChFgq%0Ew_Ugcq2c&Orp
z2T2c4?92dPCfGHN{Wep;gA%s8Tc)$!?b>8wbN&`5<6}nAr2Cu<cLOUKlV+8(KA*w<
ze42!b!ex&nhL^HSCP}!7^h<Dg$TN!W$WZBI{`hch&`kN}H~UtxeASr6{`KX_IJU1Z
zX7gQMK8O9aYk~_S&sAH{b%ImovEMgrT*6c+x^?+{{_Cn-H@!F}GI0t@WpUh9QQCTP
zdnbpP;?5XD4Uc;~uTNff`O9&rRAQ?O%Y<WJLIQ#p@O<~y(%`6_WboJ|M0=8ohMUHM
zi4uRrB$7{vs7U^>EbVmclUT!OINL>-_s4-LDhqWds^}`cW^&<pa9c@B;_Vp)XC=oO
zQC9_G1V8@al=>_vl%&XLStBZtbR))6A)TGm;>&@hNgr5}I3x78CfU0rJy6J(HOOVM
zTo#uk6Vvo`K@U?>l|orSQ<4wA%OWk`pQ@@JNlFZRPoC(~ow%4gxy2)%F^Ta4%gPf!
zVw5!xTvB0<TEgNf$?X!eQk12jLM4uYSEbaDLHuE(hf0!4d88o|_)ftj&Q1;E3yn#U
zD?RuWil;rFWKdZkC^1ujC28Z63e5}eRq9tQV>!BVIm^*yD_D+-aa?51WInEXUXfwJ
z!=w|vo$41Q@2}*&s3O4el2?d>{c?@|ZO4jU+oT5(`&{NK++-2S3|__454lfpE6d3S
zwk4XH{1cVd%I;muH&Nf%<$^~{6=O%zy$6kRot9^m^Uhwij%W7D^(+^cZQz+bT_T_5
zvWjG<M6IWXhD5Ww@Fb4Kj5G9GT?*|s@-8)E+T39>Nn)F%qe$%+{*@t{Sgr?e=2_{x
zg=eL@=Is!sB+ylYy6Jo?mvVH<Sa)yZnV+?4y9y)tK0&UzfeTg&6wBBy+bM55YZuGu
ztW~>J&c58kGkJ+|KmXLldwHiSeK=#mIcuVk-M1JaQDYN!)|g2Tf}~#P1Sqvg#3xyJ
zWUB;o7WN4iPWu*QvvWd{N75bXQYL4PG6nzkB%xo<885Z>UFG2o+0Vlpd_ZKOH&aWd
z(j=j!)~qaNl)e=>da}J>);5?j>9<Q|c;woShd)HwI?qmImbVI2i83?D65_ONuv9(R
zDZe%*^vP@y!OVk62PHRiBq=sDa#r5>m?R`yIL)#xMo}X(#w?<pQL}kxl5@--mL#?d
zD|RJ032N2O=WzeSSfR%pQyJ4N5R;HJkKg*xRUYe*!#viPkI3BhjJ&1S>ZxgSBhuxU
zmP_mOz%NHdeS<Xe?G!s=cK^7*ICHw7fHIS(wYKKe29d6q^~YH5X01BTayRpY+V0Mm
z+#ZZ934gxGd{k;QmlZfL=_k_)mgkY;D^A*(%XIoNrX<O{E^3Hm;Z$Kta&Sr7@4+v}
z=<!HGaBjy|mc$aqr0A3wNoL`OogQKhQ&Ltg`WbtQ=lb%bFArCo=Dj*GrZLc;e}={x
z_TSGNOcoyGh_Q>0`8|=LLz=6Bf%8mD%9XQf%0?U;TV{6KrN;b}Ghm8oyzVlO>uN>S
z%p$L;twF-)#P`R{`sugoy!iHM9bO-qR6I6?Tnl0}tg;O-eB7-mRBpGj|AH%Pp-Q++
zTVvKmU*RQ9C+%~47c@w16%dX28Neubz<)o(eI>^iwbe;22}Md1yJDjXW;QQx@zA)$
z-|87y?zx`nkWlG+2EQll9Xx-NS}w{*UY6Of$+k=Cil|}C-p;O`!hFWeuPPC5JMOW>
z2nn5)i23iy#9kwn`S!|HQ|@C6rcaCzygg%`ZA#1m2D^@<Dh-A+N?2loDrT&YNmA@=
zjuB&I?d&+Of9)FE1f~64;+>4<HFshXN>n0#?$Pf1Iicn{%Zt9lTmdaJs**&v=r^kV
zubD7e`3B!fKDh<Ylw<n7bS&S%us|YtMrju_Yu8QLO{ZpPSZr`pp15eHliQy;6B{ZS
zl7wTVlUibw;tdWkC){Iciut&KIr;yj`U|Z~Br26WZm~CV?C+bXpuEsiAZGo7#H5K5
zcNlZ$>@3u{&3E*XY(~S!jWbM+2;I?T3FJ69NtxrQ$17QrWp{a27tQQdG7M$oSjhAz
zqn0V@Pg2ssj+@LK0Um!Bd|3FvMX12@*8+bQi4TuiV?+cQME_+h|Fa~9b!I!uHH~{L
zEtfvBx3DY^xzBQ@=flSTGutMs*EPClJYexHv`JuG#65vA=99n@Q%RMDr3+6AF{&#z
zwmtOZ-92SlQH9W=bDB9CCm9^Lj!q2o*br!Q(ug5!BZmNE(tLpp37rmYtQnd{DIPBw
z$_iyBS=?XJB%jmqsLxt%(k0{NW`U1;7tWEJv3sVhAoET}_Dvp)F%mOpy;$O;@q{H*
z^W;0$Q1^+#PkAr*EeK*Pi0|1oWy0Q;4RSFmGZs$x7o#z6!<HvD1v3pbp0TXdd^nGF
zrSr}M&)HUbbL4h*sr+LM6rAV2|LO}CZ_5d->B|qVY|D5lV>grO=AI|9YiBRp_r%Wm
zU<^~`eWMQ(=ln9_EZ*}l++WKg*=^U+B!(k*4!!5u^<YAHbYg-8$94gaH!(tb0)7Y8
zKD)(qBr;1&Bpq4wz;$mnqY}%ry?1{fkNJB*Q)1s8PQ&{zHp<2*uR3QWlDy%?zB}jk
zwB+o)t7Z9M{mLsme`9vsNt*IJCS{H$%b9&Q-|w5MrfhX*+0Q-yJXNBWS2jM|b6Z70
zJFscezX0LDc{^?kIxzn4+~B~<*<9qO!oX|%Bza@x-n(Xd*Ua2=YqG(siw1^AO#{_g
zHqQC9vxz6sZ2x&xPjTgg9TrZ@cXjy$^zJ^&&AH?A{&i0^18*#y5Tj*LcOy?A=D0<h
zmh(l17){$0g&Vd9*LTdam{WIWwT0@gZAIE_>n2W`#P;dolf6e)3MAcpsGQWi>uAiO
zjO$N0r!9Oe!MIUc^MU<aiOGA8Wn6agz9h`_JFUmtl5_dS*~b_UZaKWrlaZ5u?Xo@B
zm;CTxJ>;|JpxaGmubQCFlsli6?L8t?HQz=lCi5awL08|L8N!d5zFW-Mz`Xb%{}azM
zdk>zRer@l8Id${R_8!s~JgxZij&u?$r}_y~?=68h7n;Z@c?MiN5vwA1;?AG5={M#z
zHg?#Yc(?CBPjRE@v7P%jeSeVDxaOeQo_+PFF9z@2$I%eW7}KQYpfe{a(ZPsk$G#Zn
z9mz?I>;+9R)pxfVMKbK!cX#4mg$4We2!7CKQr@}y!pq4=#P;rb*{3gcDP^_QzCBzq
z`tA)pn`YfUcav-H-aQLm_1uc=@KN|5Q<F4nr}E)FyVn)Q?A^2L{`HQS-HLZ(_U>H$
zZ+hX`6|)QX>@;=iZaTGRr}a)I*L^#kVsv-q%wcS^*}wC(LCl>^)B5)BbZGQ-jM>N}
zx^Djt#TPMWR?KB{-5@HIB>8dG#VaR|eB<EWzpapQ$1A_x+fHO2Tfnq?N8!x{$L5F}
zFg>wGu*ri_CP{q9`<v~jI%dXfFr3UH+GBkD;`<%@cD{bExGTv$<DL2i$Lzd!F?)Av
znLV1Z=pE~xofbbQs6T%4YX45XIZ5VcmhIfq{5OepLVN3uAKnL@VkR~|+qFyPMd+gE
zH&S=(o{{wB@}0fAYUk|PwQ;4dZ{-TP8Hq756?=AF?|HOHZ$(exiyy~+X6@S*YxBEF
zY=d3W{zYAq2j3mvzcaogP2%wP^aop-q;zJKZ))gk5G+Xk;dbuNuf}&t`ws52{Ig(<
z^6rBSoS*j}wBGo?hAC!aeB17Wl^vBiD}KwwbO<Kd)vfvXyjyVJ!7Am$3nc85U%orE
zaLy{8ds#D|?3gahng4rEQrl{?y$4q_9f(m*IyP^^#fCnM-3K?s#3g-cDk%_u;jFf&
zX#4(yJJSj$Di<*v*nQyG>bo;rp0BxPJW=M^-UDZjZ0&12apkz)$ENBD2PVARRbl+c
zK$|^jerNLD{nn39ZoRN?&W`;L5*{}m(mlc$81$p-z^0hw6+*{kR|u;yh$r{Y*|Vpp
zWB<Cn^X~53+P2gE(}4rUdl$Xmv)w7?GsBG>(T1xAn`06;aGdMddHnT_&gp0N?YP--
zN~iJZkv|Jq7~76I{nhA{TNv2a@IfZN>FML<hNnB4di-PeZYyFa-P8Mg@20xsL)SOl
znX|%fkNeHN{BO5>yt!}xoL!E|zdQ7s|0NyXVXGX!qS)@w0vSsV{fPlONdl`5xE-9v
zAZpeTpTm;W)MW8LriZD&^Vz=rH}^ZF?%8*5!RcpnT+R0FKFP6ko2Zd$&j0$3^mlvL
zPv&?((`E9?zaQ%hcPvah!V|oA{=T(cXZI{j-yI;cv;PTyn~7ZVtm=IW@*eN5+*o0_
zcmDOaTRCs;SrD^#!R?Q`H*sE4VvI4nz3+fq_?}6UWp=v`$ah43QQkW*>aF*hgU|NP
zexH`q;qiHf*^NDWzU?l#xqJT;2JQ)shyTa)h>Oc7Z+d-5e{+X=#WAK7!^*w0wYcZ(
zVpRV*Kcw;4ubm5LxH&O8Tu%ALB)?+nWXa`6FCAaVk-m3@**>3h7a#6DaNX?SKIMHr
zmI|BqE@gE#+P_q_i1D2Kl4l#`PiD$b@twAR_Q^e!IeT|&pLA4V3fxn_*)cC>|NKq%
zkM?h$<6N2IP=90n5r@{sBQ<aL%zqTKp=F}u688E}JLgO6UDDlnKX6;mOU7M~Cp$|_
z{C{@$%$xg<p4vO_tK7bgkskkcY!rx@^XpfY^8>#|k=EUN=A4_gK)LXc`mT9wywQ7>
zz1uryOG)V7x!US$c5|-!ymzkk5AFFg-UiBkV2atXp)){}X~MLA<_#-btMfa0ZZ6)t
zVQoaxo&uvi^Fxao*gqY$+qpq~M`Oq9nQ8v}=Fi%DK<wzwr8jo1pYwVC-uW;63OjNb
zcduug^?6Rxxr9>_cdu7#t~$|_R2{FlXWeyi=J-8pdmitcw?{}>F;TvF-(304GX)Oq
zowM&(>!O8>Nqgq3Jn48K<8Wfy-Zh#tZ$$5#mz1<;-aDI{nQHsycXwDE(LTCo;g@4S
zFYI6RV?pqo2HVBwkKUa3_|;;Y{cGiR?C0LSR%`Z(OMBNI-)MSBctX+hnfDC$F4g(6
zcU|}4d#yX}p53>$=<nV=3wG+Y#q3!Svwwl%j-|);&;M{dZO{Bw$&YueIrt}O|LP#i
zAK9~asP9_E8dD{GW8I_K8^qpdZrZ;p#(L+I$2(_k3{+-fecV5@eebHJpKshg$dqI^
zV}DXZ<k1BmPX5%}GfSs&UFA=w3+g$uZrSi}Qd=Y5AQ^Gt|C#-B#DAx+@c5aP%(!D?
zGh<BilEeAi&Tctnv@*)<(2nF4DSOvvch6M1aKxSC$+5;Q2PS?@de|Is(bMM7jGg;u
z&)LbgS*>`rk=(=o_xEo2n6x8Ea>df}J#)-<tg&O<R9!h)@<5c%g=8a+y47)VGymP*
zzwvD=|ItM!57{oVd44e@k?}y%<LWNoIXg`DZ0?WW7kjjG{>(iKdv@sUJFgzTXR_GE
z<okOUz1_3OXh(w0{)V-3O|#d;1U8i%{2wE|Bk@?%(~L(udyV(aOI^uWydfgz`zmI;
zQ@6T5o>?%fkugSlEyvjh>BsiIkUu`>N89m)7bl!p1h2An$dt#JvCmm(R{3CK|NhPU
zXRnRjvnFz<RnCs}JANhYo&IU>rYCz^ZXdL}xqtns1BMg+3HU6O`H^^UW6iFgawh~W
zWRm`OhH#${X_^+ZcU}2Jw>L43#xwRb+}pEpZ`*VG*$)HuZOos&CveY%^x!><BX1O@
zFy1=g`Ekdi4NSYbZ{84_Gvm$9fa8ru-Mf}dWK?ZDWk3Jbj?M3l_bpD{>Tb4oY5II`
zpM6U<acMWqR^~G9-ZOERmf`7TH4pcC@y-62^g4ak*N?oP_pY9^W5vhY_ir>#*!ZaZ
z1Xusl*^~AyeY<MgMw=UZmkKF;<Cec*v@<6reAg<mmzQ$Z#C)j8iP^F2*aF#yDam`5
z-JYb=7IVi#{ZGTS$_?ymT3u51Y<rb={IbQm-czR^v5QDuR2H^4{C-aiSMNm=>B)Qi
zdM-|{kl9*a5z{K1DA#}KsirK8w|3v9Ksol#q8D)&zQy%i?2~7i{HgEar2RA3=bX4x
z6dAHGvu)?8KfmgH89C)H`dBgwZb`YlZ&p~>MVqUxMvO9hdf%Q2v%bA&W?j!sqn+!Q
z?d{b$Q5x37u!P~S&8zZ_{qy!rUfXy5>7K4zJ=dddxi;_VT*Kz>v#-;W>lO17yO)05
zI}iP2JpX2YYPXbsfZVyyT3xfc0(4F^1%KN+Z_171{=O%6?wS55<|0e5&jrifv-@{%
z=zDCc{&-zJ|LlEpC$O4#&)znX!}Nws(zdS8kF9>p`ndD!0i~Ez8}4l6*g4Dcz+5?|
z9UUD`F_#3oUT*q({$~d>W6aE-L0h{z3?J>1dGxBavHwEWAL)(#hc_Ktv-#uCAA6>s
zn#4Qn$ePVptl#dLdAgx@-huO%c@HexKjqB?WkI>5owo}meC!%(Z5Tgn$zj~^|6Yee
z&W*Z&*-7;w_Ii7I?3-rgNL`7&-Lp$OyZ6Smj%PL(Ump1vaY}lELEp>wz6{*w9+!Pg
zjMy`C67!+QEfYC8_D)>>XX3Piq>o&3e_KD@N>c0E*%q_Ei?`=Ma@S?^zNb6B)m>>%
z_un%~Y}d2wo-6KV%0KI-S?`(n?BkEl?@3o?-R#*BFLqq`roxoBcjkPMuGCyJNo!)0
z!kQ1t!t7rxx_6&Qy0@lp&r+T#EREM~k`Cy^K<EEK)94Hgj192;{~$i2%8nF|+9ama
z{4#p`8?$>aUAAIBJW0rf?ZazxVa3zd{zsF(b=^+MEPw6srm^To?+)#s5<(YN>O2)a
zb|B+|@_{6Wm>VCS?{4q8^-D9bBd$V%@xv$o2CHuqf+A}69=fx?Pu%G7frGs}->Vr`
zbXNE7oP5q}{sU=O4x=L)4BTuFIyP*+H7{a<`sbdVW-$>vV~%~8zh|=f!TO|q6F2oY
zI^^u0YLWEl_5Zp#4M8^hr+(ig9ozpo{lh}zn}KB=if?*$eRwqCWYWWb539c({MfTA
zc(0`S6qz~&;fR=y-Hu7SIp)`OTI}iFz2V`GkC7+XWxj9o%oY24XYy<>dDo~pm!tdl
ztbG0B;|rZXjTbgBc3Y?Q>{+VJ<aOrsp4n^SdiR`AeBHapD|G&+$^DE4<~Fa^n0=i7
zlUeZN0u@$X20`tPJ^wla6m};0T@d)ha^YL&?&QX!XM6T^F&V3LTwt``$j+S9xhJWk
z<op8W*@-DO`)B=|`SVC(2;+}MYK-jqy}PG&9G0CubNaqnM;9omN36<8GM&Zrt?|~I
zIo4Bt-D&5TVJv@wF~;@6g_~O+EnMNsG`X(nrwUVdhQ4<H?sL0l?O1&1SHoXE-TI~{
z6Bv{3WY%O0sN4`c;XS{ha?f=2pEtDncCFkOe}n&t-0t-gm5z7F@Tu_6sGe&*VPDu(
z<xM@iKkr?&MP7NMSdL$1kw^dTm6p2}*v)g>GwV@I&y>__``Qk?ne?Y(N_nMr|DN(`
zduG?IiQ$}(TgcK>cx~k@m4Z1po`?x9_|V6+Z|cq)-zuCIXxw1!-m`JvY=@Yb1s_ht
zb?wpFKl}T;J=3-=@H*M3v^FKWu8TismZaE@i|M<jPx#2om}I@6Jn6@h|I<&M-+1+L
z|L*1Ycc`j$I6ptev45JE+|SA#(nmTP=5{&BHE!Qywy)>yY>AC|ANOfJ*<0Zy`{US#
z_MUCGHXrD?qQ3LM>;sM)G!)}L%)Gv@Ouuuve3L_L&;Qy@-{w3r?cW)<zvSflL$ek}
z$ZPj+OYKnSY1*4~xN$Gzj(|f?Ci3>JsFMHII?Lrje3De}#q{a>^Lk$Hwf%W#-QI#Z
zoA!6cB*yQrvNYbCcR+GhU+07R{@J_tWNqt?J<hSKbHbmQTNZFV`6ar<@N8$70n?^w
zazBr%AL#g@yr(5*%Yze~{(F*Bc6sGA&aCTL^`^J_=ECNUd)iDt?nzSJ?sSrK`krQ^
zPsh8CDEC!LuVZh>W{ydEykl=`jJkEB*tC6ZpBBYF>zaOipZ~Fhjh);{k3L(J3hubb
zA<o46FX<agSDDV{PoD&pdNyq9ojQM0&-&|iJyW;H9OzlU{q2S_ivzNU4|T<Ci@3LK
zZ$r_HeRUn{4<!AKQC%Uy|JS+0n@Qv8zn&i{f~WVC-JHo5xo1O9zu6wQ?6UV=N8a>w
zrtB%ZIZ;raQMsW|()RYcsC9c>zHi)`elf46TjAKw%e~X3n;svWyW4x?!efv2IBe^j
zk+%2#=LJ_hbeS2|=Itx%S>Umd*?iMK4xt6Hk2<f^tay5-rMG(Cp0d9yb$;ymnzK9W
zTxVR*;<%fO7H{nIKgkr?KXYDZ*~UF(Ih`}l@15lRt#8q%Jrgf|>sh#IcemxjyVbo5
zRM{1*_s*!lIe~riiv08rM;3_}|C6L2_gXES_@-xG?A{gc&hO7r))KJaJMH;|d+fiG
z622$N#a!Dz?dXX|9~-YoyxFI=t6q9j%D?;$&wCqh_iXC4+OERPX>jJJyFt(N+xys`
z?upL)zDHBlOQ(NF^qV7prp>!?N^MVf+0K1B_fMNAZ_JO`uNBraRqy#;?L(7{J70z^
z7Kz!X{mDSPV{OvIb#rzaG4Y;gX5^i4ZuS*lef^$UyZ3Sw?=evSyfBg>zQ6ru=l$-L
zg%ce&GIQJPvD>-V@on#(Cp~l5%YK~ws(N+ur=Y|AQ;dH}CQq1{@5%6%mDlNDSm*Q<
z@sQmUj_>ac`_?<_+)<9Vy|eUhGR@etvikELo6SrcLLbdEXJty_-!(sJQ;o%&HOfkX
zGpFyjn%A-S?tYt%N&Qp9_4eD}-?M1lK8J5N%|9|OIB=YKhXNm?eMiO~<^?w-56af>
zS^9LJ+pj&V4omJ-x1O<b5*v4<`#!JVC-!mvUBK2Cx#-8-MUOV_Up9Szz-!w*cXsay
z(9U1^<AX!e-WmF7yL$aqeJo;PHr&kLw={Ks;qD}(j#YQ|2A|b`u>ASn;BzNKPiQIZ
z3@zNc%kkgdKBc4u>@hJ%_lC--><Ni^6SH_n|BgMOzZLhz{L}dLe8cxW{m1u&*49U^
zIP!U7@8i88tNyD#?2mXAy{9~V&%}1W1gR4&4Ced7RGB=_vFs1t9bvgQZ1yL<%KvNj
zdhXmGc4|ji+DC>pD^)A@O}x2tO5dKS)jyK<Pu#e1m6PbF51$(5Zn}`%vSrPlNhUF7
z8b9X9?=53#{_x;m%#0o4j#*kvG5lFSikMyZMP9$YIcD#qAd93uGcHIlCAobN)9zcc
zCu#Sj53w5_vCm7YeLml2Pt3pVmw!Ck#A36-u&9>*^PRHYQ%?N)aR11Id3&bJJ-07G
z)a?0!GY{kVcPACuRBc;u)NX@M(hdeu$DPa0|M336)b+P=-_*i=$+2O#q~GkBx@F?G
z<2Q2pyLb(k967fzb?;QaoqN+i?W%Zm%dU6d{tEfyo0R|V+RYvPc!B*)fya#dE7G<1
z&RF`U<nP|;b9M`#-K+m|W$owvGmQ3cY|M_?H$x~U?$?HUDjypKS${Hc@8p}a{PDil
zwR6rEY?$`>#=7(w5|dvX-B}^NCuZIa=ZQ=W&-PSIE!tBVxxeD(o+*Bj`ztn{QcT+y
zpYOf@RotHGpY}`V?k%&Lzv=HzM&nMId!36bl=hV0sN7M$@npo|*rw3rg$oxm{t>m^
zQ+ad7Ihmak_ne$Oz3X}J{9CDeW-ZT|@!{US37htmKj}<9ztjKm-lWgFS`G^@us$xi
zBT$NI`<7dKT2?=qG4KA&vz;6p8F$n@V2Wup{Ik2o@lQ<RhsG2M2Ijrfm-cqJ^~~CP
zzh~L`+50NZ_V<<Tt1?Jh-_fv!sblWV1#BPpc2D2iZ&}^&)U0`3c5lz;f1O>&lDfL*
zcdSg5KA?Ga=dNd0^>ioi?c0;}^X#4((i^Pr&#_S3JEL-iM$dHX%X|87p3wfhyZYIV
z-A8v<&)&Od^99r7lWzUuJF4x!N$#jS@lRvjLB<cwJ>A>(PkY@v(M{cZ&z`Bd&ti`6
zuI}5pz`pu|@x47cXO8GE+c!^U(pJVdNxwUmM=bDhjEQ67zqWJw34s-wJFAW}?wB=u
z$5iuw^(}g3`&Rw#U3zk%{i8jr?mgSvzq0Z8{*}3F_IAdsS)y9@aBt$A&I}G7n?2?E
zdsls%oU3fT$a+uH%zZ1SvTxtJG}C@>W9q)eVXXdkD|da~w|Emn=-#Hy`xf7P`Z)gC
zp2csUzDU`>_+OIBfjHxRi|rQXS?_5N&tLg;^WIFA3&GZV+RNvjzw=mff4JfK=kxZb
zuAd%r<IO91`<vUR?N7hGOYQK#8?}A!y!Y$uN>5>mIPzlg@m)F+HxJeQKT)0W&*k>!
zy$kkE+p4oS?d=|Y!{aP!`#bG>w$I+zW%THk-X81IYu@~OpPLe~{C#77|K6~@Q@7vV
zA32@<Xw9C8=`X(sa`@Tqi8-=+N@T~{%^MD#@K|%#-0$Ar@=dcZ|Jd(;>rLkQ+kIa*
z?ws;tZ-qC<<!O5+8SN>Ve=6;v&5WO)H~##<G$Z=?&ZvX6F?%Q8kK7yMSN+58V9@!!
z(V6WT-uq*3zG~XIko`ns%He|(7-LmlihkT1d*#jk-IET+%uU)+J}V(MqcP@I@t)kR
zmu{QNIovX--Z7!>#q>FiUm8VI8twK?T<^0tH~xr0(gUUsrt@EJn#}ZAVVTXI#L5r0
zn|CHo*p$t&E8_UR>Nx4n7lKSG^BZ>5OutgPCvkc8%?&aO_oi^&e7WT4jVgIY$qD~X
z8dQH-Yj%=%n(IE}Z|}0hp6^Mlw7wxZZBOE^{F^Fo_tl*~abwosTG{@CbN41Ke|73t
z_m7_GEC24T6ZHF~$v7`&e{u?=_}+q9F?;Nf?yH}*zhLE>6$c}B7CpK7@NMqd-SxBf
zq(1%hVc(D5X&)c9K7V=g_=?nShN~(}tZ%sd_oQxW_}D%Dux5kDkG=KQ`4?XQ+gJB$
z)wX@9NA`YDJ<Rgr<KfDNWp)3))O~uN8=n7h`q^#w`d0?D?|o`x+x;Ww3&+-)t!a5*
z%C057$;+y<Y}jJFGG@}5xgXr#=9yam%=y5W<o3gai8*Pm^qit{`-a;G+LL0F{`H2R
zTehe0_1+&T8<-lB_!-p~E-E-C$NWLGj_F6b#e<*Tkr$4=Ejqow=+5LvOp|ULvQ7Rq
zb6=5N^{zCVn3tdAKKR^Z3hH$H+;Dr|uELM|(w?o<ocK9tU%}Edd-JDv*4iiU&F`o`
zzc2sJzuPr$Hr`a27xSU|@y`4`$xCDQ<>w#rc=My<WXJD)-cm{ZJG0+g?~U1;FTPLy
zNBTVeO;4--H@&=h^Vs3InC?jZf1CfE*`FQOH|f^CjLYx#X4>q{+PyF9=l)I75sW*u
z_k3Dc@y7A<9);&OXCL36F?Yp<v->@`Zl!l@`B>B5IAvzV?;Xhxr4BAk5ns2r{Pw=M
z%VGQKzU@h#wAbBw`kvUG$@0}Z%F2FvR4~49Ol01%y62$Pt$*UyJG)P@JlUHxcW;XM
z?{4YJ4UwOJls-smdLemycd~TT`-YX38}6mZcke4ZxnmI@<MWlz_in$vH{`F}lUdb`
z4Q(5bi0*be->zc+bARc%NzFfZCn+D|TsVK%ik%6zv-g)b+Q`3eP~_CeYlwN@BJgBi
z`OU49pLXun*;D?0!}~v5LUQ+o8g-sG+qJfSTX6OMvRNt{PWJxYTb8cfICa@Rr&C8}
zZQJj>vu83_BeQ7m9;e*NhBiN!KiliL>idJPXM6IW?kih-;$KhWu3J;jJlZhn@Smg?
z2@*HV?0X`AHx~OpEPnIv$f*uC{+KO~m;X>~>rIy1Q<YlXS+{Rrg;oET7kflf*Ede9
zoMG~$V%h4MZyL)Mv+&#QF^t^Xux)SCq@Jbqw@yxewDtF<#((L18*c9H@~-RY(yA<a
zv9C#U`hK51P19}nmZ&x?xWC`<+D>1e{ekB;M|FzN+83Z1*?9ew%*Ri;>J5KSM*NEB
z-xHX!uj#4HzLe-aO`6ubQ|Ik3Gujide^=7^jtkm#Kb3FH*_kxw!<Ux*<wkq`p6`#y
zEZ!Sfx2Mi*Z&2zk;U~osdjsnZe_X~q(a!qVfwGP!zNGh^EgP8j)PD-=Z9cU}aoe8Y
z-Jf>7J|WThv(1B*TPAhq-r!p=)8}=zigxcv{H-qgeNXWG&KLII7=QGyc_YTTgq1OA
zQ;gKD?$v!95>FIX?5$Jv-<weXhVjFn9s7e1Cv7^({`ix6gvA7o^F8zJ6O(rBP3(NA
zv13i=p@jKKyAmhLh|ipFdU;QX_}-ZYiF<<g>)F2udc)Y?n%bE?dB&dUH9mWTLg)W}
z|EH(*szs7xgJkvIoHKrVLadwQqW2|Pw&+FgN!r`hXPW$DW?#Gi%8s~B&BBJ<XM4iG
z?aA5RsTaDZ@$|FTiD&jiMDESqyf-;@Pwv`^m<5g#nO}cixp7}kc<t0ZVK1|0zdCsF
zbgS$BFv*x`>xQ6+xiMERo!%4n=ltUtQ?&N5^eiggw<l3s&SJ$w`JIWo_*Xo3JiOxt
z%ihFi9}jF^xL)nTo^Ol|A;lXUu5~Uxm{hsfsek1vTm84;;bF7VHufw&x0$IUx4V17
z{hED=`*()eChrVfA%A{f$m}jld->go@sC&STxof>v%0^d<m#HO_ij$SaeRN$wO+=U
z9l^3EUllU`-H?>ly83J<Q`g?4_1pFYJ#Hv8|M??sPhjTs{UQGf@^9}={yAf-Z{r6Y
z#+{SCb$4v;IMKDyeB;amhyFC^r*CmMxi9=~-D`)O-Su^|_ik=H+Pz`&@tGo8YZ!OA
z-0ar<{9=+)$D92#7XEDT)tS-!sq0Ti$?`WHfA;3(_RZP4re|^av5sRqY98$msLtP;
zC4E4^y6Mpe#-zXvdw)#X-5aw@(4B#`t|!)TPssE&wo`u`=x{dNP*oGN!_;oq#Cr)7
zf|?~_+9pUOR_uv7-`#5;_dc{{ztj6Y;iq<~&OUj@yng4QKkFw%Ja5=!yK{!?9>;Ba
zW1n{U9-lI~>XYE&eUVcdww>MSKdW(CZ(`@_|8F`bA7kp^&ib~)kLSe1zt0bzek*l+
zrhxXeec?8XrkicNd3m4qwmo6n_xeuT7n+~EhkwcH+k5<Cu1#p3$b7C*WAh91qzMbf
zlen0K-|sRq+~I$SNs%RH&w+W82YvSXMQ*jZxi?_W;`E~{w{-0f(v4j3VT*sY{>}}{
z_660fl>XLWnDb+jU5D(oKPwK&%$)J(PE5-lxo!J>Uf)}J?Ag93^}}oII1kL5y(fC^
zgqSs2#R~(z{@D{f=ZweEsn4n-UwR9lsD3<u#l?*Vtu-_B?yp(WuI{*Bzh_GL-pv}v
zcXmYViS=X``6IJG=FJY{;}h-Y9bKZUy(c<)$!y&)xy@VFEM1YdKjrD#J<&P)QdG0w
zJDz<#$<$74<5x4L4`uUD7*1#xeZU#>F-Bm*$A=;lE<Ti;p<%w}Pt26!$$NrsE}5GZ
zxv}>18@uaeJ^w6U_e@#C=KSZa-P{+xYdX1ZY}8G8d9#0+xc%m3aXSnDEX-7j{CAU)
zyJMLvcc0wGiJSNM?k}3_v&ZlE6u#$sdM4-gZBVy==kR`i(eu4MeQ)*^smJf}iC(f)
zb@kF-6S=yiebq*Ly;AoTrAzOq{T0c;+`01X&iZ50oo!t~-}Wphd3@*DwmrdbyOy{M
z|FPRC628Z;Zg2jr_f}kTyC<ysv6FlAw9S+E2Bhb2+$#6efAxYr3Q00iJ)gEjO`5TS
zJ4wkZYR<bL@9fDBj+`(E;+RolrxeNJ6t$~t*Y3hS9r=|P0(pLPKDFPI|F*X)KYFkC
zwVp1&AJ4Y!@!izlK0P+hY;Q#BjpEI{6VCr(JQ3~4m~@EYWZcWSdw#}8Tx{6zJZW#i
zrv3?cKkeE3c29uz>*Y&MFzlJDP<L-%(78QPer5X#%l3JsE?GQx^UR6KFLIAh$h5EP
zXxg){;LRIzb^W~s`FkRk+T6%E_Hy2w;=0J5=99g9v;OVxy|t`UOLykGlPt^ytOs-Z
z8#A7;F;BTZKQd<GQhvsyKLSY|ZE3e-C$qB7X!*~w&}?6fib~Rg0`JCU=NNxH-ZimL
z-aco4?9aXBH<$Nls~flR?>ujBzo%T6ttZk)Wa5K<hrNB`(sw!;H^e+iGP!&-VrlPk
zB_j#0^)n`0c3b>pWSw>K=wkD}T?z85XB<1g6618ks{M1)MV*a14G%Hi+|eB4`01RW
z#QGZP8)XM085cHexDoeY_nQUt(<V)qo!!u59a}Mbf5Pv{l8cwD{Qs%rZrb}A{-j5p
z47(+kCMm=}sn^&a_j9^*hr-I5`<IR|E}FXgz^~)~->jBmTs>*=%{>*?+4~dYzb*W>
z`5>#??T&^$cE5J~=-=^qf7!HU{jtBQJsr+!ZE(2yZT7yx7i>xjmv1Uu|Gl(-PomwP
zW&K8R+z)<Bor`%QxU^qCwA}1tQumICH=g@X?MjvlnE&Z?XRqy?|1mEaQ_7b_D5N$%
zxiEWY62DN)ruP9&GlThcW`C~fnGxQ^7$d|Yyk<{|Xwv`X{Zm#v53gWcko$Q_fA@}L
z`--heCObMK<ZpHF*^_MgX!ioi6BYAzCCdqO&k$lcnIy=xxu-iy?&gd-#!F`uSdw%q
zy!Llb-*9__IQPHRlZsB}mS60VV~TuHcf##qQt$NnHak{*>+OBFdh>#^t_v^UEITX|
zvu1bF4zJ3^0mnBK*_}F5x3u3nHgbuPs{IDeIkrn)?@eKUoK!O}>2+P$rbiq1r-r}Z
zle+WmrsJBWV&3~xkMG`-T>Ne6gqP>`<bM6V!l{*UUy6O$r$xmdH?Hd5onn7{PhQN9
zyq}x)KX%w%ux5qN$47hO1Y#~o&Y%4wZ1Kd<JNxsdFORB!bac<p%IckhS~6d=Z)(cz
zj=K5NeZ%@W-8K7?_itKbwz;=`yH@?Fyf-l&p3Zd_&wpa?edk$xtRZ{hn*18kAMs_K
z?GcAMydVBw<tMv;L9RqYW%WMKnR`O5wVPg>ZM-V^rg`U@=>4Jl{#v|Uc{Ba3^Yb^`
zS8SiTzWxcrk(K{s`4|sum>ct_HfgW>)81(D^qs8x{Wk4&%-QRIYrk_*+FsvH2VY3d
zT>Nvz!K1gb=Wpx^{nPq@P4$0u<csV3{BP~`@V>S{Z}ZLeqywFwce*9dm|}kK<_n!4
zS_dpRUQUqyA8W?2c<D^$kUgGz9(}BMcy*%b(cXryoA#t$w%ZwCzuzOWr|#r}30X-K
z4=67wF-_m8wY+4n&tA8i``kMZJ&0*%+AuTxPiMU4jxBLX``mBsNxXk=X`Z%yPxae9
z?n~F~NqW1_ed*r5iQmI^B&^x%v1gCj?TOKmdsR=bT&=xskICBo9#4A8a<%t)<o5RM
zFP_9VX;F^EtsN<*l?<#q0#g{cceuGPo^fvWy^Z|#-}c0P+v7HQf6eASao2m^9dugE
z@VT#e+lq-j6NL5@&HuCUyYkAp{d?UROKkSI@7=)=`esGbvjY)F3_O(=2p;;s;Fnh0
z%zMqN-)vzC*b_hf?VgZ1`{L&R*%!Q}r)2-%{lO_q8s=|a<n?H8gl=_DvE=e8|CiN0
zS-xORe_`zL*SjM7`AqlRd=S}Fu)AvhiurpYr_PS)eq#Q7m&67h`P~cI4>HDF*&o#{
zJzeKWw?)#Q)i0|XCobD3v-{J^d)#7=cgx*ayXT<Bht@e-+x94~jC0sjchGuG_w=M)
zN-56l4<`At@b2DL?XzFiZTBzBPs{eHoZeJ?b6;Wg=Y8td+aKNEV}9+G>zbY^+xDwz
zrmtpL(E4XjXZg(iYJc{1Zr`J6S^4??53N7D;?p)y7hk;~)N*6;`YjA9k=HiwHQw8K
ze^Xz{^=m&x*6hiB`bPBljMlCIC!HN%j_v4u9Wi@f*VRqCoh$ZdX`kL}H}mP?x68_=
z?=bzmC+pk0J?dhGFBt7lsD0ZhTeGX^Qv~mh_<6lc?2|u!SW-IoQpjqfOtn3l)BE%G
zn(f!B?3tHeyjQ!rvv1?B?DWn#=JOlGI?CtlII|~vtI<Bg&`nG~Ue0;8w_~gEKGVB(
zGwN!7eEPQ2WzPylrG%eG9SMK;S%2Hxb9=w_mu-6vpWSCIZjk&#WWV*Toz?SB2z=i0
z>@dfKhPq{Y?dI-r>R7vRM_qf;tsR+1_t-y7dboF@{q;Te^SK_**<rP7n(hC66Zh_M
z{@t~=L8G5>kMrvN+gJSI`uF_AzP-+`e$D;4qN7$cdvE;KJ+9i7Os;$4s*mq;P1@sH
z`*TP9=N&oE_q(k*aPjfQ4XqoR1a~m+_x`>oUQGD?2G!1++gM(O7w=jTx3A*lOlPYP
zEJ>QH!qR$s&3`Z_iLA&-{INg&{F38+dn@AK{Vv|`_4VY9%?A{$zFj!}rla-8EAz)s
zcH8yGd`Ubz)AHwgi`ScGrTuJ3@-6b;HmB=NZ~K%-v+cj{4g9^6e@%y9^5ttAFa41C
zD68Mw{;#p+q3h1uvXKQ$4}NU!XnS<|{Ks9@U9+E_pJ@4fZ_@5hOI_!^(tfkQI{4?S
zKM~@$njbRnsh*$reQ)#}vnI6}|J*qOYxZn#{NNCCa7XHK`vt5{%na;*{v1e4TX{2r
zvCHl3hM8Tv;)|zrhCR%Av$Qp6-j`#0_tq56+x9E+$eX-qA@4Q&I%Y<0`LS(BTz^yX
z-wMW^@yF*h*6rRC=XdM;y@Tfy_kN21xGS!!!Qe*a0}sXL9IVza*#GtNZQB$7biYe(
z_MU{)J#q6>8F%cC6OZJGKU#8rM^MFz#El#B`Wg1^S(5r_qve}Db(8xu@0;yOn7b!7
z{9JeCtd}yqj0gDQZ5H}|+f#A+tzGmasqGKldg`a2+f!qezb|ud%%0SHdmZOADL$NU
zZvTS!lagkW%Hs_SWaU5q5ud+sp^{_GoPu@x%l9@ePTLbV^(OO!#zw}ZAfEh)bvxsZ
ze>#6y^uSKZ9oF_QP0IEJobK(6+BCtezq%-HPr|+ZnS1*?O!Id+b0mEc7Kqs(sBkEG
z!g{g)2h(r9@c7)@*w^1Ny=+&r*^GesO?5YBi2k4TsPb4syO4zZi6(|`I}-H19m-7>
zZ=5P#DbUpL`e^5Rt&0CKd$NyiEc&@8%V<yX)}HS9-}Wc?sqO9kX~4fE@A;Yi9g#cg
z_Uv|zn0cCKXV+ir2~u)9WBv&pS}rW<FI@jZTIb11oj)-Xk0$L(l<i-s|FTd#mF3a?
zDOD#I`7$)EE=}Ia<Fjx2vCR+XoJ@Z0b##l8k*FoJ!^G>=z5S9q4TJZu5c^fDztbz_
zs71&wFL$0rVw<eGXPm#lAwJO}PlQQ*M?t&`b8;>7M!D4sA0JHLxA)tApUHdnR@?9O
z*|~pD@9G6z6U4X09EtSVv-kb2sqQKh!uEI@?b{i>-|^(0oqP6L@Bg!;dE4%tfh;TS
zRzLgQcy#98E%TN3Z~53Gb1!Y*hE(>=dpF$MAMTa5Z-e&U@R@rzeBT>Aeb0uScB!KG
zujEZ#z5QWk`rer3>o)C=`6%7rHh*8@^882tDk4PpEPKMhy(8M9b>_6^?~C><U$y<j
z#f|^3RCn!~zj-EG#jbi^7T)T8_4=pxrIk<eoWi~2W1#Ky{mb1a+U!qzJ}YALzGnZE
z0Uf<5RXg`C|9iD!+TQe~Z+kbUJUkt<e`eT{DYy5`3O#lxxM#Uv*`C60{jJFdo*my`
zcrNU%`JTPaMZfpQOp;c5Y4mYVbgAEpABlz6W*BXVIlQ;IE8_8*nYkYr4}5s^<?5&2
zrnpThYzHrPw;n&<SC{pCPt?=DdyAgzjZ}@C5x!@}sXhI%o2r=iG@W|7x5rO8>)6ev
zp62SOyW=kQot?Kg{_~r>OP^+++ghHsQ>AcU|J{EJCr<8^{&B)FsXfEBZ*Onoo~pTb
z_x98tF<dc;?bVT!m7X&z`#UFY+?(>`WWId=&XkUXk2kg-j_E9{p0_{c&x>gl9hEtY
zXY0(_#rcPEXLs68AAj?fus!*&XC>|F{;O5Ir_Da;-0q2Ix*q4<>*?IMr|R3k{?4DD
zE}rk6-4elgVC9;9ZRKx#_w}3l{qH}qWlqQRmHup=l>xn%-HochUCr42>xl;H{Qm-!
z`Trw_E=<-qvnS>Gbj`Kz9Hu@!$kW@ud{>;K!LvQBpY|5c+mrfyPJ{Q&<wxf}*tRcK
z{ifHQ$t~`T>QC-oaNVD}GV;!?$;bQqyZ07dozpmVf5y{4ds2=H&#<eG>+C(Vr{#C&
z#G|`gBKBwQIT5VPV7!U-#e=;?_rgn`?P<NaXNLaKOLObq#9W&@eSgN&TbEx|@A-9g
zRocpXk9R&5ab2-H?%Lej`!bC7<TuW1o3T&1!$bDo+y%ak%t=o+{Aiq?R6BR((V6Ua
z&-S<3_3c`<$aTj4(wg5+J#+WA?Jm9A(=5HWZRNa2RoZ(V2h7~lHd#RDOO5+O6P1Ia
z&QJYs3W&e7f5><xXX}~0t;Xg%YQCM!dbqQLum9hx<E@8wWFOz(dgHPB@%^m{2{Aj%
z%rEb6Q-82>_pFqP6B*|Zv{m-Rp5EK`MQ*0=>pkHTE7J2<Bz)WJb!uPR*Rb8;g?ro5
zdwS;<?P&|YwkPc7uBiDBHj97y@<Q<FhC;CeC2tt-UVXg3c>A1Pt}~_{eH%LavS0k3
zJz>Sq_ICbRT$;2c_2#~wCl~bOlXuqd?cCJe`|R|}v%9t^Gj={-|8iO5{B1wp-Tc-3
z&~`_}*_}$y_qRV-pOm^Gtm4niiRXWOaclf?_xIJD^zZvK?)6Rmw#VoBo*b*`d)jvT
z+RNYiyU}^a<wTC$u>FxI_cjOrZgkqXr{;XviLJ>=D^~EvF#f;be^NrOqt*W2sj|l{
zFPd7LSpJypZ92*EX-{N*`rg{Q^gTQq%kJ#wu50^Tyfe)1QNj5wZ|CjnHlD;1w>wJt
zh`@sN`=TVfwjJNi%fIoqR*}G-1J&Oe%-`HR{k-wi$3t!rJEDDge~9hey?tNb*7(yq
z)6(`#tkmBZvU6YO6A80zySA<V@_2v6sa+v_D%yKO^Y<mMTC-%wroAaY=j_+ro4hhw
z+0Sn0%Fla~VsD>#eRO}Pn#KLqd$MBVKY1_SlTvh=U3Xt>&C$OP4od8em1N-F8CyMv
zbM~H?S8pfeChu>$`8uTDZC7ldeb|e~5zP%!8~4af?(f>0VjsM(>80^w2fLlB^&MMt
z7=N(vZM0^LvA-!Bwo-9p=Th~sxBVB*_qOKS@6G<%+mktcFZV*r+M2zsxA&Hu+}~Pu
z?7@tE)m2aTM{U|u4VrA9l(BSA@%-=mYwj2^Mr`!{cJbrH0<HsJOmAj4?ey^36aQ^r
zwe{-0j+{N!`!`(<nZLhl{h2*+xqHe#zUZ3&Bk$vm9BxLrq#b42drN2UkJ+?K@5Ds2
zw<^&;-xyZc@7Pkdr|S0JxG6=4{_HN@u{SoZaZ%Zx(lz_4zHQrEwrNl4rakeiH|?p4
z+POcz?%$q0wVNAycI=B^-C@wt!aixo_d9#ztDE>`b|rjVf55AdaZf^e$6RssmpiJm
z`wy{Km%iz8KOui@PeSSOJqwik_m>>s-)vpEr|8}HuHwg??y})~V(+)c><&=f)7QOu
zZ_Mg<)03<ArcXWJ)8&0K>Bx>y<%_$2vNJC#JG!T6(o3<FietS!;_L42kNe)y)3wpN
z_5|aJ@9#qMj&(3J=4MY|jM>PLzNdKU<QJ>1#$C7BQ9OxpA@k{~{VCC}-r1g?vHjV;
zWVIU)6~vNumE2_T+?)0EpLY2Eg!yZ_H_rSZ&AfVp%!jIv+7dHr?RU6+`|{)F@=Cj}
zamzza?kOxjZ?$>T$&zgoRUW^!Kff}(euHbwy^fT}`y+l-ybx<{Pm2DNclX=gf{@&m
zQ;%-^{p`WZvwI3Ihh5-H=6D;i_4dAkV7W~@?=il*khpVJY%^nw^#;{YojrxotCuIw
z{*){JE$)K)WX2i$V_tvW=O4FoZ|uDZOP}xPIJ(QfDyd;o<&Nexd;G)H_Q$N=?Jv1@
zXL4ir-eSw{A6_rt{NK~pwVm<6p0}2B_7^YzwzD_=qOpBsSIS<$wQpaW{MhS#^R3;j
zY5S7j?8%thaX5w3?u1~<tN6XCEBEZpeA_w4eD=<Qy?%eUt?_=`Y58YgPVu!>#V152
z_;0eTN}3S9f0wUqS@MR&&7D$d8yAWH3;vLusJ%d6w*IZdo9Yb#$@?R9_Jr==moweA
z^UA#o#>V>`^Y`YT-sf|>u7B#eNo=!r`~9_G+>moE@ojvk;Lg?lGd%bFeo_9o^W5>1
z*FOAy(UiV7qxz@R?TzQp?A3g`C;nbvV0Bz)fzH0X-&;2QX}P?8kI%|CyL=4~9Q^)o
zQO(B1WpW(pm#%duoPRvk%59e~lc?K1UxvTOju-CrnX)%z>e;=1H@E+?*`F=h`RehW
zvu{jf(+{nFyj=eL{uId*o5TKWn7*;`WX0YP&G<dh;rmyvKEE%;Ytw<Jd*kE3rtYse
zvnNrP-SG9ESXFj6?S1h#_oQXM-V>ACq8_{Hy1!TDPMOJ3A%z7BEHQEq46m^qdVhoE
zZ|U()`B{4c^ZVXC-f=J>_s^cFE$^58-?S%cGmG`6t3LjfI~ymubez$6zh+MuXi(99
zPw3k{IZyxW34OCKN45H$raG6p_QbEpc4~Zl$^C!#mG?QDU!I>4A^-pRE7g}j?bmM%
z{_ww{a9)FEx#8>JdlOaP^i0UvpQrx2r(x@xV^`1hOgLS(ui*4vvnhKD?poFN^xocA
zpsT+p{O#V{=V$g9)$J=ez274&Zhyh;2HWRHzaH<(v_JOY)k~$kB3AAlkIOn=p4sDc
zb9cJoXTfU|U&ZXP{<$}Q{oD68>3a%PB^zD7TlDYq>j=L8XMcWK*$<Ay7e9Ra|7@7j
zeo5fToQaQTD2cDWX%lfE<M^hstcaM$d-B)s?d{8XSATRS^ZcIP>BcXOKmGXjF4*hd
z{*X;aZ*700zW3h7$6X(#>;EiVm%OT2^#A_6)T?fL<E3B!+wXsCZ&<!IFU#+}VRL`{
z{d}>Ze@nlx<nAQ>eZlQXHzzCo*&DoPLh1J(%0F5+?sSPfVe@g}#%_ktikb#>^Zh?G
zPl(s`G+O`MTl98si*#J%{?eO0t<hn7OW*vjQr1y%<L)VDe-`&;&h3|z?JsFuVpab3
zL3wgz(95ai9<3Z=jO^cDRZnC;v?p$Qn$eG?tqLbDn{HaqxpC91u7|ArnlWL&FU@M?
zj?wE-KmK!z{hKofVh_LhvW0Wyv1>_tJYxRjG26sA{Qj`)XQS}r1v{P}{qbvdhe_{~
zLlbRxPq|ewGp=yIY2@Cj^!@EJWuNpj+GXl~J_}8A+1)C-kwf-fTTpe}N0pDWGLpa6
z+eZp4*jJ@4$RL>5(Z;xcPvxGTmizCv?Wo(x_-kkXUc1eEii)=F_p8p|`6%p0+voj$
z6Zcs9y@~p|X-`4%zC8i2-tIc6zH@8#k$0yRf)2l%_hOR0e$S3io7#6Qc(me?=<i1M
ziB;02I~(eM1nz5^6|}c$eOmCoso7N_B46MCWxqK=vcj%ab9-9ozSY^=!uGD76~1rp
z`pSsC`<_mW;nO%V{e114-CHACP4*{8?z^77Eo$%eS<(AmuCI*QebQCUeKk+uv)FzA
zvscBjF1#6kOlVfZ-pl1rOiWwk?#}vji}(AJi{*QQw0AEMbYzLK(fZYQS%7K74BP)Z
z6FU@LXEBTCJi7j5fwAjC*4D&hdf|UwzB;Bn<Kz4z0n<+;ao4uUz5aXkq;|>Pc&n+8
zPTYuDT++(4Cwgv|z=z`Voi*8;ZfY(m@lM?z_4LNwPpdcXi9aW^X$PO#`yU1sD;<1q
z_LsHG<(fU1pmB|TPkblS1Iu!oSu;MF$n1+d*SFhL>(=WxuU*skL_c4%KmPLF^WT2_
znRn35c6W<hc(ZwudQzKQ?dyMQ-mx6nv(t$y=GxqSZZ})xR@c7m&aus%Q`m6)XyeLP
zf_qN*DM?QVo_lkG|4#om`y5mD2CR<fTU^;`c65(*<eZ???|aPmF7*pnnLoFv;B`;?
z)x7<dN?UGdI2Lc%zSm;Kf}^|a?QX=hH~87-UpX1KQfW<3`=9eqIwW@e+~cuoVhq=d
z!+R3q?=`&d;;LQK>HT=2yZE<1H`@PnwESq`G~MLz;_7e1?vqYmpIrRCxJ3Tnp9cA}
zSI+crTqJJa*ZMtfkIt>V22<3Sw)M3hKls8@OKbsq_M=}maR=1m_Bk2t)eF6LC}rcG
z$l$vEmQTG|x5D~bPwjEl%5D0jP@UBN!g%$5*OdKgMSEvNZ+v3BSM%vU<CS;zs=YbT
zu_}F^%X{BF>bJX+KKG~3(>a+m>({x>FL}FmGPmB?=VJb0R?NTHy)M)CXq?{bW|g}~
z;na;a^Y*!w8tsv|xz~AfkGWY)(psewrUsGFo|Co9+^%oh$)CPIVf~(cZmvgud{~pP
z)BRCbwYmNQW4Rd)Z7dB;V!J$IfBfroHMQ<cx_6*=;^%J*8;|zX-M_cj{nQ?tOZWD;
zYv%XVR_}9PZoAjy>3;XQ-+Ico-MnY|dav2jz3SQ7``u^mVOqP-ed{~Dsm7;QpPSUP
z^31%0vo?2Bbf3^VzQcX?-b?3qx@&FQqqTOA``kUT)3@z&pVpH%70mN4|MphvTMf&u
zpt2pYpBwnJ-!S$0-@mpeW?RF@yt2LF+xEmp-R?=+|K^R=rmo!5&Aqex_wU)bC-VC3
zhyEWszNI|~XjOd>AihRAX3EUeJ*u}G`QHE78=!9Am-TZ`!1BL)LQ|Jp{@D{Ub-DZJ
zp7f&7Kd%<dT+G|?rYAjS?~L4ydxFbv?+JVQ+I;(wZF}TzZ@YVE|A8XgpNYF(8lL^y
z*p+o~&cewzBF`?Aue-&<zuh2p`@>1XCI_R<gSS0U^(wO87n8s3ab2bGzk~h8+aLby
zjam6-fBNPd>yK`Eq~g)|zftZO;|jTnj7;1wGB@v>ZLxk)^d6yq@8wkjbEN0b-<h-J
znWben%ZhLMJ$|<vmF%-WKlsGV*)uaPZR=<4y$O*$$=RUFa>AR<lO6jzo33wurPbA_
z7hb%<^bsp}%LeN=9dQ=h`I0w3-=DDj&yv_rWgYVix8AB=7OVPrL*bs(so#4FZ=JQh
zsgoCWqOfMS$C4V389mScyjPyQZrSZU0zv<-`rPb^j?drzb<-Qa^Ut<@o3&?`<i?J9
zTHj7+889Vn`BAw$^LR(_`p6d|pWptQb?~eF<t=}vev}BG)A;b@bK|`N(wqOyWRu^+
zpe>mh(C}n`<BsDoyO|<Zw!2oIF>Cx>CemQs>+nL_{_q}Fs~Hod9I9u$xOX!$k@1CH
z`=3RT^*^5Msz1Aj?aR%c75T+`*q-hy*sijotjmr6!SjdpGgjT}S-AGh9?n{^4I($I
zcJ8eFe9dkilVHlu8-0tu8tvh&{kU&$Qu>Q){k0s;XZG>d>uSE3WaC|Vl5G)-@qWI~
zu`|T@7Ceb5&rZ15Z@(;YufVG4q)Z#eJz9JBg<U_hN9bhI<~>@U+ja;g?Gf7fX;;{+
zn_l1l^wdt<5E5F!)X^`$jA@V9_q}0J8<>(rCbe2z(wqHb*Yb?gKQ{x?cd2dcsGYay
zo_u7$rne^N_xnc2?GJms&U}yP*YJ*{eJ^sDb{d<%KY43oQfKbtJ;v+byjQv_Ju^yw
z<N30e78@9owp^Ihmp|8N|GS$HrH`*<?b|q|b#6!0*PNAWeVFzsP5*iOzRC-?Y}waK
zwR8?ns$=Ymn#{OhkMZ54y}hob5iz|xruytxbG5iscx|_uO6CeC=4&w<SOpox9e*wo
z@0|S5sU!dR%9M%+PbOcUx#6GfKlYx=*3J)azOH#Dl)O*x)Eqah+r5+KZr;4v^DF=2
z(y)au_ViEkKaq6k1k01(*{AO6^iSG1<$=KW2&OJy#XlboW$y`k-yjyRko>=zOT2$l
z%=FH){YAHS?lIf`@Kf5%SsObXW;NB;y8N(Vx$)rNjDU?BejR3hp~KDcyP>e-V%y(_
z*YSmW%r+)%c%`<w;&tcSXM4;x{dg*;{CdK~w3cV5_XPRh++(NyzrW$_{-Y=Ng!-4g
zXT7<{I7aNFw&jIcCr!IQ?%ZJ;&m!PZmDKWhm-#}|vwO__e)dk<pVGy#!~A&1vu;j>
zJv|emZ};SA|KAh6XCJSw{hpwcuiSS;D+w}0a<p}Sw_m--cFumM?Az1%;%2md>=Hk;
zZ-;633Fq)V9seUwe(L=@srBl{eYW2Bu6fNosK|38X0P6+{`ByFJ(HiG-ESqmKPY~$
zv_#Uno%)f2B@MEo?;kW)?%@Bt$71u|P)qy$=HEJFk4}*5>IvWa^KW06H-p?C=^2L}
zbv(NNW<m3#7JmKddn34<{#?*`)bG$Av){z}`<`^a6<c)9?cSUIL?E}P?)$ktk+oqR
zwVQWaCv|?jdn2Kvyq#sQ)chL)vu=KD6hHoCL4w^MmLC(+9!wYADDdc4$EK`F8rM$;
z?N9uvDwuzI-s_7>GxsH_XnIP7zMirFB**lfHg;=7FMF#g)IGk!-YS0m)?ufKY=&|Q
zt8e^n<dQw9S;)k{-=RKtU+K2JoRc30#Q)h7b@|)0Q~I0tm+Up2TC}HR{|<F~OM$t&
zOZ4}c?%Y?jcE9|SH)hknJ=^V-x4%e#kLmOM`L{aSX6?-X{Acd%ynVT^_c}b?n``%!
z{q`G^_2v6>@2Kp_{r51ScweqI>-_I~a!;`y+M8QCUsR)LPi}br%!hyWI>nS8YreiP
zPixwKwYvQ~&Y!GY+*$nFV%znN313;d67%|QzGaz`egEbjouc|<FCU-$`{;Aho0YN~
z{_WQN@#cH#`F*;zlY+0uJMGb1e(Tk`8#|Kx1D^f++q7q=>9dc4=O=#I)yc};{8ILN
z&i1~%y_M7Vy6!2pu8eTmAAE9u`qB`F*nJr%i?a3xdH;Mb_jylH(Yd{0dxK8D?JM4T
z@2z?Ly*-Jq*X}h}Nj@0AUn;sMu~L$+_vQN4y(Oyrt#9YN-J`nm(@E2$eX5$>H??=B
ze%<raXku%H(QZ>wr}&8{V`gWhzp0t4v2$Nw@$6IgK3dxBRa-tc;M;!9nroBh9KZPU
z*<LNpk8JX-clGDp<SEtJr)jFDeIh=EjYD~_*2m6G{V%)ApLEtfoRciNC{|`qp!J>@
z$5VSwuC&;x^Js(7p9PvXUId-pUzNUJ`{mmuleX{ifBk(@uidphxt;<-PZBD2@5`B9
zw8#H@<%a(@dvzv0+>p4#=ktUjt!rz_Kfer^y+>zx_3J(9U+VVQt@{3|r*E&$^FJND
zH#(o5ebJb=sbkGvoiA6fy?j}`-|KzHy3aRmNmV@G?e%l=`MqADUp;RceTe?IH}IwH
zUOm+hi|3#C`}y2NR@OIK_jPu1eTuH%qZcyy4nu5X$>)7`oA($z{j@jG{|(c`N!>qU
z_jKn)On&@%Z{WGGzO=M27n&zBm`*rR`uOJzlkYsOP2&AG8u~lFzg)LzufdfK7D;OR
z48GL=+iRh@em+a^+x-^PcWm97HZ38i;Y0bxK2iQ#+<Odm>o?`RVf=7DeTS*+$Ak%$
z7tC+&QPupu*L!~RP1EQ5yk|tjKRKDc$9vVL-4c)X=uIu!<Nd$Jau!S8KJV2lJvJ~s
zsg~OFA;~r7Zr9$Od%gDVFlvhF+F%fBv)?*@i~iiZ`#q*EjQm=&u{dsq)A>ew>svMX
zGvd~rtV-SMewF({IaACv>xSz+8@5U1tm&{%T96|zxBCWfncb&1A20qpK5x^8u6_3B
zXLcraGZ*gj+<&O%^F_J-zWnPS_gJ3Vy~k7kcW2#%d8fpF$e-=%mXF)NKsmjqJ#<f}
z(WCvY->%r6{=L`a)?Sx6doAvo?Q<>OzgwtmpT+5(j$bMJoGst?6m9L8`B&#)P2Gg&
z=T8~j*lltC1xMAtJu2IJbGGl-db@X7_U6u%?{7LvyZ5Tt2k)0t+he(Yx8=+&Z}+_9
zI?i-K->&cE3&ySf8!xEMf6HO}y3^<99#5^`vr3a1g=IUWlbRL8;-|FKCfB_6pPIYR
zEpm_5`@4Hp-!}(E#5~+mym?dEF5Qn$pG<%F=c>&f=efHokM35IKJ@%B=ZTAN_E=s2
zxzB0aeuc?<oZi0eNZ+x=qISPy^t1CfSI_I;@3{W&Uim5e_*Q;uQLdl8kN@0c>*Sx-
z@|XAU?Ps5TW*={P?u^H#ulp-c1eEU8_5S{J_Iu+!9O2a`xAeSd+w|T&<LtMK+x~R<
zC2yT}`wi2*Z#|K#Ztu43>W|#s`$2a>a{ZGPmNQQNpR;D(PJ8*pNRfXrd+gLt?|0cA
zV_dWK&%cMIPYOFW|K0r3avnQx&6a<ay|Fj<nt8?b#@^a*=N;A?8^-D!))T8Yf7R`M
zk>5}4=SscvvTP6c^H-&7-m7ij8Ts92pY?VLzdwujcX!H*Tc2RQ6(hEXKc%lX{@gy(
zZ9ToUn)?@r+}Q7aYL9WS<gdy7JB)YRiN0S@lD5P6<6hg%dwDGLpM2Q6SIF{xPtT^k
z!kXQy9Cq8vU*9L>zehu$Y>(@jSswEn_nhA^W*E0Y>fBDzp2mMSCR!c8qL)?K!ax1)
zmdJJcMY?{r1fAb2vXxc-&VG^fojhkdy>{*s+q+xKVvqPo4o~*pXeO%|r};aL4a<9W
z9NH`X`qnO&`TJALdk!cbkej$e__gizCky>9B-ocF^-R(&-y=SMLEfD`;&Kn-=I=C|
z^6KlIJ))syd*WBGdBYO&ey_+o{rQuWd!px`>YA7IVXytY@~bR=Ca!tDSA5m4nmK;o
zdK=!R`At6mu`hP>tfaGhCFeFU#uo39tS#H6`)8Fy<qf4h`*q*!k;z-X*X!+0<Hw9Q
z%r@>ed$dpXQ%7dfUX#g<GrHsD-M+lgV>GPWr~4>ozt`4v_ThV^>z_95xc=mz*vr<B
z4^LR`kbZyQ<Bg8c{+J70qK~I9-*3G8+2fN}M7uX!-DmiI)5b@S7S1`lL$7av<<}pN
zuBxVM_a=t#+h_23<CkX-|C{b^EP3|i|JItl23Ny&GS%!iIGM3wkLb+>5#sm$FNoi~
z;Do-}E^E&lCMDl8-(1_F{dtGAT*ri%*d*CIPdcMZH}<B4E!!ih_j_UY&zUMV6MNsV
z>@k}ALcKI1cE91Cx%-o5-rT(3@J?8#RE5=ql%9`MelCpoapB9x8~hdf3?mqS9qygG
z$^Pidq>aoAs;sB&GupmW;&ID?+|zrFe;!bs)5y6`dgETBd)51l^M1Gf-uCn9o)xNG
zjJuoOKigwyo8A$)as9^yL3MHy-e#Y)F54^qZLjh6ed2T9^pq7JJCU+S`BG$W+U<+R
z)^8XuotzlRwDe5X-EUj&+}xqyFH?4I<AVK4Tb6IP-@n7~XRrR_m<?z5bXfn`xIIGr
zM4db5uRj$pc}~b^>=f^A=MLYzSNO`hJ!*ftlIC@|#Xey;w|L{(J=|C4?KN7nQ2X)R
z;*Sd_-`uBjs$*hhcbfbg#-zQ**TbG<Z2q}6VxRh_U8*y-fBm*cdGEITvolN6_bK1r
zBN9Dxuf(ZchS_nQZN49+?oD`pbdPcM*1Zy%^=o@(@0FTtZ?#`m_ou+*-7-D<UWgd2
z>1^xj%b2>Ub52sjRN43YjOXqVf4lE?W7VwvPIrYY_He!4=cLOdyoNDmuT$722E(=5
z{I}olx>2#>qcDSO?eD#gp<l&*cNOn3InA!yQ?^fqUF7}r_t#TiCARIdHT|aEA@NwQ
zL&Em=oY2P)uZjQeNlE#={_*-1pJLbSu?^poG{y1B9-FOC*p2tfE&bD_dLmNr;cGdi
z_bUuO{NHf5Z^NFCzfav*c=_latGeht1}FDeWxZWKKlIZc+0A>*r>~jz_SEgQNB8tR
zD%uC?`)WMtiC@2WkHMTh5>xl?GkEg!>Dwm?4-bDm|M1tWT?V56FJHOYamn=X@9h7F
zj?8D}-m}+l+LDm#-}dWG-eVblYmZ;{^F31e_WSfI`{UpK{l%-Uv2<_9xsB%ww|tH6
zYnh(2hvDsBzwMuUqPF$5)t>B)ivGFJ@9noeY;X7ZZI9XV{bq0T^lkf1y7%Z#-%@kD
zCt~j2&cs<imCE<*@>4hXlC;&}2gineidGg3Lhqx#CjLDs-B8N$daqxnh1Bjnepj<r
zPkp(4-?Ps<bszOaKTokJ+T*wN(|*xuxh&t--OGPFw`b$5BR}?uR`1_ovVn0$Lsi(L
zZF3IRGc)hmV{+(V!<Od<H6s@6WqETz|JjPQ^=tN<r7TVTTBm$;D|^w;qQiRyR&U-T
z_H<w9-nV;1OpI?b%-$!GDW?8F<Nrj*A3H@7*Uieg9`W{tldz)YkJl4|KB(T%+Q77e
zH~U(5$es<c8yq%ldAzx|V-|zhpY@w#CpHS~5t;vIZ^Y+oYaT8Cvp-^UPw>`1dm@TA
zyL5MC_nkQRZjboXwfl>v|2%iRVblB>TTSCs4wWX?^mY9I_MYD_Zm({d+x8{PpBgWC
z>0uw|-sclJvvZQ5r19a#Uw_s;^8Y>CfAjjkS)W-}{;7|f#C&$gi5oj!w<qn@pSry#
z-urr4N9);Ly4?+GwVOMFpYQSC`@JXLFLslmb7R5>#?HmnpA^n7o>v{VPh4e1x8s!C
zdzs?)1X$be7mqLNEk8MH+P{i+G1K+?15)=(E`9%J#lo(Al5@{3%lvmHzTw=e$hA+W
zzp6_9ynT<<(`5f2$~7;yhOK()o?&!vzg6}6&cb8wCj|UA)cx|Nu9czp?z*R6Gk@>5
zlD&76X?suE*1bEejxo);_PX+O>c%xswQ?JZZ0+|+{o5dR{`I_@pYtc?6z!9q`%`$o
z6@Sc?!)^SG9cBA(c2=3bpQQYFztz@vb#dPtcAVe2!MbjrR9{jjL%GG#SvLFP_OPx#
zJ!Q+TDTmh8zj@emjB&!L)jxMB_+C8lZv)@)-sD%V>sFnq`MIJ$Zj<Ekh7-GftXZ`+
zY5l}S_T3M@pX?U@zw!EwmF@QTeoU}EJJo5m%LW$D)3>yn7IdwAc7DN{?xlL=)pZYV
z?Gs+WkhFe_;G>nEi5vTsWq&f1uUk2N^MW1E_ABoFwEn5x)qQ(7Zg2gQox0OnnR%D#
z{GDtqF{bN3Zr{1}?XR`JFQi?SJo>`!@yd@s92#$#+V2PuyR2Tu)D!nUcKheO`^CR+
z>J171wk+uN+Xwr1Y<s=-@tXsClUxqUSc$zn{e0`w6?e){bR6E%mtdc|<-w~>UD5T=
zw%pm%!JFLYe}3!jlClLN$xQoX&#!s5{%M#0*{1sJPX#|WwBGo!vX}9N$oCu0FRn%~
zPY9E}X1C_k*@>5bS59aUeZN8O=1lX=8x5`hFrF}0`mr$i_=Nrd-Ot<ZRPT|@wC)ec
zWQlvc^6=A_&)+<Ku}y3Jo0<M;jcW3BwH^LzI$gUToDu!MEMV({Gq&%ae#+T;^%m;<
z{{e>ozr{6S$Leo?T@<!gdRs^NJOhS5{Yj_dmIh>2Z~gys!k<3}9n)VI7p{EqNBRWo
zv0od?*FRv2*`v7iQ-8U2kKCrEv)kmguSEaezU14+YY|(%-P*6DceBUs<US?6$j$)M
z-TRfEY~6DTBsg*7nyvrtq-{C&f3MP%9>=}gw)_ja*W<Wt+rLZ8wjbKlpB#64%hPRJ
z{ulk*BYbQ7f3Iy@p03^Yf6HFW|9-zdx-|tlOuDvT`DAa2)v=xBJ?7`b_9$DvzM{Bw
z+rRmLddze7Do@?C^`+&`4ZoMAetW{=u%;`obkElR8DAH#?g`D=uraphAoJ$~dv<C+
z>oH&Ycgz1LOC0vE-KE>T{r~*6y&*+ni*DIBFt174{_jbTnQHoc+dYCy*X*#Gwa+*F
z^peEas_d3=4|@(o2L!}TeYSQ_Q)}|pTk8LN0#fF0eY4DF`uu~R_wro6dTx0^kHNXC
zw;Sv~@6kV}e_)#a&LcOz#WV;!j@c7j{!4G8`~u18_p3I^Z~gbedz1XR9>4Rt?1t4(
zj%;O*KeMgl{bhd9zNF42zhBM#pYS`W^-SIEC8qT!&F5_G`7?h;p6UO6)}d<KCqz2u
z?c9C*3U^)Z*8W{RtJL;MPdDE-X}`C_n}^G1Y@hIFN=okbiKjmQIPv259-FG^+b5pf
zm;5Ss%M`ya(Qg=^EdFuvd<5fu8-Ld3Pr*Vnqms8S+_qP8($@d`x9zjJo!YH+e!qlY
zWv_<y=C>Tn4C0L%`09Ep-p6j4Y0va~!tG~UX3pE!!7P5V;oE|<4~)Ay+wX7OvHxIT
zlIEg!R^PV1Q{TV-+@#f|lX*AtPuAITB6UydSF`O4k2Q2X+j%OoPvy1m_W9SAJjt(q
zvL|=@f?F@dx;KUKY+022;eSbt)uL-zZ(h&Xf8&nx$3uMb634eMu32QL#kV{CR?@X&
z9o_A9Z@Ra}Zd*Kczx&sFTbJLp+mJi^fZGa@uWx=9b!50yO00R)Ul+A*``Yh&BHwOZ
zyX((R;|&f6Dn9P%NP4t+T|~l+ADjE?>Yr}euxs<m%KnqTKJKxNPF-R)eOJu(EuZ)7
zx0_|f`leHF=hiL%q7{>`?P0#P?#lZ!u?yPe7U;ypKDZb+h2`Vk0KKpO<tCNP-gI?k
zQ0<&8JG&e<Zn?U5%g)bx1FZL67yN#!Bj=yxZ<YFf!+-k&T3Id#{f*hO?`coY)uN62
z@2$A|aZXIdL_^ccoKyQWb*FDRup=<^q4<K2_uus8%-gT=f7klhEqnR*#2hi-z9%W-
zam@UwAC5LI`(L<dO{#Ub(d(T7&$h0Z`g8Hh;Ij=$JJxkAUc%xzcWqY(*Wb<i&Fzja
z-I8+a_SXwHA18I~4ZQkO|NHj6)AtBm&Dy^|FzER%$3J`c!|(N)p4xguba(op%UfQb
z-_0?5SKh~7iD`Q|wrcNRz2bRe`tcnl5nDPlt2ezVYqp=rv}(=v*pthw_0_X_>SP`-
znW*626%zk>kCFZJ!}E^!g{+^t_33(@H`&3vb+vb|+mpFRPrrYI*W{a~*O;ChJ-L@X
z>-m<Ozt&uM%gmHyDarYJM)2=R`Zu@Us)*?hbQKiez?9_FVg78T%l|!7-#ReHlxs}h
z)3Iamyq5RhKX-CJdc(H0rsGD~wtJs?OvCH;nxrOwk>1C$-00QMxGQ2m_v{Jx3funt
z+xA;?x8BN3iMjnNc;oFgKewjMjEmp1vFF3i6>sGZ{odjBXrV{^kKHrQ+uJwZZQFQj
z_U7BXNh!OVPBJmal%_AXnz{A=o~Kt-g!V+9Y?<hJeA~sxJ^F9=?$P^J*Jp8Z51W78
z)>kqM{8{>cC`>!^^<m6j^U!louSZwzFA=`6()L=+0^g%Mf+J3=e_XlS>f2uP=Wq9g
z#)Zwe>D%pBwrAVfKWFX#t+}MH-D7tC(55-_w%@$nZ#I9^Gx^_p%pZM}-n`%3e)qQb
zo3}qpnsey$j_Fq4cA2*~|JeL_zqwZK*3U*wd;Tb&`Sp0qk#k$#?cMfhe$3W)=YD+M
zWBz*1_LtW7+a8MDbh*da9dZ1Si}t-e=1<GEzuDVuAe+2;`^LA0+ulX?m~7s(^k#3Y
zcv#G0H`hshat#*y_g#9v@sIJAUsHwD-*iUG9qB(P+#Pv$b6-N;zePF6AGq6}JGg8I
zuhp8QMcT>7mcN;Ju74l*?WNjUkss~u?BR~yc3|VlpY!)~-QL5b_j3<V`sF>`-9Kd+
z_w&@7?c=CkYO4PKBIArb9NV{EoxGpp<{lpV^gS$D+8y5awmsRl#Pr^=os3(bL_gch
z7|eeE*?z{q+aIOwWwg@Y`fKKX#`Akw{Fd!y{Js6=rRTj)({noJ|LaX&zshL)lV@B0
z*4^KB=zruznd8s@*M(h_-MCN9Pi^~;-%DaPZ~M7((+rl#GZpu?A1RZ*|91UM^~c+f
ztls-6^~b)D?ZQ#p7`t~W-M+N>BJYo_$IP~#{JHhmt);Gdk)3wux4%x=6JoagjcV4V
z`W}a$TQ1+;&zlvuN6k|I>CSIkf93ga-*;^P&Mgm1e(ni1KjyG~-kvEFzh+tP4f|`n
z<>AMejaT)*?bABB$L9UhKGV6?)jewYd!<fq**k64HT&p25*r;hH%RPNke_ko$?gNY
z4>2Bi^Zz6Bn-{YhOH210v_7QSXQh>*abVR-e$m<6_iudgH-fqI;GPA^{A}wVd>0h&
zP+_g_l>AdXso=2nj8}&pc70g?fu&=6{+l@mSf2e7J36uKx5aB0vsnim?{7UQ`8sTO
zXKC`*gVv|-WpCSh=<^=KsNG+TYPTNV{_}tRn$Cn9n@$R^YHh5a6S;fKo&9S!{`tA-
zXvCfc!D|+)KH48yern5|()2wVb5Cu(5t=_~;$z0$8uF2|>^i^5Dm_%_n>WK}_Kk1X
z7kuouJvV)?W~kQde!DNSA0~cSE3;&8k6rA&h3kIp+;V+ZoJGl;jn@}Uso&hJdh_X<
z^{-BUYE9bueC@ZbC+5yDkS*VKT_Q%eN%{94;Wr09Ow18J5Nmsrfpdxf_C3$vl;7Lw
zbbR9BdE1`vuiUsoxZh;PucH?%=QSx`-?PL&`t!pXu7}xsl2<+1c<s;RoAYPRyFdAs
z)PvMDTVI?NezD=k#kkGg3de6wxGeWCd&~3a4%N+z{@TSoeH(3Fw5F+RQ}XeB@vnBr
zZn=Jb*M`E0M;59b$f@7h<KX>n%Z+_S3*Em>+UFZ^tnSFZrS`8k{E}FCXZ`U{N!w1Z
z-n-@3rJY-Eu79#|=F!ahJ)O!Q8TY7IzukUw-QP{;D`!a^op@mN1IDCnH}(GSRk?I-
z^Nl?!|Cd}{x97yV$2(QS=WI=9VgK=X;@zV&RI@}_*u)u+Zz|{NynT#yHN)eH)yCU?
z{tvt0{btM8@6WdUy!Z0s<AsyYZuz-IFie7J!%wAq1(|bs)&B3WHtgK^{Y1>H>&4rC
ze7Uw)!*`WZ+%>M>YkS;_W^Vm%t-W~Z8rvDXkq^Bd@0#%4l!q~9>-YQi7te()TFJBN
zTg<VT3wt-cO50&z|9gwg%q`!yUt>Q%Z_B;1tzVxXG2Yhr@Y%NSpBmifJ&d<qzufxs
zv#nqM?9;mUZ{^32hnD4S*cg+tDkxv&FJsgHdH<K{=We}vt55e-+?K0nw%we$<^7*+
ze;!TnD*v-b>-O$#??3O?%75DQ`TVBKv-fNLKfUe4_AN&&KW}|``iSj@%d@xEoIX1H
z|JyCeH@AJAyXEr!6NeSQE)vu)+o5&7*R8wHI`&OZ(CiI1p=<VQ-^$zeb^rEXPq%!i
zw%&I2=JpTY{_V@zY-M_T%ZJ>p*Otd@yE1jl@2h27ug*74c(d^7&MjA7KV_f4{qnl(
z{uPW{AI)7KpMCgWr<Bh2XIs7W_Ux>dlFC0UnslT3_l}97YfgN8eBy3$`-^SjkKfcU
zlb-*Cxu#Ei{i{FwM6XqEdHKq0vU1oyv1-ZY6HC|5-ng<?X6oOqPoJE9YrB8vsfe9Z
zWN&`V?8%sGy!FZLt?y25e^>UY;%4Kc$DOWc_jtUYy8Xt<J*?Y$B<B~unpL*t?Y-?!
zf}%g1{Im7#oGo`w@AG&+_t}j7f497yvibhmeh>YpTW<fVWZHV~-X6*9ocTZ4Q~q?-
zZoap1uUGxf?KjVFyLfH))*G9zIA-nMdUKLi?rz!ZKHF}dRomgMowoJn>PxYYnimO9
zSn_Z8g6}s@#mNe9y_2N<XnNnL=geF0985~uesfMsck2Hu>fvGC;%Bzp%-`=@e`@QU
z&o}q^&fmV}_MhBN{>ckMH{Cw|=ct}dLgW8$3sp8h_|muK&Gb!MZhtw-{Qr)1-S$`8
zw%!PNzsUUPuIV$jUR!;7=8JhNUWON5ZxMU(==jOs-=BQ%TQ2$c&&jvP8M`F;r6Ld9
zKJ!)f{Z;Moud2UFckea(T)p+?sST|a+i#wlRiC!y_O3s>nAD8;thd}q-*T_+{a!O;
zMzf@erbdiO`CA^QZ@77M>#fb5GUESO8cwt`ZhMsYrRik+pJ(@#liSwsHw*rszvXV#
zz1<UZ&uqK<HFZn#=IyVdW^TWm^?&=zr%x9xwb^<z{b0iR73;Lh7O{3z9SC|f_0av>
z+pot+ztD|5@c8ALKl>%T=54)k?m+&mJrePi$y;yioOk`r#^9S9w;z8KVYz|r@Wvbb
zD~;CA+;)~{>&?Hp?9y9qz4|m^msMP+>-h~Cos68#XKL=7ZMpqD#gZXuqf*Y6SMMLb
zw4c54)x*{Hzc((raZx+5{K4w;8#J1eB=4veZNDwK@@D1I2dy=eKYDC^`}9wr%{R+~
z%r{TAKVAO2cFWuAbzM<MH=f$}QLsZdX``_5h9`ChX8g0B`OEOb`hT5_4|m;Y=RF(~
z<~Tz$f_Z_}@rxnd4;I{g^Wa5#m)`LMi;u^j{BB=2L+3=v?N8g@P1`U3_4bn&b*X#g
z{}vr|o3`b_sa|`%$@?EnU|h9l>-+70zKQEUELgVfQBPOSiPKlt%y7MNA<Eo-hU>RQ
zfk&7`_ig)7^{3@hL*?(X&xb$H+WzM3_A8&)ZF%`;)yn>ZpTx_zUf#F$&7W<DbGN_L
ztYp}`Th9FT#!DL#pP%fWbieh_3e&%Teq2?4AQ$(iL+9fa5v`xwo^RUpV&;}T_j?Ra
zS8qLbdfV$wTau5q_|(nba{tuESM}$1-<wfA@8Ha<A9pZ!uecfi@!|#TZ!0tY?3at0
zz4iX5znr;SAD-JD9=ZLcQRAXXC#4yt?(v`ar*GqNQSBbXsZvEZ{%m{uUU%!gt9Sox
ze13N8ue|^BFE2IT_GSCcBVRXvP)oX?J(1ac<Nm9EdUWr8Vz1nKA-H<ucmIHu-+C9G
z><L_@!W?3~@qS%Tz|uEc?!Vdcb^jA~<85EJP7e5e&`H#O>;30F>eK)3VV}N4EdJK@
z@PALYeA>Eq5BKz~pYGoKtn=tcoXx2tar1@R6>pv}UHxb0n{C(B^Ltcsx7>gJXUoS;
z+wRYO^So_tMADl}Q!7rqwwcKqcfsiFW6S>588#<AhV|Mk-+P0rJ3IPGl*q#eD(w##
zIse_fXmWPPa{aQEe~v!hE6?<M&U=?X!ok;OChXaAC3ip1R-Y}8Z*O^Nxp~XueLPn`
zEfv2Ny~<H`ZtRVnJV&=&I(2QIo&NPL59&6`KS}wvRCM|?xdm^;EAz}Aa(v!)cglY5
z``4xzuHu<vbMfUK##^jiymJmE-MVnzZW7mwjN~2s*Pn3BxRFr2aeCZQ!_OP}>gH|v
z`ghyMCwtgW?X`cuwcp76{a*gm*(O_hTKB1!K8(4U@O{sgPZ1qAZU1fCWB)#OPRJj|
zSrdNj5I8%7fBx<1zHF>@8`kZ)sok~k%I3u^Qg7a05MIWntPuOZjJ5Y(%#DpU7jA|f
zJhf-HBlq9c6F;eGGg=?qe(kDEdi2&?|9Xu53XW{sa%WS&=Je9}+qOJ0F^c5U-*&0e
zlKaV)j~%i9ODY`0cT8-3x8aYVWD^TxMW9N|wIt`)FZTS{a_RJj+tzk3WZQo4n6>c2
znd@)vICbq*3jf@%5r3`6^X8ptyXP=2KGHB(xa->MA2%9$eyB0B{%PQwx8+D~^p<DW
z`qERYw_Z%`Ob=aiRw4J6#6iZS#>Ux=m8Ho{E6-p55i?`*=H0ec_j-bFZ+Vuo_4WIk
zZhM^f-`a91T5f-3Wy8{=a-Ta_sm=O4kz-X8gE#|!inztS8TF@nGxREAZtwDcv)B3l
z&+T_V?d3VW#%ue&OON!6y`LSLdUxLu8=u`Rk0xZk+siXO%E<pu!d{-w%eK6&y2+K_
z*|E82oBi!=S2jO-@nbjhWJcwM7h*r|;XQqG`@?ss(t>*(zvhL7K6{iI7Pj@#%F6I(
z&z7Hz5Vn29n6yGw{{M~g<Qd8TQxx_e{1p1<*z!pXqHFdz#y#KiefsXrjjj4eWVE|u
zwmkdxZ_D?}vOUvI=~i#Nc5kot`qk&Rzx~l@!p|5}zx~?#TOI%3TzaPFxF&z=wQCKp
zj$hV(wqN`Hw=F;XREyXjZ-3nt^#6qEgMbMt+MfbWZoPIr<w29cmY-8oJ?&Pqo?oO~
zx5?|<%*dPDuixJC)2edY_1oKj=-<8mX~ma`MRs-fW<CGA?ON&9`46sd+9Q;Gd{s}t
z?Qadbe<pVH{|^m+wE821xpsr$y={B?*7QW~f6TOD!_oezTN~HTy&reFp|Wv@-nVlO
zn>wSPH#r?YsQf``<GubMvo|+q_iei$x@r53@A=!Fu3kIu&-AVL<4<jQT9dnW`qxt%
z_RN3OetyEF`hQ)jDJP@0?p=Fk`>U-_`eIM@sm4bx6W9GcQS%VviAPpPYG#-=KJn6v
z-gfMt?H0$kOGW2Tmd+~Xe^Mg4{nyq_or;fs3Lo8c&3e;))!+NL_J2rnO8&p{R^Ls#
zH#_<H<MzZXyfKMe^8J(-x!YH*+q3oZpSXU7Ph0QYE_>d0Z$icDo7;b#-`Mi}mAB#6
z<=<wXb=`QNZR66ND~dXtFYq(J*m->M8!4vM2X5Y6b(%jWW>3<BAH|3MZv0i(v|`5J
zq@K%?5#L|z-Lv(2?unz~_qT0ZwsmFAmL2n#ij;m^s8(IpY1|Ryq#$)^VTJ0gO7Y8E
z7GK+T|8(Q8`E7ie-7kOK5d3rM-+`nzF&l2!iET})f5ma?YwOC#`k!vt?%<V<InWXF
zE0&>Q$<AA9TMq2rD{_6^mfd@{Kibrzym!y`M@n}#*FUP*bYuS>k^J;+mo$Ix7n#<{
zvuD%M#H7#rMgE`Ka&i04rE7YmD(1-LU*EFj+m<7zwrtDYc4YnDt$#l4VcR-AVnJ!Y
zG$Z$#qh4JPr^s6O$VJb5B%9r%e{8zk5?;I9?T7WxZ#npF+u>W==TE&`^>y;2xNQ%^
z&uv-ow#TXTT#vY4^|ptq+FNc_Z-4mo&C@m;mg;SXe{Xq`uiYd5yOZhT*2R;y+}QqY
z%R{egTW)OIdN}Of^kZwEc;4OeVE(oz2fU6=Kfi=c@9Fl#x%>aDR%N%`z4h~)?FaYo
z?Gd{3>9_yQ9nZF3TwmPF*LHBhzng!{c@C|V<ZoK`?@3I{kIPT2!#8ccdj0S32Eor;
zKb-rnZ!YD%?a<pT{kyW>uTYQdkT2XeVfspsja$C;zutG`)sb5>OnzIwJoI=?_@W=;
z`#TJe#~l3k`vjBlVg-qpMbo!WUaY&hbB5l!ZIe#zii_O#vikeBsn&}p?LK)TqDSOr
zf5g70Tc&6!?~W;0n*8e9-ej%x4O33|A8icWy<_W?$eWX@HqO$m`0(H{^FkfdpB3gi
zi_9&599{gbe9M%&m-4>6&-1nvmT#H8>CgF>%5{2ME<azPb8g4}>CLsh-0`OxzRueC
z^K&n^U*6Uu*1aB)M<!3*w(ZxnbBFGK>wj*QzSz3-%|YFH4+H-fZ#yA$U<!BoU+G(X
z%_q6kn7G$Zi|p3=yr0*rvRB@E^<3@ETQBQAKXho1<<)!Jc9mY+^lQ$~sY@ARE#B;u
zJG_C5X-D__4-y+R>vLQ-+^u^stG#4qqUf3!s|7X_6j&5?e*PN4azkCTgDK`IgQ$f3
z**8lVf+uyBiRZjw`fDvIn6q{7?jHB8y+X3~AKTQnA2_{7e%ZEz+b+H9+hZO6(s$eO
z`Mc83e>~i^@<^=l!Irp>M<kjyT>rf7bmboFsi(GI{4#BiZ>9IYIr*QrU98@3J@tuz
z_D0SBd$xU^vh~W!zFmizHZGR!zO?@1sSC3vss8!7azfQa{r@iwx7?`RxNqy1q#dEL
zCu$}3PPwpp&XyZ1fBo5+ePheVr|+0U!}xAQ$TCPZFocGNhB7iRFpSRskIw&(&i{|j
Y|Buf9kIw&(&i{|j{}0Xie+C8y0Cq9osQ>@~

diff --git a/posit_adder_verilog/work/@_opt/_data/exemptckw3mj b/posit_adder_verilog/work/@_opt/_data/exemptckw3mj
deleted file mode 100644
index 1655d97c3a9d43328f51e23a2ff8005d3fe181cd..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 84
scmZQudU1mT0|SG{p#$$385kHqYz77f1{aVR0|SE(0|P?<NPq+k0N=p|j{pDw

diff --git a/posit_adder_verilog/work/@_opt/_data/exemptzvjv5h b/posit_adder_verilog/work/@_opt/_data/exemptzvjv5h
deleted file mode 100644
index 593f4708db84ac8fd0f5cc47c634f38c013fe9e4..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 4
LcmZQzU|;|M00aO5

diff --git a/posit_adder_verilog/work/@_opt/_lib.qdb b/posit_adder_verilog/work/@_opt/_lib.qdb
deleted file mode 100644
index 0b765f298b5543ac57f918cdef3d4698a34019f7..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 49152
zcmeaxPf5)w&dgOvNlI5RRA5kGU}R))P*7lCVBlk5U|?fF044?o1{MUDff0#~i^<2J
zXTiZM|AT>5&XPesUH-hBrM!teF*<RXI4V9G0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsK-~~%bY*52
zmzQU3iY!S?%1O;l%*;#6%t<YVGgzF1TpdGP6+#@Hd|Vaa!U`IRr4^Ye3Z8x;uI{cu
zItn0N$o!Pjyu{p8g%H<>5FG_1W^ra!DneIDW-byhEhjO(7$F(z=NTC43b6=gfu@cE
zR2X6b#B5DXLsm9%S9wN;%)FG;isHhY%#zgj#L|*{Fh3sd?06$Mlg*HYI4y>7Ev#vb
z?Bb%LjE(w`z)CGi%uOvxWI_$1)RII6jqKD)sNc&Hb4pVU5xxTRjSzgOcd}C}brisI
zhMJn{Ol;!TqBwn%T9O!V2(p=(fq{VolpuH+7#KL^eHi3F%RiPsA-`IFwtTyMv3$I|
z4@h<tkA}c#2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz{m>$K~5G`PR4@7^wjvwyyDcNl41!V4i;5L
z6d{%%A$D{zCIKNfbTMWDK2{b*4u<s9ywsw^lFa-(X%-esMuw7%qSWGy{G60{uth1U
zIjJS7#VL}^ET)VM1*t{Jsd*(RQj&a3EV4`tAl2X{6b#&qEYh5uWvNBQnfZBK;Q9YR
z2KhPif%0YYo$|@@PvlR^uaW;U^5SpQ$D<)I8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Apj2nLG(2M
zoI>bR`z#z7LQL!!Ld<OZEQ%bAi1~k385T=MMjZ41EK)3{jEq?4|C#w&WSPKg5Fqpa
zOx#HG|DgT<$wKoP<nPPxm7gtNDIYFxDlaVeQSQ9lTDfkyOgVQsRXJwahqC)+=gL;g
zM#`GYipczsxhAt&W`azvjF*hM42$$b>3!0(r7NUErH!QdrQS%Llv*LxCY3DZASEmL
zOY*wp7RiZ{d6M3e8j`FMk0ka>%#o;+h?B6EkP!bOeo=g#c(-_lxU0C5*nhD*VmrmA
zi4}_li0O)Pi9Q!SBDzqtPBdE7LR3`blgN3IH6ooNX(G-d3c`PdZwYS~o+4Z*>?f=(
z%pvqt=#bEScr1)EMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zhDHb|CUY<{iYqd)FtKPk#OD{3l;szcm}jS%SEMi;PiA@lfst7;39dvFuB0-f*u2b4
zN&u=P5w1i7uEfwNF*PHT;WboA0$hnYTuD(?R%%+3Tmn={JY0zyT!}%ZNph7j!*8gP
zIJgp3xRS!O)Z~hCxdNz?Shx}uxRP8m!_49exLac2N|fPBl1-8_3-jP^iH0jtf-5mj
zDzwPWV0Z<!C<?Ab5w4`f!q74+QO*IXBoeMf0j?yY!Xhifkl{a6Nd#PpJY0!IS!PyR
ziIM?SNjO}I99&6Rky(;yF{2n%Nf=y-EL=%uUV4&oruqb^l2Eu38Mu<-wBmHb61XWL
za3#`kCFv=~8C99;4Ny~p;Yy_7N=%cCQi@aIrUb#2NWztrTO_9xn!`;Age#GNE2%Q9
zvP{W^hf4rli8x$IUX?{+QmVcJ)GhvSC1P+TrbY&Z#mNkZp-TMVN<`sG%Bw633r)>o
zq3a7*A_7-pW|C-{RK?^2HN^+6L>R85!Z6#^vdDV@REalSi4a0bQAV0&9^4c!xDr9Q
zlI*;)H1jlfm?@rcB?53ImPtjqhL&(sJm5<B;YtiFjq<7tJsv>a;tp5B2Un7mWL#ou
z#yAVA#0{>57p}y}GNr`0$_nNdSGW=$xDvBW)8eEu#tTqWT;NK$;Yupf(uys!gJEuQ
zhAZKMD={oKFUm-Vo8kml!U<PWl$TMIW(haN5w3&-t|Y0#+{`qQNfhc92e=Y;xDunX
z3^QY6M_78Xhbv)&D@n;t&$cvWh9z%1xDr;l5|hl5Z1c)Im?^e!B`k0ysd*(vWrZvr
z5Vt7VfR!+_FtIShm6&Fm7#F8A?1L2z)?hWDf|m)dCNagxB&$piRvub$Fmj43f{cNy
zFic7|$;f70a{ywKq$LL@qqrmo3nL_}#g|kTq!uT$ynnBxXaTc;36YjEvdt<osu;m4
z7`YYA;Ytu`$s#koBCUwY5@ZM?x1t$b2_h|-8zq%hSTbLPDlvsCL8K*cf;8vof+{hA
zD?y|saKWA`lLb{`3|E3kOJ!z8Ri?RY#!w|ja3zSelx|{JR+Y|J1XW@PSAs}O#>JWC
zxhYI3P$dR%C5W_?TTxk7Tm}ypeYg@tT1qanC@;*0hl?Iu2_h|3R%Mr!88OyCEz*T6
zL8PUsw33RH494qFB|2~=h_qy4SyX9W&a@q>L>sOIk(N@DQY$TuS>2#YwBSk*NvR~S
zygbW{IUJ%yK@*&mm{^z)D)LfHDw7hK!yqc;H9#pzk%@&7Q6d|F!=LvSNCmT^I?NVk
zM0zqd%FWD8W9$T}VB}U*gDXL#C-bC~jEW@2MNlQGa3zTJR9sYMW?0VL3ss^5SAs}S
z<r%5Qg_f+3ph}eCN)YL($Rf`qEk!sAszeE{1SvhGndF)lvW7yHD8iK>(o=4lu|;te
zV=PpO0$d3qJ*8A+CR&;^u|k!|!<8V?Q(8quYKjSC2ULk1TnQpQWtrur<Qgz8gesAR
zD?y~E46`K53}eR0P$e>OB}nP1s5~nri*YSfi8NdZB0XhQWEJLCGAToqNWqmL(o?Zn
zVToZ8^EapxNw^Y3YDvmAO*ge*=7K0ukbtEpgdv4y$$1qeFcnhbp!6ip#KI^JF1+GX
zQVWW;8bR8F6~#bu>KrUgkb1kKEIGcQD8HasFEgbgndSXE#!>}QkP=Y46j5&%7Nr|i
zR5Gmqt6)|TfvG@L+gWKv#<@luC!i{XVJZ-{cAA-iX^I&$sNMRGky$|qrUFrEXIE92
znrATSK+O<@sX)}(>3OE+RoRR^P!$3&6^Lw+oRVTuSOkuKkS+W$6^LA6VUlZ^R?cJs
zHG>bP0+A_9%E}YX4H%z5Rq(=8Ao7G|c1e<95+kU62eO3+rUH>AQd5eO%W@g-K+WKW
zsX*k2L=%J5tYWxdxL_&}8N#g0JT0k$nFneHCrkw*KNw}Er5hW<ZQ+2aKxBtXi^7aD
z6SytxFcpa0P*PQynPmZ2!3I--$P9UTX4y%}aCfl6R3P#~W=d+VaXMTD3rqzfE2Ns_
z<&|Z@L!B9>0+EOl%PI=93Yk7Yeai$>fyfAH*=0p3Moe!YD&!eCI61-nCvYkU^`5d*
zii^!tm{cGNK>PobW!V_yf6IT7e=Gk?{=WPT`HS+W<PXd5k>4u6PJX%k0{NNpljOVQ
zTjXoy%jEOrGvpKHZp($rU6DH@cSLTF+!nbta!cgq$W4*!k!z8wkt>nQkxP+_k&luO
zlJ}B#lDCpKlGl<~l9!SflIN0VlKUn1N$!>0BRM}gH#s{wGdVpuH90vsF*!atHrao&
z-(=s(K9jvCdrkJ7>@nGWvfE_W$u5(fCp%5HPqt0APPR-oPc}_9PBu)|Pu5M=PS#9T
zPgYG<PF75oPnK<HbZSRkIT`|^Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*AwbIzU}s@uWMt7whR~Wx5LzP<LaQf0
zXtj6<tr`cRRbnBuatwr4iiXgNQ4m@o5<<&IKxnye2rU~1p=ClLv~&oBmI{W@l0gt!
zA`n802S8{se+Vt=2cboLA+)d$gckCK(1KnNTEG)R^Ls#OK6ePs>jt5DTp={K3xwu!
zhR~c&5SqggLbE$SXf}HY&1wgsS!^LRvkio1vSwpp<YZ*AVq@WCWR$c7i!upVKxlq*
z2+d~(p?OUqG>-{{<~D}VTt*O@(-1;)7(i%teF)8_2ccPYAvB8)gl5);&`erjS1@UU
zT_K?X7G)MthtT|L5SmXFLi4IXXdYz<&8-BXxfCHZrvilLkcZIhauAwL7DBViKxh_e
z2+b@7p_wGXu3(k`yFy$XOo@qsDN#`{B_aZ*goVMBkPw&>6a-TO0$_@tA58J_fhk^I
zFvY_IrntGm6c-nm;^YKV92{VZogGZEv4JU8RxriF0;ZUm!4wk{3kxSFCwTr}`~`!Y
zf^?LWyo8O+ZV4f2SE&vuPk9%q<1!Z{CP@F2NtgO4cU!VY(n4BVa+_3~yuS2QnWy3}
z<c>)<NSv2mCB-H8L0(GQK>m=FiR?G2O%l~|8)Y<PYNYPSCd;ptXO(7?E0Vu1TOs{Y
zQdw?}>>}xGiCxnB<!4Czl<k#^l|3W>M)Ip%yF{9-pNz1~GWll7ayf2^KsgUt6{)!r
z>aq_d`DA`cER~rkpC^4q)>7h$q^~T$<U+~QQpJ)F<RiuZ%GgRK$pp!6mouPc88K@1
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
z489N$VG&nkgzo`_oS>47DhN3<B?(m!a!N`fsvzWClmt{k$Vn*isDhBQPvTGoA*Y?h
zq6$LJH;F+Ngq&y+jVcH^!z2n-5OQisB&s0foRSDsLCDD@;i!U;vq-{F1tF)8grW*U
z&Kn6q6@;8H5{xPcIa4GERS<HDNFb_U9{Ajl08~N9Ng@8If{?R8{7?nWz^8%uq6$LJ
z|L{Q*1fTffjVcH^<HHM85OS)AC#oRy91m1M$jKe<sDhBQI^0kNA*XY=q6$LJ<8VP0
zgq*<Pj4B8@bHfQ$5OT_fBdQ?eTnz_QLC8rO_Nao8voq{a1tF(p*rEzT&d0Dp6@(m!
zVT~#XIRnE=fJIyqlH(w!URa{4f*f^Wfhq_&+`=4H5OSP_8LA-U01H!8LCBF6Ca8ko
zLlSb0Q3WB#QW&8MLJp!ZL=}V_Jz;<<2svy*A5{=?yo4UAAml&^T~tBH5fVD6f{;Ta
zv{3~i$3$qM3PMhX&_or490s9*DhN6MK^;{Pa^iy;svzWy2US!-$f*u0sDhAl9F$Q7
z%fKf$D4_~M&T3FZ6@;A5pnxg}IgddeRS<FlgB+?L<je(GRKY6nDGM^Ff{=3+q)`PS
zCn-pw3PR3KkVF-P9G4)$&mt}kO~s%S62!$>#ML4E3glA}#LzT@k3tYd6@;9AAc86g
zIq*OjRS<H<fe@-7<X8hiR6)o|1_G#pki!f3Q3WCA74V@7LXIflMHPgcO2C6E2swy=
z8&wc;_5c^EAmq3KPE<k22?HFcf{;T6*ii)`=LoQ&3PO$!U_}*#oEE@>DhN3sfEiT~
RdM1D{i?|}<SOmy9002;T0}}uM

diff --git a/posit_adder_verilog/work/@_opt/_lib1_0.qdb b/posit_adder_verilog/work/@_opt/_lib1_0.qdb
deleted file mode 100644
index 70a75007e1158450edde84dc3602517fb933a0c5..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 32768
zcmeaxPf5)w&dgOvNlI5RRA5kGU}R))P*7lCU|?flU|?ZD046j(BSH!%i;Y3gf`eCn
zCIhqFBL?|}a*yOQaH<`Z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70X!kl$jrnpE-TB}7+I2-
zl#`lRT9K5KpPUV&nVo}N9Yb6dLL8lZToquV3L0sdIjNZ`3Z8x;uI{cuIts<9g-D#t
zs#F9oKP{~|wFJQr_45o2b%mM$)~Ttf&&($7EX&A{nU|7UQCyglS&|x`SXz<~=EuXV
zjW>j`KojpAAYa8YvWrVgGd2psTn}<sVrd14VnTO2ND$rW3IRc$zK%hW3f``fItr;3
zsksFud5O8H3L&l$A)34l3=ER;*$nc(<-f^)lz$`tT>g>#UHKdGm*vmNpOil$zh8cr
z{8sr5@~h>S$uE?jBR^ffO}<gSMm`(lxKTVB0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd70QE(G``
znHf|e^Y6*o<;J;L%o5BD49w!p3=I5YaH*=YtTNLKW>Juo2#62{5keqB5JU)o2!0U3
z2O@Yu1P_Sd1`%8!f)hk=fCzRF!3H8&K?DnkU<MIPAcB#ZfdMrCFCq7wLH@h^Yx(=~
zm*tPk@0MRLzgT{{e7AhPe6f7Ge6+m3ytBNyytcf&ys$jG+<&>xa?eLx?2USOGz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtrq30G9+SBL@?c1n({Txm@C`jGRnN;#`fUGHhb3j2s-C;#{I&
zc~PbnMkQP#V73Uy3C%TJ!eF*Avw+$hE+H^mh)GAKfJ+d}7G&&Edcq|DW(zQOD8_K{
zgW3E{Ch{6wd|);o;}cmIE?zL3mvMsh7A_tzn}_j^<P9!vFq@mPN5Y4T3(V$X<`H$^
z;smof8Se<EaB+ay9E?4JH@MirY<9*T0Us_lFq@5;hu49N70hO3=HW8oVga*Rm`per
zxR}9gW~L9U0$faBHWSkuCKYx@R!$Bk4ki^Y1_lNR`6$r-|1a`y<)6vlm%kx@QT~+t
zVfj7sTjkfuFPC2+KU02^e7Agye64($e7<~!e4>2RV2IRFH;jhBXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1Jh5+qDfQ^NbgHb|)jfIhuQCu9%6Bh$hqM~3*L<CF;3xg>kAuuH<2&M!Cz!X0}
znBwCDQ@p%jiiZbGadU$yE-o;|$qA-7IKUJ;JD6f)15>Q5V2XtWOffTqDJCWs7ETUM
E0DJk$3IG5A

diff --git a/posit_adder_verilog/work/@_opt/_lib1_0.qpg b/posit_adder_verilog/work/@_opt/_lib1_0.qpg
deleted file mode 100644
index 2cd258939f344d40653b71bdbfff9384a96a6fda..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 8192
zcmXRd73*y}xO?XNj`-T5u<a8CqN?8sswK_;IWe+gdep8rH@Qw+vg&@dN$=bL-m~Z1
z>dyaO|NhmM__rr}?w)L`x&Lb4zu!y!{@m<8_j5wk@>eP~FI%Gbe-vR}5?av4nReG@
zRo8XZ*tZ&ro|`Py3PU_iG%Ht>zw9nq|6`Kn+>3!*;+N~S?)mWdR7>ub-5j!}B{nk}
zEDHB+k8Jt9g!kI1Lq|$)ch27R`DAa$nZ+lpHB0N}_J8Jf{kQkW<c{f<(+(tGW}DcO
zKc#YN=ESql{!QAueM;Jn1p7&A`TzW6xL)&yKe)i^u*PKNbY4SIo|pAs(yaA<Oo^UQ
zT;}(9?}HhuWAEO$y2$DgU+XGar^7<=D<|sed0e#G`f6j4!kUF&Q?|dq_(6UB-HVHS
zjzxa>pQsle?tSATBePOr`ULheqma^+6Ps1SN*SVloQZ!Dq-_6lT5g|YW6ZifdAFYx
zEB-H1DVzE^d)B`AS1)IaG5)*wCN0o~;b7p*Jxr&*Uiv8W*I!Y3^2g2Pg-rHu?zVH*
zUh$iC|B#=y-H+9s_l+NZ5<hHyukC2Plc%PPaa>8mj>}3+1~xx-b=hxn$h`i&fa5;z
z*?EgRs>I)#WPDC>RBznBdRm3m?!VWs@mg%zTg=nQS;W5ZVyDTkgR*ayE^IITGjrzJ
zQ(GcWe7;`2b?O)S&dX8zUred*IaGJ+{|C2T{eN$!?0<2n`r5phctKOGUrTe0XMOX3
zcJG7#r|v7u0=|7>z8>`F<%Iq79_lPUtzbMYJ#dn9s^16UoPFGztLBS8cV^z%|2%7g
zl#0yTXUS{NS?|5utH{%u`E|YP!LKD2H>Z@Yt=k@Z^!>|=VyBL^Ccck9JNMA}OP~LG
zXc*R<*!M&0Yq)3cnJaShCFgJYr~mE8ni+Ghdd#2mvuoe8nw^UdO7!*^NWGrq@ZaUa
zW9h>=M@sf&+*nqy|HT1@rM3o!?|cuP+?Vlu@A=)^ZoD~v_W04dn?KLLf3+dv>qf~F
zbFSn+nl<PCyFbBFXYagty{K67&Dpz+Z!UVTS-RenM{~#D&MB1%i>hZ#eg9PE6dUu^
z#K)~d%K7)yly`q-)@qJ3t^Z-Wqe)oLNj-Rrib$|ZaNdlZLyBBF6W%_ZF|E|^&xv^}
zo|)^+U)i!PfzjzA$LU8N#a)IUZ8oQP?24`Wf33n;O;M=H*J7{2nhWpFC1e-tt(>^x
zi8Hg>5x-+Ep0Y$Qvif~w?IoQi&c6p|X6^e{b>v*_-G<&%?~X;z-u=AQr;+pXN&VEV
zMG^Nu$#dFmv_0I}TpD&}Su=a<@7~#qPHuUr#?kx!`2j_B+1|6Kwz6}FM*mo|iHSu#
z=gysbIa>wzU%gmf`LlBF-NrZfH)tJQ@5!U)Q2laBWy0EKxv%e^${hXIx;63fB)OH1
z|1b5IZMHu!UoFvm^90YOMy4L~mzb=3;*xjzC$Dp;gVf&1M(PDMj?BBiCY!ixJIHS`
zjxl|_;F)7m0pH~_xlQajrT!aO|Ig9+?WuEjeqVWo?Vhu*_IWK2-jMzFu+HVYIm*@_
zg?CKfc7A1(#mv>Oe%(4!JN0_dCH*f#*Gke}UvIl*);(v}@4c_07~=0gKUSueq2#jM
zqoAdE=~ip5J@?<tKBIf*)T_R}UQ?-^-7EKAV6fZ&XZDR>l{0!KU8~=yec@k}S(`)E
zpQBk(yv_0t_I*=J{nxU<;KQ!H^QLt*zv0%<O|_i4kk9J_GtZsNN_De*Z~gu%BK4;@
zYR@J65}BBHE4L`Bx_IxLaWk_`U1s4c!Or^2zP%R}pMDY0n7C^1%sIK6FRJWjb&|QZ
zbKMr3pbuhigw#D``G2ex>NupVbLW!Xo;~`%9_(GWu=7eI|K0an-)Y7idzN-B#E9W^
zz^}+hd{)eB`1fBBh!iTe?l_X}QQxG!f35y=ox{OrQ_suan^gZTbnz6~@5#L<@Bc~2
zQD#1$#A;HOnseTC-e!j^H}5<qfqc)efyz1+%RL?hGBWZurmSeM(_DYPkp1tG*ptf(
zEZ;V3zdJ8}*?Obv^2E3n<-@)1Gge*Y|FKtFICFz=vtoF)h;}fq`su|bmR{3z5B@u$
zw9a~W!#tZa@2y2RmuSqGAg)ld{@<?uDL1bk<JvJna>w}%885EZtayHV@4Y>SeCv9a
zt|__rJ?d`fbeFv~Gj~jVbK{`M6XmN(_x$c{Q+oAi^^{(V>pz|Jt{%8-xx=Y3=GXDu
z<9S;ZKMF={tyrSJK-K4hz?{zMoQz5FHy-|+Y|1BAw_??4_haAMBYr=x`8JDZ_PpQh
zS<h0QvF`57$=JV<@uL;PR;%Sc>x4F237W;V*<27$5hyzM>On%1sLuUa8(IBpXTAP?
zBrM5$%Om}7w)(ICZZ&WDdR~b0iS+)Xi)Wr{WIqyl|KoNkcdvM7{bTj|2Lh_>wf0xl
z9Of&y8faaU)9!VU)g>U}m!r6){dJMIGxEMfZLEI1Hq<fWo8eFY@1-m|=5LhiXFn5>
z=Ip=N=8wtS2Ce<>2_CI~H~v4F_O)c8`O*T09WSSQcFW$s_SDetTlMPKCo5+(F@KR-
z&fdtZ*`Co{^{hfl`^w!F6NMDrrqw>$`75}utXcBKwTyjI9JhK;2`i-~EEnsVtMY5d
zah8j60a+_HnY=t~7XS8u#NCw@ohP<%Z8+JpWA3qnMw4r-=PK?!C|%M2MQp0O)t}{U
z_e%GjUE96)ounz>iRs6RQe%sQuX~idZc_=L`&+_{*+}Zp<<&c_x1@iLetRVLK-7I<
z>(`pq=cdaUvIO$1IZ&LIQou9m^QxsG(oQxr->g-;yy!^I-bUHS=ls{-n44C=N&S-Z
z-4~ViPxl>YwbR-=XJbdq`+}6Iyypxxg&&F(ZMbth=;7`keg7Zpp6zdI(wq~je99#_
z_DD+Q*GQRv2kN3?-g6tWMRMMFs#xQ{%x3ilK?AFkZ0x@la3-52+f01D%&5rQFOoa%
zsB(H~X8Kh&w`#tx(tE4#S~q;2Rxcix9JWA&O+su}`>(V7O9HMtE=b_Jp0IM>xttXj
zWY53)?C^js@6mBn&CN^}^PjSDY><>T3uP4A|4AWEdST?tUqRD;N37X#EpyrQ%lUUt
z30b|~E#%fU$0n_^?&u}2{3i^Iv+5oRFZ%cXcAf968LxIP395WP*`|Lk+a;T2*{ily
zJ&~T4FoD(oc;y7H#rf>%8dsDqE`L7%ek<Rr>D?kS4}>Oif3Hcb4wC2fYBfz1Dd1)1
ziSM#m$FU;lpt^AH?oIOZgcmTinPp9@n5?%cM#UjwL)NmCz*LW^-jkIIM6#zuZt<*W
zjru1b!k~Ru!|cP`4^<z9+2*7f%fHQ-^?=W>W$m*$vHyjbzQ_O4^jcCdeW6H(7x&M#
zTOGvH>|2&4mns@-@3<6X$PydCyNFRyAcO0`>5W3Q+G{#zolMwzI<)%q)9BXa_jauN
zsUUfK+fmb<>*QV2Teh&Co9t6Az1?H#tCljp?O8kKFN$(Yl%9UTNGA4L{i`i3%7L>^
zubbjj#yS7zq5IF(y{~t3*~?#(`n|y_c#3HK^V7@p|71K_?z&(StIfZMS_^LXgo&Q~
zvb5mRi5<_bi)GD!c0unNbJpI|OF4dd?`hs78}7p`$a6i#giC9i{#H@P$Yeu_Tk%iD
z%q6pg_RBm>GYwR_JY9)dQ)}P)|M4?2m+TPYW0o_PUB|hL?Op@#WA(c?H}tH261976
z?}Eoh*+=(?L>_R7UNJq(B*~TU*0hX>P0Dtg?jE;%qU}><Yj<WVd%0KOR{jgpJlAyZ
z7I{ZEUD(Jf6j^9^hGD|O$*)%TdZ+kbdd0$C>Yly!m_cRbM2FL+=UTH{BDYk&e`K`d
z<_Gg_Nv<<g%(9=>Mmlc$ax3?%Cu`=KE54Ttt32Jy>-N}OVHVNnDY>Kj*_GMcWy3N9
z1$mzvXU_TVjz4rSKumXKY2l{jtM|STZH;-hS}0L}BFD^jH5nCmm2I)MbNs$UOjeJ-
z7(avCVjjQUtHl{b2Cg}aE-sjKa*J)>s|o*{$|ELC<Z064I($i<%l>wlYsa#jBDVzV
z>n->HRzLB(|NhNI|2_uJ^OO3zCNgTwfB*bjxkA*>sh3U4(sCwUD=t*glZ!IzYA9t=
z&5B}l7Lbekrj}{f9e3fwicOjQBFS?~&Fc?&d+Yz=`n}y*LFSs>#Gg}xbhldGo7q<C
zb18o65ko`I^NjKzBI@5Xgqk-^m@it+BE56L=XqNa*$>(De>?fh-N%YiciunV|7wd@
zX!GuSn!W7&m*h>(Ik#6f#LeU0^{@9x%K6XJvsZ2BI{RVnnJJf+vo_oR`Zkfl?_zm}
zUE$CD4bhwVWQ%H^x}TVoD8*RE?l$HBX@~#2)g->%zW?W2ZIR~I%TXU0L}EMs3#r?e
zeX)HWs$|G9iF2>x#E$)QLJKpxcU-#nT}kuvDJeVt3l;Z2Y6Z0j%O399ruQbVt(j%R
zWKpX*N3%EFniEj|cI(!{L+5TCn#Pvh|6qyy2V=dzXC{>Hdm4A{{Dq9o?|!d;v~i-x
zoZGYiow{w}_v*{`yv>DWGi<`Axhh00=CPJ-b9K8h!M*hNJ+`oEzk1dmaj=}Wnf0iC
z#`DP%(a}xre>s|@tg}|`;n1DH>e+i(Me-fz{QaxqJ6;7v?K>npquC{9*55?IPn|aw
zOxSt;V}s6~6?^BLf0D80^`edSTjh7HIng5UYxnCH55C=ya+cY7=Io2I6Zh|2*jB=|
zV3GR-i=yq3-(s(Y7d<#sn0>SM+wQnaE{C7x{IauJ)%5IeF^k^6zo)+Q@&7n^a_wu!
zDe>>$T<lu=(6?{a?EcGVPVf43S$EEi$0w|euVkM*|CuX3e#M&09n+M}ubNM0n=tQK
z=f;!!zg>>re}39r*O+9>P0K}@6<K}zyJN4)XT0w|;Zb`)V&=!$%e{^Ut#xpCd;go^
z`zM_H+FO3|%l>@7djHAfX;xj^kA%wqa~J&X6}*UFdivVWt~+<jh08tWn123R824kX
zUAsP1Ih#hFJM}=&#MJ-&+X;Gg&GPS0OfRjyq}$$PU>l+p@1Jz;I4fI9J)bK}f|UH@
zBHha0Q4^oO`zra8QD|b_)0~_7w<csi_4^X_XOFV6^|k0H6E5DEy~yJ70<C+uwhAwd
zPK|qUE0E1lU2K-n#(xLOtQIQWEoBx~yR~njY+XlM$PSC6(NA)PE7(-37aC;e9n!Cn
z-l%1rbI?{=ZUL+RQzvt+dz>?`78VxYX#1Y#Qk1=Qul?2_C8KB3RR%|I-M`J!-84OR
z*7BU)t9LTnG)nc{Tcp7KDp<Gwkw>M{=BqWEQ=EkE$L+sXVcECX?2xa;_71u8)57a+
zba;jcCKi6;5jwHSFUV0W{@IzyCMChTr+)mbT2vEUd2n9mvSLO4)xXv^S;((HKF#L<
zQ{nT><6I{ScCt@2ihknVnJx9v?uUV>cu~*N9s7i5ZFr#eAj3i8<oi6!ol*x61Z#xU
zSGqXrgzcPY;qq>2&f25y8MkhvSAWqquU(wM$P()A^RUcWG^D`s$7CZl8~gX`E>?wn
zxi22y5SD*r^PD+Py+k6;e|cEPH7m}^toLt_5AOm0rmfv`!zJD9K0k}*-Qw<hcy3#E
zBa{96m2XtTP5n=--Cz9ou-1;bmpNZH%dLHN(d2lM=Fw%vwUfM}dEL6Y52q#AYB72&
ztCC(AmT78hHFf#T#pyPzqL-LIygaEt_qyNbiDHfu3qAY3C)Mn=od4@UopGSv`PT~>
z*-NF?-(1PH$c9nw<!MLe(txzt%nN_DGkPsBK3nLL5_Wt2MoG*6VxcQ_4@SPVd3N-1
zi01;KMycM2_sjF2=WQriUKn>f-Ob_}tKSC!<)r-^EZ%s}7mxqI+s|a^Ci$b@{n5$I
z9#>q-`<x1NPuRcyq{X|fW8+)@%aLo&-FwRxW$gZp;l)~(wXaSpM{oE1bgybnvZ2Z0
zFq@04uAJ}XUcI;9Yi3mxy+HN^XRDMEn_%bazcGi6iVyN{<#_F|Tk)Gy<niim-`Yl-
z+YI7{qJNgp?h{;pWUrd~ySdBWaxG2!o#L^Ei|hTt0-4Kdc9TvmGhf}P(qOXx&Fuwp
zk39eGpYb80k3%$S%{*ZRXXl`MVV`eq6RLZfHb1DeJZavq$5tF~lm!0NKg#&WvG~Ec
z^4lhpEf=;no0d+Vrm=r|BG>*!p<5gs)BpPIJ)Bm^F<ImA{v(wl>J?qPmN-@B+&?%u
z#$aQQqV=Hy?#2hJek{)^kezd=)kf!^w2<T_i!|Tz^K*`rdg!lOxw4TxMf$+=`mg$#
zzvn*EJrW=_Z^kn1EtMkms}@S!vDzy2uQN;Jme1SuO9NH59oIXxQ)|bm^}&HZm+T9v
z6T0Af#3(j=X)w#h)0@SX{^@)FVtQuhi4TwMwt3#$*ZOkR*1CJ&R!E2%F!hS32_zcW
zU*I;r^5Sou+_bE{-G>eI8gy3OdQfb=(eYW`2E*dRoosE63GqL6X7M($Iyb9|rT(AC
z%&WY5&gvG|B;`NsI-9&amM@>(KR5ID-d9_{II(}1etTk)nbqxJ>wPce+uQno_8zi$
zKkL#0i|g?XPdq1t&HHg~L*k=(b&Qq|eQ&N4>iX3ZxX38)QM<gLj>#vRZ&j7ctWWDm
z?GiQ8*sPmcB%-b{kwGBDBLCn1<WJu(Ka{!1b7#)`Pj<hIYMK`c@XV;WapJo~UBDbg
z+hr!M2_IJdJFH>%r{_>}M8<|+Op;e(l$f6_n3Fhd=gj4=Gy1RZG}F6Sb9=>9rr=wv
z*4?@h{?5$*-S1`gm#Pf>roWr<SS-Ej>mtk5_VYPxosI|QZ8qlB^O?lG-eY2r%KHiL
zk4=Anb+g#k`j3k|S~F&g>l~>)AhliDt!wq$G$Wztd2cR=1f}WkZn?3>_3rOE=U$#?
zt6zEZ+idn{?eQJA7MOSO%z1n4_Udmb56jv@*Lu(0nsdNvEtAOo_7_i9tn_l!I(}Sw
zGrOEziHCubsF{Iysw<0S-LrI&phR)U_m7NK!qP0J9GKf>aZKv%t<^8elPmW%-Bg%9
ztMmQ3M*_bEy}CBd>}jtw7yKmNWz~^AeZ{&reztd?o(N##w=K&5R;*Sa=qC7UDGO@}
zcZPVVOQNb&*}casVRfu`l8qdsEl%xoTe_!<Bi+?w#{6eF;eUU1-dw<zGi9^>j&FQ&
zF~Qe-mn*5}*vIxAvWxrRZ2CE}?!vc!N7mn0$$ap%V3pebp9@=CT+;W4Y42X1ATc>9
zbbFyt+OCcu0af>yzmtRG`92FbYh+)Hys5|-FQii$+_~ERS+(}R$@@FEB}vYhb9%>J
zt)1uZPd+7j%F(`W|3}qjr~bTN+_m%8qQ-*9Ec?R~-hSSF>CIKiN4t}lxA6$X{pCOU
zW}%?&*(Bb#TLk$Zh-)AGb6jUe{hu{!TKyl09F4ubIDfj=v7EbCqyDp}S<ma$Fn>Pp
z^!bIt?A@XqtM+Eh{$5*Z`1jdduNFn^-JNrpdA$!z4xh0i_-gK+675ZLzr~WJ)I}uQ
z3dGg9W6rN;o4YJns%u-_&qL-}`=;ov-clLBwb1c_xB+9)<xYlohXUeW?954JG<BM+
zD!^N_j!9N|-Zkx29_##_gKeLuot&T>Kl_37`X>U#m(8s1KdiDaUvqoyaY4oWU$0Kg
zvbrTGdw<c5c^g7a*JfFyxwA-4iwb$$lF0h@m`3Z*U#`gqrq--|z|3>t&~vS8JZdg8
zt2=*+?Y(zHI#E1)Z()$Cld;A`R~^kM(t*sDa%bBLjoDc1e|7WEcHVV&)l;dRBJppZ
zd~He=i<&Y2On=pa?0e^K{9`ta+ul~cJs?-7KCR82(NWx=i?<~uHs^9#>d*hOkFNgM
zx9)d%;K?X!X^tn}E%E-BIX`+YE3*2kx^r%C)cHMbp>1XLPcARoZF27V`--&i`hQ#3
zTvKEh@2U0CzZ7P@-B)3Wpt1Uyi!o<%R-`*!KOn-h%lG4MzDAkv$*slwQ)I6CeF#;)
zb81=ErJ&lsXD-Nnw{_#`T5izYETX-0LhxbblFE;dW-H%n)wp|ewSS<S@4-dp#+KIC
z8g&fUKa4(dYkiG)q0+7rM_ciGD_X)+ovdG7>u8KJnxV#jyFtwVcus{fkJ$Ctf*DQ0
zHCN1>re@lnYv3*^&nuVMT99gHnxmxR%26>*wXnwgz>NH>3IRMHzC00g^(oKk=*hmv
z^D|2%g!_z|SJ~2oJM5<hSTERGVAl{J*?S;N=tNuI--XO+?`-bexsZ~v(E3czLL;`W
z^}ip!nBaf&d#5Hx=KhY`n<P^n)x14^vQ?ssv8>&_xh14P`2E?Qy)JVuH%HDt>iU)M
zY0j<fnFmu=?|N~HgJGUrA@}l>)Jse5F8g}w)aP%RbEdcF?_8qzE<Syl$5-B~`P{PI
z69m_NE-(GY9jNg2*Y;T*oZpsJoO}AN_ujRFWfmPfW-p1E6m%?a`|VSQT<_g~y?XiQ
zr4_e2S1#-E=zIO}A;(eKZ8J3)KN|bYS|piU^+B`kW~-o3@1|qn&Aq4IF1UZDvweEt
zZYIz9r*@j9<hWX%JrW=gm{}<O#(cufW<lN~QTsQo`)W1abMLyI30%t+K5||;`RVEl
z!4G;f?!CKFTmAO$p{Xv6!V}V(q@8A*-pbV()3i)$s{a+0DM^Z}?_SO9_j$SM#8vM_
zmgn0Vm^1H%GoAJR>b+P!^EuP<kL+w3AFI|J&i3qIVDV(*;+5rrnJ2m?AN@0l=gLR^
zom1XVpHk1M;B)Hte2bHEYhUd<<Z%DivbQYGj9n!jYgpcNY)Fu~ta0P(?rl07EGD!>
z9Em+3@%vEx490}mIVXaRP5Fduni;Fzk9|5?6cAqXZJysoQ*ZXHM`~x*ad+m#U!R~6
zXuu`pwJeNJXxBV<C1tkCu1&=rxfkNz^=0o846^KhCcO4zpxaj`o6l#j*Uaabzh~xu
zzVH`m-X|`brnkK9SbN^@_m9@EX8i~LmisR^-{8=(Rz~yCtP{Nt<ej)?-|anQWUjM)
zYV@<{syl11SvHx(zFYr8TC=az_w$WL={NT8cXi*)RJkwab?a-8$mY47`(J8yod5bo
zW##g|B9;}4KFhtZpE>W&8k^`1oA}SQ2wBWMuKDQ95s!^G`%cY2$b0VFC-bjKEN5MV
zDi<l+ujl)2_sQEg{T<)g#pz|?O|5*#s(DZUds`f9-F!B|#^_G_W5-OLwf9f$(A(lQ
zW7du-QukQ=b|0GCxk~6-)vi6emXw@3v`y~U2hI6EZiPhbU`X#0+Oo_k(0ilO4c$hQ
z@KgJixc*r$?y|#T?wq~0->-b*==mT#m2-ma$tL@S$@gBr`Vie{-?Y`U$?}2S&Bgw=
z6oX8UxYzB>`&>84pQo^otNU=Wx#Ocok7e~fcjvt{wY5KVTfivEvb6B{rtQ~H9n0=I
zHb2Gn?XMZ{HnL~TxU*$hdZE+vtd<qalWY(2zFfDBp`zXL@g-#w;S=*#HCDUb)<}=K
z5MKM*<;~2k-fZO-El>2gI|{e^ZPPd5V9AxWxFy%Xs37OcUa{3B$fz*aEIHF)(c3mZ
zjVZAwZa#`Dv(`_oUhXKs=&N(%n7Bl7>lsC3HxrMo3s!wl)-cw*bEtPm#$NqEhKJS$
z*)^9d=cUX!%rLdRKy^+|*Xku9Q5zz@EHA1q2`zY{RubmSWZ7Z9Z(6kCHrKcpvm)-@
z(R{ylL27%d?}_s*h5d&k=Y9IOa{f1?E3tEb9dG$%vLtu)>;0!9^w*vHek4rA{c`Ab
zuYeu%H@naMY2&Y?%=VY}5}#5}&tbi9g?u|co&RxJJm{J1r3)fy(Ko8RIC^VVePcIM
zzrXRJi;_gGrk+{PLv{)2OO|WD3Zz&rubeY0_Hf;I!FNG>t$LT)UQN2V(eJS0x_@hL
z8b>55{1DK{zh^yjUhLM$1)|TxVirU;SxhP@c+tPi`(Rqeon4#kAB$JMdUxD#?lPBy
z@*jdOvhYq(Z<=NJ_xDlmovUKYEEb3#GE<auwGQ_&*n7Yv&i<Hv%Y_^Bf`fGaT-@Sl
z8`t%F%_UQYqC>iu-ggMKvuEw>`(Ky-`}lXgsn))1IR~9?OkoRA+1zE3`<<1eV^_#C
zlLV2^Y8ri>ze8#jwuEWE<o}n;b1Y!`LIE9~%$sYsx&$l4E?JhGRd-E%k5sct^kUu9
zrux}04n_a0-WuE9_kV-NTYdjLHiycCBK1tY%`$iMAJ;wo*FN{*(?_=RrnXeQf03Zn
z7y19^Qa^`a`%`<aCzV(h${boTQ}BL!#LWKJ>iWwyzaH~8d=<6k{CbXgOZi&%roTuJ
zieJ$d-tuOm)t0}ff3&<=_@(%4N=(n@dj~FFJ!$Wdduz^xbr19sYi6%KF-6$d#CPWA
zwzKWxGI#F%Tzczk?#(~zey%xe_h#agh;viT=0&nBv_2xWG&4i%$3nJyUqzf+t=s}W
z&zgD3j_2`v3z_sU(<|2%zv$dL{hEsJ>9wbJKZ<gcZQkXQ>%3%3{B>t#*EOY!MLg^;
zZ9ZeVu>E9ANr8XkO26X?Vi}i~eJD~;syVpW#L+Y7PTTK0#*ICywW~gGY<#e8-}yJi
zx^s>l4q5Y8n^o?a#qwRRt^XgZ{dMT?|1Uq@|L%WwTyx&7eSc4VcD}$ftuMgdSxn~M
zx5DtG{Gx<eR|JBMRHMGl+HR0)t6^8=cf>?cm{05|dmwMdq^z}7r+lAH`Bo}*BcyiT
zAB|;)H(cP@>k*{;@xpTRvO><jKa)MHme=h4a%NSDgWSoLUk>fO=rd^n%V*E)4o|q_
zZ2C{7vnVmzo}D6}|3tZd_cJbLLlJ?m4o;z#X*Wc7Zf6mVeNkytlE|r6!WzZ-%X3B1
zj@6+x!h$J_<pWwf8dVR!-gl%!Z_UsDuXZnyy7)=+*e#O}ERC17wtBYLe!0_sDfW2m
z<f(Db%=>=cI^;Ixe;$M0<gE4QnY!P8E4vb0_jp3CSybo;i{qI!FFk|y7R@^UnMG~t
zLDBD}mEyAP850>@tV09izZwKf%6|21^|JUVcfs#{ZO=RN^4cC_y``!4{4STThnNVP
zl<be09?0+i;;_l9Q~n3v)g9gDBU<qzwQzIKvW%_X!g;SI>mE;6XIbLP_tal(s=mzK
zLxy!N3c>rcrq&-7@n~hbb8nfu!1q%&>S1CwXMP2&nOdx{Jx%Axix&x&35(?SztQUA
zaoeQ1)~oIj`=&PalGtMv^EO3Rbnl2V@`;;$YNKf)OX^~?hFRBi-I**VPGw>g;P#a(
cX<B>KQ~pZk%sJ0Pn66EfTH7bRcIHtd0KmO14*&oF

diff --git a/posit_adder_verilog/work/@_opt/_lib1_0.qtl b/posit_adder_verilog/work/@_opt/_lib1_0.qtl
deleted file mode 100644
index 480fc96126820209e5c72c21088aed13157ee9f4..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 19831
zcmccoK8ai8sh_ooO~F$?>&J`kWct=@5ngdKhNmqcb*In61?#rIuNVF0a!RaTNd2JW
zls|_m{uECvbbNEB=}@SC!lm<aD^GpQvwhRBTWUrgQ%J#HmlF%5PJUnvz0}}*=1IZv
zFP&F+OpfNd&)2w6uz$|9J8#ZPy?bzby?4aqHOZ_yOWW`DMk+P>Y8;u%d*86P-Rnos
zlq)LFesosMTQ@yr;n{aaI~8X|8|`Yg42xLyQ;hdy+J>$mv)Sus*~cs|@qEvEmd{JH
z^tu0yb3aZz5Kfc0u`IOT{yJ07`Kn*9vJWkuH=XaYKJVS|m499y)t=mSrA8veiMew2
z){p}yXU6icNx1Z)^7X&@f_ZXHNmezFA19vPrmq>L%cnSpyGCej$qW~(&VZL9MF%!{
zwme|{oUtIgZKJ~W9A*Q)9cc<VLVFozxLajLUA67_HAUmI2S@d?vd_WwbC)F;Rb}1t
zUTPc0rKx?t#K`Pj2Mf=hg3JnuhD{R!5?CD%F35WHNMY-erHWFPT8bq`>Jkf8`1k~s
z%j`vXx7?Z9*<`q?JzSg5|0J*0mlO6$n@@akdcl=x7O*FMp6gvkgI3}Bue(-<Eve=@
z&)1)Qq)2Mr<vlM%R6o6w-<Mgxs4gMyQ<Zat;+Chm$Cx&4yk=!yJ}ba;DYw1Zr%5{}
z@~+AfGU~8Cf7vB~OXXp}z1kHriy7Sx&)UWO#{b2w{wovCHtc3w+xV|*#Vyw7C0$kR
zIw241^BfP8o<`KJ@J!5A>z|i%cDdP%U;Gb}CweSd;Qe3C^hdj%)&zee5r_LM84vzt
zPFS#abAqBZV~3Gho?1ZEvP%q7sR3JNM?Bbb!ug5+v3=nz(oX_^9jg6u^Qe9u!}8>W
zS?406cdM|cH(i=B(WUU*rWKdZ-MeDM;F>w__p&KW+dt0hjwzZumpN|#x>+v!mYqB7
zB=>#BQLdeb)^$F+vgxJRM#raBZ+T*CvSuo~E3AAc!_cO5TFFZ2?xKGiR_NJGSe~Tq
zW!B4g+Fc{Iw)B4I=^Y+#Qd{pmn~}`;Mtbg3>;9X&%zu0~@pyX0vQi;caFOvNCl&L+
zCmMW*Rv6YiF<_ptf*~!yFk#IBriB&{Qw<pxtyXd}G2=a=!SDId+GWQK%WYRLo%79o
zT2*oMXX}OpdvCYRfyYzL?BCAjk=qqs5hHSb!VQmq4i`Vpd2pyXa>3K|%L=R3A2Tr1
z|Es_+w@b^V)P!f-PNq-(u72BW&H1@Lz3=i{+ZuY5(e|LAn$OMdV{$f|dHQ~wU-M2x
zXxc^f%8eT5d%2W8B$qMG=-Za9re)T*?9!w53~|?G(RcD+nr*pQedDomb^TV&)yX<~
zn(NJ7wQbB3<P@L&=aO`f?bv85wQTy&51qObYo_0=o?P;KN$&N1_gNOH@_24ZnbdZ@
zl5-z}#I{16*^@c?FK@r{I>;bUEW4`aJyWJ%{GR3`=QPY8p11fp=_mID@yR95JKZ{;
z3GXjj<MN`F=|fkw)n=x-HFn{JEWwtx+r<u89X!UBxL(bouye(g_s^GvneeyUti5|n
zeUUX!=qxr5^QO3;_A$3Q{4QK6;`(r+wd~TO>^`GQ+iO_<$<NF_9h$N3$o}WL+a2fl
zzg{kXCGx^-fxPrnC+_|HyV_IwX{$=7m)OxyaqXru`8}U~1Gdcjc=H?AmLF@MeS2K<
zGRLpZgfWHBSZr3Anvm;Ha?-l$6`qNCYW?%F&Mr5b@{9jL^+b;)6TJVch5l&Qdo{t|
zXo}-~mW;>$GAAtCyE#GEnz2L6EKe;VYT2a(snmdy*%1$HPC7sFKe{iRMfOSHuXD9u
zZr;_eW0;<tFl${z^zJ9@=}kd1C%P1#-L&HJiF;R!cw95*Jzh42sr}=;ZkwXHa}USu
zUnez?X?=m^!&C!?MXMDYOw2eBXz)9}w02q1VY%(=r*po#ORFkeD_b`t*m=2a4nCS{
zX8m$DkJzU03Llp96Mkg;bC|es&I2dr$OTW+E-9{Bd&JO8`==7W*d{%fR27zOGnF3s
zv+_-|-QMr@>3#RPwXNYt8ElUVs`y;&J|w5JnWgW>`91GKgtlE=Uineud~X*htuxQ)
z+m@-OW!AOq(xd(iao26pck)k~ZMkTD<FWE@P+CvY(bHUO?y7BJo*<|B^gkD;du+!<
zTd8H&e}3p(i!H6cZM$AsypfyV*FXDcz18jI+}*J=ZnW1OO$lW6F5Gb?bkkdTE#9tl
zl?2u0Qj71(1*_fUKc;iB^Nq!!qSp*h+8nYbmE4{$wQJ!+TOGls_VTR)8{%)wIpXXp
z`ZY$m@A;Isk5&HJh4*lFe0Xr_-Y@yI%*;;T>}IdmZRUSlQhu(=-#j6GKdW=3T=uie
zeB0I>>E>bj;G1bYam@}>GwxJhb<Hn!->#o&WL03Z3Yv5JcVo`Fwuk2zN<?v;UFJH^
zVqZ@GN48BL?A=vniq6Srso5CuD{YqZMIYC<E{<Ey-JV8jdJnmJWv|jcj^F;1UMmSt
z3j5=fa(2h7+5gnG2ToCDyyk9~^ya<Ik{SCgH45d8%@)O}F|gd_sd6|Kd3W2wR*lb(
zmo5@2dQzKeV4nOg%(B?o?5R=ZJiCY`g0}kiCWO6E*sbe!>*u55RQ9+nQ~ff&?%wlI
z@a&(kq-4vmZ?8-yg=O4ZW#}Mtf2P%5?TFr8Yn}&sb4BgFrj*~&akJCmpXcluH<otp
zv%Ax9_OIfn{DfEQ=Zk3j$jUS3-Ptm2>7^BS5@$1Awy2N&sdVO-<OcC$Oq@51zkB?-
zxwqJLQsA=O<I?r3&s{VU+qKQ7c5XZG%&eNKpsg#W9N{wbcv;HyVN-WX18e!pgzP?}
z1>0&_6=gfJ6srQ3O6+~W#izNV-nN2k<IK?S_lt7YMI1h!e=t8)_)y<Y&Z{-b{U>Lr
z)qM`oozr%}DkArR=!IPiybp*fe1G6=(7)ijLay?BhFPk0S#g3}=Rc9LT&pbQa!z!b
z=^fvtuPz>B?*DKiMngJ&D@WPNiMBu9PCn^Vb31KoV{XbhzHiCN->j9wHJdhmSao#m
zuWpb2%!LBWo23Hf%ws=<{(RMTal-T51J04VA3VG4vT)52c_pR~sU~r||1wzy-SPWt
zEu*To;pk$4sF|5Y`E!#0YX6JOJeRU=zslL;;tT4s!n9iSXE8g=ADcNva^<E4Tej@o
zIomj2F;?w?@j6n{OeUZHL8qR7dkcSxy-RX?BP_snX5mcsvYkauQJ*&_d~M@czcVw_
zR&>`S(Rk?;?|)$(-}amh=(YU)pvHJw!&EgNvq07thq`T^YPUYmTKU5|f%SyB$Xrfu
zxpT`nm*1KBX3rG<{*V<79a1m1_pbN+*>J&)_d@7ikN>aV^W=R~eUz42(qbZ%X62gX
zcFw$5lJURW$)7G92WJ*u*pjkh-j`UvTA_rz`$E%u0yi&bX#fAAuQ9xMZF8W=x;bBy
zW!~0Zm3qS)b2+Hs;F*;DE0@ojx{@tdn$dpNk#8T_Z?}kkm=m0Oufe5!?z5AeH*YRT
z{uI&Me)zCaT;8=0_j}GX-mm<Te1GzU<@ekj^zXiZV0UZ(0@*9_3ehLx46Z*or*O9M
zuGRss-%|UI&F_p|p87T7wZ^QE;({edtOR!^&VMI3+4qx^+v$oYZ@x`jv9s6NK)Cuj
zgWI%q32%IC7wq^cWxzNoM8PTiH0P0bUy~ng-#B+}VCa?wZF8Q#Xy0#@(0?W+eu0W{
z^5jJ?gRZpno{#f%Io5oOO<bwDt^HiX_dVh(m$e?4Ulp*-CsX<OGKEdd@13*u*Eq8*
zwrA~KxhmiP-I*Q#HhmJF!ad8!xv=Qav8IK$_m*;p9y?|8D!c62^W|&YnE30a>-mcw
zIsdon_rKXwm(2<H){Qv-&FaFxUeN<{tGypYPye>yx?iv2{kPSM|7N#HY%TX>mtOWG
zR?+rpkBst{dE4)vo$^#j@R`B16}vPq?DJ0j9c2<;YIiC|?qkp{qo~4-TQjR4zO$LT
zyyJ+gX5sTQhTRM9a@MMU^4z6&?u?5rbCpST&z62srl|bvcNOzKuD_kj#iz2vrA9;G
z<qQLdO#zG#SPmpD$b7I=Ve<lQMK%R9r5po3lNAc}8Uk8NBoiF!ojUieo!}5rleJGj
zCg<l&SHoJPh6J_ix2>Gi_M8p7dfYxrrSzqQ8guzvwHlfK(wi&VR+L<`x|pEdUS+vN
z`xG<dq$|S3!ldK{e;fXTRr}sH%Wv|yuxew3N68E`9ib=BeU=s;Dc4%e8fhSy|B2IQ
z!}i*T%$&2DGSWj_H};)4#T5JDYU;0s*K4;FOgGoODj_PxHJ_Pj;l!!4rro)DQ0iUR
z;q~4<i`OKty;0iU=NGA@cv|DgU55LHymP&N^enuh@@!IP#k{KNDGO8I8SN~b6>TKd
zY#Ao8?59}oK=-8-x92b$^6gAh$`RhnGQ-s>G3ui2j9+s!E_+B+e@km{n$x>Hxxyyk
z%fg9XDvHI=(v{lg1gqpk9@p4$>79YV(Y*`~ucQ+mYzbemfb+b8VbOmDrRj4xS@NPB
z6SuuvF+=I`;Y&ZBbADy3SDfV=-&e9{waJc&d;ba-bIfvYa|n5#^YFyJor^xm3ad6m
zyJ;m{e`B)X{7z2AH_XL~Gu)?1RG!b_Tli(OeaRyGJE7O78fsZpYV(;);niX+V&Vx{
z|ASlfgu<fFCAX^O+(pZ$)wi-Pd#%18F=gh`^rOf386^5|{=go)Y5#ZYyQzk3ufndx
z?fvs%mta%pZE-yt);aHg-`O4N`eTDj*#?UPa}457SqI(w{=n!?|6;on^(xuFmKwI~
zFE#l5`MJeS1&vVkiwC$G!xR3s=$F_o-;$J<eCS2KU}EW|>*k{V`3rYP%TCku-#yjS
zfvuX)EN;H9$*#}`47caM(qrvOyLV@{JKv8F2@iHoYFuQY?kp*M^O}s?h53<h4zIYp
z<GJxvhV?9avRDd~<_GOAYEuY0^z@vy!W731dlh<vofjTY$#IRdE|k*#T=^`zqI^X)
zW2QiV#yWP5Hu26ylWZR(EcvubeS&P3ow(N&r_u&e@`8sw*TJN{NB8<}DEQpB$K3i;
z^X@-EZRb8c$+?>`d+*z=0YY0@uX+n-y!xKDe@cI^Tt<C#?1XvWZY9L7z1(q1>a^Md
z<~Nrfto#^I@~7~D4ZGkI|48X@7S;8EzxKWUa?_T%jv?GCVV2(O=-p2e(wl<9C%O~{
zZd!5q!@Vm;HLjWSn3qjqa{oB5dk-Dk&rh9H%)_2&@SRy<So6bxdBy~Wv;fD1H3uFo
zuz0xCfN`;wf|IE^=MgPl$4B-KOZ!##y<2`RLUEapUO?K<*^Hjwm>&Ad9xwX3-?{K>
zmxqvNb5%slWcJ@b;!>Yn>z?bV^>k^~viGN2)hvBYA{F{biHk@LiG#HhUhh>{uKH!l
zk$BCCO@S+tIz%r{F3CRR(cb#tWp3_@Nn6(2r*O*4cNEpd1+?zD^B~9aX2VvaOJ;()
zR}OLK%++pno3-*sWh3i}&DZ8~I?q10Omgj=nP*l^;XhxqqJhKf<@R1a&z}vG+;}fs
z-0SiG@q3=UXR43VJWE<kg3_#9AJMITHjT@>_Wgd(ng08gKkDyKo-qHOyF>il_Ydyf
z+P~oLRe6Qmr{fH+KR>5%w*Riyfw13v`~J<D8F8y<ubx2cvRQY7v$c0k34HPRk*mJK
z&6l5A#lHEntgDInd8px1Z<g7ofT{DUHuFlByq|N$K<)JBQn^3NuKK<qH7<(Yzuud7
zs_ggmDsd%c=k<S;j@j+jx-Y3{ej`eU@#rnZq*GU!ms~mRuJQ8wd9z9V_Zd>^|5r8i
z?YnEH;jQucgT*4DkbAYMHO|TJ&Rr~aW-~FW{5L0J$;C?jdjj`gDD1X#yY=%(aVope
zmZ^RpzV6<0QSj^^v!rCpY2RL%2+^yJ?Oi*!ooi-RO-az!6&**o3=3YCGBs@KPDx-b
zU%4Qw&q!fwEvuqbN0wqqz*32Y54hM2R@a9Z$sXK2|I5U+3^xw1=&N^kxueQyExuqz
zHS=p3*^9<=|A$XH%9i&^>XJM|w$Q$vXKHwqStfYtM;3fmX};8^HPJZN)Pdy%*W+xr
z(q-$f&C+3=9nY*M_$B6WTY!z@2aoU5+fN%^uzPIRIsJBRXQR3c<J-&ee>_&lPkJ}E
zrg&O)V};!Xlftj+v#o^o3VVebKf1C{{4*(W3u+5HH$2#z_(b_r8D|G`8r!t?<BzW0
zW-iv@OIl_Tvr1m{y?Lbb2FBwrC6mr=E?#nneYwWZd_J>H`(qeX<bPH%_-(ptwlYZL
z^C#{_LIFE!Q%m}j-`(>pc2;9Cs@(S{VoBgm{d)p&FBEp$xZV1Bpg5IXYRgo=2VZyZ
z`6zhyPgzp3r5HWqn4*4E*1Cwp2lEf+X9^$cTgZ8}M!5gv47a+^0dMED9oQ9_`#|*a
zt_9x5L>0cj@iyq+@m(QTcs|1{*Sf5@i(7k}rbsm^$mgv-y(8$k_#v&piIxlNKh6ls
z68I3e@7e+*)ybSz7nxPHxO>msS~|hde%rZqt>(M*oZtRW+MrjI{x>KlhodA_PB>Tf
z<>UVEUXJArAKy&Mo3Yq;T`1!LnbYp~IiDSmUH5kBuiX|`j<XvoIr+QBtvjC_cK*-w
z`~PP8zn>HQe_zD$d9oMY#YP|4d;8i0>FZ|~grEDZc>m2b#eXy7B(@g+WtUzzAy!e>
zv`2<HWZw3<y;Gh}n)u9M+R9y;7xs9k{*E&VFEu+ABlj?9mr+&W#;uxFPu}gBu&m=q
zw0Xs|%Njk4j)@eS{Yl)RbMvKsW}wfW{6|6-7LV<n8T6-mIq*g9zgY9=?SUCfc0UZz
z6kmM6$WQG7>mQv3*)uE^wg(6s@Eved$a(aaVaD>^o>8K92NuZk?yt|_`+fU+c%_E7
zxZd>a<JnpVSNZ=CTokR=mKmNj$yw6+N5|Tg1t*m(=lv{FE#EA5<&Pt$W&z8WE{R{8
z8I$L!*>2Y$B`?HVaviL0{JB@BmGMhYgSh5Gu7fL>W|&+E4$(Yt;uzzTm+w*+PTI6j
zH-**Kx+BX<EMTjN&jTLK9}T5K6U-*&1RUaiKUKTcp?l?z(#5PNR!^GCSuA{RS>@h4
zGkH3u@cY}YXy7>ca(gd_=g)?DZoC&x?)CV8`8`iwo9d&q&XN|BOLVPsNbD2x?K?JS
zX2hzZy?P&Fm(7w5D&nwRVDbJ$Lri(%?SkC9*};39A}(^gJ%6iu!H=c|+#A2$XLUVk
z*Th@0^v}!9ajSQ#HLItS8W$!1e(p_r5%l}KUA&U;Y5TuU*W`D-`X8;ledaw4Mz>2E
zNoS4-F1hhCS>xm8cC$t7{tPVn_o^J${kgj>^Ss9AVuM9OLFa2z3lfvxU7K3$Y_`X!
za$Zlw62VCQdlTlpP}sfK?bgpj#i{IaTc-NG_&P+!b=Wvo*M~UC9$Z=frFs8>`v-dX
zs)~5-=q0Zf-{up|bbL$f<?OTd!AhxY>0Qy6cn`c3nq_&i#zmQb!YzIOg3GGwFCEi3
zY5dmk1Ir8M=4`k0wDs5atzn%l&a5Yx5_7n%z}E2t$JYn14b3iu&WkXPv8#E@bn9#F
zWH+PAZ6d`pM9*8KC*0!<xHMZ;QFp`6S&Jrxahc>~gw(8jPD<P&x9#@qj@SJ5koj9~
z70=mSTc+v=*!fF(3VlfY8{52e*6&QaknNjoPUx{)evr*GYN+0&Qm|cu^J;{56qo$|
zriByV%${~f`>@ozykqOVT~@3~wmo0kzAiCRDRHXCk=Q-=4SAn<{pbn4qVlY)vtr(+
z=_w1pzBAexI4jyHs@XDZ#$fDkCPiJe?SD5%V_Af3*;2cu9zF|ubE>?mHp|z%64JJd
zw*6ctaE!V7oBNNPEU^s>_l3HbYCYhTICbfPsHo1Wv!51Zy}D#D<<-AZQsV>Ez7srE
z_IrzlC)dTO&9fd^T-Y*M^Z}=b>*Vs6FCE$@?fH_NBDr#TN05+yz|j=D2X8uM8ny;U
znhCx?bcp-K2JKeAgDZbznzNpmylXBev-7!SGiC40Jacji|M`6@8aU3q+}_LP`Lm(P
zjrYRGy&nG;zvszI8<4g(iRE6_w&!z>o6IfBt2(GHqrW$8|C+B%-M(7)_p5(!x|%d6
z&Ogy~Lbh$=cZt`>rJi(@e<|cP)ZWy6<vOq1$NLF;yWTE->$+EM=T0dd!6%^>POD}z
zJTYIIHAzfheqEVZTg3_Pr*-_Bk0{=pT^G46m@oMFIi4_seS0|GH*A0I(!nbCxy#F?
zCv)>Y{}~Va8I=sIn$B`fx|6IG+P6()ihCs~aSW>43RWN4tMD@D=&55YT=SDZAJ|-&
zZo#y8ok5nUrP+2LX(q-uk+n%PF7H}WaNJa5;X7?JroG|}E>ihb2VCae-L{8I<MYkk
zi-g#2)TZ9>Pkz^SqS$#Fn^EPxpAkzYZq~my!TW{6ZgIC;Kc^L^vTxfm)o;hw-FqAb
z&;AihO18W<n8(UtW$KH(FU{{?-9H*9Z=4psr6`xxHLUc#-ooSBi&jR=xH`?{$=9nM
zg&#|UHr2<f@~(Sim5{queX%c>rrJ+6Bb|x2SS*~bTQfX4`!H+LqnY!irm1{TE0I37
zdc_^%p1h8tov{}puDOTKy%54YZ%xbE!<+8y6JOxbQLrQ6-mi5@8JVwLGMoE;Hkp?$
z%=x)XW$^@eO;u+JBdupaVJ2&iS#dCZ(NBomoVm)<>5KedX`gnr52?=`yN}Kd60lIU
z-FxJy%VjlP2CIF)>!$O^w74V`*xl=1(5J+jW_hI9drH9n)Z(pc7A_0X2q!hol>BSk
zoAg5W_j$X8O2VfP|8ctZeCMnGt5vqov{Pqv6EaUa<D$3Z#!DHEkDDva7O`(;V98gj
za#+W6cUz{u#^>S>i-dyw2YVe%YQK+9aCN-}tL)PE>zd9>E_frLmh<oLQHHdoDO(e>
z?z|~8s*?6QHrd6(+vmdz*7K_;7BjfATx?|S54iRJO-onbgfk(W0VK^=gc@-itbYD#
zio(yJ$-C6_jlO;f?dn>owy1zblch7wCc1Wu^o3a(5m!S5FT6UDbYSX-)(6=Q-pi*K
zTvy7!I>UY%*ZeT4g%j;pO}o>(K<b?m<9hEqYHN~tFO{~Ri;h%U_)z1>-O&4nyyjj%
zdcIy!d1lpFF;8oH%EF`XjCLB%iZ+@>-?7=*+%-b0N@lp2bOyZSC_1pIu;l@3bH;+~
zw2cbe*Dx9ITcjxD3hic?<!+W0cU5)%vndj79=u{t<yt#_K0N!z_Q~>dF+OuLbJ+L3
zVVUD5aeWK_1@-mSrF?30r&?F#CVXUbxg?;$sq3}v)S^|gi%djV<!edF6EPN&2NRbc
z+*`EG`RIrKa9+Nr)e}~E`)P}OzjM2~>)quEwVO|dPLcoc%A>x2%Ex_m8O^qHC!|?N
zC9E~O)S;z&LM<WZ)un{8zX2uA84qkKm7e%7tqo_nn-uuVui(qgyC!uE(?b(xtuu+<
z{p5anQ;_CFm%_E1R$S(|cg3iO9&_wpGnU+3RdD=q@t3{Z`I)xs`<1)#{n;m3KSMTn
zUO@D*m;=||+<b6$=j8>zg^w#tcYkN#_kOR!oBbRNGh_lYqmo~D{QA-Q+2exZwlsn7
zGQEu)6}DnZ3nw4zP%Qsvr_{IRp-PSAVvQL>Y6bzWIt&M1StLBzD!5<)x3hs^*>eS@
z`D-{?>Z}ryrs+-U7s~FQYS8!O_FQF2lY<w2#5!{oJ5JEN$U3XZvr*x)rT!<*>Azmu
z-R81bRnf9_p-R}~rjOfx9NYB4oribB^^AnT<IRgr?<J~n_AS;a>{4f%?PnjCyIREZ
zUt!YUWcM01hGIbpp~h;nR{pC$F3xxGb^q%>Q`dCgkG{V<I?7uftL<=Z-}i2+W@OBw
zD<KJcqCa2Jy1Q(;3Q74EeQZUNZCd-14IQ69W*KBIintameD%$7k0V{LU%oEhH)+Qt
z;S|AC_YSA7cL7gB_C8qiQmVmpa;TYL-t9x&ubQ=6y_T>1k@%bSM6=RdPUYfr%Wl@*
znd!qhh5x+$iUy7|FSqwHdH!tZa^t=5aIeSz=Jz~#YO0UYPSSlW6HohUA!x2K>O`FJ
zwFl?a&NtpwJL2`5Y1^^+JAId@POW&Y5w%fV@QbUJ;LfCZ?*ym%e{%9VU-9J2zlke4
z=Q<k{M?Yt1yS6SN=dA659lxXv7^j3OI7Of5Jo4>t@}u^JbLSeCZdu@V=lKhEJFA5L
z^C|HQl#G)nuX`DEWlrz;xJs8}&AZsdm7Lq!&oSVeYh>tMxhl{9-PvW|H~;6F%-Is?
zpOE|NNW-pw*9=*c{qAY|+=<@O)O}pz-R<*b>*t<h;al-9vc_QU<rxf7#{&|tygRVy
z#NG$0AEg&)H-#&jr<^zB-|<eV)?lvQ0?jIqa;3fN_6BoT`u_B<kf~v*nozV*`kmZI
z!BwSlE3@yW-!E17Ve{ORb1|g)x^InfoqEE9O2sAXmgyL)t7tQGn24o?GRo|E6ww;_
z{@vREN4r%IIc!9paC=uO{*9U_)H&bD)oIO>S5GWfY+Wg6z-{czP{#Hwp(kg}f{L9M
z28}`j3QnO;oJU?gPd>PR>ZJ?WGVkZT%ud_!{e$ZN0@oxZwepA@)z98l_CeE3rF8l`
z+zr-Meyu&yI9c^XwXf0kgJ<<$7P9&(9P1X=$~u2PYw5~!Q%X2GNhv!$jHC~ybY1G^
zy&8LB!oHMP?a9V@w<kPHy_C4N`_u$Ghwttp?;3x(FHPF|o@<HL{!k53xz}b{G1C~P
z+{md)I5_j}x9zMN#pjnU64HKBo7!Za{LaXt*!kacqe?#Oh$V(*`u8q4yil-abi4I)
zcX2BFn=MoQc)sr5qbYdy&#|Or%d&5;OfH3G+|wG&>orcfe7|p;zt5xcEzd;>vB*|u
z=Esk(&00GBOsKa1kJD!VCVc0e<IrCn@t|({g#~l{4k||dePnp8Ypc@vP;I><kHmzw
zO>>#)%YON&_FUIf-?rY~{3GIczjW<6KbK2q|J*SAG2<fhgn+~84hNpCfAC<f{lW#-
z@=6NgaViFWcQh5=+*Dwgae*-_>bKYYHz$s*HQu!QoawPscX(MvE;>3FeK>LVlE3u2
zzs6^lA8`z8_ejh7J3(D4^V_Y5(kxRfHf(91^{Q5R-Vcw7H$&%KcMG|k?`)YY8>C~m
zTh?h2Tejq<xc$j{c8Ts0xGf*V$J!%4|IX~CJwHAydAgyIbERfkuz|$8XJ*0PbDfWU
z;duV0t9{*$(!6}9?)@G@W%3_f@5MF0)ViCtn*Y>VR*rv+K~CFkXKO#n`~K5N?fu2o
zEu8KXfBi~*ljaf6%hEFMVgK$)8dIw|ezD6Q7hL_n`r1l1v!p|p)(hMcx;JB8&6&gl
z6HcwV$UoWc#@tTNSse=$+TTn+)Uqqz>6zI4Cp}f=dm?Wwsrr0HD{0#a(`9jsxb?L!
zmD`ygy(`1}Qa7?{lU2hM7O`obL7`=jXZ`%WMsf90p*@*T*00P}U$ioKPkW2agQGXy
z9=Lh38u__ge;bypa=tJ(RoBNpFMMmavg_U(*ZliaKBwO={3BSqDRpW!@2sNs1lHb|
z#dE#xt3@uqr*rY<U5i5(I~krFXw91RBXGXdqAgn#WUQy|XTSM!-NsmnWv@N|&hp`~
ze6q}Rhw;&wYc_`O`re_MaClc*ao~i^*Wp)nZ1Yo2?3a}}*S@>4?IK(71kt$jg~hj?
zT<W{B%E<SGDf@~=+_}dumG64<Z@$Q`*P+F{Ee~#ZF85w?dUwvI75hThURyq8QqlXB
zPooNVI4(SYd)-IRpr7y319{E(bGldF<?&c;y`ZauO`v0WoTsDstrwf!u59{n_XKNm
z=c4S?mP^}LW&M*k-S#5XK(zZ)T;+_q8AmpR?BZ|b`MQQ>L;ULQHw95;9mST7HPd7>
z&jh>gO}>3wS^D4Gvz(_I#5Zy_%{{dA!%oM($AWS*9HYas4j<X^_{}Y=IqzkE+O+>y
z{u-b3^XfhY0i`#M?-$#PMIJvrUp7_NSS(Sz_qTf2eWqQn-Hz;X3-VrgSxEf%-kP{&
z&nCQ6ox^`y!FjG^>6(s)$k%VK8^r8-zbss1n%(=lwEB5-)@SBK*l&E*B**A9DK_a@
z-RULkU;GhP>s}x!KjW4d#|D|@(^}`8pT{ym>wNc`S(C~*mak~6vA4@S&A_lXr7lES
zbj!!HqH#OKI~70A-Skyx*FrsYp?fz^#9n)O@x+cNCl6UoTB`luP<S2dT**n7UiChf
z;#(@lwd(x$RkPguuYNT$bWAMt*L)x7xxH##`fRT4{6AV&-&F};Z~b9Q1KWl#+v7NQ
znBU59WWTaWH0}heXWydikKLEIH<ccVP3?HCyQ*M4qe>&Mh*E@86@T9f{>uWV6t>(l
zJ$T6FXeR&M<rT)AGer)`AHP$5#lJi)H`Z#BlJ!};*=(mCG{pU#5V>n&)a~2i^JlWo
z>D_Q=_r%LTHk^sxU~%ENLHw)UpnKbUjPCGP+nuPNn*D2OaLfMEFZ(~g-!RiG*kIAw
znTbmamIeP)Xbvh1ZBRWZxqg9~UGb~U(+v&3znV6EgYKJ$RjtzEnR~WPZ&r}4uhq<$
zexdf7t8fML{xwcp&u*{WBcV6Z;+ssJ#r%v*RyL20h`kSf>AUC1gWuAx!>5O@{~C9m
z_s{hsPOF}5zy8*_W+q3r@27RVOY=N;o%*PFyUxvTc35%wLyvN8^OOzi*0WVL&$*eo
zVFy>U1*5CEWWeK}Nyqj~`2I%9xngJNvq=u8*SLv)WBO7u$7=V#phUg(?`)DkhHtBo
z3fYqKEp<n!h1{bn`wl(P+|HT4;|crY+fVig2IZDq4^(B&&%J$EmhVOV?xm*`*`ztX
z#>G2sx^-kT&y`mnJWp(GUbu)m<;tb<6{r5qH~98C)Ou>m1CPq(-Yu)P=044OEUlOQ
z^Wl0|AE}NC`IZ-kTV#wjyLO%K)sx({Ve!qyE!WF7{rMoe)cn`i&fS@@lg>3i3OQ@O
z_V?$XTodO`P<M)OzWL<Bv&+8^t~rwUnCZjJZC0Dr*#iSa!Zp;EnO^*V=8!mVjlx5*
zZ!ZHD<i}ss-~axevhe1k0=}V#YQIKrTCh3$=b<+*Tna;qeIH1>$+>OwNIS#H^ZQd)
z(b~()Kg9i)U1hLl(vA3-2MOkyG4-8WV`L`XulRn$>uE-|O<C#h%Lk_^I;kscFWk6#
zz3%5pbNhGP-MuV3l}*;GCNB8sf?a1nYTjOdvWE4ILe-t<({4WsDhqc`+SFj7t{N+R
zQ)-Ia1$K`&hi81)@jUo4!}=ozSu7u{=bLR#*ivCPc{0Cq=d+U*uVivJaBMM;e_C_S
zj=|e_TGwpvc8j|XudmjZD2K3Vc357Tctlrdk%424iJ|-iEAIRP;hguE+;)2!zZLt)
z^1`<<+wFJK`fF`VS!bsU>It?~9B$iC;`pH>ZT1%<!M)-?wJsP`w21vTdG|8EBTav4
zSG|epX9Ii1Ws(m9vRNH90)IrU2)Np%_#!_feBldn+A+baVoz*5SQq!zK_!owu_NKn
zwOLmyMeJNBWqh=8Xlt{4nUt)sch6EaDN7ZLP$Lb4lPU%ZpEwy9T7uIYDxNPmaP)`u
zNv-&}B@YGlu8G}Q7a{dN<>PwKfMaXYMTJZI|J{r<I`~xMiEQls!d^A6ik@p%RG!y#
zR?Jy8J!R?LcSai-XGJUhYPKx9vFv9U@5wZSt{}77>t@-<EG_YT&v=&4OSbg6|A})y
zP8<+UlbEqAw159~a`vFu)I5HietMg}YLqUY<s9xBrL`q9RIEA!G)0OI7<sfjVEvG>
zAiH6s;`Rh)L%xM+N;%4VS!SqOB}NI_&iEyxdD%mv;#*pS%becj`4u(+Zx&AU5>YIE
z#;(*hXR=C8q&pewrXu@F_N+D8F>&Kx;bMVV?rjAj?{gYY?Aw|2K~{Jvsq3crc>>md
zjNre*w&P>Om-XWD=96C4A3PubdcDb{)XtuUW6$6GOXC;M;kf&&?S-|EI6vEo%`4)5
znsMIhh^e|#q_^Zmt6kNiY`Nsi+hdj-iM^@)TKAIqHmhm;JYu`*D||%H9{S<QBQ)`I
zWnEDFgj452A~s+9{Q8h+(&x%U-j#ovyiIH7@8Xb&JX$niUGclGXI!J_C|UA5_4S=N
zACvmKXWpNM6L)dnz141HeKfUs-In_yo{op_yZsKyn<Dq5Z2JARADQOAdcFNZmXqL{
z^85`I(Ou7Kjd+sV_&*rPRIF~7`XFS;+q*hQO^@@)diy;QleO1PRyq0SAXk4so6Y&p
z_4l6#@lS7DWiPQPZuhQP!IKlMmmg%)|1GoNxj+A#t9iYx$pU9(`}=34uJ-<W{>=sb
z!|}J)JY3h__MqZV|L&z1Sv@w*SFXRzV0&sKgUw|{{uzf9<(8!9o&8vuQ`kPyc0p*k
z<7=sZM?H1!PA_VX<6LwlB=$pccHimjwm*9Uiw^u}mHT95)ivGN@{x($*4Mi{^f##7
zFi!DSbFp|}ZeHpjAU{1p)Sb1&d#iY`nwz`u@k5($ZWFWr^XYz2X|Zy9-eN0`7s;II
zNh~T&cRz?andJQb^zHtalIR6*W0F)2#GWbHxo<ktey#tg$NcxNcCY)+{59spnHSUi
zj<M{L<jCANU87Mm<x%Y?lV4jUx)?G`O7`5}<imXG)A{lQk*Ka&4-#_h-yMAt9q}mR
z$oDiIMR^au_%l9N9oZA5m*+p{$=+;vL9@+0;<Y#H$;$1V#|uoCek#ei&AsyGEY9z<
zTNg-etGxgAWuIwM<+H!6jtqiaolAJr7MwY}NjLA#?g{5uHa^U1U&Z#rg*{@<mAGxi
z>RH$J|D3{-$UUoP;j@d4U$XA@-hKGCe&vpd%W5ZzUs1lOQ4*%V)qjg!MI-Ma`)gr^
zOTYWs`<=;k4HFFYT^{eTxBj{0tjtxLwYK_RiI_2=;Qaq@`u9HC{#oAg%Z~5t+V1_Q
zf?D}bOg|E)_qI6rx>x+mt3vjhVssqYQ~fWPXcQgXd+pRwHvYYnTG;!xXiYv*G$XR+
zW!EONS6gCkAG*Eg#9^sjJujZ@68q&^v-3ow+O%`~?_Bw{>(#PD?o&!OD@-od{+M*&
zSNh}W$r>{jSlwP$AtLdlq)Cn6<f)RzMN8qg<qu{?ZsgjmFv+a4+2zjZ4UYDYc-~Jw
zH08eRpMCp(F4;WI{`TS~i}U}S93Jx+vYdLX(<W7a;{40{stwXBRyWp0>vaA4(9xT9
zr{`On^7W-s6ECK5bu5Xw_a!8H#kBhN-s_e3FUXzT*U!ym@X+%8Vgsv~tY2OGy_YF4
zwF~|~y8fxfk&@jGE_ZexvOTOUcmMf@c{R(Ddf4iwaV(3!D5V|Lm@OyYUS#({SW&ap
zL3U%20{17jnrDs`mFmx$#RNi}#Jf1ImU>ure@j^Lj$?b1sps9v75z&qLxtAPT>n5t
zmnC!ViK(vT?siXB$4*NWPv6cfA^h!qSC`Psg-bHdf2%$JszLtk5#t41i!xR(t)3io
zVXt>o_o2Giz3LY|mM2XRjNWN#qa-IWp|{n`d#1SHMRsHBWhIf@!c$$J@0+=<<W)!C
zi>Yh78=ly&T|eQHWrNI}d%vGVZL*)X#pjsrm$*~yB3pilEVR02(SM>s>*r>bFCi>W
zEgC6RvB%T@Hu7;#z42@zb2-ahM)Tjd>UPY|`S)Xj{GHG7pEnpwAG`3)b@`vW51Whp
zdpAyz+r}uh|IJmeXT=(GHm>M7!jkv*_v|a%F7`2TpZd}J@KNW9^-sRl&U?7kWJyIy
z?AfFI$IR_ih4){by7Nd_&8_}(i=Ir~VEVjZao|SVgxtKuCt7BU=1hsd>Yn#|?N|Tj
zDJG4w*DV&ZR&H`#`quGR=i|(IcXbQB+De}~21=UCnr3@UQ*<dx&yKeHtRTe9tmfW(
zM|sT_{#2byMvD`ajZ~NKb$ZbMJpcW}?A2?os<)+h-g&hpbE}OtCztokCjtzXX$PVj
zd8(I6tx%Wp`MT!9+?G<gulu<&*>863e;!~GH~CDR^kjP{mdnR`)jn+L5~=>qcF$Ol
zk>`T%0byke5x4w<$||Blj<U~F9nBr`-F1CWWZdQc>STE}<?>`X^=_dxKKkEtkNQ6{
z<UQj5tnP}c|Fg;~e9L{^<MQ%1zTRFI?w&vM?go~^I%(CIs|5yiDldCiF&#U(sI6*A
z^~8+@-P>lJK6JC^?y23o*Ztc6|7CaYt{roaUb0_#;@<O*SuXzn?w|YQT;$R7^LyK$
z53)aYOzDovY`eu>Vfo3pKkfSR<<jD%>}%aiLY>T_m#4ayC$i>lR!?<`^*g^ILjGA%
zQlnUs{INoxc0mEl29dn07I{sZcF(_+z2So9kKT8z8Cw*u<=&3Dd#tj}YkS?lCvlrK
zruy7E#_>4)`;)rkg8hHaK8rnO)A@V<0qMnZxBq>aaq-}~m}2JJp}CvA+#6z??n=*3
zQe=?(5ZUfzq-1+6W2u9HDBnW)MsB^WNed<l8){9@?VT>-%yW@r{ZZfaDH6Up#h>R_
zwwso-zl~nleBs@pmwWF0>|3?()c!xW_RV}TWA3T9=Qp&eeav6AaFe3Ucki|RTh#I{
z@}5}xj9WxC<J+sc_1@p4-p%%o=$ah&b?&W{{D<5t-+gqDIjlYB-?t*0*H$_~(F&7X
zviQFxYHW3M;ruAf_gN(^`e<^{x;Nz$qaDBUKD4<jarME}=wLz16>|@WD4EKh-v3yW
zW3v8>Sw{+_Hp<-D(`)~uN#@s{C7l01SRFng(J`x~`u_<>)8D@9I-c;Qx@WAsx?;Pk
zdc^UizDGiWth*OiZA(vNUdZY(-7&?tH6i|Uh1g}&u()ewmRF5bDkca^x93OSyd``n
zhT(YNuV=4+hh&;sPnxVJ-DK)}<o*+zi{d#yZZ`6LFwER}|D*c94raSIpZWeQH?^F1
z)x1M`OU$b&%zZ286*Ej(X%}R{z5mL+1<s20AA8-LOai1=ZV4zWOV*mV$<!s-A@w+G
zp`(5N|IcipE<%|<8Wn^U4Xkf}WHgD9Trg2Ijn8t{$N#&Xo=mKqdZbum&JAXbM+RK`
z9~<#M5HjrXZL2+?ru#j7)%uUhd>?D)tY~I6Z#^h`_PdMf9rhm#+11<U%|FjR=|)U(
z>am6C2ku<5>}&~MR`EQ0*Y?cm@lQRw+Uhpz9rKv6F!l8Q?;g7sdYs+Sn)mle*8JT^
z(_WQNt!;g<nWIMOqy2<OCqA2PxTJIc%|*_>A7Zul9~mzRRtf(Q6D;87<hd|HqP^TT
zdr_%e18cJG@o=BzIhFhG*G)gy;JmORilt_TPxU6g=ob?Nd5;9jTw1-@?rVzFj+t!|
zTa$VA9h|r71V=~ziQTK8@b&+F_xIefnA?v|@N=49sh!p9yyIo7@QS!;PtCVa3$og^
zk^9}ZLe9=f_9q@ISuff%f6XMnBBoVZisB`O+DqBg1=zxK52%zH_~%UC^P$e}hfI8_
zpH25A1<RsUTPCX&h{T?}|B%JWyzbwZ+sg`M!`vUFeLc6?yzJeZ^QR^mM7?;u_sx~i
zie2|_x18Q-l5{iMEM^u<U*Oa$Kg=(PdF<@kAlXpJF=O{)t1aR7FFV`hzh<ciwyMP6
z_Pv(f%o6p%`=aDhC;Lm1{i((b!c%4>^*qq@^5DAp`(jzTbHWD8MrH*u{s)p98JLwd
z59M4|G7<LgGO7ufxiRGQ7Pp99n<~z3HmUn_J!<}RM}wQ{UH-}I?`{z~eIRkZRme^g
zHk+?*_0MxWdoC679EnfU-yp2_FXdL>)<T{CS#B9E#e5$f9P+<~dQ3?Y%G;wgdB*+Z
zX^vbQHZnLZ`*(P6cDvFgjTw{mj{1G%TlazQOU@(iHJ5CU_+68K^Gsv2&yy*p4e|DV
z>^7pgMy94*-X>gCMqG-;hK6YhWjXoj3PuJ-26~1N%D_-Z!7DLO!N>y4=Q1<~t29zD
z)HCE#%rrDeQLr$yGy*eI6^u;5j5Gy90|TyP-~5!+9EFh7<cz%hoc#1kt`ui0ec#l)
zlKdj~qQruX%;aMIT#%ZQ)Z`2^lX!@|`l%I(xdl0?#ator`2{6l>kJeO&CCpq%*-uK
z4Y|VX<1KT7GHlaBJ^kX$t$fVl!~Kl2jkpX<6bvmDOccuVi?S68@{2P|;uBL+;w_Rg
zOX5qC;>#4$5{pX|47h9!bqx$sEX)io(+pA#QgqFX4NOwgQd4w|Et7SPj1o<_@^uSK
zGgC_xbQ4QU^5YYeljAef;?werQZv)@6m-Gng9+`#<YcZW1BDXjvUL9fklA?-U^n~w
zSo>Q;ykl){$W@k@R2*NFnx2`P$`xg(019e^k4#L!VV0y|2=c0dfr3YJws*c?s=b?s
zsk6PAX;OlVOSq8%SCkQ0W0HbPx?!+qzJ)=OlS7t4m_b-oaJ)mFA(v-%o^Mc9Ky;|N
zsb5~Mzk`uQhDUs&F;|qaLKqG$Q6>s09#;Ck#mNc<Mfq8&$tC)UDJfi0rV8bTW~LSv
zCMFgZ23%2Q3Kp2E^x+|_SH=}(uHZ&MiDIUqk&%J{mydyhk*Se_1y_`Xg1?Wo4^l7{
zfkME-RKbAD*pMsA669S23wX?%80#5;0auiv0a(sl0l%F!Tv3Lg(6%&C&@D<W$S*1>
zD9TSSN-Zv~F*Y!$0Y_vFC?acg%km3KYIKWB5=)A0^YZgjYY3UFm}zKetYE+uWoV?3
z4>k#s?sR<=a!WED%W@SUte`v?%O5TlieLq}fz)N@r6nepa0MA~m4Q;MAy*J2Zz0lz
zm!)ZXbY763Nw$-}x4Ub!ze#A0n;}<_5tpa6t&5enlb4@?VR%w_P?U?UqkEvY5m%5g
zSC9!;kSSM?8CQ@wD2c&?-^5tKoGZwJ3njdREV+UV4Y+~~!B&I)7G!7ycatBco6KFk
zUE^IHVtqY5tlWb0L+n%Yd>o9xZt{!wa_~qtNO3mIO34XvNwdm{$jvs!=OzOa1q%|~
zlm`kYhdfAl`Ne1EVLHzt!owlj%^*L~)!E4~&(twDJUBkf80@?N=WJJ(MBhL+A72B<
z5a&26FYg>1yv{Q+QZOUkc_4RzW3;$5DIQA*<``HdWTo4N*@n7#`)2x@C&l}^WI&wg
z;hmE15@(v}?i%FhY-b&w5M^!<g4c=01`39Tq`DF0Fi<ci=jWBh8zp0gqKR!rx{rsG
zRY*#XySbN3Vuo#2et-cu6fH9|QyhG4%)=bblhaLet+Uee?adAGMY0)bp;(rZSdti@
zT2WGzm|PNHh9z4h<QONJ#d?K@1qTP3TKYNoXQld^Kmst;&d$Uv&^goG#?CL-D8Vz+
zG}a^tpX&@wO%;qu$`>xdLGd}MX(jP~@xhoO7Z#b36Oohdk{S}^mgkXW9haC9kp;;f
zPVVLz;eL@0hM}%OS&j}tKDI_7#(1-=g{gueN%_Me#i7`t2;w}4ym*IVt}>8wi!#$Q
zaJbjj+rlRx-y*{^FEcM9&p*S_(Zweo64ME(Zbt5z?iNv@4w=~rrkM#2#%U(_!qV8l
zj6C;3+y`~AkG~6Mu*N!N8AclU`iA>iIT_`fB_w6p<po2WneXkCW*!(}7wzC^XkqN=
zYh!0nRfR8DjZG{Rj4esYGY&Zp`3@;uWj_8cSTnSZPf)12t8JvQyMw=Lo{2+jLZ%rs
zJqFmDIma4Wx}>>yCOg}idqvp><Qm|~EyhOX3Wj7jE*_Mh;gvIH09simrX<<KCfenA
zBw8j!1-nM)B;`WfXp#|Sl$;gq91vz`;htulWRRYg0jd*lS0xIDrlgcPWpH;Pnq{C?
zk0DZX2-1W^RDEGio*p@NiMDacaqgBu!8zeU7LlnC_d4a8r5j~>m}gm-IcBE0IT(6|
zh6W&|OT5h%m<#mExbU`JkkmoiFoxhZjDk64epD<rL~gK{z#1$dar})Hge5gxipA7!
zu%MU@ZMeWH_oCGF_|%Gm`1}IQq~ezlYnE(o8|CR3oMahjA7v725f6%QBQDRJytsfo
zbMF9KC$DhNjQkW&A0LAhy!DfrrGlXe33;syR5xL%G`+%otKvKyGc7WVJR<Ck@*EPX
zygVTVfO$@!f4pHxWS~i6mU~i?V|=WijRn3uW@t%bvjgNNQ2qkZ*qa?`eo<NB3F!t-
zc}9uGp@vR&4prXy5a$_2+W0#;c&6Lr#QDYBMp>IB8b(Ls4KqU%(wZG0XF247njHYj
CwKI(X

diff --git a/posit_adder_verilog/work/@_opt/_lib2_0.qdb b/posit_adder_verilog/work/@_opt/_lib2_0.qdb
deleted file mode 100644
index 8eaebad51579f46ee3e2b42cba24535c5fa726f9..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 32768
zcmeaxPf5)w&dgOvNlI5RRA5kGU}R))P*7lCU|?ooU|?ZD046j(BSH!%i<v>sf`eCn
zCIhqFBL?|}a*yOQaH<`Z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70X!kl$jrnpE-TB}7+I2-
zl#`lRT9K5KpPUV&nVo}N9Yb6dLL8lZToquV3L0sdIjNZ`3Z8x;uI{cuIts<9g-D#t
zs#F9oKP{~|wFJQr_45o2b%mM$)~Ttf&&($7EX&A{nU|7UQCyglS&|x`SXz<~=EuXV
zjW>j`KojpAAYa8YvWrVgGd2psTn}<sVrd14VnTO2ND$rW3IRc$zK%hW3f``fItr;3
zsksFud5O8H3L&l$A)34l3=9JD8yMt&%YT#qDE~(Ox%?yfyYe^WFUy~kKPi7ie!u)K
z`K|IBKu#IOqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd72X2?1sSW(Edkeh|S2B6vXr4~XCf
z5nLdG6GU);2zC&`1|nEN1Ph2@1`$jkf{~el0W$yZ%pm_={<Zvl`OEUh<#)@kmtQPD
zUA|ksUcOj9T|QdgU*4HIt{*jJGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n25ks%39vG9Ffj=*
z7JF^r;s>+&nJhiZx%j|rKIV%q%eZ*KY+jBo8yPMhFq=mvOMVj<H<-=MW-M9H#RX<_
zF&0Via&dy$oJ=XAE4etpY!1d^5i>4!Fq@spQt&Sq8<@?;SR>HL#R_J#GG6Cf#l-?<
zvoLMv{>;S;W;3(8v90A|0<)Qz!<oa_8Cf|wm^hfjxWN1WCxQ0=f02JH|4jbA{0;ew
z@~7kv%kPojD!)#Cx%>k8nevkcZRn3WY%~N$Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1O{aYu(2?5
zFbW8ODSm!1#m5JxczMAT4-c5)<_1$-Twscm6HIY%fGKu%FvZ3OrdV0Q6blQOVrB+Y
LOiU~+oE)40|H!4s

diff --git a/posit_adder_verilog/work/@_opt/_lib2_0.qpg b/posit_adder_verilog/work/@_opt/_lib2_0.qpg
deleted file mode 100644
index e69de29..0000000
diff --git a/posit_adder_verilog/work/@_opt/_lib2_0.qtl b/posit_adder_verilog/work/@_opt/_lib2_0.qtl
deleted file mode 100644
index 385210ffd2bdddcd8b3815193c2e2cdad0691d2e..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 19491
zcmZQ9IK|hQv52{N;^L1pGZrzM3Hp|Bs4eO|pfICD@SuxH@!s<v{`?2w=M@YfaP%}I
z!}PV9flUAZGqc-FW(0{LVKxQ^hO-I`40D~)WtbTl7*<SRW?=A;nw!eVa9x)n)suz&
z$wHn@L9C2^(->dbvN8ViVC-sOXS6nAG)rMrJR&@o<GKb1*VH7&j3WXJ3=E7<x|v#~
zqm?+^!#KIN&S%uHV*!b#)HAkz^8UcV_n7VTbq>a}a~NM(fNW=cTEy7+1mrlzfK!aF
zt*lJjEg6;T*<W)^yUKQW7boLdH%5&fu*y_v#zu39${a@5lWa`e7cwgQi*<9fi?Tno
z;$VE~#<&2YvQ&z3p($9UKnCN{gX~P(H!vz^iUhMU96QYrK9$wcnuBqrE2Bae*xXWa
z#)bM2)iI1mS8_33PhfGpz{$9BGNXbTM1?=&!ub#tHjIBN7`Of{f5&k892fiPb<A}!
zV2O3R8QaR4J^!&Woj=c5HV-Ve&z^BfJrnmkRwnj$Oh)(E85kIt^Y?Lqg5zo)H`B~_
z%ynm2nGUXIJRi-xh+%O!4}0|-#@!|_Y8Z;XU;fQ_{R_*LA3Thc4>6{+fSvJ&n{hiE
z4^xO8<GWl=#)mH$dk^q1DQ;q9FXdrWzR75}8LTQQmGQ!9#@$m`tt@yMi~N6fGhJup
zV*hNx%$dN$==qt^;~CS{yKGF~I~W-mco-v%8MD%urr+gaD(+zBWaMGAG-PB*1{)M~
zlrf~6X^IFF$lWWn84tVgFzwpKWRb$fr2CtB>vJAP$3Ki8euC9*`oOs3A*1d2@NUMN
zlDcXPznxxBVEAmz&VDz9>HiKcro~RoE2nTWR!T88n1VF~WiVD;VBEXnpBEE@mj}a<
z$qaKnbWHxKx9&SSwS4na(*<@1Dv$5@^*Mf@i~gDw$K|F9#J>G_r6Ekn;k8$gLw3dW
z>nq<WpE&$5Z~ag9=$%t7{_QLJ;PaSk!-+i`^*3n$e)sXp10O3{@6fu*35>ex_x66P
zsoEI5?Rb{&_kT@KU4@Msq(QkV_sma;d&RY9eoAcZwUkfYX862f>dj+&&X_&Bw?SU(
z&wmi!n+%FfhQhy$4F3=O>;~tlIpA~w7Kaj`Jk{&Xz#uyn<UOb$m;&c1TTq^I*mRVI
z;rdL5R7Do{CxyJ5KCv?TU1NN;cL?Vx&VkEQ9PgNHA$h70lBZtgaWg%9$J}>@l}T|G
zBY#BmQijD5JnYp?jJr8rurL&{UzTRPZo+b<Vqo)BbT`v%NS@lJ&G_Gihw0ERrV}Y#
zOlN;Fmp<WPJouaO!#7YGV%+qOamQUo+wI}ij5mMkK4bWOh{!xO8J?%K7yf!4{B_Zp
zr!&^dnG0Q#JG(e5>uzKH=6OwZ{afv3ocdsYZO5i<qNfhu)iEqlTM+W_e6M(`bW+60
zS;k_CVth01y6v5kU~_GC$Di-UvU7Wn&a^nsQ>~F`?ETo>+vU{<z5hPfEt<MEojW+=
z;YE(q8@AO>Tnx%q1y?e8#jL#VBwWel74NfJYkVkhdF&|;_YyaYS7KK4+y49qVcjxt
z{s_Fr$gqD}r8*>I)q}ha7KakxjP;X&LG~lM3^-$b1!b%YcaE|$T;Iu%n#jWb<Rc3t
zV==wj%f|H2gSo4LoyppW8B|#v@H@=HP&t$7i6N&#l02v!E<Mh)upeX-qks(KQB4k}
z?FlT(XW9E%Cd#nQwPLwc0#-Hg6;tLFu&RdDj8EM-nZEC1U0fLXjb-v<l|!6t#oO5y
z*K;!Eo?%@v6XZz76a&WA9NRN&+_PD7)HxU**Rr(y0&BPV#%TVRlPT;Z>+8v2fk!Hg
z-4Ps2x=k$dq8yCEk}Pq?U=wDgGWs8%)6L1qtit9T$;mXMfmN0nB*VnV${5JjFUgW=
z^Ej7j>II7vtPIsV7}%4zxJ<t@X}ot}U|?WMxy#hLExLli{Si0U*6&RE|2UWm&a=$w
z=VFxBVJwSaT)3m!o0)+*gL&R%w#(bN7<=OwGxmT?XM9@C*!T_XvVeDtu4h@9wy$JT
zju%_a+#bsQa2Gf3I+l%T`vzv^T(R@a_j=gb8?~7IW9N&qGB7M=TI5mH!ZMGW*^P~h
zvBi!_z8+kkNc?5={?_@E&G=9CTgJ;L*xBbUVqtV;YMIT-Xv)H*&Jrxk*sQ_EZkxp7
ze1wT@CM#ngBa;_61Q?I8GWv2eePU;2+G)cy3*6#iO#8$r@Psk<$oIKyGfm`bSjv8}
zwtZ(V`^Uw2<~-A_`Ap~ZxEQzRG0NNl`%-Q<V_q5K@+a)wYz#gJSlIS%W-(7^6h04@
zw41}|uEou?FrCr*9t%@MKg(u0#+N#*j6brN{$FK`Ud{L{jB(={u+pk%#`8<KnKo82
zntWxF{msQBdX!PCi|LLiSa!1}$fu0Cjyz23HZm?tX54n1@pwPu4?Z@=TYAigeApRR
zuVNMpWlUW5E|O)%9{(J+{n!8PVpK0@UHG4yG4l-Lf|+0gN(~qnCW7Nc-~i*%iM&h;
z1X#@s_?QBNS>LaUb6~Wa%+DGo%67|IfT`1kExvURKMP~54$Jol#wiQ97|n_q9iM>Q
z!?ffyqhXsMlaD>)qkLAznQxfB-(;M!h>OvzoYC<OM8$1Jx$TU8`5+GC%Daq`yTH~a
zlrwU_V@x>9%lK*~>mnz9#_Vlu({dOSuO3*>!nibth5aUD$zm?XZzYTeUxTzVExE#I
zxI>7^$C>fbbXLZhGE8?hxELoUF$Np~Ni$CEW=vz_V!UL>*a^<=Ob&k-y?-!1c*w{2
z>I3Vd4+4zYm)NE)VN7(rKc8{7E;~DK221=wex@TE+4+hDm=v$G@h@TyWK`b3#$FrD
zvVXe(lY0#NmK{tF3c$vnehdz_xmS6Z<YzE?DDpABE@aJq%=Gveljj_M#vR;jY;3%Y
z<@T&Wb&M}R{Qtt%`K<Q_)9(FlSqyisGrTr?^LoCJpJS~lUsv@h&)=~<tCRg)ZB6;Q
zQunO#+s3wdy3gnQX-e&k8S@O>Vs{rG4`e8I-?^`|>Z2OV9S0w7&q;1;dy9TP{`gdE
z_v!y%u9oGTpSy1Jg1a+!u77YeZ_ck*eXAcU|1=ew@9Wkc>}Iv3R*PqXvfBNJVJWZn
ziwCXiH_tehsp<_XMYA~$$sG>;U(Inyu9VmDM(sL9j`VLk+ZLWTWjVb0y!4;{Al#k`
ziXsNqkBkiBH#B#F3sD<aP&k0ap#-Q9J=?>;FjpE~23&|LfC|y7<EiWn*WWUvo&>e{
z*&r=`rdPIXOus#tw@qYJ)Q&7>VyN_IdNP+&!Ac%ndX_USd=IjMQQ!{a(Ut5(m7W|Z
zr6&iZ^yI)*da|RJo*d|<Cp)C{WXCK$IUuDc2kz37308V?a$zeyxwyckCp)C{<b;);
zdzf<==G|tyyo{5vw~jI64=79-pZ>;Pax#ERPA)<vXJ$I2CB@gm&8le4%wHw*k%vL{
zB1`!q#vLw<3^O<x^_Use#5oz0Wf`YfF$SjaGWGmsPI|`5`0hW`s&{Nmb?=zF&T=zZ
zuV6L{U{qXF<jb&SCWFBeTNb~{%{834*MtIZPYA4Ap}Th7U%qwM1@AK&*)^T*n&ioH
zz<rNVW%(svF)Nl?*Ehaf%d>aRfvL6ED_);%e{fBS?`HEyz3GmzKTcdv{?xbdrC!nT
zh`+s;<m7y2&zQKfDM{ra%L0|NyUUYn?*(g!fRb(dk}OW~=}WRWzfTC!RhFBy>Og;m
zN9hsgAn_gj%l`ZaVf`XdqG1TV$H=g~Et4IbX!qEIf)OkZCBTWcl7V3^_L~0-DA8W3
zdBVzYeJ?|5CJXzMhuoVkfx4;SMElQ!tqWZ9bAW38Bf@hTAl+1^j3b~P7!$ag>aD~O
z9>&Txc|KFN@$_PbZBN*mZ*VZ`HZf|jf?UIx%E{O$3~~-*fC{5)BpcKAMmA-BF>VHE
zm-C++<Mtk~yp0s&Tvc`^_6iQ?A4ab146|P{2p?o;m)*!&U(CvM{3`R7)l3h<z=ob)
z4=JB~Iho{FFnTz$F}~i&mYvMB^%zrHFQW@Mi!wHwfEDppb28Z<W#pL6#+c2@mc_+5
zQ-Vo_A;g>EyYus)V?o&xKX(0Ht+w;inRLF(`7L+kE=4Z%-L<9Wo}qGn^O6aMm63;M
zl}QHOdh}JffUnGRMq{a>C-bA+@9z2bXBYD=NmG$*ICe0i{LmhioJ}P@pDwIle?7xI
zZ_V+m-jTZ$OQ(bzsh>S!>310vt<4dKr4EPwpB!;ms#G95B9||TS9_~v$HKFYYYuNb
zZ~f;#2)BdlDF)V`j11x@G-rV$)&?Aupg@9QaK!QuD2<9!FiRuo_yY&(_yaUz86go1
z8GrCrV&HRU`#hh6@fHu`e+iJyjBAV-_m;3Sx!+<uyyJKZga2(dw#BU+-0W`B4C<!r
z3#&O8>y9vP?*yy05n!Ba$j-zb!05bYwl9NlCp){WB2#@ZE7RqvOgF9AnEKr~f*Yra
zGt}rX82d@C%}H`I7hf?~XJzocIVZo^HQDcPe;(xgXTs_fd&39r%Y>3NM2l@Nxftgs
z+&HnMtX6W}%+=q+&FmllN#S<8Fv~qmT)p<ew=+|Vtl4V1mb`z*)omR7J$HJ^pKIVO
zRd?dCVQ}7w$A%a9D{T(`Qtbc6{<_^T!v0CH%K4<f|3P@U7s%ZVuIm{Yrl)8ghJ^ff
zP<jK4LkV!mXD~3#y^Jmct_L=NvQ(5$3M<3)wa}3tXgvT8d9;xq{2_mqhw+63$R5V0
zMvRRqASb}acotYPnkBI@27YIJ|ETW*!}dk&jUgP2cC#4GA;UH{nT&G}voo=8VRTMm
zyUTEW2diTO2jjlajONe4#@L)^oZHXI#Hhn!7s2#pBiNG1iA<n6>Fh-=ru`EbISg1C
zvx6D4mNCwB*ffP<zc%YcHx5R*eT?O`U=#NIWSskmn~Cuoi`^ThFE=43Y=oFl$i=k(
z0wc!;Hn<4}Ej$da)||)77;n5~JaCGGaosXTwHOY@<Zi|(VvK<{yi7gb%t>vWjPLB3
zSLJatf(v7IM(Y)fNQH5~+V`iIOOm6PDJDE!zV)=#LBkGauF27BJ>}Mx3&c-wj&EZt
z@b4FD<F2?LowMobio-7-Wi35uy>sps>qlEA_`Gf_ol<pCf5&pWP3Bu3xNN&2K3Q&Z
zM(>MiiM!uQzLl^SEYEVSw!WvVDs0Vj?<=<qDAP6u1gmxTw9cOx5Uge-kacQ4o0G48
zjTFbr%ML5L*Zbf9`yYhGV?jZ}pxVI55N@@y4w81xfPw@p4kf^8XC4E?T#SMmoOUum
zY3Eg33LC@qvka-7EbLDdIU#Kba?%b)t_`@wcx@>g<HQQa{J(wg8MfbHZ(PB_XqUuj
zeiY<BMw?E?xy<ZL>?VxPDYNe~2(M&kmrZ1<zl@Y&8DSaLJxE}s$}=`I&KuA9r>Cij
zbtc|q|8+8y&zHY-X4X54FPxM1{+!~oDB|jgphT+)VvE^?nKC!VwtAPHow{JR!uvb>
zcFykhNs+(3^nthmPpbQr+=!R)&+T4G?F9vS>zrRA_Y0=Z`6aStLh$@hv7%Q#VUZ{H
zo^tZLzm4DP&wmi!pAT{~L+MjShVLI<z6J;Qo@ZDC{4@i@T<k+iHlP4^N_)b>aD6UA
zswNBjlSD2={RbPSwKHPmD&=6bzR75|iBT~__$b5m8Jt{GnHV!zK-D1Q6K<wfX>8RX
zC%$TMK_jD?5IbX_IOBVzz5q!6<wVcF9H{x16FvWOF$w6fhIw)`O<c&D?=4%#!f<Ck
z55rs@wsJ|v9Y%}{C7g_Uw;9!zb228^GEVu$82AL#=3z;C#>V*WKjW%*?2L8q7`x7L
zF<P%+1!psdSU!fOoowvFj1g*#2VyxH*L5(eF>o>_8#7KxV+_2*&(zb+lElWw_|Bej
zRUTA3H(YzXFT?B(4t8E8rua}+ruLc4zDit7%gWgp{&6$eo@X_m6lcirCXvCy|DK=k
znyY1!OGQG~M}D_G9$5dt^L3o}C6#%1r>Svt7s&6wrlA~EqQ8GSbBx9ptz8c)B3E8k
zcxkiVMC{;U&v>4Gg^Htb)$_lG=uMI2GhtAYxs`vQG`Y}rqGzwbnqHmqH=4WdFBErg
zSX8-dZ_e#Mr<m1x9!^o6*%<$6TH%y6pfuL@z=+>^+5;p0>jE*)tfZPwTfWNRh;(-@
zu(tM}^5;JYmzRK(*wagl4A<wcGzBLyn<%VFY!d^++;nsqaGt&aN@87YsSFI)c^OhU
zL3x@3DNq0QU<CCG^o6|{uD7srO|@doD1juZ*G#Rqy%#~MV0Ii;@U;{M_EYQ+TiF@^
zSuk!d06CY@<`LuEt87f{GZ>w1X6G^ppJZc~T?ngo?`eS?$+SHjTuP_z<zV{Z$+&mE
zmlng3aE5ya7Z<#q7%lP8ch8PTvYI(9*CdZ<MqF4CH*I;><7a{qjm?rXC%JPT`?e|P
zNvZn=i_iSqLwKf@PCMZ3`pWpC&F1vFTk%o<uT`_UDI2wVd3A99N@O=S`Txe_W)&#J
ztK~{0X6(F|EmtB@dcK)2{axh+gIPPD|2kvKKVw6^-k<*<YzP@C&iup3aQ@KDesGw_
z=z$y!@*E6<%2D1Z1_s$}=rZ6iuLgzr8EF<4hU<+Cse&x*PXzfl8L=|@g+T|jm_W^1
zJ0mu(QVu4&o6KB`Ihm|WS<GHBD&DAE%J9UKQ(+RMbEeL;&>v(eqre=-qncc>&KU!~
zp)GD$=ZtakW0gbPjK!d#EpE)t86$XTiwnJT#*N-N<AQX~xG+0s+?>pyp)GFA&KWys
zXp3R$g|8=}Lt8vtrr#Mg-h*eC5JOwMkj@zwBY0?w2i`do&SAKIijQmRGUf~)P)cEX
zGMlm0{-*^qgLfJO-+8vr{XC3kbr@enfP$a#=_a(M$#zR7<$AH#4EK((u{Z8w@~=Gi
zoWcAIdt)arqox9rf+JX0X(Qu8P;4<U3a~I9m1Jky&cLS3E5^^zF3bK<i;wYT8q)%B
z1<F`D3uP*b12#;?&_0*_p%xG0%QVL2cla1nyO?%BMvYuG7!L-5qwT^1M%MsNrtOni
zl=bHuF)<u-X9(|Qb>!w@T&c>a;01BWbd<R(ex{8HEb13nnTjVft=95(VAwo~jXhS3
z`M)hc(_#<il?^<Ml}3yWsi1IS3_8JBF@bTfMv^xZ!!Hj8{mHBw&3PC-V;CRo1e^V}
zfN|jiuuB9EF&>>Nz_d|<O<jSFsl1r^;xi_tJN%3l-7I_9`I%g8n2#46Im6Dt_k-d1
zC)UI-yo@hzGc5q8Z^qI*#)WqwrtDxmnkdM${R5-&TbKJn3~PJY#HAT4%orPLc^LIh
zFse23FeV!^PDx@6yduohGn?JdobgRPE918_Oz&qh1}X_K{x4@0{Kvz{d7d$0KG+Li
zZ5bC<K@9)K`1%dwf)i|v5{sCwg9ktumv({`IWmeceKTZqN@l!#jPXw|QwNtQqn#Qv
zTdW|XawnsR5Mzc4<6MXIlPnDCGZ?a!*xBEfv(Nv>#>9S(*|%4aX`?2iKoB@UM3*zA
z#S1ch>}6ap?D>n4;hr5sdOfS+dmhHjw~PyJgVmI7VO*FAanS|FqZ`GTwg<2)uXTwR
zXIR_Ii_N<elNc+GGBwPWVANw_RFmXoOlD-7!o(OTBFWSv%?u6#DaLPS7{Nin&-lNb
z86E_n6v&7k1j}!LJ?**~Of{B>FqPe6blSprIfL=fMaGUz(u{UtjBI-a8I?U51t&39
zs4zA}$}s9RFsccPF(wPLPf=pbaAlm^l=G5-L0yI+TZ@DJZ94P(dtyw<ee9aNJdBl^
zj19qHznU&#REy+cOmAe|EzHNLE5g*K!RQz}e?5b=FDE<iN|tzMF{Z~`*)^+p7%Pu6
zw$JBdROMl^kOb>>HDo*pE-o1_9AT{KWZWwCKAVvtN{8V|G(+8XWqzqY3%pJr(N6GR
zE_vfJgJulJty|~VCfz#mX@~Gz=G3XWI}+VO>Mn&In6hJY*s_hm|Jk);ORWlO!oF?W
zaaBWTUqa^XZ>m16axRt+`3yr7E4=*<GFIoF)!2Pi#^cv@pP4Vjm-{!^?Y>uSb@~I}
zzVnvXzY6O8p5%DY(A1<|CztbO*mN7IEByb8SlsfO;&!sH-z>2~;QYyV7rZA%zijE2
z-`=p-M?o=X_U>MV)sHya4+zE_o-uWsZ(QpHsW&IAb{B)H46Ro#)(i{`R<B&F16}84
zyk50JSYYewMM<%ur3{My&Hw%fVMfq+Jp%*hE=C4^Kgns3Dnk|&LZCDR!{92zhJiu0
z3S9=21Xer-RT+PpSlAh^KV(R~$in_)A{V5}U`Cn)u`^;tsxs_KnYn&*G1(nu;hN3O
zWX;NA#>J>8Bf`YUP^rl9#F0~>2~vr$GcDu?IRi33!b4Og!i!Rg@IopPUR;$34|*lS
zi(ZNFKq?U)%u0k8Qi<^5u0&#Cl?WeXgb!4SAkB~Pb8Y?3tpAS(GQ!7)T8RjtS0au`
zl?WfcN@Rg0vsn@wQ{Z>z_mAdZVEDh8o$YW4>*=M(;uzHTu`kT!W6XTPxZolvHW^D7
zFfMe21aSl7Q9%x-?E=iox?=te_j)+lr)e=qxo|Oc?q-Rve)pI`?<zNY;!Ku)tLGWa
z4D)gr_MH-BbzjDII+mSjbqA}o>^6OdcRoU_&sQ;byRb5O?_#b@<zu}0lkvbOuvM3y
zF;<*q+&k@;1w&bqFzfa2%&-5kF?F9~{@KIFcvFk<KnO(HD#nUX#=XmaMKF{t5@Eew
z&NcNt)0%UvjI8UJpZfAKK3u`LApl&wgf3!y=n8iGgbv0(f{a`BUwJWvwTZG$x91YA
zXIk@~jgj>Y^V5@jj1LzuZg7C;X<~fH3em%Xq(_W(x;<-l9xGG#d*+|#_!w`lV>}Q8
zan3Hr)KaF7S4?788Q1I<VJvsy+}Xj%Cd+3p&ZzFo%H7Sz<gLnF8O_Idvz74x7etR3
zW2!DwM--FTR>n2eqKxH7IM;PB3d%0hXE^2~!Mc4FTX-lF%PPhqS60TIJDKMu@i7X1
zV+?o;*8J%vWBq2PigFRA`*%5C?q*z8?e|HNart$&^7TwEag3kVvN7(=V4iz`k5O<F
zV?ZiI&q>Dm$xIdIqD=Q=Isffu+*n=zh~f4%PWIk;Eb%&GOh>X=`HqP*neAthC^CA;
z#TfsQ_4j89rfXN&j_i<R+Umrr-Wwvsz;KS4K~6-9RZN;WN?n?%vz9sjyWMYw<zHAA
z{@`cKJjAr16>M862jfCPa2N_GFdhw*VOlVO-ONCiDKMBFG$q84-o&aXD!`a2$+Q46
zpIDm0xbP%I!vw~ohH^{`0+`G;$TI~7Ga)qaF=k3KE>{*{Of6yB@e*v2>jlPx3&Hv>
zI54_SP+(dh%xY$)$P^gQ`hHVf0YmyDR>iA)jF~eTv0J3Xv@w8HeS;8Fc`$R+GN!^9
zR>phXEFaidneN&#e=p4Y#=!oL_2F4Q#+NG?7X*N<EM3I75R#?@Iv9^KDl=^~U{x;=
zW-7l7F@X(Y0vp7HH_ucU{{9o#{+H3>HzUJyK1RL2jB2m>7?YnePCUg}F_p2wT7Xf{
zg-LCu0K8^ZW$KZJG%nOYjf-g=N{q}(EP*bJKRTEi7}Oc{jF{9)r5KZMGEdyZSdq%q
za9e{>uZ2xbQid^^k$oZ)V+AWygR~~29t*RYq#Vdtn3xu$9t(?_qyl3yBkL3<#tc@*
zxoj33Dh#2@0?*wTXY?{UaPcv&Q)g6*<6}(jXPhX<SYgZ9kS)Nd_k>C9rT}AdBc$%v
zMt7ky78gomaiJ_07s_LCp(2tCnf|_HVAv(Yu-=+=V;Uc$=Rd~hZv_}Xy<l2@0UYx@
zix~fg>M$Lg!Mx9q>2r|?<C$xm@AfD#F85-6I+bysdYzXp<MHLpoBWwx_lYw8(c|p%
zQDjVC#aa}?xN^b5Q%nq(A{f*b>9LlVb2q+YsyL&>xOO$mRyRII$9;?+YQbf~)gO!x
zKY%Tp@QCr+5vIAbm6_97SQI7s7&93e7cherm9j7{<OC}c5MX?#z&OK^siX;%WSAba
zffbyU*JoPE!z2kFbY!wL0t;4_8898V&v<tG<2}p_Qe_O={u{D}pJ9I8smJtQfqQO%
z5EI)ZW(QMYroE9&=KJ4eGsGP+Vr}2e?42gW)bfwn?7c8^;9I8mmyW$-Wr*9uV3%#o
z>i(4F^ld$+^)1}85>iZT%q$M#(oB10na$1LRWrmrF=1{0&Eow`k7@mXZrOLzOl<F2
z9L`HI?On@k9_;y<mEqlf2KHLkhp+h=Up`@4a0285#?ncQ3soV0^I$yMXv(zFfLXmj
zkE#4J_uB0;OldJ34J&1tY!lec&%EnpV3%ZlsLap!vV>{D3yAhhj0=|{w40%5mxXAT
z0c$_u<IKYFu9JaXkoBRl0OQLLrUfe?Mg*Z4VUA*i98+2hbHhq`CffuigbM_4yTAfP
zyF5g@99a7ae<T<1F}@68T)snqvB-&OeJj`pJRFRF87-L(8ZaL(&|}(uiCcGz4pUk*
zbHj37Cfgb&^DpoIGO+(-efXG<@#PoB<v#=%iw>dawL;OW3(>0s*89QuFgwG$pA76D
zSs#8DV0?dtY2glj#(XEHWsq{Ul7n$4q+C@{U|bq#%~U>tWwFjNABOPRtd8pZjQeVt
z%%LkezBA7KZ^OiXhQ)abn=Qlj3RcGl{EYiPGa-*q+cL51usDabthHm%&EOWlz*w<>
zu_2I;QEvjHnjt@9av;-`MT~(V_Dnsq1(MW^8Q;}2uX<<7RQHal>#Plv^$Hf~u$CRe
z(oSyJuofR=Sc{)A*_a7DtmVMe(=Cw1W&#;}HiKxlMbhqwJSqm&F2I;*%(S<hpE3Ln
z)3u%86wp<`==PBD>t!dVBU8A#H5q3**)U3MV{xlBXZ(JW$#pVgs~S^lj4h)=7mFFI
z1(UcXQ)>}p%@=E?>$h3nZD*XAyyz0c{N=0{<M<gn`<N1Bz=nRcW?Tqf=ff!Qj`8SO
zXQquSIC%q^<Q6gR3bkg`oWZhJQGhYLfa&5#e#Uthm<l%VGwu#%+OeF`#Gff>9+S2%
zKcjXg(>?Hf1>^Rm;4GaQsn4{pk+F@LaU(mEo}4ukBR|VqetyP}I!qHFy?W72jEUfh
zamEQJ8UIXX+^R2Q&BXA^je)<P^&>wY<4GOH2N0ECH!&`ROic)!Vm#XF!nECjN4fUK
zdj_G4Qrj8ha+!~v7GRvcl$pU3WHqzeL`HMHVo%1y4KD0^jd<NsnV4@|G3vB3g>x|~
zvI;O}S~4vt27BD(3FCv4VAko0AgzpZ4Goz50vOX4Fq%0qUT9!^%lnOwafXnt0b_lU
z3;WUQyyy2>F)j9E;-1)P&agQ(Wi}hbvr7!(%UKV`^D(aMVN?JYYmBAFj0@Aj8Aad>
z<Izr6rtJ#6%D%_?8Ny{*9kuxxSEe#4oC4chiaIvn#<VekNBx2`Q}JYu)mk9|?hL(?
z`NGv1fA}#r^zbq2X)&s~@-ZgwWSm&USn-sp;kE~(UJIj|BtNM70QX2enR}#Jz&%ng
zP>*z4hYKUK5)Z82^k&pEVpJ=2V@$ruH4$2G`aq0uWlUz|h1Q2mo#?gAgTG+se*M9?
z5K;#SJYqb0)t71e3{GX+8~Frd!k2xo5f?ZnEGdr(U-qNdxz6viM2d+(l$hAp!)Okf
zPqZ;+oLlb4#D0g>c}q*KKZEWIF0?Gp$Cw<*2+raGOg*#tlGKbC-_<j%dgsej_l~pc
ztSgh<3SKTpKPKyqtY%4!iYE@WGQ@MTh6#hiW2P!&r58A&7ffedY8S{<=*PLd*N;h9
zgY~2%ALF_Wi~<FGjNF$QQ<j6(&+=t_F`IF&{WeQxhFxh4>(8@p?Biqf)MI=Q3zq-7
zgK;5blocGlK};KGu*VrPNhUFRT=io-JCn6kiH~t(IpfdwOeN?1n6|EGZS~`0R9wes
z0MT!>lW|oMBlA<ng4=#fds|tjO7Sr=vN0C$f>ZZZNydjpVAoDaW88I?@x*M#yVh1o
z4C{}vZk)r<=*h+O05ZPyRfBP109c{GBF3Yk!A#p{a4Flm)Q2#vJ<BFOn-M%7#0MD<
z;)9O|g);TbW&$TYXp=37ky(iimh?Cn^^BO+N`pa3Z^|adj8w+ChjzbYVc0bXsZoPH
znT0WJpTVbW>rx-iu=Xr3Hv3@%G#-!v8bo%8U_#3devE}mth}LojA}C(*&*%jm{i8H
zQ;fT(FzRSBX*hc`)@@~Ms}5#VKEfr~!B`={)L`hts29MfwlRz`IgoG4BJkKt+b#))
z^~S6l%lH^Q?=e2u3yHo8l<X17v~dQnx<VL}a53M>?|h6q?=Uj#04oeCW~_O}wEJ!t
zlXeH+PDVb)NCU<LiD0R%2N)F_AYsqU_?M08JTH?VR~TcxI-g)XA0uZkV}dj|GQXNK
zF3f_cyT<r>4dZf1SqUFgG60P!1#Dq_o3QX48$;9$hWt&ehNS|G{x_K(F6L)^U&6Eu
z(k`jI#JCgEE>ZAcT-q4LlwiQItuUG?@B+*GHFshh8RmAem5Va&&|+k8;bYX>&8U_x
zz?k@#Y42-(#_%Uh*B}LG*91m4MaHkeF-%9Mu;Qqg{`fMMcL^{aR%iO>2li{nJjNL|
zV3u_?*i*Gn44C?UGd}yynEsw|$N6AJ?loL{BN%xWL@}8cbLc%|6g;5N8q1Js$<|)P
z81a<xz->OpbuEl)5(12g%uIX5`5D7yn66oZjf7Q|aZE?12z;`LVm#->@oGvSQ>PTi
zFB7neWm$~vR~ajIMKj%ZXZhF9xKTWHHzUI%GY0v5){Ex_7(3T6B|t*;>t@D<X$+vL
zYk_l&M|<O$wreme#~xeH5FX3wxEfD^p1`y{fm!*i%lt%!wY=Q0u|)w!y%S7ojRK6x
zhD@-e%g?CC!h~FsCo%O%b0?`qGtR7Mx%*Clar!%^z%%@e^HwvJhk>24XFcQQct(MB
z@l0I#%t_}Hm|m}Co)*oRxIfE`nZYTYA^r?&*i3M+b{aC~74b2Czs@*k9oXkGaf}Xo
zLE}=4TPqnAKY@)Cc+PnAelpYc9#&=18}<xBg;L)c<K8lX>eekwnDsqCCNWh^X6)AD
zc3?7|l*}G0&eo>K^edLBdKDjIcRJ(K^Ne17(TpecS!(?R7_YBqy6DHx_;VdoMl7S&
zF2?^Qj2%CsnS2kilui|3JTA%fQHh^%XBpGZw~Q>O;+d3|GaJQ6GFkTW%;n}|6jWgh
z09WRWQztT}83`~x4`<r54&(wxtvJT{dl=0snO;4PWbFLH^Y@1U<HbWv7h1sHnaahO
zC<6A*1Z~D$;fyENGu{oJwuvz!Ywba%`iaTxM+MooDKpJ-XWBiFkCB^?@u>`>mqs+>
z$z+z=YXXed=QCZ@<7fPt$CPoGGDjyWL0sX^_`09*raY6C9oT(g^^7;)3oxF4!z6YC
z924rBz`k-VGhq66pOI}p<F`7dfWM4yKLx&HXK?+)p#9185j(@RzYOI+S=l~=&Cq<x
zsCS!>vAmUW4ma2g84*SYO|aC~Kt{zyV2cIZ8IR6SVcO2arY!2B@6NC`mrwjQW5qVc
zhFWmJtvC^^AzhJiQ!pQ+=M=_Q5EDKHFm7JRXyeSpG2MgFPL`2Po1alRl}Y3jV_<74
zQ;#L9UoqpG?_P}G?l8XJ$rzZF%-HdrRsKEW#`AoP@@p8kMS@+F4q2sfw7`Jr-z7%2
zrHtRa7@ejvUe;p#6Ux-F%bU^8jgf6`0;4h~v!D=Tg$h$cqz`0#JB2Y>kPSAz9R+EI
zeA7x}y`IMO`c4W{cNg1F7Cy%NR*c6=z<ZYNy<#lC$~a?pIuo}GQ}E0brr(Tgl0tlp
z^5Tqc`d}~Ki)Ad|%{U`HgNgeOQ}D|arr!_QBrowX%1>u>(+3AWOhqOW_aA26A53>1
zrZ7JJz^3|vk1_o+<2Fdu9qGgPb0#=w7Z@;hCo(3TKQ=pybvp|SBPUak5aT`5bjCRm
zOjR41`ie6c)2=Xi?aXA_?Z~9k%;?X?WW>WH$C<_`qsSBxoX*rRl}SXKsVR)HZched
zn>SNeTPBmW9g|88qtp+^k`Iha-<&$b;6IzSP@RwQWG&-^U*ItP`i*hn8?XZeZZRHR
zn$5Jaf+OxDlh-3g>8pH<vNIVyAl2EER7M9#<Fb1i*n6_}226gwjA^qN(@hw6RAw=9
zA7Eb9z$nQn7s$Y{iJM`+DC<NkK1Mk=#`0dU`FkW8=PKnev6t~VKWI6c%b>f1O}v1y
z;sImBMQFbW(P+wJ>Y2@xq?W=sv!3nNKd^lh|1rkB;bWZsnla`Y*yVH9Gdje9<6`T6
zMzuUX#>DrGyY7Pp)b=p8S1?vQ$Y#3rnf=hW9H!Ox_{8=yCYCw<WQhO38u*cqvGXEh
z!XmIaU)>oO_JLg}Ajf!AH=k)^1~>0Tri9H2jK4xzHtphL@^@o=Jy(G7F9%bH0N5>N
zN{kvVOu{pBn5r51B!&4HB}Ew>w85rr4P{hZ1u-q0@$WjOZ9XYXhO5}RLctmhS1`&s
z@-d2UWQ>9Aw3>62(V+|M=B?t4YIb~#iT;ec=7R;)Y#1k0Fy{Vr31er7dd-l3h1GB;
zAET!u<AY|fO<!3U7ji&s5@I}RTEMh1f<t|QA!w4W=^0buoqWc7-P|A8@|o`1aeXiR
z{Egx8e-8G&XIShzIGI?Knft=I7}MskBv>#WD`4dL5XtCznJ0BSALGMV#tpl`78sQ=
zu6n~LaFa=D6VvWePR5uU%-c6GJ}ls4e0hm+!4j|<6EDUG;6*Bory*<J=Q<iN`E6iK
zOJFoRz<9BNX*wg*LLo!O3^Ar<wgpUr9_(iu`4~4EFbX7q^@|>6Ol$|UCh#)ul4iVb
z&Uh+$+97U6@olWxwSr8qPqNLPtx?Iyz^2C#6VI@+{D0|G9^Q$$BJ(3YC;wX}{B=g`
zlf?oxb0!CWGGQof;dE6sPq*(i>e=WSab{<PkNi1S)y^AHx2{x&D%?0SO)yQ*OzQ8k
zP&P5O*y%q%x`m7ScRx6{*oWmp&7>!JYM(;+SG<T^alv1~?C-ielWXNSEiLJnUC#I5
z(8l6`<4^2YKgceL{$jQ||DEKa^F7Bno2xEN&|R8wOmgNw740h9trPOpH=elC6kHIw
zs%;I=gd&$-Gjs1NVve0#1!BI(TR4>oIevVyuPLc4s%@>HV?uVVnHi_obXl)$ngO3`
z)Q(zZ-1v~opqJQUHQl<r`{|^8ng0w#wwf(#C=JX!X1k^Tfn&mr9Vc5i&)vQ9*0s(2
zyEgt}<2{tSbf)h1{0$|&2B*~zF~_!A9OIi<u75=Ty4ImYpAQPRroY)&#-r^gqPIjy
zJch5sRg(JxgXhsS@3d&WY5!*>{ydufXLh4$Tdb?{!dgj|GYbQ{I(#QK2Wh^zRM$H5
zaLH8z?lXVd%39vd+i`zpknQ^i*S#NR>oVIOsBH+fmHU5?`Tapx_4m4We_vMj><H$#
z`O180I&0R_Eswk^=jb_Bz0aR>pgi8yhA%K#G_f-NK#`b<E^pstcBgXbwD={u7T;xg
zI+v8x9pkHalZ;tESNy?_i3QE7rdKk)NI#BK6|aAyGUvAMgY2K;+y^xeg?;+5Z(8(&
zgn6mjkMn1juoqf9m7F3hm3j5{k1b*Df`{er>{i;`o=|$$xrys^&eavYhuqg(*<N>c
zd-ckn6Li-f&iMY$YSoTUa=UKpGTS_pKXuf_NPbH8(bu23*i#Ib+n<*GF6$lh??c*$
zS5tDAzTbCsR&7hG+|OtCcP@JLMc|n3-xWVwH9pJDyZ!c>*V4THeJd|=92Hm;v+q}_
zX#PAsK~q<wl&yQd>P0<wnB?~9^!~ZV$-FDq%vv7qqH;@Ef3|Y>tV_1Wa%oIY0$h?~
zc&2{sKEv>T`(mHo+bTI{uS?yT6L{QWp~j?F^V_07ao#+0>G2dk3H!`4&*w_Y{H%Yw
zn(QJbS5EyP$A9(Cf@4MLg^Mg1Kr@YMB5u}<W+HCZ7h4Wxyq*;x5xhFvDLGb{i&62t
z`QQH_%<KRvBN%vhF*30GaZUrzGuB|8XY{pUV3>=2p79ZAp7CFk3OmE~rwpk#S=hff
z3NPk1sbXNL<zsjw&uL%>o-+)sXL|S_WF6y#Ka59Turh6b#G-tXy`5nq58GTRmP;mJ
zRTHyN_EfQ<%o(yl<_y_z%^9+y&l$2Yq3x++h0GbUV$K<|LFNqEh?p~Ehb%k+m9LB`
zcNw93syHAEPgo%fPuNlC3^}=`E@R5@0k<E(3r|3EhGA(8!sl5|_OmnY)L~?RH2Y0A
zGb%#Ian3Rx?dD=ypu%hx!Oaxd%>16KiGksfFb~U55!U^x|Kb?x*733)%x67+S#A@<
z^E6h)I~<Ie-AoI_!9`}N4&%Z|aBvH3U_4sL$F%(dtMb}o{tV%BSsk@G7}uvVDc<5_
z%xq^`zz@+N!?@5AqM?BC=tq7=6b<Z*D^nS<k01#!El6NByCBFEIGOpqR-6Na-DDwF
zM^TpZN-y6qF&v6vOj<3>@-vlr|8bjHjC<5XI9%)4kAKPQVQ1)hAj)9-iOc*6qs0kE
zhDLTqJwrydVs^&ltBeytlZ{LbyE!4VG@S6!CNWU=I!TQSGAhaq+2Fv#WVeEq%TbWY
zZX+{Su{e|6Rc5Z;5=?fkEL^jN;M3R!OpFY2iVV$;EH5XqGyW7~Y|sJw+%$?&aVx~<
znT$sdOEO*G!seC%mfCrl(Q+9B10w^Yf<Nn=d5ooo+sYX(zhUt_!OpmIF(ZQ;Se0o%
zqarBvGB66*G9JyAV!Hl>%?&bwzjHF9r5cI>SIZe*{gvkUe}c2ML55M;h~J=8lF|Pr
z>%C2kA2aVBWB4^+n&UnX=TQz>#>Gnf1|d?6{<B%{nK6D$HJ#7Ku<sJX;bkm&KK!7b
z;mg@fGtIybPsn3bJuAmlzLIfqlz0{^L**LAHBlUu`*x}`GkhrJV!C~U<;w<;N~SwW
zj9*W_n8wZYT8?Fk4OmE~im~*^i?6~=uWztSfvA#6Vk|xJVk!^QYYDa~1`t(AjHPE1
z*jX4(HZXl+01b{Zz7&>Yc`3p;K?9_KQ6z{lb;U~;rcE87?hs=pGY|7iQ??0Npdexr
zxyG2f;AM!wrWHL5Tnvnv&OGcdx3WzD4=2DRnKrEe8ygVB{C3S#J|>3S0rE_9C$T%2
zh%l~?W|!N}SeW$qpaRps4eT3p{m(JJoW61&Bg08E#yNTH5qCh7$BcVd2{SpSG4DRZ
z_^m^j@uCv*d*9P?EDT4Rn4Yt-tMM|XOEX%k2y?_%GvE8pH1~nU5iTb0-OQKMB^iJJ
zVU>I#$C&w;k?$B|V8a4dZpLa0=FN#xjMg7mXMU7p%)G$Jw}3IwXHG9;kOb2`MLEVT
zg^cwN!7B&89spAhCo3{N5@Fe|TJNX8d2BuV!Qge97`4jfSo7~P&0a0XB%Q+K2Ohg%
zS}~DPR$ttkF>>x@QAUPdWroO55suE893e_dOwHwNwf~eEFP|5<*r&qOr^gZ#%lLJZ
z=zC^{Z8Z#+f3bKzVQ1X=n~?#s9oY0eqvCyV_zUc1JesY_bo~iCQE5+&QQ1h?pj4I7
z|0Wwa?d?0paJY{plZT!0r#52)WK)XiIz~nCGH^zLos375)tR<`VNre>d!OO@UUs)?
z#=YMe7ylLJ$UMQkZ6cGe&Jtfnr5Q|iiad<Lg{+4jGcG;hF-4tiwKS`xITJ^{Dx>dN
zw$g4n#)GPiA0X@0HceyPtIK5NCCC)LocWU<)AAlwCSfhMldf`%J9jWLKsN3LJ!Z^1
z#<+5>AY&c}%LGP2CT;`f<U&=(*%#SvZjxi18OG=U*>`y<nz3R#<KDD6tJxT;k7%&n
z-OYX=O@wjPKX&CenoRkx*;X7^XRP1Dvaf>C@UQ=SwwD+GZ)508Qo6t(vY6p>H9OZ)
z?|DAY%jDagR-S#Ll(cv6oq4yT{w(`d=FmU+s?;|NQ-Rimx@$@TZu_p-EO1e`p8K*;
zlKI`yt6p;JOX|)&(HAo1amZ^?V+~MXa%{dh|90H5oOKs(WbW8%x~RHCO58%0W9h9O
zHhoz$ZcUinP@iYSa>#JSNy$b0&%ORXE`Ig#?Cd)&zCE4Y_jhlz{yp>IqU;XgfO#zL
z+z*|kk5xxc-q)4t!o+>~x}rlS>m>PUvEplY|BB<-<hosByJVqr`1WHQS)aViJ%3ie
z{8clJt?S3bGhJ6R?%r7YX7RG?8BcC1%kKW*{j<R-d74nnrN5J8RwaCvJ-d+k&g1>l
zH!D|NUY+Ll@>g;GTkiGKcb=`~n{dX2>Gu(i_7}@5`X@ymn9I}qbAkA_VBdGE8e=BN
zX()zG*k!t_DX407SEQk%dD;ar)ky2r;jxi_xOQHO5N*&6NVxq(Y0ed9R(?=Rq)X?P
zt^b9DSvt3D11Gxf{;0Jn<I%CzCv@Xn#r&1{ng9L=;rVW$RLo$yospqD<mDo8TVziO
zsPF)bLkZBDr?c-F80OwZmjU&QR;&TFMUr}-vN2r0%aFPfwE7*o`->H{Wf67XH_GlW
zkaor=-Hf0^N*ExAlrU-7feV!s$RQ;R&_ha2&tZD0!O8e8iD~)~kgFJLx)^0yS((@^
zS)J>yy<}j&!2WO}2jj~CrUeT?j$|x#Vq6HB#1!CQJj%$%wA}!_Cz_#sF8f0*PTb2v
zK_`?jD`%<%voS=UW|-W<#x85cQlHAowD>3M%10cGmEV{eAZt@hZ!juu1Up6`k@3$V
z#;v_>QVa~Qm>BrkSwG5iFrMdOddR`a_)m#xy9-#EO&8-_RxT!X3ohrHmcQH#x+gfq
zCoonhFg678KqewN7!w1T_HO263=LuWv4XMFftSf<6K`D#W6e!g#-)v{ONBTX)5VxJ
z+Hx|+c`$vS2sU<)0^?>!MuACOjQrwUae9m&1Cq8d$Y-%$Jj%h?zk?|;fs^syXQu7X
zz>00oG0yGfV`9``cXwoEy1bFqvxtLn|8*wDeVmMu{!Cf(n5OG;F%@TUaUSGgwBN|Y
zSj@?2ca_O}HAHtP<I+`3Y%Z)!fxB6K(>WNo|78+<#mOl5oT>agSjC>Xj7zK;S*yh#
zGjLyFXV;z0Vrs^elfxKsl9lo8LRQlt4o3IsOdstz8F%_HHP2vjFk;q8VN|-w$0*sz
zc2}5#aiR!QfCe}Urv@`7E(04n!JqNne8xU|#)x{R&+qw|cE4epd4hvcei4&nC@15}
znM?{sU>&9DjLYtGFpBpuJ(6JBV*nCk@=ap8c9oCm;|w-l1rA2@VkW`woQ#}znG$w`
zq#3`KGA{eY!6<%==~EBmO3@@chAH(7^R8ryo&Ih6-}u=P+ZO42Y5`xD+o!4;S{$D7
zETZuB#}>;2DJ+LrT6-1GOnUKe-8YR_@AvFEI%&Ji!aHGH&)#1+t#zet_lE=iMSQxZ
zTIV!RDE(dGnLFv<?BDxy|GnrsyXuETsO+ErPx`Kj)UCg_e#Pv<jlt(VdF^i>s{c}%
zxJ6=la{p7=J4x0-OskIcKXB41^<M(Y(DkpR+4b{ZNwa_RuYD}_Z)JW-#*gK`hwZ=W
z@8`ez=RXL?*MNeHVe27AhVuO{OCZ@9JOBw6hZ5lIyq$qzZZ0SUpn_lulpj}|0cGb!
zPEVK^uE#>NGaF<x3pu-oMt7c}?mRoX=jxZe%Y!}F^d9_C(t1iEd&1NUR+AjrUoh9X
z3%Xi8atc)`N;1AY`-bP)f(ykzsz3ccc`fD6i;n*J?aC{iYk#gi8Jf-{x2mV9SS$Tv
z*xZzBeP<U{EeqMj%6B~N?ulc$CDGvl?ecctlr+_oN@nZ?C7dRaZiVNzPLo8s6@n%t
z3AS(r>8udc@@Nfk?0T-#|MKsD5I!FbP61PU85ztKGd&<lXCZn50Vf?-28Owv=rZ7>
z!vab=Mqy8w8Lrndq`n001Lh<@=}>Xw+105`_pR8Nn%x+^8<&YQ$mlTWUJC8@P*F1%
zjJ}xc?kXUW6~6Vz$)C}0tCQVUMLoEEC^fL}<gE2mOvHXC<=<RZm0+sO)75V1R^fKI
mY})n5ciwD>TKl{|T>q-uwd&9Ip`PW@CR#by4LEf!9s>ZP<<#~7

diff --git a/posit_adder_verilog/work/@_opt/_lib3_0.qdb b/posit_adder_verilog/work/@_opt/_lib3_0.qdb
deleted file mode 100644
index b3a6ac66ecf0fb1abe449b8a6ea32de6847baa78..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 32768
zcmeaxPf5)w&dgOvNlI5RRA5kGU}R))P*7lCU|?ooU|?ZD046j(BSH!%i<v>sf`eCn
zCIhqFBL?|}a*yOQaH<`Z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70X!kl$jrnpE-TB}7+I2-
zl#`lRT9K5KpPUV&nVo}N9Yb6dLL8lZToquV3L0sdIjNZ`3Z8x;uI{cuIts<9g-D#t
zs#F9oKP{~|wFJQr_45o2b%mM$)~Ttf&&($7EX&A{nU|7UQCyglS&|x`SXz<~=EuXV
zjW>j`KojpAAYa8YvWrVgGd2psTn}<sVrd14VnTO2ND$rW3IRc$zK%hW3f``fItr;3
zsksFud5O8H3L&l$A)34l3=9JD8yMt&%YT#qDE~(Ox%?yfyYe^WFUy~kKPi7ie!u)K
z`K|IBKu#IOqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd72X2?1sSW(Edkeh|S2B6vXr4~XCf
z5nLdG6GU);2zC&`1|nEN1Ph2@1`$jkf{~el0W$yZ%pm_={<Zvl`OEUh<#)@kmtQPD
zUA|ksUcOj9T|QdgU*4HIt{*jJGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n25ks%39vG9Ffj=*
zc3SS>;s>+&85dcIaq)rKe9XNjom{+NHZSWVz2#gyU^b6%l(a7wH<-=M8Y=pOiwn%=
zVvH4;&BY03b270CP2=JKvpE<$1o^nw!EAQMh5Wf(Y+yDU<7D1jT&!R=E8|)oT`m?d
zn}tc4i;IgH%w}f(#wNnW1ZFcab1`$VGqQ4WFmW(*ae?>$PXg`#|04fZ{+axJ`5W>V
z<xj~Umfs`4ReqiPa`^@FGvy}@+Rz_$*k}lhhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2n@;)U}ItA
zU=$DlQ~dm3ijNOW@$!Nx9v(2o%?+lwxWE)ACz#^k08{MjV2X_mOtG?pDHawm#mo$*
Ln3z~tI5{{0s9vLW

diff --git a/posit_adder_verilog/work/@_opt/_lib3_0.qpg b/posit_adder_verilog/work/@_opt/_lib3_0.qpg
deleted file mode 100644
index e69de29..0000000
diff --git a/posit_adder_verilog/work/@_opt/_lib3_0.qtl b/posit_adder_verilog/work/@_opt/_lib3_0.qtl
deleted file mode 100644
index f4238b2a303d94f8ff05eb94e2cb53b21dc85643..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 15169
zcmZQ9IK`I{XU1DNedW8VI5Xboiz{ympFO>-mH`5o85kG@7!?#4Kn!LBJ_Z&B1_ova
zJ_c409l*!H2BH&07}!B{fd~T!h;D$Yn*dd}0IF_-I0GjG0|Uze1`ZIt0c0r41qK$7
zVGqEZ4-70I8yE$^JVrreE;FN`1_PrI7sv)iVM7CMkWq{x3@ji9qcB8=D8y(+F$h;2
zDk}luN<!>nl!9=jp|Ua%t}Fu!$XSeX5UxB_Rsq6QMCB?$6)S^enHg0y7#LM~z%Ei_
z-~fdRqdG{2g;9fn1r#WZnh>rQRG&78%gm^w!N91?%fP_E#Hhyx5@KkJYFqx|(WL46
zUn1Yt&kjtOc4O-PuW7%palVw&d~!=*Z}*G4IT!j%TJrDz(E6=qa(9FFS&b8Qim$_)
z`raB`$m0Le|90cENBg(ca)-$<HMN<>F4`9+@AO{g;tjQ<{K{5FFM>nF*Bm!6@z@|W
zv-e4MXJj@*+us#|#y4Ahc;86X)hIE6qFz8jK!JgQQJ--GgZ}^j|Nk@kgUR*(?G+C)
z>MA=ecUsHnw8&|ZV%Rpt4T|nd6gMa?RNBR;7{1MQ6{CsMQWfV#roo$3JsH<CMl$VX
zQFdCUcsyu}srwSu<%VJV7!_UCFj_D=Ezw|fU2Yi7xSvVUlW{eZY49FKRUgLH%!W}+
zyI2(cm{zd{Z842w+|R7)$GDCqj%g>G@=+^B_Z3W9jKMn?Z5cxr>oPiRw_tQ#q`?@v
zG<X-2Qs4%#^Ens<1Q?qbKmjYjz`($$3i1;J1H%IWCPrCCK^9#opHcP#NaO<(CnzW6
zth#Icowsh)U2D6|E6b+(Zmk~82P}*Oln)ryovQQtUEd!R3Cs}kIsf+eS2w2vj0&^P
zPuchMQmo6jd&^mlw`v=It-rmuz`3yX?3TRgy_3%yz8AWoy{+*;p4TF+Hl9m%Rew$d
zUopJrez$>DVRF|k$taiLufG^VrX_7S+CR}pa{eaCDW#4&SJT`==NN3gSKr$Et;tZN
z|Dbc{Ia6>#!kZ7Y)SQ+(t%K%+?L#3SC_~ddC?6Ox3bJTJ`H*~I^nr;}%nFnf@;CV!
zOsn1GYf!cSq}dnWZJaZq3PD8_!3u#fff-sM@PR7?mIek6P#IVN(#<k~fdf=qEC6#h
zAaf2tlwAPHFg;-YAOfi_7zMOhKsp!&L1j=mGoz3Q1GE-^Ry2$v(3*x(6jIf&Fp5D-
zVNsA_ER5pNngm=CFf&SOFfdAi>J1h~X$B5Z{lkc;1i&>Aq7p!GnHd!{7#I~n#XK{k
zk|d;3WK<Rhmov<aD&h=$;L1r=oPi(AP!neW6+g_3>XHnCU=a;T1|e`Qp$T=L7Q}tp
z3@jimj5-jmE&~flBcmRO%gm^+!N6!B4Ay4|b*>RehK11>s?Y?&HDzD{If2m(!ZnAQ
zY60O|GO&P3L`EwRmzmL8gMraT1YGynLh7DM#igA5bD!q@IjzxGSXS}2V#6Durg@=1
zcZ5dnuv$5DF+=B!8LsW0H)u*E@EWn^^c-iKn9^%(y)~I*s@YnJdda88p9)0I<XmQX
zl(=v*?|<<fEUCiLeGEUIf8Tz+NkQ-Va;rZRKHNxldenAqN8j`Z+oZXY&Od28cF=v@
zOZIJ>1L74$ShH4a2@;9BXqp+T+#7o0+eY(=obxR<=`E=^f}9`i7$0G&mvpq9mOCwV
zTH>@+DSS7RqWfwlrSScXicU*ST$Zc2tYTD*V%*Ja;=YDSErM|`ld{t)Mn$J3R=T14
z8MSnsS2HTPFIQZs7`}s1b(8aQbC*TxAsa*XGb$c8cVES*9<tej(Pfba<FO@?jJuds
z+*dLwUvXNl7|FPw(P;&vqRU3b4T@n~EtwW+GC3_V4%)$}?6Q){IA|xMveP=o(A~_6
z$E}&%S21ccg>Es~pyIxs(R2r+s>>=SlUT-`EGqttD_Bh<7<aI!dNQtMiDcTvrX08t
z>|tn428t$7!eLZ`W<*9&0Vc*6Ml}{KsDOb1NPvOS3Cb@JU}B6bVDW--0|W$^7~>t7
zSUR8rjB<=1p$tZGCeBr_H9)yOJ8c=GfI&!Q+A_w@bu(ucojqex4pj|GF*x%*V*oR>
zA;<^L_bdgFT%7>Y1<L%O_Rs_{X8|PHLpadPe*h%Ibb<K+r1{A5L7N4nfl&aI-9Iuj
z3PQ>OW=0`cb{B?acM)-DNgyi80LsoxjAD?K{4`{#N#_S6v9N3f4jIo{>%O*4XQu5}
zh}ZrpxN)P=lq-uao@7|iSEBdF&(&j3x{JAGPm+?qthL4D-Q6FyM@{Y4^j&qJ?pjBj
z?aw*CEAHkiWP5Klkt!|xq;4G?fAs6ti(9>x9^lq5xu0cq*hhqIG0(D(S$ePUTzm2L
z|7UQtLF)~1#$+t%RA0ksxzlo|B}$<?2&PgcNGi3_3f<4BqvgDs5!BdPs1&}7QPG2O
z1t>}HWdz#@O(>uiEVw*VfyUec0VYOwMj;j*C|^MVB*4IE1LY?OFfn=-u(&|E;B?~U
zz{Ju76(|5H2ufh$`~ylN?M;3<Q>Qlh=|szMS$z+<(3uBS28u(R34|G=rvQz~253Z1
zfEL?m$phRO04EQOZUV@U;64L0qkskjqadh$VPX`5#D2_&!yP<pll!Me?k~S6^{&!f
zPq?B)jDfqf^~C|foh6?97G?|dlI7n2$`rcH&QPDRv>}i$o+swR3Bl7LmZ2XOM9y6>
zn=v<~`Of9Ph1)g#e;J%*Q8PTiZX_sw$mXl>GrxP<zBz9Hd)XZSzv$sVX_9sI1UL$y
zkuJ>WgC){+<eio~tzmRp0xB-T_A)7MQFLFys2H?SDRi6DYDSZwJ&Y=zjO&?<gZ48j
zdo!+JITpjThfNW+K@0LFxITgv0}})o{}jN>fCCKzjDHfCIM+Y107X`_*>YQPOTUR`
z%WXCIZp|sZ@N7%g07Mt_2Mq>B0g%&~7zH5_bYHfAYhQzb&G#}7u7uF?*x7eDT6#*w
zlV^LaWLx_1$s4vM?dP9z#5nLDVBGG(bZXlfQBjwhP9Z90QSR%E*ef=F5t^@m_3rVd
zcBN{`^IOWF?WlSY|NZYAhZDY2C7fhami|$>QvIdgf@$_frLCD;kRwNk(FIH7Xv=|f
z<RYh)jEZ6V85La@DTZ!SbX~3(y3J{cvGYRZz{N%(I~kQ+RxpL`W5N<Cpyba8%W@M0
z7(XY#Gu(y-0mjb(Oq`cNQBu3?xX7in{AI^Q_I#Tux8eMk8O4;v2p6O)4$VN!3&g=K
zF6Ipy3=2R-0m}kNn+n|8Vm<&ZE-z>>8~_!Upq@CWB?Zo6%nu|PKusH_50E%gR69D;
z`_4zbYnfZl+e}%yyJwxj8iC*!{ee&Oe{Z|0QuA_t-lD}9RvD>W3jM;oq^$c;@#Yh0
z7sCI4xv9wcjaBKV|C@F`VXk%B-{#D|^KlyQZ3lte<0V=<+=T)&rU_12dVE5$_j6?@
z?VH;22U1SlTCrVvdeOcxgG}Ve5@0mP5?MNu(8yY(7`6jc9y%>j4B4!>X%PrHFI9|U
z+{FYo1zIG6Jq79o!dkx<1Q^d0z}vnL4hS%wNnqk!_Z$>K4SMFBpwW;?dgh$Jn0DO}
zzVK8ng_=<WiV;xt2CD*blyS@#G#EZW@{9l^K6Xm4P<!!c!jAJlH%|WBYvL8{SW>fk
z+j@f?$95a57wyV0_#d@8(&J4=YxLq9segEM7xy2z@ag<S-mrfh>g#7r{^GPOPWSbW
zs7c$mZrNIEq+P^sk)wWLb?os~m+BjidRnh|(s6-3G2VC`IOw27nINMBmI%<3c3SSV
z3fk}44azM}ixh)4f-{Se^FpP-#YWB>l_D7TG2+T8u>SZ20mgd)@ZLBmr`&UZ^u=3#
z-828jH|^Iw^BSR7)l&lQ|E?n~79dR#W}IVET#zQq2B^9Nkg+M|3(zd`0Mejf{s74o
zEQ|t>HVva7D66wD3PC#*&~Yab=&%m7yCKHF0?Jp6;vmJ$j1n3QjFO<HHxr{2Br>hu
zY5dBc@7$6oxl^Pe?%GUYT|Uk=YaDzy3|yvYdHS!NkpEFtsDJ-u&Unu0dX^2BcODgb
zx$(T9yZ_VQ8%t!LColNupa1rEz%TJ9^Euvkt{0j7JbE(^qvN7qonjddxgMYGOA>aS
zmfp1bT4DbA26fqKOp7o1p1bfqW`^gkrT5zIY+mPx9L>^<(b%F{#%a0JYDTBU;DMe9
z#{G<tIt!ZJoR%wHR@|T%!MKCb)ODF^@Fw%1t?DkT7)`FKoMkk*rV_Y{F?<g*S_%O5
zPQVoyEH6F~V0^X!o);N~K>P_zoWiD{%-Fh5%;;Ly^nGGR-^6}JZMksWDUrBDAOeZp
z1ZXp(0Mg6=HAO+~23S87ob@0j;|2|e1E4-8(*;QU$kYcp`A@st_j>2<ZM{c58f8P2
z+?M6Yl*&XUa&MTn^i>o``|Kwb7VC1NPS#An(jDurdE|Ne+!ybJofiF?a)r^;?DX~+
zZ>cKwTWcd8>n>(?w0O5TE?=(aqVg?<kk;y5x|{c#+zUpEf(MMI*kVAzX}Qx%Mo@t7
z0A(}9h44IfEPNMwJp?LMKt?jc!nQ$xiIFV;9=HvlmK>V{6X)(5punxFiLf@0eU?!Z
zVV&^eV`6AOfA$07LKo6lV@`l(odQTNpRs|N0aWEMPv8SL%0UGkC=<X6I`Eh;xTayb
z02!r)a2_ylfRudzWfdky0dRXCTsbicX+s8Ng+U#GYs`!yBH#*&nNd^(+T|95Mlho|
zB!-z8C7_ODl!UsDQ3~QbW=3gP|6E3cfl(UN-3IsmK}nKP8e}^Qqb#&{jp+S@T*8PL
z0tPEq0x4!@RMuc%Q~`C<nHg2Zq5XR`&^R!tqsGFh%D@5Y^E0YKRI7_KKzi^Rd_3SB
zAPnl$u`p`#@rZ&dCoq?pQA?6R4BXMzhV+z>Mg%}f7cwHi!l=){0y2%!0Ax4|qaiYv
znbA;#fze1DJm6p~&L9Cc&xDUh5^RqYm}|<%BaOs0<KvNmbD0^<B^hMF$}FIzo+ZQ{
zE9fA&HH2$}%C&`#FW5n3?HO1=Rx>()xXg@>8Vrn1a$r-PA&nA77my4Kqbt-HHwf1q
zYK#Yj>j^c+3&dq+^wwZt^pOXTTKGcpYSx6C+3Wfbyb#!=`Z;SEPf1qbrq)~B_wqSU
zh8lks))L*+Bij_(a7)0FW&77Cn=|z(Zo9rt*u=NhF8bqQ$*0F+Lv~F*#*@SD=@!B;
zZTY*+4_IylR~Y?mC{3&hYWUm{rM)-sO^78w<NT!yw`{rj!t0e!YE|Xu&RZ`ROWiya
zs37ucVvk-5?+GVI?g!Gd-SSvoGkHu|XV`J)IWKe1a|g?vum5DvwLR3yK2w!DuWRe~
z6Yf%e@}IYy-}F7o^@z?Ik8AVZm(5P+*>mXBMP5eVNs=)?j~}wWwC4S`oR-B5_3|&3
zC303SoDa&^4A4s1kBLzaOJSic?6llz1(VYfa2LaAsbVza9#%#7l}t+EJD9+OAgdTv
zT$U?FG45kDabLlx7Qwia3DUh>Y%dbJk5OL))V<uG<i12Pe7mCiN=C&EicX7^g0?OK
z4T_j;P;*(yXw4Y1O`Fkak)i8C#n5HJyP1?c7}qi`QQEBNvWn4{X)SXE^F9_OP@Q1I
z=)RIshcR@6Eo0D5MqNgib&ST&+m+8UMl$YZ2J2GvVqC}M&9simZCMQSP8Ov&#{End
zOztZgHJE~z*fWLfV$^5yU|h%Ow8)kzig5>%E|b$DW7mbsq3aog_b@9Sw_x&QT+OJ#
z6uQ`gIb=7Z2D95*Mmwgky^MNHPK!-kH>iZJU<%&Lj5a3#O8(%a4r{G5DhM#nW>kT<
z*8>6s7-usuhCs{02?C6B3Rq&GyZ`|K#<>nmEVH3}Mjb{G0mh|_5=@+Sph8val?$j)
zwRq)X{bAV(;ZWDP8QI{tV*rm9646737F*ClmAQcrrBG#DzziPfVBWw7E(<{|cu@Nm
zHkZZ$DzCu(VwMjKNE}e}1*8trd|_e~VrCSE6rwDQBHEBCG*M_VD<%RR#}pTVj$=x|
zidji$cLP+Yf~p&4Mro*f8D(I_tSlGA#f);0dVrZx9yScBpuxZ>4=NNvvkDxbqLWb`
zlB*TP!Q-OLj7k~|jEbP5jfGK>fdf>MGAcrpD2p?IN=(RHn=+`(WMNch-~fp+LTBMr
z#leLqGb6Yd1{HwdVi;7QA{E1+LW!ACLxTY{EX%;a!l=%`0TP4E*fBF|iZg%`2{WUX
z1_PresFY-3)MVfQi9u%Zm>IRj89-?WGJmHHDJr!YI6z{I(3w45aRyLf$IPe)n&JZ$
zSS*aX3>+XaMqP-H^u-wzz=gDd1_PtMBDiSOXW#&dG3tYqfC_f-$T=foxEJgU#BeXz
zO89UuxQ=B+4EKU<0}uDIFj_OPfK9dmnas>+tHHo%2O36TX0#V)05uty86CtKK)HgM
z(NUZM)I?`ybP{J!13S@KoIxGTa1m$F05e?088pERH*p5gAS5%RyEp@ALV=mlLxX|Q
z9W>y?!syPx0TN?$huG>V&Y%m{<fXyD=&1+xvL^!vNQ}`Fq=bdhn~z5yR27LDfMtF7
zcnp!azI;4JNL)WY9%Ce~KOc_?oXgA@Ajx0~HY5<$%pg=VgHg>4K{YcJ)yyzS1~ae^
z!o?ZP!HftE2F7p;usz`n93U~qaFAD+86(9REWt{mG#D5ot-wkm88|><jFAu}(c%o&
zU?njc42;pBK{*!2Xa)|D7-KX<Nvt@7Em%pM1_NWP9oUpu1`d!IV=P2Tyf}kBSV@8g
z17o}cSV=qs2S|)D9-<^soWT*SBuRsTF%dLM%)*$+zyT6tOoS*&7H4n<D@oB{U`z&$
z2(mCHGjM>!7?UANQpFiu!AjCJ7#LICz!s%4aDc=ZQz1&y#TneeN-{JU7}Gt#O41oP
zKw^yP5G9%744z;mSsDzCnV=zR7RF2l4v-jQCPYcLID<D>Nsa~sW3~_2lxzkLkQie&
zL`kkVgD+S~o(2PBt{+%QE&~Thj4>CYBww7tAFQN6gMl$W0IVdRfdeGQm=94>D9#WF
zR#GI+5Cmovi!%g+86}bopjv>Lu~d>F6f9CE$q)u+l#4TjgBcYX42<OwV1vsUI6z{I
z<q(4_#Tg>O;#CYBAO>S4NSuYSnt=sue+`6N3!S5>196!d>oph{8=}Da8ln1{Kr$?h
z%}{+UATBdws|EvOTQt}W?cxle`iYsbL!2QNEYhjLz}OK7Hl>4s10=@S0n*08*u}>a
z56&S8VA*ay9#EHxQ53@M;p0hy%Q7?eN-`va&Fd3q01bk$F!nQWfEbK@5Yr|wuz-Vm
zB7{2$>X*qNE;Hj44F<-ksbG7iLG?`s$*?fafa;qG;xaSN(qLemod&jPjyOX)m@!w9
zAp^{qC(e)wX3W=MV4MdUz+_>Z$G`y+W1I)kzd)QJ8?0oZ1_R@Q9I%ZG7&t&;pbC?L
zfthiUI72R2d@-yj0F7L;FfQTa0rmSBML}F<#-)-B`CyZli8B;{)h~wySRt6Z0v2Ep
z?n+pIfw;_!t0WnUz}i=fGk^y6m>Jh-Ffgtz0c%~&zyT6tTn%ybT5*O_u-bLv3}s-(
zdPxS*d<!$<21$kru*gPnhDtDFlQ=^an6X)sp&HECBF<0)Hg+om2Z+JA1!OD><2GpP
zc{_x=0~#eeA>3Wi3BuhF?j8mfkkyQPA>4gX#rq-L15m{WA>2by#fKr>BMdAcw=o`t
zaF0RlJr3cXfEslY!ac>n0`~i92=@$B_AG>Z4k~*d#ARl@puxa+5w!Gzh4B*9bC*Ff
zER0v6mRyB!uR)Eu4&mN_y7wl8dkgB`+Ys&@sN%a2?mejD`w;E}sN#nZ?jxw@9z(cK
zp!Pn6aGycl`y9f30X6w0h|A3QN`ry%HE6VonemM{Lp?Z>-ojE5B%i&5r6LITJuDT0
zxXg?nBpDjOar{x7p%KE+U|{?Rnvr2){K&uo5@Y-bvFwvLLo-;(Ck+P1PY@-a7&t&;
zjGrJ%K8rK7fR%jKU|{?VQSzCA10=@y8KUHiI72H~$yW^q#xD>hUl=$*VvJuPO1_CR
zw1Jg;*I;1$)(-a7HwF%n7~?mHk{{v>pxH%c#-ADtj6XWTN`5eKfW#PoK$QFvXXpZ(
z@>`Oj8_f74&d>v9{MBG!{L>58^oM~1B*yp$qUoPFLmyble+>r4fBj%3{}?zxVvPSl
zN?4c}86X{1CPqf6025^06%!*fRDcCCM90L)3Kd|3L@E;_J5+!J60J;(oKOKSm>Jwq
z0UnqZUZ?;c0}H4gW@6-r3JAbd2toyf7+63KWrD6yV`3D6jCU|GLdFW27{wrS_)O5z
zLMG5~ArqtI1W+FrK49ou?~}2v=VzVLu?u&<ow#ej$uzUvea`{Y;M04ZzU5!~Z7#m1
zPB!2;OVe48RwqfdH(v7&hso4T`zrfDSk2eJNR9E(yxzVuuXm*->}>h+rugmTSDBgY
z;w!V(9Cne(Wr^piDoZ(X%Q5bK((DYeMZ0-w%~$R`->P{3M3VBf?@_h#&#$X^@}4N3
zqxNXNoAd7#Jc{?9uMqpWCUENo20pQ(gwM7WiSPJZ?GJ4h^Hq3rMv`lCZsUajFYZUp
z{y*cZRz>WW$X4agJ)*biaZ`OBvtmY7V}h7zTVwHzW0eJy6smaVzghO^^qhpd5gY5?
z=z7{Ne8jn~vR5Tic1=~a{lQwk=D;sgS1q=GwX=+S??ly0_ivqjcj1=J{ItafU+-nx
z`HX>ejkss=+AH@ie&v1mmt$U0#1!F@3oNsO);Dv8mocrVyxtKr?L@QQWzje1GuHOk
zX6$8Bzs{GG{OYjn9_P&$b}#hkH>=OE6YuycsaGBL_Gp+sv*gN-=e}B;Qr|wyJmz2y
zdvGbL;+5#}G^Kkx%KzT^^=d~(?4tJls^>293){+Uc*oM>eJe3)?recNPtz&Bdr!5W
z=e)G&!m~Nk54mwvdWT+_ySZQP)=r_MW3wW-SS(tX>KFMcZwl0y8rG%39G6)4d*RW_
zOCkz8d+l>y<ox?_O>*A;4KpuK-XFg1Ve{sy?)Fc4$<y|BT0Yp|^nTC2pDkbF99L*A
zF-q*qP&ZchleAhmga4bL!9}M9kC*ziE#B%aDLMU9MAwA{#}^947yZ@TT=R5Sd~M*{
zHB~yX+h58!ol@pu6uU0hrYN;EqQ7m;Qm$|I)x1?tCq1!Es%={vd|dyTjuH>J&k7!I
z5MW}Sf@QQp2{Jak)M+Vr&BaouWlHgkyV-~vZ&+&27`lT=pAk0R;IvdRl5sC1c+4Su
z2cy$c#h|T9o0ckWU8uNmq2k6BjEXxzbKN@_7b^yCU#xg=iDKXm#wCh}Y#2A_Fgh(W
z3EIJ^;<BF6ICM9Y$_87IvAT?bTZ{uYD?6`dGz!_zsN}Mm$uMLOlgd%2rHZagoK`X_
z2JK{YTB5kgX}My^F2==*n-?p3F|J~ETg~XUiqUNqliMoBXy#q)iq0z;(ZxWEOBO1E
zrZ*NUA706*w389!Or?#W`6ng!<q!^nR0a*-ZdKd>GD>lSqRR%w(Cy2WcQ7i4Z*^Y5
zWY6fbi&393a2=xsN7ybV4Gw?CwagJr`&g8Yo4PMm4PC`($>h3|QIjcj6=U#zCS?!C
zHH?Z2S28MkF|G%@a|Kg8;|^Bk@ZC%x1J^RzGP`WoWe!};Xv-P4pHY|7pK%p)1k-Mq
zdCTpYUAO5ohb|A^!=&uCic!&d1*2l{4#wrmPFt5MZc%hu>b90Kl5r=Ka^NPA9ZcSg
zE129?FvT$KV^Iv>$+VhL#c4ZeO~N(B4N9TlMQ<yal!Ny%Dn~Q!V02l{Xu%h<i&2Bm
zlW`T39d9J#E+#!*Z^pGu_Po)Idztik-PbbOFuE+!0j=V+<Bek4$)d;W!?cFQo;QYR
z4~ssp2h$p68^+Mxj5>@yj4PNe7$cbWvS={+Fs)~^;EQJ7&91@c&%B=9jyIlpKf4~U
zC-XX13#KTh-K-i+9xN-l?0Dl@_HgO(`m(I&uwaT~-ov56<j1mtJC1cXkJ52dZ^jjj
zs^N>RKyK6myU~IV<VFoXkQ*)eKyK9Jb6?A7$LO*|59CG*K9CzV_&{#7<O8`;lMm!Z
zJCGaoz;3hxyHN}5Mk}xzwfI2pvI4tHi^-39HHQUXEXzI)4L%>%6<o2bJGj++7}ta1
za3!-R(|RVim5fo$`&m??nD#MyGOlET;f0DZ%zM}tDS9w2Tc#AWgHh3WiDKZUm5eGo
z8J96iGqyA83OOye5^!I^s3j1($x<L_o2G!vDn?6zpskt$9*irQEd)VJo-_nO!)O+)
zfx8(sSiKlmvRDd4GVN#46!2kM!)hTI!?crCL(qd|1-lhb1j}A_Egm11m7ErWF)VvH
zGz7g^*038!Gw)<qieTKsXen?^Q{bRIf8b_){u7LbL3<gM15uXTf@*hAvlc!X&Nxv(
zfpHpqbgiI3K!Je~)Q13#pluLvC}07#*Fl^B0f7JqCYH4zHmDQwnXyUW0%Iq1WKB)P
z&3e0uh@17{837VkTMlJBg{lM9v+!{>(118-bRD)voh1M=q7NQ}W=UY+07*jTe;SZE
z6CldKlR-=ym=8cEkXSBggXf4IfJVl`KnoH<eFbJl0mw=vW=28SSeFnNc+P{FQJ9MX
zGz7=YC?XCXpkrnfg{==1gUvsP!`25%zy`)7Ve<!4T+neaX)f?|0W+fvY}iXyoB=fG
z#mp!NnId3jl;>gqjd3wEDsX`Z`574%r5Hei{7j5WjEu@s44|<hCPo!zMpZ5b&^Q(&
zqnZ?W;0iS6r2(3(VblNxA833_12hT42w#q<0UmmTFGth>c?vQ4paC`wKKTHVg^y7o
zahVuR7#U5ap|c5Q3=m_@L6$NxS}-zNN`ptqSQxDsAnt+BEI{023sT9#XvYAt(H_KQ
zVsv0+bd+L{2R8(r7&Jh`g^bP+DHmo&S1$0d8Y82d6a#2bjfv5nnbCub0W{df$ml5z
z9_(Ua^kUEei+Dpc`Y<s<=M#Q13TNo`Jo)?Kk^A0n|6@P)?VX?fgwM!K?B&h<3A^ng
zL>A7z@I}deV#+2S;jGO!JJvVl&bD=FXHhmaZ|g}d|1dN2`OVs#$0zdx#gFN0oVWMh
zwmqt*tbTFYfyqG~E)wfMr1rFZe<xbYozvm(r~Jqxe`U6>w|C3ZQcLgdTbk@F>)u}4
zn#Uex>HSebyyVZ_72KPf7JEJAd%yVIW*-B4*@mTuz5Q)Zw6A%3>YCLyl{9w2Txs?n
zW@0_;uK!=N&F1^`G<v?Fa#rPv=!+kZ?GF?+=4wr|(s~!NB>71f?+MP1jec&D=gV@g
zFf}47c?L#5CPrQC)x1(5XflAPSp-JVECQ(dRotNDz6e&UF9g@?pd|vEp(`epHYhGp
z@nBxZ>a;Y5aR&={f&w&?yoWJxgW`q24NC5-8I{6zF)A&zVD?~K#i+>~!MKajk{MLz
zX)#AJ?q{@OI;_nUxY>&NkRJ14YjA}UvelkBaFYhh879@>9n4AxomVkhumo+>U<ul)
zbVzZ7H7m#@9o7iO-HbM@UW_Z4^jRYrcQaZuyDrsX4&TkFbm0V}CF?>>)}Wn?N}ix<
z7F6H`Zcv1?m6jQMFfLP%WZc83h*Ic*av?asfD#m_SYlKWP+;_B1PzOVxDKFV4m4~D
z;y{Zz(C7k)%P7JqEO3EQgi)Ol#ARS$loVi8Vqju4hVi8Y7?l~ASnQ#E0RaI<RR%^6
zD3?)2fKi=MfF%&hFJKU0)SSS?xEIQ2loepKWMDZ4<q9x>xJ-;UpnOI-0Y>WtmWNQT
z0fPXejRO;-AUJI>FfhssFgi1^NI|(E-Odb5EIKg0fB>T_10!UuJOcxxq5z{C0}~5m
zaRCDZ$f@oOj9yUHjLHIx9tkXvd2a>=kYQd7OiY|--A;k#PCB{vDTMqHG@i}1PoYv>
z>xWt3LGdY&Fd=sGgt(1JEE^!DJ!Jm*0t0w;Ic(AdT;MZ;Hy}ZG9D&M7W=3J?!VpFg
z=*kdK!OjEjmWpXGFp7efcd#&uGH`&zpnW?=ap)v2c-c7%qa?&Cr2R*r6+XC^o`Y%|
zq{(Nn$>7On(98$e)r=~j(hD@p0oi4w2H~nhErOTsEMUFx(jB4~Ub;i{>Ou7CL-oQ-
zcZgna>CVh(tiix&0-6P9Vl;(JzqVX{Cw_Kw)4bM*!xz^5efMumW@Lxes&lUk&(w6E
zHQUqBDDyTZO~>rDKz4Pi{xTV@jodru$lYObv=X-e!CtFuT6cGg@ILja_hyCpABrrB
zTD1J$LYeO&cLG1h9$EeO!3NpASDyTnxoyTD`EYa3y`)<S9NEjIb~L1C<t$YbPl|Et
zD|pVcf7Qp#IqO1R_ilTfd;i4yTMM=cZgdAHI&c?;fzgcdE0)4dR}r!#bGg$}MbL`O
z4T|o|6gMbtPzvA4Xzad{Q8{?AS;$UCHPCG0VwKR9jKMoWGneZb6`hwU25(!c7`~U$
z+<hgZdf-xP#<1Ot+KixfmK7tYYoNvGvec5%d7&nw2jdE6YsPrSeaza7zKrXc48wLY
zDS9)lVYOzAV&2K7&FI6thRuo*)Y8*p^k7-ZZp|3MvXfn#(Svyvt08Fjl%faAS`KT*
zc$Qt9+Kis8YdNhLBU$%yYBBn<uHv$0jAh-$rOoKevYNv%j(H!uq95~m&N!BR+={3z
zGf<=nf!bA|nH^BJ`XIo>$a?|4`ifBjv@3-70A&4D-HHF2TXRnQ*Yy0Q`2RZpPkYc9
zCP)`3k-(c<gtr7jXAT>n6NfZdr+Dmdo4wBJMrE#*msaT>ejV<!&TyxTEQ^2OldAb|
zWS7*u=(4<*9k9ySuvOEhc}e-asr=O*X&0SVbO<iw{4P-1+Md(S&lY>>aoL<%Czn-4
zN^Q7Oa8Uo#*VLo4BMQyu-eFL@vLd%WM*pRon5n*zwWy22q52OeODFUqr!N7l3l+5_
z$Xuuht41yeFdjmz?gcGWJe0u1dD`bHsJLt_%4ZJvz``@RD4+Q<+o`=q0q=4_gY8s{
zB+vpL&?GNx0S~xjgqNA1)hOUH6LY2Fj2_e6lRp(Umseii&>&TF=(ejdtNlOq4SO1$
zjx|bI<&-yW;59t)LjU(h)(ua8cn5oGt_k?o@&5UTX&uTho<(MF)N@EZCVQzdYtmkB
z$4Ey>iQ}I?|LOj=-0k{2Un{G5>(4N6ZjC>YwzdU13Iwq)Rg|LQQpIbiOBJs<FmVC^
DY$1Jw

diff --git a/posit_adder_verilog/work/@_opt/_lib4_0.qdb b/posit_adder_verilog/work/@_opt/_lib4_0.qdb
deleted file mode 100644
index 90833f43959f08eb200b40f314f1020f260b502a..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 32768
zcmeaxPf5)w&dgOvNlI5RRA5kGU}R))P*7lCU|?ooU|?ZD046j(BSH!%i<v>sf`eCn
zCIhqFBL?|}a*yOQaH<`Z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70X!kl$jrnpE-TB}7+I2-
zl#`lRT9K5KpPUV&nVo}N9Yb6dLL8lZToquV3L0sdIjNZ`3Z8x;uI{cuIts<9g-D#t
zs#F9oKP{~|wFJQr_45o2b%mM$)~Ttf&&($7EX&A{nU|7UQCyglS&|x`SXz<~=EuXV
zjW>j`KojpAAYa8YvWrVgGd2psTn}<sVrd14VnTO2ND$rW3IRc$zK%hW3f``fItr;3
zsksFud5O8H3L&l$A)34l3=CTGdJOWv<-f^)lz$`tT>g>#UHKdGm*vmNpOil$zh8cr
z{8sr5@~h>S$uE?jBR^e!l6<dxhkUbqoqVNyiG03%mVBywf_$`mn0%nTkG#9Qlf13G
zg}kx69?1Elcr*k?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1O{ISFl#Y0FfeO^2n`US4kFY*ger(o
z0TId|LJ34Df(Qi=ArB(tK!hxakO2|WAVLa6NP-9n5FrjC#6W~7h!6o0!XQEjL<oWi
z0T96tBKSZAFNoj)5!@hx3q){&2o4az4kFk<1S^PO0TIj~f(b-0GBYrM=Kq6asu|>e
z%72u9A%9=~n*3S$Bl5fDH_5M*Um!nSzE8eIzCu1<K21JGK1kj}-dbK?UQJ$BURa(@
z?w8z0xfgP`<<83;liMq|L2ilMY`IBtt#Xxe1#-!9VRF85E^=mansN$q;&Pm_e`G()
zzLLEodtUaK>`vJ=vWsP>$#%*%$QH|{$;QeC$U4hf$?D1~$coEy$^4c1AoEz}n#@U=
zJu>TM7RgMN>5!=&eCc7-MWZ1w8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UoM|;0|JC<X~dfVmQt?CzCr6%+Zt*
zU~G!y4ghmB7+y0r=5hOjIqGr=jLCf5eqfFo!*9kUVQybAM^&zX(Rneq516CE@S4$S
z2e&tvqb!%es1m{L1?DI*ykb<&<Msq|6y+QkS@pO*z#IjJ|BNj9-0rN5oJ`E}Oa_dM
z|JmJG896u@<u$ln!Aj&9#TXf0a=U<)$g)ph_!-IW3|1nm&g}$NBEu-g@WYwg5v)X-
zy@BDwVRi?w5@|JVd$1BIMlpu>Yq{;fN+j7E7+&bI+k%xys&d<al}IrBXLzp1Z4Fi;
z&ac35gOA+`tVCRe+Y+oqjNvfDb#HD9uo6*m1BL_A?B-x4qRQN6U?n0<J`8)$^O&+S
zGBPm>GjT6q*rd;H!pg|W!6>Z6ZVXl|tjKKyRxHHi!?6A_k0DsGAQSrnh852224Ka4
z3heq|#e(wOdSJx@Og;=tzw_vV74tK3JYbkB&aMMi%rD2T4OYxA%dG`g%*Qy3VRkLI
zCfKFCQVSRw?y_rumGH`Nse_a-@i1Pf=jB!d8OOxUB>W&-mt7TX8n-mN3Ro$(6qhns
zDHr2~%vf$Euu@JY;Rm6$?22HeoRaJcV5OWAT=HP09899Y8QgMUrR+?M3PB$1vS6j`
z;_NbDrR-u{(qN@*%ng1gxTU~KS(*7199D2hvNAGqFtRd<vP*z9v5K&ZgEg@VbBTd9
zv9Ng9Byfv@H8HcwImjt+h=4UQvj}krLs-m$96}HllK{IQ*dS(pb^)+K%zRw@V1t+h
z6L=1A@Ue0-GI8+o9AM{V<z(bw<l$xK0W-LH*tx+BE^c-%FoTneofFL9;AH0jGuS!U
z*})7pc6K%}gO!b)70h5^WoH31m|57F!3-v5HYQe1P7X#URz_A%4h~K(1_p*8*`1*M
z|6k?b$v>BWAb(T-lKg4;Bl3IYx5=-UUm?FxewO@X`5yTe`5O5W`5gIF`8fG-d4G9#
zc?Wq*c_Vo(d1ZMSc~N;@c{aKKazErg$i0+%BzIfxiriVbV{-fCw##jhTPe3tZkF6+
zxgNO|xmvk0xqP_{xkR}rxnMaTIafJ5IdeG!IZZhwIcYf&IbJz7+5fUXWIxKjl6@?D
zNA{}hIoac~2V{3b%Z*WLGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E1VR|v4NFmf<z1%WBeKrp2d0H)Oa
z!IYXGm{RoxQz|}SO4%DsDS3e@MNcrL;K9bi$jK=04(7?bfhjpxu%N69m?!HDrevJJ
zg3^v)p0op)lClR2O4@;WlD1$<!UilTZVl#%TY)JtOR%7*1(+vl4yHuR*jX4E8HJfl
z!IHuzU{PUXFePLJmK0<%1WO7UfJFuM!IXd=SdyPf7c9xI0~Y1i22*@mU_o9@FppOQ
zO!26Lb#ODOfhD<B!J^zMV2Vo_EXm2F1eWAf1dDPifGG}nup~Q^99WWF7A(px1E$!d
z!IG@ZQV^O+60C?-0xZia4yIVdz>>_Yq7a%z1VS?lLue);uqI|fuxe%jFvY~r#=^<S
z$jb+&czD4SHxHQN;s#TkTwscW6HKvlfGIY1FvZFSrdU|P6f+B$Vq#`x;pAjwVqxLr
G-~<4UUTH-D

diff --git a/posit_adder_verilog/work/@_opt/_lib4_0.qpg b/posit_adder_verilog/work/@_opt/_lib4_0.qpg
deleted file mode 100644
index c9c9f9d97381d9836ae8734b64e4df6cf5d4063f..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 327680
zcmXqEaTfq#1_pK}1|+};<tsqNn4o+{D4!Y1hjJNM(D<xSzA97!8<bxN<+DTi9Lx{_
z4k%v<!e!t@<8wjznoxOeC?CpYkcaYN@;p$!F;oFBl<x=S^FjH{><|HdC_h6O!WV$@
zuR-~OXnY|k|BMtwy)cvy;W03Xq4CAh_!4M*Nhlu{zKT%3Jk&rXC?95@2$T<%W{^VT
zOQZ2+(D<@wd^so|X1*wt53`?vfe9Q_9#;Ck#mNc<91LtMAiIn5vr>~w^b=wHlob7f
z{Nl_K7(YHSB}K1{p@bc(9;63?nf60y7zyEj`VRpN49q+rnXeG;e+C9-aL{~2@WJw5
z5PY!w2LvB1{~5st%l|;|!Sde_e6aj?1RtyXKZHCt$bCre1@n>I2j(NW2h2yZAIwLx
z56pj$X8t=g{#!Ku8#MlFH2y0z{!29e3pD<7H2yO*{!=vm6Eyx~H2xzr{zEkW12q19
zH2ys_{#`WwUo`$7H2wz(P%JSpC_vLQgvY?Z!NAG^VtarHFoy7R^3#n#Tvi4)usk@?
zg9M=Je}Pj80|OHdK3FLO0|N_G9>)LkUl?p2IMX1>2S644{?7rHhjQWi-AeP4OEUBG
zIH2xPfI5&3$_Hn*_{0>Ld<xV6Ca65jJ_e|JIHB^4P(GB)Kq?>RA6^D7un{o-!pc{e
z{fJ5h625o9suAG_<-)@g#)p{)<0I^Yh`{9MK)4L(;fKpV(CCBv7Z&I+^)None5mp8
z_@H%o@}Y&NQ!&H^%+UCRxgQpvl!hlC#CW*>u0Z+J3Qt)68L05&M+?tX8iyx8H2h%x
z<$*>RwZapY{$S=qt%Jv3dTL%$PPQ=vtUQ3JhozS@&<qJHztH*Mq6thu@+-`ISpKVl
z3c&0)LGz!op_zq=v8g4@egg|-LnD*;co-kueGtA8+`Z`TLFa>=1}3n(FB;8#1%%ya
zX&4`$4s#zk_>34B*rE9i;yy#T`=G`#P%AuP<s~dUmqRUpr57yWX>Nh;UPFX?OG``Q
zQ&MreR|Fb9u=sz3#)op@=?TV%#lIF*0OsENXzqndW9Wyehsne0-;~6X#Q4+-P<s(v
z^cE#1m&BJL+@F?MTmsSqtB(yp90pMR0jZyn+M^Kti1sL$pOT_qo?ny=k3UHGAkrJu
z`SAFpG<>w7?t}T)9-7}^@d=eCI($IxO-w3==bxg~^vqnO_@&gnZcqoo+*?4QdqHjm
zxe=D1a#JA|!0IpT{z1eCD?~Zf;=>(k9?XB6DfA!6{i!LLX)yn#LCuG?ci5nOSouI{
z{J`3$F!z6;(EY`wN%5dE2iAT}DK0VuIT@C}s2%=cAj6T$YdvU#fZY7x5*!p?l$o9Z
z3;&XMzxZGV1_qdaK=G59k^;{!=>A2o4=IhGBsBl!QRqLA+d*!I`41FVAS+<$D+k;=
zVPJseC#ZE)%P$!q%aG!06Vw87<IBh21uebh&@{c}pt=7Uh3*HXx17{8c>M%TZVa&e
zQe2t@9%6#=sh$6e(EOtcO~B;F2YUX<P0TCFFH3{hkCf&IX!9CgzeP~!UQm7jB^c!V
zU6h&*&)-G)kN|?^2k3Af)$&6*#CW)Wrcvl0klRx$3gGU~FMwD8bAL*45jcm#(i5fe
z(FQRd?*7{px*yaYi;qXN&(PCTX%56gEYS8d)H<rgM?ac>1fUfbx%mN<zCdLxEPX+%
zSGarSp!UJq%jkTlG(3D^d{}!K=6_iIjcdFIHvRz9Pb|MIF{c#N#DcjWz5GFUFFGG)
zAIyC)KFs~l;D!4y2PyyyZ&>@8SogxrhpC5!53Ice9s~uq?@{}AF!j*kz5g&ixRH-k
zU%~jW{sm_P#0D52RzAS|i_V9+4<?V!M^}%|M^}%F4|7ju9;kE!jTb`tGZ5E;bV2+t
z31T9J2MaX7Vd)Vn4UZof9~OUtPyv{KZlJ{<EWN|R6KXtsd<?1`K0cS32QHo%VELQc
z?)yWb`-)2vi$EPlT={`g_X<G+1Qwn$&<qF552S`?eksVci1??}ebDJDczSRl;68Ns
zLcNC+-;f>-wc;CApTWW}g+ljLr9xT&u=)~~A7SMQrQwP0-WCeoo06XgDz4$}M{2uQ
z6dHlB@LfZpd%^k105QG<&7Sc3k<#!LM|1BL0`7$>$5}oVLyA*){|Hu|Q7b(_r<36M
z>kp;wg%qbWb1y7@VCg{yn&5DiSA@bBl;7e@azXRI;HnlH+zhb(DXcu9R(M0F*Wux9
zLuL0vgP&&Zmxcx?Ec{a_bbn@E8aT*lRo=;<xwnUM_kx3%R_>KWbMF=k-3v<Jpa7;-
z`vKPehNXu)RB$gSfNAAk*!mBcds)D_o&i4J3d`@X_9HYpaF)+S$)M1sUVBFcY9Y*h
zIuyGP6q>YipAUuZL+>Au+FybWhr!EJsB_@!A<+9vu=s_AFFGF@{BZNo`RMA=`RM9#
z@nQWX(A)y@{0FRlgY}0<?H|F`r@{QU0U99W){hYPA?7pC<BQtvy+WaT(cMRCdV=YP
zg&)*8IMWk)`a|dAihr2-=<3n=g!IGYVd*IqQXIkCU({~jszEJ;x!(c00EgW4l?o}I
z;O?b%`xf23DHOUFG`<W9Vp`Qt>S*EHLIwANf|y?JT|=RJE1&~Nv}#{zpoQ-h%H0bJ
zUYhlPVe5Zk`Rxyd?uC_au>K6G<s+;-fw_lJ`3SQQrXHOSjSigkExLMiJ|X=ud06?F
z3(C9<i1|jCe_-K3X?>;(wGigt49IdqvfG!r;M9e1FSW}@Jv8_BQ0U&wJj3|(lK4#6
zd@po(m1gBTG&<qwXAOn!&w)<(!RD{19p2!{RiyF#D-^mHeZGy_?lnP6A73bRZ%$D%
z*hFfLznXzf1NCnp^9v%-0*&1ENHLY&XO8AR6AImz1D!ypRr<6<b8iTR?k%RVd#%vi
zTSB3GbHJn7v@36I(A+zPLiZNa*uA!B?%hJ6dvkIj2GT11+Cz+o*9TW9bZ;?@-Rpqn
z-Y*oo7uG(4wP&El!RNoA*1^ZK(C43_%}aRr5o+JT<YDa{bUw^Jm^?ZkT|GJ<T|F*7
ztbLb~nFgNVgY_R^{b^WuP};t8hB^S|p9t`-D)Pq<bBe&r$!OMpa6xl#4TbJ4rm=fn
z(cHU)Lid8!CV|oftUU@fo`Dg%o`>4$!xL%&EPb4z(EXtGdZ_C|GxI=Jz|uE#xSeYA
zmp&lFk=mzUsP2Bq3ISS;M}(lcUxgPUKyG^w6#l94De(Rbq;#QO`ydqJTzL5#LZSOX
z>%ns4GvWK~VErXp&2L7cxxa=Q?nhb=4V|8*T6#}JbMF!g-Aifxi$0$Ks}EuI8~S_(
ztiJ~<Z_xQr@4?d(Iv-s<Iv-s<E<VhCpz+c8{4)4{0+@POc}wl`3euZI^#25)8vx18
z@1XVFpb{3gUy9n}L*T{<Qu%5_p?g7Vx#Np75aknO`4!E^ThgHs3QKP(6uKW&zJmM!
ztDocZOH1NQXtzEm8_oSaG;lx23R>0A(C!F4KkuQ?{W+=e`N->EKyC+NSbn8;`v`Vk
z1}y!&p@REC?xj_Dqq|oGx*?X_@+CDMvcR8O<6os9&m)&76uPg7%I+&eb6*UF?xVDR
zMPE-!sD4FXAB)b1dJmo-(fR1=(fR1=aq(gGYe{YaIEG;DXIOiITK(H9r~_d6^S}Vd
z7y5cLLh*&Zz7Cxa^&ZanLRXK@C!`-Hj}%{qpa_GtCt&R>YQ<M8)IwN%X$U|B$St2>
z{Xbgu54s@EgNMHlh3<vzhozT$b0~Cg5Y+$la&HfX?hU4KdlEf8tfA1o==-%O9q;Rg
z#uqGqouSZuMR_UlAUDF=yXf^9rS9!PbMG4p-CL4dU>KhtUj%b6)cNr9B&gj#>O*tC
z2z0{_x#bzA`ym$4YW`sY#CUl5=0X+s`@#3KQaim&L33{oh3-XnpEA^Z*m_0=Xaxx8
zL*-%l3(94H?e~JUZ(#E1`@LZ5Ve*r3m=Cj$+U`N$-vzZE9{;4e=NHsNF!w^aaPwe%
zT;YRj|JFc-5Bh#BSbq}co+;1(gZUTAh0j-^#}}pHgT6ltmR@nW2NvEi_fgwD==-l=
z<>^4V2Yr7PY`m3R_l)LuND87=`WemdknqJ-9**XB{NY1seupjxgO}G3+Zb@JFM##m
zVdV*o59=>;GC)dX7#}uY08@|7huH^{N9UufN9Uuf$Hj-aM>#XMASW{!KHgrUTb@~z
zs%!{rAH(#+#!q4PQLDd?e*VP_=ztcn?PFMb3RWILLL202$oe@_;}gBUA{3u6`(W{f
z&Ih>!gkka^HVDJ`=<3n=AhjS2Qx9T;Fgl+=d>YUzKB3Fw;O#pB=mu?S#V4uje_-(k
z3ojTS7GCJ{kFfL&Q;*IEg*^zv<Uwo@hVjwWqw_&(K^UeU#0Ft>KFocjreB!<VCKR2
zF!RvMBbYq8dKe$pe#OP7R6oe?APjRKsqs71$5Uw?zv$!h=zLgs!{Qg6kFFk_kFFk_
z4~kn5hQ%+44Z<+Ka=EfbwM8|&e5$S0R5mm=fsYS><xSD#4Uyy%l?{zd5%a6ciOQCs
zEe5dp1m#3!bI=-KB)$=7%{q*42tBY8Hh!X<sB8pUBMy@X@lBD|Yk>HmHQLDXpf%CR
zd<!J?Mh1p;pgmj2@@Vcc1~~yHZ)9LtQ-`EqIZ@ddw8jM{58@;H52PLxp0N2skUX;g
zj0_Ax*2CB1DJLqMAlnDxqnU4l=3f&u|C*q=-xOKDv4NoxXw52;dri^Y3*J(K<X%&>
z@HR!W-xMu;O_9S_IZ@dR%|B+y?g7aohX;s{W}i8lyak&5mT3MpG(b9kRyk4G(7+NU
z{TUitp!0FJr$G9REtHLL)z46QW4!W6_L~?O8r7&7!}F7xp;1kpS`8vUB`O<&5&&%e
z24ud8395Td(A*D-aAftSNau|}&fi6xXAG+^VC_TL`deK4&tdI1T=Jl_4Z^VgP%RV0
zL|AzRu^;z-UWjT$`yFH!2*d0n)&3YJNR5YTKjOSKSo@7u{`W_-A9~z8Jb%O58!-D}
z?OB+AL4F5e7#~*u!1y3J5Ju<2!XLJO8|HsP=ikG|TVU>?c6_iiLQ)*eJ+uyg4rYjt
z=w%-#BP7J=WgiDO<isCb_Cb74z5K+@2uXps?1T88diJ5GUs|UZDJDn`q?iAs>1>|{
zCuD&sz5J(1XZsB49G;e(kOgG)3QsFK+h;>(`)uiKpFN%JbD*<*o^-Y^jn4T!m(KQe
z$%1+_i1psIu8(@??7s<gwr>iZ?VHL3X>r5KYa;Hu0AC3O>(4>&U&Fcn<PLO0BLf5E
zCcHh+0}f&1si3wx2*buxVE3PKurh;()*-E9kP0R+6E=Rsz`z7vIu0AJ;b33}AI%Os
zuT6p(!iUuE3=APS<k8hbm*+4fK-EK+U%>e=`zshB=0k`385*E`bn^)DA+1%A{~+c;
zgNLC7Y94I;Q4f?4TmLu(%7={~K7sOK_K86KzZW{e|Np-<Y^gfPGB}2X9|Hq(CP+{N
zk{m!M0#C!n2Z=#26IdR?29XdxZ2j~9|H89CqU=x$V0_s9ISdRC^(@c=111k^pTp##
z&WF1PWF`bd^h4Mnk~aMJD_x;S7GgL5KGcCQ_amiuaQN^+JLoWZsB(~hCV^ZHyYB|(
zU#N1BJXn7OND%{gKP#AzE)SK4t4F%;53C;PK0q)(9@-)K|6dr)N7s+e2WL94Aa?)%
zfqDq$KbZPv21v&iVLwDabonCa{1veMO<=PT{!2njFA`7>|NqaS4^j#@p9Nw*?2<>A
z{jl|3zyEW9XKtX*1BDMbyrJ7CL42_N3qXb<g)fu~mybgWuRTx&zyEW9^*6#TfcP&J
zEj>e>&j1S#1E>JZKTs}1Gt@sP5awfbKioQK{<(or4|Wff3wJ+ye1Cx|AlCgb`(W<3
zfI1lFez-i;{jl{VxWXS+p25<)05n2j?twZF?tb*}*FjTHDEy((0S|u%H21^hq3(x_
zjxjL&|IYzCiU;Z>Nc{uzF9QP;I6cA2TbO^Kis9~eKyz;d8XxK$xO#N`j)?RJE>9u7
zaR#`57@!8g;sY)Z^$&XfKzBd1aKmYT1)6&&pz)E+!<zok-483@Vd;qj&HZqBBHa(G
z|8Rx>1~m7cK;y&WAD4b~_rt13nEM6L+z*#0(*1$(2#1s(FVNfvGapy}fNO`A2MSR4
zz|^av@zv4z`=AjBD?efBALc&}XaK>|7hE3dKd5$4`T^$$*m`<cczU4OM{oZ?vkyGK
z2%z}~E|0@Mu>BV>|1_ZaX9XG`JwDL+l*SKav=Nd2HJ}+D7C&%#9RBfzI|!2APN2D;
z-u{7B_we{JK=Th=9*2KGcXL6!1o008G(p1BzXlo~q83C#)q|K|4B=B6Kd|-%EdN-b
z`3EkK!$06kAIUuyXzouy<Aarg35ff_OfUiAQ|cdB{|V-w1~mV`<#G7OAM6$o0dY?Q
zn)_Fv@j)sf7@{7+29XdxrT&48P9pNp3N-(~<#G52b{;gWJlKKe{s(A$kjo($;(iDl
zL_+wK`Ukol5T1V?p!o+bkHbH({wvHsAJE({0WB|K`3dB52!^;H!UmBLKBfMF^}k{1
z?FX8F;PN>913Rw<<{twz_tU#PfQ=u({9^!Z;K0gjxI7O3fbNb0g)YoL8EF2QfX0WY
z1(A^W0I?t#!lyKTVB;S!|5%{;2QH7pKd|#)VE$Qw=Kc$4e2CjYB*gt776e21l==sj
z{$c(}K=Th=9*2Km_o2Z2^8n5Lq?Xql(DV+=Z=|;0VD$&gKOJcPfy?9Y5A6Ohn12+|
z+~a}9hr|Vlgv1Ak1;G$LrSSs`UzmRwpbczT{(;Lw{R8WdonT_lg1BR7k+Av{HXes)
ze+y#mUkO1qz}oMK_APPh5$#9f)Faww#HmNLe~43$XkQSg9#MZ2ryfy15~m(f{}87h
zQN9zW9#MW0ryfy05vLxJ|A|wN$X~>%hvm0DMCLbG{S8asV$kf_#J~tX$`97R{Qn;^
zG6d}ogZh_XCPE%EJ_FZ}mj8F)a1X4$h1ri7UxfM>T7JXw6SO%5vLD>P1v>)lW=Q!K
zfL0ztX2ZbaN8tR5KK=$;>j%>QA3A;rVnZ;*yyZ{}VfMr32VmtNtUQI4e{gxI|DoF9
z?uB;mKzy)!D$v{uJAWPK9(4CAKpY1e4+HCmg+Hu*KzA=}{sQJ+4X6WP?uE-k-OETI
zywUCRgnAGbKCtmbn0>JE2AF;5@=)!d@Bqgr?7keBe_-VUtp0`TXJG{UA9g+{EWBo*
zg=Z3)e_-=9F!u$Zg$G<78XoBGBjkVB_!rFl2sHE2<<ZTD#V^eN4AAxh%>QuxQ2)d3
z3n$k9u<=Eh`x4OH2bag;fAsu@9{;fN2WEZ-n)&GR=>7*6I^Z-8$$uJX{)g*_`X8(m
zOhD8pqQy5XJ;B@qyYC*B9^vvh{7WdlVfQ7$%&$Q6FS<Ose?e=5!On+{7kZ%i7p@<N
ze+i{`SbBoF4>n!~^FLf3hyMx1w<5&x@cwQGn*Y(|(ftpTho$!nH2=foo1y-PwTEHp
z9X-C4(A+Zt%{_2=GW`qN-wX@S8EEFC%cJ`@9O^!pe<z^%7p@=bU)X#jF8{*DKVa@z
zfaV^!JemH5-IoM2A2uEdOF!uH=>CQEKVkmef#zSheyD%p!2`_?=<y5Qe87MhFWP|S
zU${J({)OEy1M}|=H1pBr(ftcMPZ;Lk2Wb9<>nGB`u=W7VJqOU-1D7Y$zp(pLVCKWd
zpJDNfE|2bC*m><R|H9g<u=)zFAL`!(XawU*&#?J-n0qdu`4=uvrhm1e_QTA-fo49s
zJi32j=e@)Hs{rl)!u$)@5A|;b)IMDP)q%>x-17j<J#cw4{R`e4gOp!hpqY;@kM7?n
zsQY04^+5A4TtC#maOF7iFKiMQ=HCx!?t#mb>0j`o1SJ3dKr<g*9^Jp_>tPDe{0rAl
zq<>-k3z&al^C7VO1(zq&zo5NIpfHD-&jIxi%zSits5HF24XY1f@jC;}zi|CT`WLh}
z3)wvaPzz!1fy<NWU(ntvWb-A^%tx1pO2hpNt6yRMJ%Hw4xPBu23)=gI>>dTEg)slZ
z<;nCftbGWJU)Xp#%zSitsP%CF!rBio|Gq%;FI+#7{srybL-wx$)Iykh;PPbp7qmAI
z*?ic18_axkd8qYp|H97KhWS?j+JJ|Z&v5-j`WH5S01JNysD&{1z~#yGFK90yvVT3$
z%tx1pO2hpN^AF6w25A0;>nGB`u=`?Q{tbXy2=gyoo=pE*gFK5=K1ZOLk1h|DhWi&5
z-Z1|Lp!pZBpGg10+AlEw!sZ8H{)Nlq@Gp}4z~d3<{b5*p0cL&yR0GU>ba|*W+`q8+
zgZXy{nt$Q?q5ds^hA*tWQGli%eLTbtsvhRP3aA5M?t{yd>3`7PU62(p^E=SYN0*06
z!~G9SZ!rHqK=Th=Kh*yfAj=pK<9&qu4;w#%xo-m00Wkl=<#G5QI@|zqFV^^Xgt`Z2
z{sJ`f(dD7iAoIZEVX*O3nEySX3uIvB8C*Zq{|!+4VDZm@rXD^1ouKMr?t{($!~74I
zC)5A1@f?`>u=#nI`RMZK{)dgH!u+3s<{!9zsQ){l{vp=?u>Km%eFvZpfVmGYPp1E2
z^WQM@PoSBPE)SK4$3Lw71@r#|H2=f(L;Vl+K1e?}Jrat4H>d`f`z}Bo0P{awo=pG4
z&Yy*ue*?{Yba|*W$o=5-4{I;N{J#Uu|8V_e`X4ra3UeQ9y$H<zaCsd5hZaX5_kzP4
zz5IvWcLOv31=NEu^U>v@(jfD|{)gRn0`va^H2=f(L;Vk1Zw*WTgvJNG(BdDq-UQ}8
zxICHu_eL}S2h@Ww^U>v@(s2KWLG{D@&jDRf2P^;J`l0@x0aZ_||6%J1Vetb!UJEq8
z0`BU;<)QvZpa1QKCIHy{2l{+3x;(o7pwkr~|6)x)u=Rm3_bEUt99a0F%cGkQ_5?Ug
zAmif&XyFIf4-G%q`g>UTX`rb`uRmbxVPNjlKyx2l9vXh=?jz)X*m^aX`4(vAqsyb4
z59?pU($54m|G@P_{l5YnR*3ckA^*e1qhaoIKyx2l9*6%4jjzMjBg4%1Kr<g*9^L=2
z`2(2$ccA$nt{>|E4QT#HAAg0dCx*Ev0L?vcc{2SATR#djKLX8sba{0D!p4ta{=I?b
zU$}m#e|He@FKm4j%smNc?t#mb>0em+4l^INo(z`1(dE(o3mad6`S%B!f8qL}{)KuM
zUf!U`FRZ+Uxu*clzi@dn{R^A_fSF%`W<I(+x_@EgjWGX8Ko=y#>I1lbBK->+AAz~2
z0nI&dc{2SA8;^jQ-+^X6x;(mnVeN02e=X4b3)fGie_`XfF!#XLE5gznTpovik=%#1
zzJiUP!pxt6=3jJqB=f-S9oYCe%)b$6{)Owu;a@`iy%=Z)fVpo0n)~4LWcoiA&3xE;
zN?7=!%cJ`r*58ErzXHwwaQ$TZKMu`(8_@g@m&f6MsB(Duf!^MYM>Bs1R0GWa=<?|P
zhs_7V{67QD|8V^{{7<OA1Dk(>#s2{`_rc}K^gryrJec_>(9B1dNB2K$yand}9cccC
z>nGFyNoer{Ti*+dAGkaY{}XDj!S0)b`Tqu*|Iy{q{STWjg!%sln*ZVYq5eMr^$)S_
z)fA|DnEPPsgJJ%M%aiGU=ylWZ^$;)6{Ese=?tj>L7R>)Y(EJbA5A{E6e=4#5huyCS
z^Zy4l_rc|H_#fK+#F_tL_us+H|AA&cx;(o75$gdV{gnjh1|3-a4%ZL${{?7#!u&6R
zrXIb&0-KM8xsL&wpkeV3m&f6MsCRJsAG~QFX?z>DejH{#x;(o7Ve`GP`0qgT4_rUg
z|4{G4-9xDSgDt{_`CkCd|8RLS{SQ6_0?GdpXy&8Kqx&B=o(c2+1~mV}^^@s;@Szk)
z?t`tThs6(E9_oMe_1NhBdGz(y=<?|6t<mMt{g1@Q+P;Dv8Uc$x2ej}-mq#)W+`fXX
zZ-j;K4Ycru>&FqkgxXi&%a@VD7q&kE7QS$KX!xSL4?3IzPtWM>3&`z&i1k0P^}aCk
z(dE(2hfaUO&F6rw*N2raaQ!&^PiQ<1Hva;Pp9r-0fy<NWf7pGCF!K}8%tx0;_dk00
zTA=wKuAfZ*!_Mo5xi16FeQ<dk{zq~z*7RQtE%0FG7oeGsE{|j$IQ^rS-w9~`hwI1T
ze?sXWcAf^zeX#Z2u=EL+hx#9Ve+8lP0X_cE^9Ss_7nuDWXyJn{j~+gV{Q!{qw*xJF
z;QDcd525@4yYCX_z6ogVgUdt12i<*y+8^a;=>z5-SoovMqni)gp9w1;H=y|+t{>`u
z*m)nswm)F=lQ91;K=VIb9_oMe{WOHq2Xwg;ynI7XAC+j~vjNS1bb0jffvt~$g^vPs
zgD$N6gzJZf57auidoG}bCwlt~cE2Vpe0HF@4=xW4A9VK-${*EG2f@rgfM!0rJi7VN
z<~ZCx9%%lD>&M}LSbBto7rOsz(A;+d&3$lr9R5dgFV^z27R~$%Xy&8KBbf&-KVj=l
zVewyp=6|?;9R4R%euB;_2RRWIKd}4EVEGp=5A{E6f5`v;ko`i0@&}>xQ4g{lsr~W-
zEqu`B(ZdJ2+!vlc7NCU>Tt75?9zgAbl^=xC2W);H7Cs-)+y|G3h7bCFIZDH)5$ax;
z{jmKhu=qijM-LyUbK&800WEys`k~?T0%{+z;R8Fr3g$izXoSGr2bYJ254!sZ)i2FZ
z_rS~-Kr<g*9^HJ{`fphN`GMwtxPGYrq1M5}i%|cz1*!q&J_$7U!R5*HKkPnTnE485
z=A+A_`yaMGALf4rXoU+a58?Wu{{H~=4=nx(`5$~pAyWQ@?H_{0KU^N_fAsyK==lSE
zKPb99y8qDIm+19xJJdh0@N+;5KXiF?^I`k-VBzP07JhL3(D3^KwGS44gvJM8>t|r@
zgY8Fxg&$lV8h+^RL*iqte>$P=fteqG=6`f~B=f-a4{UuY%>M~!{)g*_`X6@w8O;BL
z>L1vByD;}fpt%n&5A{F#{$E1r19pA^%>C%;19qP-%zoH@C|LNT%cF-6Y`q4|{1a&5
z1J@4=A835Q)Hk51M{l3N?$3p}uK+E4;PTM$L3bZ)|02vjbpQ84-2*ef0?mAMd35t(
z>y=>U8$dfWu<`}2AL@S&=mb10eY_yxf7t!IF!wc}xeqQ6^*{Q4Z$jw<>Ku4^j~+h#
zXyF6fKL$%5=<?{{1Dzg*n;(D{K5+fe@PS$fSC2kl3|nsm3y&FS;Q^P2h6lQP2>Ev+
z)B!N_7oeGsE{|?LdVOAi=3lseBK-?4gplf&6=?2(%aiHf$!PxFfM!0rJi33O-79!}
zO+fQ6TtAWih24J)3xC-DJy?2!%aiHfsc8N^faYIxd366mn@@26Zb0)dTtAWi1y@=~
z@p}TzJ#cw4{W~4azZcNVN0&$UFKoRVtbDtG=3lsesDB}+HXznBq32)NdO2A9-avB?
zTpovi3H7IDqWKrL9}<>+(B;wn3tP_*^Y0Hd|HAb{{R_1Yo*oGGr@)7JBE|O$H2=fp
zarhs-d_ga-XG0wX3*QfD=A+A_`yaNx6Xt&n=mZ(8K7;Fr`d<QCpu*}iLha=_Xzu%g
z=03PQ)c>&koz&`Y&qcGJ13EwtOCRX+=-~rfp9>400JQLd>xYJq0$TVGN+0vk+$VtM
zKDazIe9+xTD1XdHGhYJDd~|tq^I_|sVg9c`^FLfa)c+bJ`X9C)6qbHr`(0u21DA*T
zA9g;&0!GMrPl)~Bkn_wbT|Z0f`8Y!3@r1@>35`b)8jqp0KT4=SLa04TD1XD^cMddu
z5&aqL@hbx@AaSjaMwdsAUvzo&`Brp!^!ZkFdGz>2mq(9Zbb0jnMVCj9Uvzo&_(hjT
zA8$aHM~`20dGz>2mq(9Zba`0(PJzZRV!QzoztG|dUcVlP7D%x8oq<;VpqH<_&;fLq
z`W+;yzk#M+9y;IxJ3k-Y{2yrQ3AslCT42HIS3>4nkf=U_MD?)v=z+!u?EE9xd0Ein
z93CIRX!#AjycI$VfAswd=<?|K5nUcVKcdT{=SOsT^!$h}kDedV<<avax;%P*M3+a;
zkLdE~`4L?nJwKw$qvuC-dG!2<E)R?U7HIq<)^8A(AEC_)c={m3uZK2RVEGe0eN~{P
zU&Q%Ykogz%{FMwH@W*A|3^el)=TVVo-VQYL5a&HX%tO!5gxq%n%{;{UNf7f$_3sZf
z^AP6~LCho7eG<?L7*<{(&i^6LJPS1Q5a;U<XCCx=q5rV<D0=!iiB?}A%~ykmPG&;O
zYgl?&2u-j}(Do%%J19Ma)kBjjh!5_XqxZiSLG{DhuMeOOg0)}K<<ZSYav#|I4QSy5
z*AH!9L#>0m2R(hDkEbq%YJj;9cHaQZeQ<dk{)c8SxP9pUUxH@-2Q>eq%cJ`rsvK^<
z2K2yMSbBr&$KiiM`=^$ox$g(mLYV*I@=*Vy*Jpmv@mg4VLF`|Iq*uiG1rR=Be>;Sa
z*zXMCBlf>S_)zD;{U48LZ-9peVEd<F=^fpD==UqY&WnVFANu_d(P-wQ*U#wwMeJ9E
zxEFDL0fdj(&ko@u_BTWLi2be*J|X|Y_IJVji|#&3{fpRt191;xe+Gn)Sg#7<6LK$X
z|0c}6=<ONUd1kQqAT@pv`)45TLF}i1@Db}vA$&sah3&_Lxfi{CMX7ty?e{>-&qtvJ
z04zTt_HRJl4{cw<!VA6pJ%*+qvHqDn{iM1VvEPC``w;7u$<vQmPY%%^gO;CR`wwC9
zi{3tlo$mt+UsBT}dV3nZyg;l+g}4u~J`uu4tk;C_(Ze6T{DUSRc=?EKKdd|=*FT8;
zhY<H5)(b-T=<Y?Vr-R57azAYUFDyRL`x~(Ofn4{a_fOE{1F^mf;$FmhCkUUA`(fuh
z!rYH;AFO;Q*Zt`ICv^8OgF3L8ff0OE4XnNM|Gyx(CWj8!gVG0>4_6OuFLXc?5X^n|
zp#=)8{6P;7^!$Wa{{#syba`lV4P-yK{f6!z^!qeO&2Lce!_6OV<te)V;?T-7#QH%<
z_@l3{fJP@ge9-F$M0*sXAHBUuNIznI6GT6H{KL*if#nbM{ywGUEg}1tLjw?&KM?C}
zvF8uCdT9Q*3k?8Ren6~e!tP(#c?&TAqK{v|+AFa9MrwLTtoMT09|6r^F!Rv!FJk==
zL_K=^AlBDlmxt}IhxrG6yal#@5oSNB{y`s~K`-B+(FaezC(z0(^!5_Edi4B)=zl`|
z3o9>S?uSM<+&=XDf>;j((T~1fhmd|k;f+|&0x=&wzF_xzz~Tcv{Lt%rSo;s=KSKQ-
z*!df<{DN5j1IaJ2^C=h@AooAQ)kE_O2h@Qu`_R)PVtyC9{}A(^*yUm8dBFUSK7I$=
z?*y}-)bt1&?=yjp_aW9xLB{)_&1+El0FQs5rziCIM^BF)XyJpNe*@6ui_psZl~4m=
z;}vi|H2h)fE8*b-RsRQizpWgadFcK@uMg12H=xFW{12|r(fxA)tvpH~;Gb1c17ZGw
z^P&EM?N=h!KZyH3A?XLvKZo4EjPBo0&;c_B24=8-pw5B&uK>;c;6@Z!5oCXkI9hnF
z2FoG#$D!K?4Ia4p(CL1hd?fS0?(2mb2&<3QpxI{tbs%i~1}+aBzd<j*(A_f?&HS|p
z^TF*)r1}SJ6Kp>ntUQ3LhsIwqTKFK=+d|@}9&&9l-2YJJaQ;Cw_aN50L-eE1hm}AL
zfQ1h{{GjfE?T;WfJt6L6h1f?ZJ$)pQp2|r~PwNoj4-Ri=aDc)GT>hY!H-yp?l6hFu
z(|R=fEWoyc*DryOvVzM)(-UHU8zg+t-GiQ<5a$s<)T75gdU=B$|8Vz1;}dpX2(j@A
z8y|zMpCJ^V9|*)}Es607t3P4o9i{P!WFFS|+=v#Ru=|%`<pW$E8lQ;$ypZ@I6rYIm
z6(H(KjZe7yq49}69sqSd1FrfD{k|N;eK!#Ipt~P-z6)%9)<$Rqz{(56eKFYe!`3_D
z(vP@*1EL?AeL(pY96zx33oiYL`z)~Qhn<InOFz^*Ap5}O11$Vt`J)*vy>_DU5%(=X
z>_^-m1L4E^>#*?J1hoK`p5c6Ge4?Kp3RR9XJ`wjHK<q;gKiK&&xct8vY5}bMij*F}
zMxobNgu<%_Equ_!3*EhN`=S0v53el<_krC5=fl)P<zev!<KjyHi2D<;hZpQT4P4>1
z6>0%2ypYmA*nOmi*CYbrh3;Os{V@Nd)!*9??gP6A&WEZ;tjB<~$Dqn_rZ4pPeovr$
zpMmBd^!f?C{kI+J09bxNw-0gu10=qX)Pu{1yHE|V`~^E74`!YRI1~`|F<c&6ej}xK
zuz7^qM{xV0;fYw^KwfyhBM_eR(83eFy@DQ|I|+m*;(iH8cp|9>`yV|#ccGaVfEJ!`
zd1!bd<p;2Ngu)YUKQufM{YT=$b2q|$;Py6Be1cs{YJOaT7T&AS_=x)|AmInMAL@Se
z^om}d?LoK~>^?XjsvmZr8L{QrUW9pI|09Jz*nCp`zX8p^=>A9CUjgwy+<vJ0(bE^Y
z|Mwx>3w9rz57iGFPaxL+`w`}W{ZDE9Z$tC{9yC5|{1w(7hT9KyKcV=Co&N<ZpWu9`
ze%SqP#QOgrn*S+{|3hg0MfX4AehWza!|jK<pHTcCLbw+k|8PE3Kl=HvP~}9|PcZec
z@H>I#pL1w@^!6uu{zgyl=;`?|)IwN%!ue4D!0xMqxd*D8Z2w$FbN@{=K6-l?J-wpG
zAG&{zKphD451bG65A1$BV*P`-KLV0opw%^~y$!A(VC568_7>v)1?>7^=a1mhkGQ`8
zq958^g4+)}e*l+$#QFc&^~3fT<I;~fKOLeUy?+JUZ-`4jQh0&;CvOR~7w(~@2lV}Q
z=<S80Xz`71AG&+d+aKtBB=f-Wi$4B#49&g>a4CRjpTXs!?FmBRhdy3{RQ`kQNAF*w
z`v-lz1igQPt{;&eAmgKO|3dQz`gtQz@8Znwi1X#Krw`bEHe%Dq8v^O$30nF<-;ao%
zK8`~@04onDO&>_+f#U}~eZbC#gxQyXmOkL}(DXql{Ls?}QvC?FA3c5vr4RJ}7`lG+
z^a1xTG<~3-7YYqtoaqC7ecn^317ZCg^!04$@`&^IA?XXfKL*<mMQr*)*N-?qA7cJ1
zwDbk@AFRGWFE7yfi1Vf)`RgRq09bk;<RA3-M$!+CZ^V5j5ci_j2OrSF7hOMk`wp5s
z;q?i+esuMO(hIu#(AA^67rp#~yBC_?(9a)-1}Dz+hPbZ)5<ckh4V%9tH@zV48-SSq
zfy(LS6x4&T^g_r#=;;MXKRCT0?tg)}7d`yZ;~QN+dV82qdO=r@9{=d&3%dKz)uX!?
zJ-xu)3r#QR_YpvY6K8rs-0uJhA9Vl0#;b`<FRuyIU*FKu3v~HCJpZBBU#FoSfTaiY
z`U~CtNb14yk3Jr92F<(-sE1+m18{j9^%J4-5cKvAA@y+gLgNqp{w1h$amF9wz6$K|
z2OBRVHvVAt!OHtTXz|4ay&w#>-T>Wx^!68eeTyDn=>3<oPzS)`8_tJ@5Bhn4P~|wo
z2R*&O)WiJ8f#x4RG(O^f3`qDA@*lc?&Ot4N`3KI2`Um|!4XARm{UeO#e)RZ4+<yV_
z590nu2p@4jB7{#UzRp7(4D%nH5A`4V`AJaaWcyE&fd3Hf4~Y9Q`~yu8u<;*4{)3(O
z4f7wI5A`4VeVC;B?-haaQXb8}P%h5$@*>m$F!w^e3sMj6e<Jb0<s*9g4V{l<9#}nk
zd;b!eeFbRcDO?^}o+9q&fW!~Fd(i81^znmwM%WH1$oMk4{syQ3th`%>)*eJ3&md%8
z1_ATX(@#AkECeC$L$B}Q;R#JY;6YDt7(&#8nG6g>=Z}{J(vK=M!NAIE^!Z8j`t~x^
z0n|!ANakTpKUdJ~t3XRXaCvC@LELXbT>7DQd{a9;lA3<t;R#JY==YUEgOlj=^MXM7
z(MC%@i2eDH^opK-u0kDvEB&CiXJNSoRv)ADk<7!Iey*X}*MOFO;PTM)gTB8A-96~#
z4<i3U(i?htfv$f8f${=<Jd==lGYFVRYWjhPCp7)Q?i+@MKQuUrPCw5Hq#r}H^n*Ts
zjh=q4Lmfb^^n+v`*7O5APa9@m2U_}p%R|!-`hG9;_(xAa)Q)dzr$<uL4?H}f=?8Wn
zG_mOieLWod_ylY|4OV}nuUCR*2Y7qt8G-a?j+Xw==ljvq-%SGP58b`!<rO*~$vmv-
z?-rVU6VTEhTppVK2!$Vd`a>T-K`(F6{bK-4(6I1FZ$F`r-w`qo(I4Uf`ybsrQqvzi
zJfZ0i{r)0oaN;b#(AV#w`yVzw4f8*t^!JoN`m;q#f9UHY(9_>-s0U!>5qf$@cQ1N+
zL+2xz2M&Mq_WK<)`(~h}Ke#+J{SgX3^z=vV^g`|QOltarhbJ`s!PZw2TmB*Lr-HO6
zpxc{4<rz4BVEs#6=?{HBIr@A7`u=TndG!6i=<?|4?FoVO?TnVb(bvDAr|-LH=?&dJ
zBtAGi(9<(IAIUthdi3;t56!*>Xz3d+4^7{Q`;8#+gYF*m^o<^$(C#KYKGE0b!`%x_
zKj`NzLY<4V{6caM*8V*D{%TLO_<@-ZORwnRiOxrir$FX&?n4cLl~0KKaEJ>pB>mv{
zA{5@}<MZh1(Z|b?!Ut?V`gl3qerWi^?yH4`2h@8w!ykRWIePwq?XQE4FQMmeSbGvz
z{zl&qj&44Z|G@DN8x4WEA8~&PBtHD99RINUBVh55w7(P_-stW@&o2+50SsGzixghq
zoC#Y`0^47MWFOdkxPEB-q1%U^ULKKXA6z{S^U?D&+<j2>u=^rm@d33SXL>@9&&LGH
z+fcOlL|<=(Ufw>2Isg`5==PzPSLpd4osVQ5I6lzJ+b3xDtpLX=Vtoi)9$MZa?!$qk
zS9JHFryr#B0=6GL|D)$O^!yKZKQz8z_fHZVU+Cu@pyyA-{Vmx214}Qs@;~}{0qEwV
z?*~H<FZBCM2(@1y5lC+_Xz2}oJsf&^dx{qSl%_W%^T6?qp5C6J*|z~Ly}{+7>5WkM
zp{F<W_(V@{=;4o^-r(+srZ?Dqhs35gr2U6r|D&gG^!rNC;~V|_0rd2QzF!|*9)15J
zw0j6|-=N?3fo?zIz70rzKuQl_|DfNOfvz8Y|2?|<koIeX%|q{Bq2ITFZa(^ca&+^b
zBhnXms2<5aa7u%XSHS8kB>#cyXQ*~~cqOCdC-nU_i2D^F;RUxJntl-dX-InvmfvCJ
zJDd+y54%5v*!1=i>R?#?jP769dH`bmn?=CCi2D^F{)O8Qbw9d)Um@HF4u3cws-9H;
zzDAgbHGX01tBCb)F#-P~?pJ{L7j8e){pkLEgK!_%zi>WOJ*oahPoED7l>aqo{y{(g
z2fh4%i{@T*`w;gnK>UxS9-M#C*K52(Gj9ht6cFtRxIDCcM@p|?^U&`fL2tjo?I$xl
z5%(!T`~!6!Jinvghk#!Gpob6CyKw!jXyM<3#z(gwJwKtRH}vpFFK^J(-+QQoVC4&(
z4-GHa{XWE&FX;Y#Kp_3iLGv&AeO~D44^|(-+>34>dVP)FzD4IFnFmf!=<Sb>XyJ1J
zngC$yiQw|k^oh8S0+L?P-Gko#K#yPa_6K4<08$^o-3yH$*!@Vv#t-7Y2#EX8+Z*Wj
zJ)oy&^z%v3>r=#i3lQ_s{f~ZM0=oI=@p+#>`dN&Ye$em3Lr*`S(Bc!_K6Lk@rx$cS
zl6hFu&u29IPN1b9xI8rd5DGu^^z#K_KGymTy*`Jlho&EN|Du<l=;?)!di3@ndU{3*
zZ*X{_x2NIZ33VTA{{^w>8*$$S_VkB-Uj?D`caK2&TZNYX(DxUhr@ya=_yVT{r0@W@
zC(*+fJ-wmxk<0^!Cwlqy4b8p_U|SLOD_kC${s@I1diq08ujuiO?jQ8{MqgiuKHr31
z{=xkVO&_rPqKHi&=;3{rK>Th*i(mBp0qF7j9WA`k?L!X_^!P;QBbkRaeqsGHSbcZ{
zEq>wh(D<!@4yeJ#FVWqD9>1i<&rgK^!Q~NBdIGx){rpC_dT4wST2G6<e-1spp{E!0
z{ey(m|3Y&=(s%;c{pjPDaP?64qn{51k3Xn9?EWxv(<{_@@b=>lwDgJI--Mm-3yW{`
z^DxlcgTJ8`z|s?(4|P8{5rWk~)(3%^c-FJQ<cXyb`->sxll_C{PYyO_a98Lnl+VBl
zk^c+j^RP05j|u}v64)}xd2Z0;4hk=D`3gO555xz11$KWgZ2cV6IQV(<u>L=6KMx}`
zLpL!%4tj#~Ss3%c*1`#h{jl^R!N3f58WU81GXtX*NT~tTfw1#Uk=9><)q~W4Fzmbx
z^!<Y9`;p_I4LaEUC2;$o@dvwq3+CUIQ1`(6#|-7e+-E_e`_T8Rp}Q|0&3$nDpzedM
z2gKz*7Bu%ckmx?>@$T^WM|U53_`&Uix{n7ME4bWu7FwYG|1XT#ssV~X==p+B=Ys49
zr^hpJ0Z4u_K{Fqo-WeeB=;@Ia8XmCp2<Njf=7X(;6R7D?0Gb}*(l{8D`UkdN7#3b%
zpcxES{-OJa4b4ArJ^}ym(8)i`(c<Sb0spY0`3KG?;2#bm{R2-v3{2qk^b6`fSop%u
zXM%<QHv;a3?XQQWCpe!#`No3gURZgBKAw!8-_Xkg186#g&7YvVAHBSSDu>U%p|77I
zWFKt417=?i)Bsp`;j#~AFRXlr-Jb*#htcr*30i;sfrdXXj`|8N4^_{=zyNJBF@cZ9
zggOsYe!ycIVizQTLlwj2k<`PE4umMjERVqE?MJjHz<k(wl9EvOLN&w9N8*F8M(l-F
zQ2+l6gRe5wfH&xv!1C>A@+T1Xfve^}Py?G77{ODYu<?%n{~;s&e-ZL_ASPTrw0#S=
z4(h*uAVmxe3{4D-P9P>+KU6)~lOPGG`u|`ySUuQ&SbrI2KWsjuiGdMpKU_W3JaqdZ
ztpu=nVEf_vq3Y4?hr}XSy$i@GaQEX-4{23^)jNaK!}Y_|LoI;iXBGtC5u_fjAF3Ya
zAJ}*}NDTx-OlC#X5BDFD`q>cr!Ancw?t$uuUMB`mU+ie=;r8QD4@o89@Bqgjdivo+
zm<NtOxO%90=<&yeP!EnjxPGX5s5IQY+)x2n`bAGau=WEi{lL{j%|o}J7hxXQez<<9
zdZ;wqem<x`69XeSeBthgsz(|x2dm@<li=_ItB2c%Lp^M~yNQ7joZjH^2~`ibAIgWE
zvJ5sKtR5bpP<gohP`(htJ>d9*^<QE6PZ&)emj7Y$A_#e~f8gdp%}45AgH?)xNwE9C
z>fz?&P%nm15B3i{JfP~~_CxvNXzJnN0hNc_59PzgUt#G7Hs1&HpCrOOaC(5Nhw86^
zWL9{3kV2>jhbL@)31*%&LLO`$Ts;o+WDx4X`3LSEsCuOK2w0^om;{F}SUuc69O~r|
z>cQ~=w-2fwDh>CaJX8Rd-r@G)P!Ah_hNWM4d_vX3?T7Le5$1#A8y=rfdAR*hz7j$`
zIK0v0PZ=Q(4sW=6sD5a35$-<~gnF=f=<%nDkO!LwSC7LyHH3Pwe~{b*mO(NP%vT4K
z;P{IIB`vsqsQDl<2!_-Tu<_L<21aoG1vd|>9^HSM2=l@2hr0)=9&R3#uZ2(_39=Wi
zA1V(LgJ6hzv=QpT<|FwBECV+Ws$T~z3idBJeBkK?Di7BW<?EuUho={)JluXLA90>F
zWPBQKAGCi7HxJ6Mgf^gI{d0)lK;yRz;G>P;?K5b55#C>9gN%<L<ww}j*-#@O;X|l>
zh#p^85bZUv&*A+!=y(k5yl7nQ#Rmxe;P###*c5PhgVQ&nKM1Lr_0i;E=Uu_dFIafO
z@;}@>Xn3Q$-w<IQxO{<|k3&7;yl6;#!R>>_58ON`pHO_k!v`8)@bH7i7m|C)iZ5t#
zftMd|5aA8>IlR9LjW5`F(75932SPtMzKp=8AmR&YKLuFE7)&DMVdpKt;tMvu0gErV
zc{sw~6k#4XzToELP>(nd8WLY{`=IdyHxJ4u6kqW0fyNg+{GjoL6y8|l3#q+@wf_b6
z9z4ESpc{C9L;E|>%nFhRUyX>N9<BhApW$3+e+J&)hsO60X#Z1&l^HR$57q?H4;ydA
z)&3VixDT8jklX|A-=X*az(ykx259=tp$5R(J4pR;una8!H9_x#f~$wdKh!&*@mjEY
zOE8H@f3Wo|u=HnzkO%jF;OcRhhj2P1e5?`r!Sw^&eNg>K=7Uw*fJv}>!1W=*e~^|V
zl6hd2wqO#W9^rn7dUW&c5bDA1f!hal58ON`AFdw9cn)m-95$YVa4%$k7u>zj`~!Cn
zln<N#gv~eDLNf@g{(_m0YrMn(Eq&Od`42HZ1PKq=`5OQKL-=s@IQ*v#jZm2X5avPr
z2bBipH}L!bI^O~+fXja{^I`sjl}EVJw<E%T;Q9{{-;nwbF3-Y<7-|B$0g@hJ=WmFh
zjlVH4FqMOp!R?2}KW_CP)tDGD-wA0?psNT#i!UcM{~)Cwu#7X91gCGT{&hjfgZm3`
z^PujBN`vAD%yb2lVDrH0;pXE|?}kv1H9sQR4_4_8Cc)-|)g$5ulHQQ)2djjgXASFL
z!0m&&2W}pe4_A*Py~5HbEWILyCpdk=-3!fsaQ8s@gz_WId{}x-K#NaLu&==W2geso
zJ*+(SLdb*Xd*SM#{)0-x<I5W=0Lu@E{0m9%J_vbm`3zSNH4nYKN2Etce(*);2bYI%
z_d)d|nGaU!2PVP(1II7Ie~|b^G7qfMA50?DBis*Bk8VEfJZ@NefZGRk58ON`AFdup
zdVrme1WOMH_d?1qxO<`T4|flgPpJHYnGZ`3fe8PC;~y#gfn|cgBse_4@`(HaiBGsZ
zf$|IHAEfv!1F_-uL&FEDJObMcE6-r=1?j<u3((RxygkImJQ1YtJ2ZjG6WKmOI^PWJ
z9@zQ&fB$oUx1N9nK?XzHW5G~?W(G#^(mhyvtC@ij>@v7K)W0E6^~})uV#NM%$jAu#
zct6zn|6%5Vt!7|g_y@f|GZbMSI4YH(3jY6xjC{e`yMO<4fbYjavLCDy7G5xUB=f-X
zNalg{L#IRG_9M9;oORIWy9udB_b=4>aPwjOKmUcn?NMm+162Ni`Q>Qy58yz6gb&vG
z6?Xm;EPcY%!{&?7=dWP?`S%~v|3H^VFORLE9X?q52$nxt7?`s_eu3E!OW&m04^t0w
z54!!bi1~Q%{o^qEVfHnEQzZk#@BbX&_C75B85o$sO9^1*&%ghISl2&*D+LAy23Yuo
zfl~?iJ~6P<VEe0K=@ZF3aQy~z56t~Ad0gQSHy>Kwp@%OhOp!6neK7x`r(g8+O(^}s
z)kE_yx_b2V3(sFr_3-isS{}jsZ_xfG!tG28L2PJng3>pbiIm>Ke2^LlhSZM;K_;yI
zRap6jYdipA7Knt*=b`uaCZMI4aHxf_`W_bFu=WG&d}-MH99%s#JnBJKficAWk!a?D
zD{ThQco?L<i9*PO$NS*wahMm4P!Db|Ah`!DgJd3<9|I=A^&NOT6s{j?KG>;X0^;6S
zgnF=3;pRcrqx&xop&smhxO<@L;pRd4@d)+c@ld#as65yyU;^SE*m=#c@J8|v*d(}l
zQ2ns>DXhN(R}al!aPy%2^=Rc8*lKY6K*lTK=?glZ0=r)YS9)83mYx#9t^|iaxV?dN
ze-&6J2~2|J!Qls25A_dvcqb#&gU$1SIvAEdQxNiC^Wf@nn3sxB4^B@=?g7gnnFr>l
zfl07?!RZOEA8J0-Iq>|Qj!+LyPjK^~>e2lNJO30G-*ERp)x*t$@-q?UgVPgSKU5yw
zJy{6#;P6564_F3n9#lW9eG5xZaP`pi12+%KCzPJx=?9vgVCV1SN>3Zm(o;6rmEiCP
z$3J@cl!K55SH*DkQ2*p2<iY-jtB1;?&!^`j)PwB<M<O_XK+3N?ggm(a16Pm3JoNa0
zt+#^pH{kAr>PIpkY*QhaMED=+J~FUO5tszagZ&Rz4>hkCArJOHTs>4C-Tx(M>XF<F
zmO(NP%r6C#VEe)0g&sd;2zl_;+(_!dGU(=&gGIsSf%7NaJy7?;&4cn`=M}>84|@2a
zn_r1AAM9TA_^m?7gWZdy9xMYl4{CokSQO!2xO<@TaPy%28iabV{VC7{0xQpI5%S>p
zgsX?@uS3X#;}fnPDvutY^$7J~ufpRKsvaI6P(JMZK3MvMhbL4X9v)DBBf@-ee8KI5
z%EQfr@|zIq!S09ahswkK2jw>-)Pv23rw^z+l6hd2EnpH6AMp4=R}baG>Tg(i2e%Je
zzQN6d@(ImHz{@vic?Y{s0#|u=0Ij@hMYtcFKG5sWHiSGleZbX2{nL(+2Zt|QJyae&
zeRLw!gY84FUt#C*H8C)P%R9Jw9OgljGrT_RLYNPZKe+p#`jN~BtLz4o;P?Z(2kAaR
zuuKn_1j~c{4_6O0uNNT?_CH)bR36>`eQ4^D+zXaLG7rq}2a{m?!R|wkAK3YEO$?0S
z@&iddSSPx96TzZj^T6Q)cMsIPaPy%2NeJ~||DlH;y7`k4>cQ?skKZW>d9Ztt)PrT<
z=0WYB3Km7U7w#UYJls4ee;PtP*!~=70)drx(-HFE_=Ky6>W7_&1}op;>Y?)J@i`M=
z9=N=N$0t-hJU*cOSqSyu@P~&dR3084Q2uN*^>F*3@^JH@{5c5q;P`^;hswkK2j$O2
zs0X_To<5-RNalf6&I6N(_<+X`x_T%d)*pbCcX0cl<r~~QD4$Sy2QS~C<sIz)0bJ$X
z1+?;RKEnOr^a0yX2umNZ^TJ^94_6QM4|;ogA)0xx{bw-q79r%p@c~zl!@R`^^<eiQ
z-6sT=Spp`(;SV+st{#VZOA+e9=79%kklL5a5b|L2;OcRh2V3tBtFPhygQ|y{2j#=g
z18QPm1ovm)`l0gB><KTwS0da4zWN#-A5ish|3mq!5bD9{7p@;Fk7PesC9MAfOOJ5<
z(DVma59Jf;Z@|+ZG(E!3cgK|;AE2ej)nHeF;}aY|u>HBP^s@#b4=%6Z>Y@Hyi;xG$
zA6z|D9)11jI)r+#eXv1Jn0@OJ@?i6j)PrS^>;v;*=kLJAbCB+L1Iup&lVJaW%X=jC
zU>S7tHi1RK>cQ~|cMsG*aPy%2%?R~i|CB%z6wE(c5b|LEAgKq-pxeI{EDE+CY#wa=
zB+R^R2zju7kko@^;O0Tyw;e1BHV^C{xO<@TaPy#i*m)PQ@Pyr;46}bH!aQ(#fUAe<
z--VC|`xmYrDvzEXb|ch->o0hGL)F9M3(DVvP!IMGJUpTD@bG~0_oAtX+Xt10n+N6Z
zL#PM4AFdxN5BDFGzaOC<>>haffyyJ92UZC?uK`wm!Q%&AJ(LgYzre~1xP8#_0d5|Y
zPpJNamk-eL0(PE1uJYmoT6u90><e&u0;f;(^5PIe9-Kbl>Y@HQjF1P1FI+uT9zA^=
zL8u4Yhn~NWBILp5A*lz;AlV1z9|MyJ_oJ6T#}V@2{Dq_*EQ4+y?EC~+e8SxW^$*-U
zDE}nb6omcg`TG<?9_$|^^<Wuv`%i;K5$2(nKW7l~VE-Vg2g|_CgSzi5SQKm?I6cGN
z1C@uH2j!nbs0YUndU<gkArDRuaP?6Au>JqA_6}S<R31G&Ttt`$E-&Em4OI`1FDU;K
zLOs|&@bHAn!@~p0zl^3HZXZ-0ZXT3>1)&}sUvT|UdAR?e{HqA{VE4e&4^$q>Jg~}Z
zU=k4@@c2Pj59PzgV_@Y4+&*ae05=cHCsba*%LizA0lU8eS9!qzop6NB&s|5jADlkW
z%L~}~08I>x;Pe4k5B1MYgnn@N!qr3Nk@nAmRo()V;P3+5hjd>nSmrjE1j~cXLsAcx
zL9!3bzXK-0>cQrL7da!fU+*I1!TAeGJy-_aynA3#uzBG4hr0*rAGmo?{(Xdcu>CD)
z?TZHpd9Z(w)PrTv?SBXs1=|lc4?O9Q<ex_fd9Z(w)PrT<=0V-}7%U1l59}Yfd!X`g
z^Pv1E2=!q5(aVdc2zhXNfUAe<e}<3;`xmYrDvzEXo+H$Q%L{mXL)F9M3(9|iP!IMG
zJUpTD@bG~0U!tjp+Xt10n+N5;LZ}D3AFdxN5BDFG{~Dnl>>haffyyJ92Uht8Od{d~
z9zW>np?uhQC9J%F+XpQl;O0U3gvtwe`2a01VE1+4DlY`k%8R!M_k+_1dU^2<ArDR;
zaP?6Cyhq4`!xyd|DvzE%J|NVC?L*Js9})6k^N`eoWsvLx^FM(}aQK7GLoa_mBjmyP
z3rRg#2Hm_bU{SDn;P{8T2kIZVc~JgWgnF?3==u8_LLTfNB=uk!bo;-9MZxxi%|kDL
zejwz*{y|a?mVuiGb>C00DA+u(f8g$c%EQfr@?rbOarH-jBg_M*2e^8u{yzwLuz%s|
zq4MbI;V(ixxV(VJH&i`5zM%Yn2=!q9z{3+N4-XG0|38{~xP4H0xOq@M19Za-tbGL6
z50!`e56XvKR|ad3!qX2_9?3kgOPCPmgWV60A9VFlK5V=YR$jpEgO(3)^Pqe}<psQa
zfR-1q`&Dq27Yb<Q1vA3^;Pi=JUa%nK!RZ689_k-fggiKXz|}+L!G?nCT*!I_HiUYx
z6zsfCSpS$EArCeWNj*5ck?aHWIS}f><{{me3zp|Z$b<72l6tT_x_Mj(_2Bq~y9eqY
zxOq@MH$pwwe(<Iar1k(0LLTfNB=uPB=S8Ron+IFe0}CHMggn?kNa|6|gSw9&p&slX
zxO<@TaPy#i0fc(6{pjrrL4-UwJ;2pN^$Q{7!TyD-hsuKuMM@9C2=(Cd0v_K`_3-$D
z@<kBp!Ty1VCsZCD9#Fn0ntHf>P<gm{P`(&KJ=pzl{ZM(h|Db$vgnF=h;OPe{k7OP=
zek9P;!{Y~CJ(Lfd&w-T}aQmR;1Kd0)pHO)LFCU=g1?)Z?T;+uUT6rOfa6dRI(aQ@d
zggiKXz|}+jBaM&;hc8?`R32<7Qu>fVs0T}-=WkhrJlH%W_2BSEvJcFcL#PLvhhF~3
zBjmyP3rRg#Ke~Ae2=(Cjhr0*nADBFpuL$Eq&%;2^-%1F1uz!%$W3^uyp&slX^zugq
zArJNsl6qA0pzc#es0aH8?jEQ-+&m~>4WS-vKYDqgj*thZ2e^8uehq{?*uQY~P<gPS
zNa;Znp&ndbz~dXL9v)v%z7|3~*gx>_gv!Ii1IpJ%QxCTfDi1dg%GW`t2fH7xA1V*`
zAC#|)P!DzwJpDlBk<0_fj~<$Oc>JKNhw@?bgRt@fZXdLKfSU*96Dlv@<pZ?5fZZ>I
ztGsYPD=+jB?gvLD(tSx_|G~~ngSF4#>Y@IDofiSyzi5bN9_)NESp8^(kO#*HTs;o+
zj1lU=`;Fl4fvSfte}vC(nV_kM+lNEFDMCFszLD$$`ya_XFy9QJ9z5R$*AF!xoE5+X
zq&;PhP!G-zaPy$*!GVsX9(Mj4EWF|FfvSg_2jyEL%m=R@gzJaOgN+6g5cgOi)Putx
z$v<HK!Oer}w??Q3I~6^B*dXM==E2oN^`nn(+9K40%|lNgb_jW}d2sbO%(F+R2bW)P
z|3KBl&Z~okCtN*L9^HM82=l=9Bk2c+54w6MgnDrKjT9bW_2~MY5$eI|4Q?OQJ#h1&
zd>4dzu=z;ggK9ohy(>aJI6UC-4V8C8$b<a{R}Ym(_n$jLJvjZs{f9%n2SPpAe{lU!
z^>Ft?`JM>%VE4fFL*?P_h4Q@+>cQs2!xJixWF9ztywTLd!xLRSln<K^hn3H8`=I49
z+&n0sQ27imkD=u=>^>q~<#Pa9`Rs#mKRCZ2-FF4{pD#ilTt33pL;VBW-vcY3{SfNG
z;SJXhRSz~4sl4z<s0Z5*yT1@to(CZ0!S=(|L(N0CKM<iFY(HE-R6V-=K?wC=`_cU$
zjF1Q04_6O04?R7HAk>5HhwF!`N4Gx|p&o2My8puv@?iVn>Y?VL+aHcl54In!AF3YR
z{s@G6H&C=7=?5!C@(-9FiBJzVAFdy2K0N%P{3wKaaQOl^A1aTOUclx@Bh-WQAKX5u
zdboK|ehiv=xOq@{xci~}STyx;{ZM%%`@!ysL#PLzR{_@#RgWJ2@o4Jd=HXDEfKU&P
zfAsX1h>!<|H(Wi`JoNQUNeJ~|^U%{%GD03~9$Y;R^HLD%!SMkP52$*$`=I<(H1+WC
zfXc(|hw@?TbztQo+&*ae2R9GOCsZE7%Rgv&2)i!}S9zF#RvxAy+z$>vr2D_X=^-5<
z56+Kp^-%wyr-uxLdT@Bd^+VNz4FzR9=zh{ngnF?3u>03w<zW^=9&A5cJ=8pO`?C@1
z!S=)TL)D|(pMy{jwjbU9xd?f%d2sbm^U%{{9zs3XJaqr$Bjmy6!PVn1uK=MQoFC!t
zfvQLMPa&FmxP3U(7a`Px+c)s|gQ_n^$b;PrR}Ym(Utd~+P!IM$dU%#1<iX}4sRw%n
z$v!Z@451!eo+5<@SRP${IYK=+|HAEqx)*L9ln*;k9yVWq9$%FR^T6&!QjgXCDujBl
zdy(P;RXx=HYJ_^Qd*Sv$<>BT*`85dj;P`^4cc^?VLLTg1xO%8OdVJL()Pvij@c4kL
zhle+mUyo1^b}u|Upz?74Lir77>f!c5<>BT*`HcwmVE4oIL*?QAgYugY>cQ@T$3Ija
z$vklQH>0VChd;V{C?B?-3|3yl?Sq!jaPy#iLgh8Qe1?|Su=}lWmDdGm<#h|f{owos
z*>#23KiZ0r2ZtYAJ=8z{;T?QP|FsRF9&8@$d`?*T+m4V2n+I2q!#vo11+e)fB=>;B
zAIUs0zY}3Txc?8=4>ccLRe%YId%F<o!RZxl9#lQL|GE+C!S08<2dW-!9+cmMP!I0^
z!}UYu!A=1a5cl*V)Pv1O@(<X5aPy%0Ve21Z=?ShLnttHsLHUH%^TN{)G(ExYtHPC@
z8qm^HAHx0M_=lVVf=EyOX!5Z0L1F0www@5?AGmo?_rvu=`GoQZ-2G7h!0u1N<(~;?
z{+WR0Uf6lXF!xSGlZTz(3zMIOkO!wnxO!;(fukNwK;nNgLVYYq7;ZmQJyaS#o;C$4
z0Lve6{ZREt_Jh;URD^nP{J_pjg}HYcLLQv{;Oe2~p_lj55$eI_K~CX7#5e4I64>|-
zTs;o+W}=ygULMUt$b;PnR}VFh;Rmb*2MN#F2=!q1p_fN<5b|L2;OcRhHy5EE+<t_+
z2dW<Zyykgm>f!d`P(L4`9$X*8;}@!a0YV<^UbuRwJbL^rL{pCxzu@$MWFDBm2%#R_
z-azs%SRP&dVuX5deF?V@>K?dxQ2r8xda(PE(g#-amm<`I-GdaKsOq8SFGHvYy9aI`
zR32^~l)oII9vt5A`~sDS-4_E(zi{<XdGzpJi7*dbpTNT#svaJmQ2r`}da!%p;Q^J0
z`xnYzjiw%MA5<Q09+bZZp&lIGaQ#quxc{L1wFvcK_rT*9Dvx9yIQ-Y4sfUL@x_T%d
zw%!z0zQgT<mgjKupnO8*JG?xHmhZ6p$#9kL3((5<^$7Qa%Lmx`wy^SW14160KH%!1
z{@I9-2Zt|QJyae&eQZXk2ipfbpBQG}CWJhA|0i5M4)Z{D1O!9MyDbR);P`{P52_!@
zd~p12MW_e6X9vVE2AF%cA>_gShpUI0w;dr5_CH)bR36>`u=|!^`3cFrVD})I2j=fY
zm=AUzdi?A{$b-uVB=unZ=;rN4s0W7++&xhD!p(#7_aM}R{f8cY=;oWSxC?+V0|RX3
z3nV}pp?pIKmw^e&2Ro91fq@yyhe|WBpz&FueCYAB3~W$7Z2dkvln=Ylfdk5io!`TW
z#^-|a!Iv&EFfedK`7rzCp?sJ;50nqP#D^Ek2M;1JFfi~z`SYOt0e&dI1zH^oK>32u
z0cSxpz7UlE1X_U#L-{cGh@tVt(fAT*d`T!D7QTv5KJ2_rB`6<ep9qu>vrh_*FO9~R
zLF3D!@#Ua=nE9emKFodw2FOiR9#;Ck#mNc<91LvWql$|1vr>~w^b=wHlob7f{Nl_K
z7(YHSB_-Y>DHFyoi7!dgD`UuHhw6v9pMimCKY|TnLHJ1LRq=pC;pZtq&&!0Jx5U7}
zj8z_XJ{toAGdQM@%mee0^n>~E^S7bu;paO;`LOeEVCKQjFM;u4`}<*h*#1`-AAbHY
z)I8XJM3_A6Ja8BvcHT6M54(>B#)sWE1LJQ1`+|W%0UCdxFau!@23BxNhMf<>0OjZ8
zryGIfSsB>C^3d$S0F#HU4`qU?N9Tj|f-nnI9>fOWKmUcn=7AFl`1~-4{jmM|zyEW9
z<w0se7^dH?G%vX%Ge3_5>K+BC1KFT_aN>$jOo7RR6IV$*D7}HrONs{{JIKHSRSzu=
z;r@Xhm&U+|=3Y|yF#qu~Ku(B;x!(`u8BlKrhyAeed02Qtl{298VdlZ)5%xhuVDft)
zT+sP$VE;q8IQ<7JUts=)X+r12%!io=<HO<;mOiK*o_uKG=~N7H0W&l_VeW_7Pic6<
z?z@Be4|d-RdisQg55zSL@bHDX2PTgmp0NA`GasfO#;0|7@}q@kDviSvwmux@KiK^U
z)Cx~nc)`pk6rQm2hG6Lhl3N)t@*6DvVCQeb_^|kd*#|36VC5+%1H?rzKD7D308@|7
zM|UqeA6-2<A6-2zKFmF(rH~ztu<{V%T97VCc?>=j5?o$E_>VwRNPH+4?jIN*Rvy9b
zXM_0{w%-grzG3n({pfs{dojv)s0Joz{!dFR273rrU%}3kgvledPry-!)II_8Q&RNH
z^NX?>VD$$qd|~N>+U1!71GrfN4?pzqr?!2HbhgixfPJv^0juB8`OxTvhYySoOJA_?
zf~6N+?FU$Xh3O}jUzV6tn##by0Bc_$?w5k(2XyzM^I`VE+y~>s+z-2-1tt%hkAUS5
z^!fv)pIG;2=0SRBu>6hgK6F0Je3*M+e3*M-=OM%7VdEz-_rlZ@>ppb#nR(#mCcJ%y
z?ml!rOh3#$Fg`B#!tP_GmV1j!5{p0u4z1FM5Y$63|Gj}$Fw}B?erZWOsLIFXeo3hP
zu>1*|4}kHZ(m3;{FjN7|{UXo?56t~g@4(wjP~{kWn0>JP4l9qL-5H|mYpC^b_ks(3
z1_lOL{leJ*u>r=1xew-kbUw_zFnM%7x_Wdzx_VrEn0r9+RfJR?!OVk&2c_`^tM6g{
zX@CaUK*blV{)M@RP<+A4XPA0)KCbY^rJhv%F!Pb)3%UNFc6^CKErhxM#Q?__EPi0_
zf$?GCfu6t6;}@L|^&ULGqVv(!qw~?#<Kn~I2g+Z$G|XSH@lIIy1VD-#c>f%hJ_yyH
zu<|e;t^H1E{zrFT35D)U$<G5Ne+F2492P&+DzBi&>A=&+6bjv&oRbYsBGhUx!QulJ
z-+R#92eym>F<w9_KfkmjEi)$--d}+l4<FBh-QNjIFX()jeK7aI_^|eq6jT5ve+Oy-
ztUiEBW7OA#(l1OtGY@=?2E4ro3on>^No{Yy+J`XrvOpWyF!z$0zp7G;@<D#2Rr*DD
zuL_0kO-U?C1hx2awdd48o<Zur!|aEJH&hy)pJ04gdH`SQfHYp~gXUhCdRY9D%13uU
zh!5%!!2Cn0`xT)Mf~Ait8n_?Xy(&eii7C0Mxv=qsB&hwc@d#*kg6CIK$Dd&LO~CvE
zGY?jup^rbIkH4Ywq0YmIf0+5`>e2ax^uy#~?#qM<z`_I8-h$N+=;;%k57mz0URZin
zg(`r#{{xldTO}<yC%+g`{;H&9=4BRVz{_KmwETk9JO&1K==fAoYH?{!34)LAUrN(A
zc+wate`-T5fR%sH;2^uaMt6ToW*WEvhn0`$@q^BX*$;~k7#|j2?oa`kd^DBauaZ_?
zlv#p^FIawor8jDiw>dLFdhjs+pxcL@-_gf=(8rr$=j*}DgO$gy{Dhw0!Ic+MdO+tx
zgBv6Nz|2QikIpBgA0`iTUuscNeo?$d60AJTEYT~eK*|p=|HI;g)bK$s4>9^5Xyftd
z<rg|1=6+asp!3nyqw~?#<Kn}@ryN>Az~-}*Gjj`aGLu2`<6y6p=$2;|r79c2!VhL1
zBeZ-$PhZq-PiaFN@UZfPP<sj{4{KkbyAM5n5&nUUucD`aa3CP1XBZzAe(32R>RfpG
zM(4xq!xg{i>e2ax^uy#~?!ytEhPdJrW*;m+P&+;sKrJ9QK5_WR0GEHD!xQlK4z>Mr
zg;M{ND{FwpbYbo<(Ns1D%^|_spUUOR8ku=UnlO2YEa?6-$odF~ydiG+Ol4ye9b;3N
zejPJ&9diRjdmtb)&k(vE2As5^#Up(FAs{o)2%o%irm`{U&NG;M(aTfVeIdBgJ9_>^
zPrvBngD`n?^I++{2W@_tkb30;WmC|?Jed1o<p(VNLz{2#{7j5_$l(zH8sLGfH-dz3
zylb$DUP%QkK0ssnATwd@QRM<<bL93sqP&8{w`vMR1U6m(U5<ityaVQbT>T@6X@tiE
zVC^MX{}>kjgx1GEn^W-oN2t963x8bh1%(v|!`#Ep2uX1;_n@aIYTL)b4Dk`Y?BisF
z_<&yaad1OMxNzAA@jdSJLTdbRGeS}zF8d(9r=ET2>6g~&MTrTr1A|`vQ)YskSVk}V
zoS8VlRV=;ib7SHFM;R{rz=bTH@l8_GrxFL`hErVjQ9FHVLJwr5mwj4vwojYR_UX{s
zJ_CB&#|&wJ(ks0f(%FB8bgplW7$H4+dWEMEGh{;zz3ellbNrdo**-G@_EEcjFlPi;
zfAIbRxN-tDP@(I&sa-!<GC_Pmuk>Qc0$K5b%RY!}sMkKT<bbT;!DSz{!_%4xVj{il
zvmuZ^A+Dibc-qpre`H5z`|Ro5KXPD#^nh@s7i#ARM>>};9!&IYPk7Qfy?8O=k3VYX
zKOX}2Q9Hf(p_MO0tUm`YafgjZA?=R?I}N%&4nCg<8&86bk9~j+m@_ay8lokT>H@yL
z2wW8+t#^d&f8$_fZUf0dMsGkY$o^Z%>=l>~UKj&4j)8-L8GIF|EJ%uhf#C;~&kN<N
zK>6tM=zK`)j)4KD9=5(%1F9cp{{$!>QX4Ta!1(Cq5#mEeQ$UV{xF6EmLzo8}kA|5K
zTmLczYQHo{D+2?=1}Gn9-xnx<FQoaw@c+LwXc-w)HG+bL9|Hq3c<LK=9tHyg(=?DO
zbUsK7f+6w{Hi(4qOF>NV`SQZEKumV11^@p;_%Qdw%x8f%h+y)N+y~MLF%Rl|&<YW-
zdq6S}43UShK_qqfu=z`v``)i~l>wO!<3rdW5@O$dr~_f{M@sKt_rlJvhsi^ggZwiI
zWC|?3!OVw^Kfw5~`PJY5Il$(j%Y)2-V2FE=_J@MiBkdmr^W&if*#G~+U_L}Ih=k||
zu^<@2N6LR#)&GHd2<AVS`ep`5!HuvVVjk?i5}1F$iG+axR33oMPeMyC9#8}S|L4#L
zxeLl=Xol_|hi$@z*$+GK9d<tz)OqmmMwCww_Z$Ejiex{O3sMhuPaIl!!S?UL+}{Yd
z0AgM$T6%`X7c4vw_tirD1DA*T=LOUOxZDpl9_~Ka`O`4>K()i=(c>F-9uQ1DA@{?)
z2XjB-ep871;qp-T!_E)D<$h@N!rf;8jZm0-(A|$7{yu2x3ArB@UNH9~?puVoA1)7d
zKkWW=n0pvu3ZUg7)Oxu4K`n8Jn<4QJJKr3pA0h`LA@Yl%0R~I2;7Sx+UUI;;{(}^Q
z%m-TqRSx2V%L`b204pD0_i@4OgN*JX@)OiBkbZD_M(4xsi-75e-QNJ?!|q3b@%KR;
z1am(uKf%Hmc3wOzzry9A;R~yu?l3TCA>1-_Sg`g4tiD9}R}g#nLN&m`3+DeDMEW05
zKS1hdSp5h)j|!1~ASZ!=6Ad^xA?+oEJS2U=^+V$Wc3wIxztTJX!_Mc0*$)e!D>%Xj
z)*gd}KcaqshCejF!onMxTtVp{oPUtQ2OM9p^D|-T8|i*9usnMCiFDr=SRNeIU;>iA
zmqRUt*$?S0F)+aLM*!3Tu>1j+hsFm~JKVjn`T>^TVeK)Pdy(!-1-l2`y|DJfe`xyw
zmL6c{p}Q9*4|6XpJ;2-xmxsC+RzAY~i|&4C@(1|`>^|7}Juvqp-9HYNM=w8-?oS8H
zgM%7OU=MF-bqx=1#C@5N@*XY^bw9d$Vf*W0;d22R0WkL>-NyoU54wAi?q>(fgPjT{
zu)7yByNO62i2M2=?uE<aa4)RC0CVpTH1{IiM+0_`0wRBa`QS_eseizHuv5VVcK5>S
zZ&><3+!qFMFI*mnd!fl6o*q1*5dcd+kln|K@Ic%z1Ti079;zK=9@s0e{YS9yP=G3c
z#UETh^!zKZSHT3tzln(O0XI!y=@;f-SbW3s16&@5f1%cc+y@R{bpOKA8_ayf`TG$6
zqRS(h2lg*){R_;$9Z&@@|HAd-@UH`!`Xn^}!`2VN+=n<18{$5=JP!ZE$~Tz%(ESgq
z-(cn=&Toa7k1h{&E+{;~{)hF)Vdj5;DuDSPt{;d0SD>j!_dl$@fw>QH9w@|paCsd5
zhn1Hw_o4eA=6;y@i1Rri=A+9)oeTFrZ2SRcegriBVE%{e$KihgH1+8IhnWv^AL4vD
zi2LC3WcnYLeqrWcfEEz2{Dm%$?tfVL!~9=?<{!9zsQ+R8Ls)%C$p5hN1m-^2c@Z%8
z!R2xIAL<=Yd|}Q1u>EZ?^I`3InEB}P=>CV*4>12PK=VIbKh*!=q7F=8FYjREQ84$w
z?ze@x2QH7pzp(Zt%smIt+=F!f9XLGD>!ZnFw}H<$1<OOZ@bE)#ufXa*n0sOOdBWTa
zm&f5=Sopx)`vT3qC!h|1r58o0JZ$^~Hhut;hbqVEUReJP=3dx+s4(}!<#D)|P<n!m
zuffcR-3JOYA6*_jJ;BOzSa@(iJ8-b_1g@XR^aLB9gt-USKZUsmE>EU^p@Vbq_8#oM
zN0|BO^637B`3L4-12q4_^%Ln|SpNm)U)cSJF!#Xaq5ehhKXyYa3|M;z-Mz5(I4nL4
zq3(sLhwX2H*^hKz6?ps%E)TUI-F)==Is$4w%s!<1Kfw7D-8~5Vp#3p;hJche==m3X
z=p+N8y+_DAB=unX<Dd;rnE%o3M>h}VoIlX<PxSHL5;XtnLK}E6^I`Yp!QvC{9vtC`
zZa;c_!R&{{7t;L;;P`^e<1inZT;SzR1Dg9`<B72H3Ee#i`-qD#*nUNrdkL9`q#kR0
zq1%sc9(sJi%!B3EIcWYxk1yDLd9e6`>4%LUqo@BxXy!q?Q}FPGxd&!Hv3yv1gPj)&
z(~n-BpMp9Fmfm3MVc`uMuYvgoE{`L9(Aq!B@Bo11Z$kcosfYOoc0U}<KX7>*{(<%1
zVBz-zExusm;jr|+0Zsk`)V(lyC>I{T=;f0NQ~}Jru>09y?uE<aaIXloK?Ey5(ZdI7
zJluX_`LOh43fDkf_`~*}!u$ife+=dyxI7O3$e{TLZas8-oLoN4KW1<Pi1QC@e=5vB
zu<=Bgf8g>1<R5zy{R2C{7Umz=eOfU8z~yoHM+Gf@NG-o`rB7J=fDd&B`-!;tfvk>3
z)bFtIR+xX_@;Lm1ULT;>pPFE|Ak0U)KLT8T!sT(859`ms$`cE;@Pn+*NAyR~-Gi`?
zxcU=z-ZLzI37Lna9&7!HZa;>3(C~r9A8bE1%)cRM{zb1pVfP8a;tTE`9N|gp`UZRn
zAlOgDr9W-3T15JQ-G2o04_qFHe_-Rqu<%Mi3opp53nG2M#s^{LJ?wl|V(S|nH21>p
zQ-Zk{E|0^#HE8aGHYZ4~Uts=)I)?$4etcj8(DEL=yg+Zi=t9-Q(mU+FAeevP@=*W4
z`bRm?`5)N$6>PqTP=5)gKLe^CHa>+*zYH{jVeKt+{jl)^n0}~t;Nf{38o;>p!}{~M
z^arEakM2JqwEhjc`w;zC$owE7_o2%Z;@3kv7%=}qmvj9`PaiP;Nwn}ls(--awKJgu
zb};i`lip3x`0qfZU+~Z!qP~EXujuIw-Tj2*(bXgP%;54B!H1MDr_uaF$UQd@?#F80
z83N`Zg%?)+^!6XRdo-W}1hDcAJ-i6{57wW>RUTeI3m+&Kl;6PV2Yvhv-8^*nqPq{q
zhm~jO?U_!fg|PGqZbTrBPr%Njhm~J&d1(5DYKOZQp&pWcmmtOu!ShR?z1$!-!OBP2
zd9g6_;qo}lM^6vv^9!J}mypdzx{nI%baZ)i_apJa>Cpi#{^9zG93KPiT}E~fY`qZ7
zzi@dR{)HAtpzsB|2i?EMAj6U3O9L7}F!Rynk^BcvU+C#)2bzE3`f>P|(0qakn)_hu
zpJ48T%aiGUSbq?fo-EM(k1mhyf2eYJ_`X2%KU_bV{)hGNVD58(S_tz$TpsFwgghkw
zAi@j6N2DJJA2B}z;UmVIA$-JmEQF7k-+=I;(F6B?JX(H5)aQ`;0lmJ4*#|2h(brd@
zucwMeGapvJdqCSSu<>zN`vE<^5cwJ6e#Cq{gbz;CNb~#X^DQjU3<Yy<A)0$YXCHvV
z1QuUNd~p6lUtiFSOFuNdz{a0p?FEq8APg&y<<Rt_`xlX)A^w9pA0A(b^%D?z^z|6%
z?Q<ch0Wkj;q1kT%avV~5g2V^=AALOty8q$ospNk|eunrD>U_BW5$jzb^62Yl(ETq5
zH2|0YL1$Hff&do(NPMvW(bw;w`yZ~JO#dV1gCYKbIv4I=^!eP4&;$dkztQvOQ>Xzj
zc`Ya(=6|I7bin=t`5l5G`5nD};6zU^PzA92*Pz84+`SO9KqSOGLh>M$5DZZdVS`BG
z+N+51Vo3O*+h>X9e~`%#46zTrJ%?@{)VnzShdw`zm_LEI2Vxh9gxCjSK`?RtLyR9o
z%tQAd#4HdAF`tk;NF@YA)I-=Hk~;2jCo#Oy{YPqeBj!IK;REss1e52#P&D@=-8Y3b
zzd*AGJbj?&mkc!XV$k@o_C0!i0pmlvTX6HC*&oh_t?z;5_X;%g3()w~wyy)t{01~W
zwe3TU=R@KfJ-ws%pP<7F@bE&cM}X+Zy}p6C{D2f6;HC)DePZDBg1GMnk{&0Zg%5Q4
z2JXI{Py=D{X$48l@ckeQ(Dcth<5N4lq0Rxh59~jr`_RDtLmzKlf#x3c^o#C4Yc&6%
zub<(CEy#k7$4!Ge2o^q2?QjaczOKIrOCgZ(C!`;}y+f*g^z{Rz>PMf?CRIQB{2;0N
z5#z^@`~eF+Sa}2wXlQ&8l1EpM;1id>2)Sn+T6#m64~cK|`W@XoQtKPUd;uhU(CtI;
ziSr*cIm7c8(*1&1^Vb11|3ZgXLHfb!(esxL)IqTI0O<M4h{XJb-X9`0eWA}ck*c54
z^o`!$Mz<dtyzuZm2#p|Ed4s-Q4qZQ?@&z$J07*aS`G?;17rJ{0<p*^4LX#Ifym0f0
z%MXOya{?Mcu>K6Xedzfe-8^V?!rhDBUqo*&Am*na@r8T7i#Y!w+)Eq_HeLc7AA#>5
zhu&8Wzkih#GCu;lkCX?;{&J-F1=r``NeHl0Amt@^&=zUGDEfQ|qP+y!@B9<2mw}-P
zx*rzKXJO2PN<k^eeywUKPXT&g>@O(4nSl{J^@`X(DhN($i1{gqeyDQzd>wT88azJH
z$6wI*SHkUs_Scc_>jamdE1?MlmfwFvErhubu|JeJ_rdlj!0JzQ_o44+g4+jmA1uAW
z(mT5Q{-C)JvHz1e_o1(cMRy;1_`&Uix)13-Nvz=qbsjvvpwnYG;|o1MqL1$&-G4?C
z`_RYJknS%d%09$=E+jvphbQ{@Bsdcyjb9?&H%63w=;O77>_cCFj&wf?QT8F`V<G;7
z-ERU*-{|!hqP&3Y??<}Nged#a`!lfhPB8n>*Mp$1k43sKgDCr8>nCC66S5C|eJ9fW
z7DU+xTaN~_4?X?}t?xs+Ux6t5(EDqI{D;2&2I+nSqU?j+FM!K`==mL1-ofTik?teF
zY9D%i2D=X%W*=<65mtV}>q}_=1(7}>_1Rx&fd#A2;Cur084I*NgKCGDcj)6o=<$nQ
z{-f`|MRz}X`HyZN`gj5%`_T8#BHf3HHN0W=!Dv{04r`yl#9=hNJpyg7!0t!q#nC>2
z%R|*OFfc&3xG}8+nTa&s3T_{O#2^^bK7c9))h}RqB=xHy>R=>9Jy;P~2*N*uXkQ|v
zAo5E<6haW99w~kiQXqv45P8`7ATq4X-C$uj0g;Eb=NO>s;nGn44TO8ZO*MoiOmjhM
zd!Y@W|Nn*Of%vff(+ms{`F1pUkQ!wC1I>IpsALlZBY5dQQu+W}4O{Qo#J~ui`hcs4
z&SxUE7s2Xb<6kiIVCQ}R{}1U2IwI@?GvVrSm<Lr3k6$OK0PMT~xci{`!G<y*+NZGf
z5KRn>E+DN4|3Ox|A(;nuKUfh&KbVPdKSVvc`LOa8<{r3xQ1^fh0htHo!`0&`UtsI^
zVC4(Ky^#78?p|nn4DKE%AF+QP(tojqCRkYe7G^%K{L29yV1S)p09&63^B<zTf`ms4
zC{V%e83-S)9*6(Hi!{LZOE81O8(|*Ae^BE<@eMAI(D{h-46yqTW<Jb+u=)s=9+AQm
zY`+K80+>7^z9H!qF3-XU(+brA$<MIyS`oDRfPsOj9HbC#KQ#Vvs|TsZ#IW^*F#n*d
zkU)zsPpATze_)P=<v%Ypd367JBjmwZ9c~`f{op_YtAY3*wtljSff1}8Zaxn6zF@uJ
z{0Yt)2=_tK50d?0tNhT^Bisv7k7Pesy+1-dI4i>KgSrQ99+VGPk0ZUp*012ok8t-w
z^B>$jP(Gpj2s0lRzZz)q8G!H)xO_&+FJS)$BILp4Gh98?e_%ymHIVoU0<*#44>k{x
ze<A5T7$FZXTHxxT=AoDOQ01Wf3brZ)DgY}l;O>L!M=~F*J`|xIoE{MVgTybAd0_Qn
zXzCH}hp0z4KOCVRoF3rzLEQs456XwD$B`aj>qTJc0pVUq`2}|`H2&f4f$|BJUoi7w
z=^+B)esFvt@(U!sBGKd#`2$U!K=}pp57anNe3pUOaQmU*gH#@Y;{#Tn!Q2bdgAZGv
zrEhq9iH&(8NFVIHH+dr4Pe|hnVE4R-CeXkCIl%X(fdxSZL)%wTU^aODJoxA~SbMCQ
zff2lQ0xl2rZ!}arGjzP_2E0C%0UM3p{)AfpA7&m@JHx;Kknx!qr~oqqBe<&z+wb=O
zzcAQ5*m-+@q36RP*$<Y7g%?a7$vm(;l6l~&5Zc^>+mGaaaM6Q4-bP40x__bGftwHG
z|M@QrZm&Xv3zVL~{BpGRDL4=q7(n`ANgHYeq`ZR77sApfOcAWVh~A%q`RCt%Nc#X?
z9=$xaMjQWw&7ZJ9*Q>$ohox^)?MLz-xIKezzbrIAz}jap`(gHZK;>cWF<AUFFfh*n
z1r%&O?Z5wmSjS&&L5h&tTd`1nGc^6d+TXDBiDVwwy|DFOu<%4L@6f{+Za%cULl0l5
z^>7O2KA3;e(=U4ZCX{~R>Y@1;T|IjGh37A*dU*K*Esx;i2W*h>cDTbCm==QA;7kW%
zK+6}T^p221l1B(KtpN+e2}pW}t=AHR_Lt$(Q1c_u(gXVXrE_TMIRi~S`uZDm^$7bQ
ztO_*!P~$=Q89bDUzJCDSym*K)@be5{;~TK`Wa#V3;p(C32bO<e`5kH;$bNA8L{DF^
z@(VWpgFZe<$bLfR!`usNUnAKEj@m@10Wf*k{@&lv{x9_ULD2jOxV?iIkAk#ckjw|0
zmy9qEto{g;|NlQkJ(7K3^|1TLVC^rsebDp`HxJ5(tH;sa0XOQA$`6ElA?+Qwd!g|K
zcMp`0m_LP-$LRf0nE9~$-+`9i(C6>b)7K0%^|PP}6jq+X^AmJ@3AVlqSN?(qFPvI|
zW<I!40qV~~+Y5;K3NCQ?!{u>=C#<}M)n^F(knltwAB8&vI(~^*?*a)=ba`&*geJ`Y
zF#BNPi%<_??LhNiIz$+hKEdrF#QX@ve{gvm{)3HA!2E~MPn`b{>v4$lAIv^n{yTx@
zKUn^6g2o?WeuNVoe{gvm{sU)1r2K%;5Ah!~_~7LeV*Len|13wehrm;@F!OQw=LVX8
zz=vETrAKg~3?7ex_y;bJ!#}X`8d!WG^kerAVm%0P{(+ef^Un)3_aoLz3Qh-w9jyJ%
zzyO(F??%hdAT=QT|33q`D}|)K9VCv9f1ue1JERpB|A_MwxWVxcm&Xxau<;;Rcp>yd
z!V7)=2H_sa{25|B3-<6s&%ZGHVByCB9pHww2NCN(A>sE9+5sUp{E*aR4L=Dq`@o0P
z<4JGeL+c^(;G_&!53O&|+h1_?IKmq?o&*bT^z?~j9=JU~YWjqk4+~!nH2)&jyF$YE
zEs5caq#kSdTA<knJJcSQJ`w(f<Y%}%j_`twKj8{5^z=w-c)`qvg_j4K`_c3B8xq3{
zNj*5cBGAl7Uk{Al9>_pbk2pUAlHbtPSD>j!Z$H4>3()ohY`w1pj`lfhd=VBt9cbnw
z*7HKr(`ypL2T46Rd=T*oVa-6Z4|bn8EIlFWD@b~R^=Dz@w@B*2<so{1rVwHcsC@vg
zdg1D!`5S3{30Qv-LOr-VfZGRE4^{+L1L^-1gW2HmN$_|bTt8GjlKo(<u<@=Y21amK
z60RSr9^L)0`y62HW4L~(dbs&eK6nreQl5d^L+IfL9^{9}gZ&3r57m!kAK1Nc^*Hh`
zQu+a_N7x6cU*P6J+t;MFFQCB-r;zM}Ref+d5Cfjxq3IvC9voNt-+`9?)N$0`AhSXE
z1e*FvkRT*H!QqXlUm^8#6+#}I{*csz!|VTlr~+tz88%-HOW$zy(D*^BKfwBHpxR;c
zopAf0>Y>>cbY1{heJw&g*gZ)4!SbM!G(dV_^SyNl_2Bev!s0Ff!VC=Th@}nS`#d3h
zSpSg;Di1Z3ff>q&8pptb#%G1{Ve8S@pnTYP7CV#=8$aiO@?qmIoM?P5C?9tI3^$Yy
zvtJ&{hspCm`LOX~UML?t=*Ympzz5|gLVe8-<qJa>GzdWXQBb}h8ea&?e+1odAPnWh
z+#`m@7f0hupz$T4d|3D@Liw=siIkvxn0+EpKFmHTG`=(%Uj~gYi^i9O@?qwSLiyl9
zf3PJ?;GBeXzY(}-E6UGGO)k+-<X~U}^HWmvVfPz>`Jnra^vW1Y*rD=Zqre0c?5Ytk
zkAVTgM;c!QCq4N17j%9THa^C{z>HNMHlEJFzzpt*BAEx~Bk2e8Vf}NMdRTo6<HOdI
z!1%EBA~3!JbO1&H8eUM(Fu?9dhmB{#?r%cBKO7vWU`?!GlVIzKn4tF=qVvH@!Tmpo
zdKe#eKR0+#7D*m9e){`A2iSa=df0txgzghhNi0c>Ppv3{-B(qVm|PNHX2<~JLz6$z
z_l?8sC-%ParD*rd!|Dsz{q4}~3%4J3pA0PgVD_Q&Vg857!@_SVQ~)Lqo}_`SPeutp
zT<(YEUzj{h6FMJeKGZt0@3VyIhnbIy5A)wLwD5F-HdvS$AotzF+z(5yl!oUrsDohs
zg^eGgr$=1whq(tPj~<?|`~x!|Y8~Ceb2(agrqVb(mqR@W^Dk_DG_}GLTxcVeA5iPy
z;aQQHS6mXG4bT5D^|15;UNi<8eS(%>kDvw+oBy^#)x-R!0!;wu{)5RwmBZZ!<HO2d
zAAgs4P?^C7P2XvW#o#74E0hl_pJC+*QvC)_YDo1Pn4glOU!Grt@P7_kd{gTGT~H6e
z{8vk%|6PKE;&W2d;N^F`Up#n80L=fe`~!<W*!oRae4+E9&cg_ASouP1{R7L-F!PAz
zmnG(urZO-vz~U3V{y}#yIv-{qEPP>nnESz##7OD?3R?I>xeUa*7iK<8J$iltH$sr|
z3%Ytx+Z}{q`oW11$$c<BEWL9!Kx}~Vp~ua@;|rY+vkyHyV0?7-=zK!@Ve&BdWI|_&
zVf78Pc@3|>VfzbU>5l~(;4nVSJed1nd|3Q}7wsa24{W{>R(`<R3$XBn8jlg5F#C$2
z6IL+y!qmg^3#IN|1@!>TKPgZL;&Lxk8mD_9u@5p7(mo_LeZk5Dn0pANFPM8^>e2Zi
zmw+%#9>fM=7$03dIv=DKgkkDIY!F80!_rq#YI<gFDg*rfRBFc;Y*9DN{u59K4^(`?
z;v423Lh*$jzvz5W*n%+3JP;d%VSIG;=zNe`5QeD-u|XJ}4~wtV3do2Y3$#9l`G;Eh
z3%1AsX1@W{!Q{qQZekuv`vF!SQp>$-L4k!-euYrz-U?`e3kzRL%d7Qh?yDi-KB#h>
z<yA#yUOZ@w6<2*use3m;9RQ2}ITX4VHlGA*FG90F)#|S;Xzo2ip?g8$TasIVv%OAf
z`0hk=?-L5$i=O{s=hwsPW7z&MI3LY^C>OLJ3S1P<h6=#qAH6*Qm4>&ECP6C<nE6mH
z1B{RE9@zd~m^`|9Fg~n(g6<yl`W|K<%)L{f0_f($_~`DTG<<N?X9MLP^zs^-yx{Rg
z@9;q{k74O`pu%S~JwtLBuKYEcp7DndrRf<ueFiTdA+~{Tafb9iKz&ybhV{2#^(8F7
zfD35`2Kab6Cj%r$!P<w=;t!tR(fKg@VCJFo(bc2#(bePP!`wq^e~O@g(faG??nCF}
zav#k9=<3n=g!IGYVg6Ol%q_^tOwNRj_m}9FXBMR@8^ZW7^^DN=JGI-x=;L7*paTZD
z+V2SWK++#9{NeQ_cK;aQ@(--Op;r4IeSDJzI)Fv4e;~O9<ZFn3Nll-y{0B=vFg`5)
z2m5#uspStWed6>lTKNNw9(ehK&Ig4&2*b<+u|XKdM^}%|2dM>Nn0gQ!gwgr1_#oB4
z==Nd6FPeX$*$eJpbUw&!AdK!l7$03dIv=DLgkkDIY!F80!~6@917R3nxm;PpKoe2^
zSU?ZBhK<jG<n@Y@HDUQ#+0X)fq&jT8+t2`VLjtTntemK9Xl{xaF9P$;5PU=E1OeQ9
zka`P*JV?ETF&ZCT-Vz~?KK~0VpJ3$+EWBX#CCKj}4CBM%AI1mCfiOBB)*hMztpH%*
zOK83qmR?})fi7Rg=)c44gSj8Z2e}1=(fKg<%x8o+2<9I2@{8K`EntTDh+g(BWQ6#D
zUiK~E1}{^B=U4Rbg!mrO9s|V<2*cus)c9M>2uXqT@*jHoMfV>hw5jL6O`MPg@$~ZF
zb|y%T1hbEb`A69L8gNk#U0(wq?}n8hu>1>KKgYnp47TVEm}FppwKqU%0fb@YD{TD?
z2XuWYB)5W8K-Nbhoi_y@3WK#bI2f40OQ~V!$E|_#A)(2@paSKi%cJvQ>)|w@>S6n9
zVSJeVAy9ePeMB%mx_N~7klX|EAH@Bz{hKiJVB=#EQ2Su#QN=*{u=@lmpnRBpE1>+n
z&<5oH|I)Cf(;&;>7#4o8^}4Y0rC{d?q4VJyq5E;r`LOkwu>CjePzzvuSa`zBXMtuY
zm^`e!fXPFh51OF_uWv`UkJkM6D_x;i21E8QpxbvJ>Oh$Lk<vTZy?oFD4kiy(4)PCp
zX*;a^fcY1y93&6c58J;4+s}tCkM16%^<`lFNbAqQeDwXQ==#z5Ncj(}A6@<r)I%`;
z!PLX<7eLq#2|w8WRG5EYi*8~3B((Gb-sA$_&jY!i9&SE#Jt}MwAIyH({#@Ap5UBG&
z;Rz0JXmSJb!Ty2mKZDti?mzVN0AcevF!haa3n2bWg<1e}4=lc5;epuS1@RAD9_k<1
zdS+bihg%2Df3W?9F!!LlA3eUGKo!8$6LLSyKA8JgK=s4!cY(`8-49!@jLZFq`T-JN
zu<>G;d!WX{;|D$bA*T+2>kn}J5pq8?I^g?nk?xlOpAP_+hq@nJsX@{kq@fOX5TyQr
z`Imu#37nqL%QM)0HZcFd%1;;{ZX7iJ(D`xD1P)W5jK)tz<L`qy2$tSp;R}l|#Qh?W
z^ahuQ`WLDl6y9L}Kw4KIS3%+jcK-^@JuA@c2dRW$h<XScL_+wK`Uh4Y!2A;cwI7!L
z;PO!a!0Nvq==lX8mkcEgYp=lSV?_Kx_U|D40tsIss0LX4Bf^I`^|0{jATqpQ?IW1`
zpv^hZei-n2enkF(?9YZq7bty!nFx6faQ=epM~lA}9PUBnH^_Mei24EQUucGgg$H{2
z20H>Ah>-Bx0TqCyZ`gWe*nWHT@)Oz}XMo9rjRF%8^U&{`hWQtkeqr?uEd9deq5emA
zFRXrmwGSSkxfgcdF3dgX?nOU80A?S|JaqTM+9NRcBKBKD$`80a)V+)Z!W-Q_^!wdl
z<qga}Sp5eJA9Q*2@PVZtn15jRdBfrpt{=Kz2pm;l0uo;g&;W+1PeSt#v^fH=KalqS
zgX<5tJTyGe-3N^>Q2GbgSLpr+Zz4dtj|x_w!~Bmfk8VCp9_D}8c@!}Jz~q~u{)e?E
zVd*6i&A-s%1nytN`LdAshs)#eFOvJP`WLnz2NvH*_eX%k6I~w3JaGL4vmfT)3uy5N
z*AMkCII6(}B)(ziqr<`nJ-%W4_h9ZroOcWHKU^M%|DnN&GrnQvC(L}L`!B%$N0&$U
zKdiok`QHMn0OlXKejNV)fEHfp{)d&XF!#aQbFlOXmnYNzu>Ld5e8hfmNcf`5L%jzI
zPjLLh@*B+m2~Y(v|HJi@>3>*%9_Bt+`xNGXxI7O36Dsdu>$73zLry6J?UIA|A6*{m
zJ-Gj2=^N(%2B-p<|Ka+f{)g?Khvj!d<(()vuYk`70~cM8S{&g%xICHu7eg~2ao-`t
z|LF2i@4@{K%YQKc!|sEE`5&$y>VK$pApPL-h>-tb<1w)KLEJ|PaUWbBhyRh>3$_ov
zyoZhNz|2S7e+V%jT^`9iaQa72UnignVE%{ehx#9O9wIFM36+1a@g|u2VEq|b`iILy
z{g2*0?S?uKSNjVp4UZ4>@PUnQ!R$xe7YYd<ba|-vLFR$O2Uh;U(#Hp=0$BLK^+Usl
z)bs%xZ-cqd1Ds0``2#Kw4IlLOIC}WN+TRh-_Aadag0-&+g)gb*!^$sQ=A+-Aj&44>
zJi7Z~=?`W;tiK715A^%h(eKwq&!4=|0w0#144@S(EI#F-{Qv)j!TAkceE?J*rXD^0
zqpL4KQ;%L>qN|@kqIy_(g+SvQmY-nZ1x-$%^bGb7`hD`~=>h#ddGz=~mxtLO0JR^M
zA7J(qiZ6`(0vCX^ui#wh_y+oY^XUHDfEIp;_BFIWht^(6hB^?I9?;FZfMy<|{R%M;
zJ-rYz?*p27i1r}FJoNNL$UFh4`(f!3(Y}J1ho0UDnP-4z9-_TMoOz(NQ6LP<pXlLv
z5?X%3!W$YLp!5kYZ)ZXqgfMyNU^zn*wETl=hpR`@4^H3c<v%Qa!pc|Jc~r3a2VI_!
z`7hAI2d*DS`9A?oJ$m~Xwx0{;KFIC?MEMVw$KikU@J07OY`;6qe8hQ~kopf@9^L=w
z=1V|346yVD*N?;h=>0+1_yNp4u<><Re8A<Q{zWgJ{GbgsSo%OOA1u(^cNFR&T<YVX
z`4J|M2p>p#PlGlvVDgCeB}Bdg%|57dQ2GR?$75*rBicU@{V{0vLz6#TKf3?X$A8hs
zL(#``(eDpOFVATmo{0Pg@h^IQAQWDR{tQI_4l0K?qP+z%A3Z)`<2|tQ3_bkN$FE6^
z4_NtO0<Aw`?LAoi2~9qr^Z+g&(ZdtH{6o(VKhVM}0IfV?fDU-U@-zDV=CJ#GVEGl!
zhvs+KdPZ3I!0adXzIK>;n0{_F_eh}e(eo!_d<znP5@`7ecK!;?{ct|i{jl|mF#BQd
z!R3B*`_Rir^zktC`^M4JGkW?*?_Z<qM^6vv`VswWNO;Jgg&)j+F#kf62Pl1k%PVw#
z2U>W-_GiGtlaPO=LLCe%Kauo<?L&;uLfnh)pAS$0n0|Ei_0aWKF#kZ6!~KJP|0LXg
zX#B(Wf5G&_!UtFUt3VYrL+@`v@;^8!z|L=lolgYyKFB@b{0r3%;)DIKj21syXngea
z2DcyTe)RMXyAKN%KX5)&J#4;`SpTBCAL)D<u=~;dql@N#X!3#kR~#w;i%;17Suppa
z+lL;X=;;%kk7OR$eZ5cvVfMk!AA;EjJC7gM{(#Fv+aKulJ-U0)(>GFj0NYP$`b3ZK
zdPZ0Y59tr>K=TjWztH%F?GGe2ei7qEko*TV9v)xl<2~r*5qkUNDKvm!;f-V-IQ=7?
zM+6QZ^z>tbmVThs72G}O=?6An1&dE~`;hox`_R)1Iv>eAuzK|L13QlkW*;oS!_p62
z9-4j-^AXs?7d`!;#|L`)LH7@O`9^B`f%_MlezwCS1d`rhTwLWLdV7da{6n)pJU-F;
ztJY}o53?VZp3&nAosV8$fiFcxnh!(Fk3ixB-96~>0lVJ`R^B7V4<YwY!0LNgd5>ft
zIQ_u&L&F!{KJ@xc2kK#B?SrewVSWkJLRfx;yAP@!c7H1@e4*BZ_M3v;FNdZdDgA--
zGgKNLpXlQSPE?Lh*!g#`{D+wDAuc|V^n?9}6rW%|x_{8)hmd;o@P^w54e!0M0EUid
z!MM2c7fha58rGkH^&jBtU!mts!q1<h(tXG1>+L{eKOhWCPl)k1$o-J8{yyw}NH`zI
z`JJ%)a0H;|<uyVB0(PG;V*MK=KO)A9A?vH4%Hio5b{-nceX#Kr7$1Fo7~DQ+{2`s6
z22L;N_enNEErhubvA&Hs_rcB=gSiLYedy~k;Pye?hjbnpR`)fdxewMJ{13fPhfw&z
z&g;VEKJ@T|+Xr<Y()nIk-3M#!z}CaT&I^Ru2U|}A>#xGoGc-S;r#IMnoUrr;=MzY8
zu=Adw!39r0u=Ai`?jaOE=<9pX-H)Dr(Cver-$bl^u<<k4{2bDGPguhnW*>}(<u_RQ
z0TYMOu=68%pydhd{77=|BSngDaQ_Kr53D{#ntub!BaO$vj;?`ff#i3vBCrUA?}?~?
z5K<6%toM;3#V@*gFdw%5nB4nF0}$>3Pp!ko^N77~v;a*%c##L>d|&WTEK>Y~-3v>9
zu=97}>Y?pZP+1Mau<!<NE<-X8*58EPcW49-JMekZ;QQ?0>T#F{RSs$&fZbyZ6@Z-=
z4R;?@Ka%-i^|148VfT$9{0AB7Kr#=k-V|Xz`21*u`yuMl&4+HcgReJ)+Xr<I+&m~B
zt{zAE0dpU${DARc`4R43Xn6>C50npUkHhZsLBHPxW*)Bm*?^WlVE5mlhd1oL8<>A#
ze7JfX{)2@l%zv=>gZU2{{Gj*-mpABqSow#`e=zf5{)4H9-TwpgKP)|hFKNV+Ug7dM
z?(2i)2VD1!!tIB~KW_C1pCYqh<Bc%?Aj^T+6VT!dR{rAhuQf;$9{<AbJA<9i4L1+!
zesCrMn*k{w!H2v<%mZH?1UDatdaxphdT{<i#0MmQA=wYM3Vxq3^uAzN{KC>3lKo)y
zu>KS*y~6E-x(99^ln+;rBfY}<<FNFKa4+Qices0@`48?MD4$S%gqaVE-vwy#2`(fd
z;RP<Ak@5@Jzu-g8A@bm)3Re&HA6OAme1R85L)3%KL*!q`$P+kHAo5_N;Oe2~fy#Oi
zhL!hVqrn6uJ;3i%W?%$sg}V=`AIW^M(_r_}z{+QY{~+lB$vm)n`2D@m^nh?bL_NCs
zu<>wMdVt#pbr0M;C?BpKM|yzumtpAv;a*63fV&qO|8Vy}`LOZ;SNR1qAC?~A_x(ce
z14l}K;P8OmmjcW0i2MPGPq;jR@(bo4a8!Z`Ch*k>aQmU*gH#@Y?T3|TF!zGB;vqJm
zrEhq92ztLCY`++-?i+>O58TYa2=0o(`nRzAK|yE#gD|f1|6%=m*!ll3uM&IyKWzOo
z?EHV&cron!f7pE&v^xJER^GtQ|A)mN?EHThaI7*gz|Q}F0BvBy&i`kD4&cDf|A+Bm
z=l=^q1BhPd|HJH~)%pK0^9Snue^~m2ov#neFEBnVzrgs2{w(CYe^`GDCJ*ax!T8D0
z_`-EwKdiih?VpD2w}9=Jhoyg9d}wmQI4=Qq{sA8&<iK#)erxpe!V%;5kn>z9J%61K
z>LA$ua@hO?`u=ZX{R5q@fcp;`obdApVBrI^4<=8m@Z?7ePxSNbsU4pDXyFOlPeiTo
zgcdhA!?U!sBtAJmuMBp+NxV@qxJwB;-vnCSz|W%ucbY-H3o_4R7f16iZ2TMDzp(g5
zkAD~+mfk^bk2gw&pGQgU^Vp%zh5H}6e3j_)$zbUl<{uaz=KjHc9#U#WK|JVAEZBLf
z(CQ2x9<cSUu=B7;JzpEr>STbY&je_I!_ouHK3I7Im4=rWu=D`8A0_}zpQOeYtb8Fh
zzR>d*Iv?g7n0e@YboJ<bboIFSu=JD)jxA7lLhj#y`3F{BQW{^7QFM^`kox2VG(vF2
z7kd1`+)u6eLJu!O`3vSASbU)KVeW&;qw~?#qw~?#<Kn~OtBA(&B?OHhn12kQ4kkCg
zz~zBoJpBHLT<C&X*m+h^>!?;fh(Qg6xj%$}`(fb?D=%PtSbBrFA8~#bdVNl5{(!Al
zfw{MaLieKQU)cI7n15jNy>LF%!!Y}yT+sXpIBW2tg(rG>3sVo%kA8kJOde(*wcUeW
zo<N-ouODcAJ}-Ls;L0zs@S(SRM&k>T0%83hYR4CBehZeqA+{mT0|SlSfiSFm0cR?t
z^YvkTSow~A{w%CL4NE`he3*SOd2~LydUQUzdR%;%`;<vMzZ7O4wb~Qt{Q;!?pWvW`
z7M~dHJy`g|<YDmzI<K)VwW0vtpUKx$HZr$__Yag4m5t1i&SM1eEesI#0hn)y;G_GO
zQ2!m~9+>-J<rj<(iw{`(m0;uq@2G&q2er+YWP}_D2Q#12^Wb3qfyF=4dQ@;wX+SG1
zSo;FzUzq(xsp;{cIv5r|`DpD2*mw=G=f%PDE9|^D*nXu9=y_?d@j?kGA6*`u4~`55
z1_qdV*!;H)R6lHghyj!j+usS}!^}&8$`j(l_BS&?)x-89!_0%N2Qh)l!`de>K5W0A
z3sfH6K0@ck!NLzV{tVk+2V3ue&WFV(Odg$2=)5>s`iAKrZs)}z<p=QmEBbkHu=z4v
z=V?K+4`_r1tRJ>M8n)gBJ-pG^`+zT#f!r?%)=%g>Em(ert>;5uPYaia&R@XRi{WxV
zto(z;FYLS-T<%9-PX)WL1g4&l`(gIM+>h9w1KD2(mxsC^T&a+79vFIhh2;mB`(W!I
zVSM!X!Qev!6sDdPjn9t8M?Vh?*58Hs7qK4$;$OHt)W5Lu0Jc9F;hv$vf|cK}@(JNz
z$a+(RFCgos(a+C<`5(4F8lfIL3s(Na?1SY;*!(9V{Xj;ppwR`&uV5xZ9&#QQTt8ZT
z_(1oYp}PWB-@xpL#V2e%9?X1L{zBhx3hU3p(g$L{79@S3%cGkQlZTm~0rnN*d_I^w
z?EE35`BZRu13O;>mcG&V+rrk1!`ufePhji!;quV%LU$i5JYnub_dmE1g0$Woah@2&
z|LF4Q<|FaJ{<(nWf4F|=d^95dAnC6IO+C8*Vetp^KWw}o=6|?64*#RO58eN;@(N}?
zto((Uk1mg79(X-D%zjw-T0jd>SbW0u<M96n0{(}!H(>5VtRIHN4_qFH|Iyut?tj>L
zFU)+z`d^6o=<?|Phs7_<{|RXRhwF#>AF)0KvVMV3`iGTgF!v$W|3cgcmxuZvy}Uy|
zZv@@_gu(}Q-WJS$#Clzb{pj-O=EL$QEPT+<OMvT#h7bDs4npArI}ZuwKE!?+i2LC3
z(C~qk?-tPV6xKe6m7j#d4_!a3J&#L2`gtiZ{jmMRu=YDlKT`OC%M<kTQdXd)FZA$1
zKc58Y{voh==;aN%`WI;Cqvua__2~YA^*><#fo5+|eFwH5{X7%&@J2tc1ZKVgG`?W{
z8JPKm!VA5;CB!FmUI_=(LRk5RsE;7+3-tIPbbf~hnt6!w9bz7Od=WCw1I;`{c?mHO
zJwDOR1C3RIFf6~K#~-QZfxy~FxXuG1RQ|x)H?aH-TR#A+pU~x@-Up>OaQ%et{sT}2
zu=s=Phn7F+=>t7J(d%be`2lkutp5dbA6y<<{vhNb@qsAMA$)ZE<Dm^0*nTireF}>o
z^!$U-zkmh+%)jXU1@!YKVEIi2n%`jK1z7SMIDC=T$AI%Uy8G{-g*U7{2y;LB{!mzX
z3JXuDc6fZErx*141)Yy%9@u^8`$J*pVZrQ!t-pcAA6y<9e~9!4i7#~bpzlXSw5K8J
z(f0?V>xT|+!Oxdn22}uyf4G03=@m8}3JXtI_~Y82hVCAy@u2VphYx!D9DV;VdVD~W
zCtN>z`Sk{>0haz??t{4(J-*QS==(!q>q%hog=nus!WZ2==<$K1AM9WB@Icp(zMqhg
zdi4E-aQmR~B?8TlF#p5C16O<@+M^Kvp~n|`dlEgq(8~w({l19y9Cq{3+hgeFL!%cI
z|KRcfX?+|xd}02B`S&wa11vp2xgh;u_2}gR{Jcp9$iWdv>cRG*hX;E4LFXfx2euEr
zJb;a_!qOLP{0&wfz~!Ok0ip0iPk-p~iN0SP-9PC2#nICrrR51cJfP_lHXjX(FIfEH
zN}uTQfwUeH9KJC9F!O#vHNfH@J-?yHKdgNWiw~%FP<jE!KN25oA9{SF^O4L0t4EK2
zSo;-bA8dU(EdJs0(D+C6mm&EF-96~>kDgx8`;X}52dupd+h2|p|KO4YR$svO2f)=s
z<FgnVz_9uQ?tiGfB-j*CEJ4O2Kr9f(72hB^QZTGN0c$_N=WC$*5n=0Pd2rnK1MQB$
z;~Q3<!qQU&)PJz_1Zum2Fiaj1-jMywptWfr_rvy=!udG%AHdG*;DGLDhJ`n5zc6C_
z8?w>~mOfzd0kR8(Vc`i|4-0c2`hHaO@p-s?(D;J2KVbev-;WAg&kl1RVtku8_rcbe
z;&LDQ_&eM_sQX~`KQ8yd!V{Jr;PDSl53u#p<nB*}Cuau8{uof$LokF7YwyG22iAU-
zgr+};S`Z0Q4{QIz_In_;kHA*J&i{h#r-7@7mJk0yMu9QJJXn5!nTNiA1a=+(Z2TB*
zKGZxU{b2hM?Nvzm1J@6Y54d_LzY>~XVC4bWY2f({25?gf9v;y6fYs-?!Us0Lfonf3
z2h={;{0}U>!~BmPp5RSVko{2Ltz>ZZQ2!&9mtg<H^fxgug10`w^+VNz6@fho34hpm
zXfXTH!ymTa2DTp$t{!S0y8W>AOfdW5`l0I4?T6Xd#J~vNx(RnbR6XqcCzyF~^-y{A
z^aRUKF#jO62f<du_PfB$LsAcxN3svhhv|oz2Xh~6|0ZldOA`Ylc<U9Cda!<U^I+$f
zz|u3^Jy8F^&4cn`=dZx*hwaaT*$?Bx{DY((tNpO^JYeR*!V_j5_!1IGdIS3hNj<80
zQ1`+1@4);6cMnt^ZXT2mYd^!{8=hXE^5Dhu5c|RD7p@*Ej~?If{m0P#c<}gys)xr1
zln>v(4Ba0H4=<=Z+`mvhY=0lje{lPt@^JH@eE5EAX#RuihswkK2j#=}V?*5oPajZu
zB=f-G56&cz@B!~{goi)6dMF>!UWb&2aQmR;AKW}BpHO)SFaMzBA*_9it2~6A?*=RH
zVC6F`{h{Y4@Fr4-|G?!PTs_o3=;aN3e=sz>;rgNK!GVTU-of_=L-#YHr+@f<S_Ve2
z{c!bA^U&>w@3)2857!S>k8VHMP>BD)TQlMA$Dtm+Ul^J`;QFEJk?aRs1&eQ3_`>oJ
zEPsPH)k5qC=P$T=sClsQNmzRTtO%kWT$RAmGt4}2B813;m4XQnABTBhPlM#4{y}mN
z*!@W6f%)J<2%<g;6pe8GQ1d}z5Dcl$;QMQ#<pJD0sCsn&!S~-n;}7m0sCu}0P(G~x
z)5O3S39=WiA1V(LgJ6hz;QLph<|FwB>_519Q2p@zvJ8x1tC94B)uZc&?I(qm|8V_K
z^Wf<N%J+dd4s>1w*eJO9P<f>E2DZ-^p&lHRaQmR@;pRd4erW39=0WAbmV)9F%J)Z8
z57!TsN3tL69$0%HSNaY_m<LXZaP?60VEqSJ`VK;<$C|!_5%OU3;OcRh7lKd^&Z=<t
zK-EK=f1v&w*gv6Y>f!d`P#=a+4=(E9_CeKy4F#)#q`z=58*$zV+&-v!P~Q-QVc`K)
z4tF1Hd>&T+!R?3YM=~F5H8@j%;ul)JqL)A5Obn3+hbLS;)I9X~iH14|7T@UQ5A6O-
zSo(*n$6;P9!aQ*Nz}*8?j~+j9XzJni;ZPrsP!FzJ;prQy9@amGxfdyX!QqFbAIwig
zm<P@}NbU#AqpMFss0Zg)xP4Ij;pRd4$q4n}_&|?Obn{aX>cQ?o3LjMWK+R7@s0X_T
zZXZ-0ZXT4MhENX<KY0F!%BLgb!Bqh~{Gjsi@PYDS{e4*ah5HXG4|hM5pNTLZ>|VHi
zP<gm{P(JMZI9Pnb^+V<1{(<trmo!7tKiGVDd_m<wd;dWg*ZzOl`VQFse{i5PFfb6i
z{~tF058M9_Yk$D@|HHzAR{Q^9?N!+Re^~n#w*P-G)I+fS|FHY`VEg|+LI)IK`~P8l
z*#3Vz=!6=*_W#4|qt*U@nE3;>{~zhRTkz5vr1iPrn1YRuFfcHK<zf8+2I%-8tiOOu
z9yb07I}ZTKKJZc@B=f<1B=f+0*mxOCKWw}V#=ikg54g@3fb|by>+y5))4^Rl*#2#3
za)a;3gPrFG+b@C6hZZ03{Z=qOY`+5Re0|vd6j=R;zTO`u?^c?ZT#}ie2V3v20Cga2
zKe3NLxEN$$VSwycMT`$a_Lsuu$I<ss;NpWLl>xC|2i^S{X#4wN?KLK-{fPMmi2anF
zmjGKo2@4O{ct3i05$hk=d=gAOj1My(=3f{e(H?;KhuYzpi58x)_8+anGZPxYF#o~k
zL#Y*>u>1luA3Z$NQ}dE?vJK(;iO|FM3^W15@)tTEyj2WLK;i>tJ}iG#q4^K#es6G8
zD;pV@TUaO?!}624MSMKSO)x&lZ6GsY`6&m)0kwvq=^N@iczFPue}TF85nMg0`_RJ!
z=H41K_afcr4R$ZoIE?ZH-8|U-Akg^@@$rc8g_SR`^hCt|rSkluY<T>@+B-1)=<!9V
z|7+3wp9STkr$==EqVr+?2UiN<_(BbTSbhifbwC)#hs7t%JXoN^%tJq40_r??e4z6Q
z#TUAIbUq>dFnO5!@=HtNL1`3LAHe(rtIuHbpRn}F0<{pvhnWZSKa3AcpXmAN22?*R
zeqi>&!V{(+oe#4Q<X%vkg1HyHzM!^y|4_lbWjTobjL_x;JpWVb-fF1(VBxO<4NywL
zw=9Ex?)9P2z3Al$Y<>%tUeNil^aTqq7$25iiEUq@r%z(}Wr;bZpgt|G^o#CZbUw_z
zxZEF)mL8^{g)dYZ=YC$8`MCUp9^Ryum$32?=6)C-Rvw|3m$312n0j<R%)KyqbUwOz
zbUwOzTzr`OGV>rRVCQX6J3nVbBM9yvXoCn>eugT?h)-Dhr!>Bx*2C*7Lh%JnZgBPJ
zd`Rda&aXk|qpL^fqpQcohs9TZDP%_`tUZPv9+Z~9InW4z#a9M2z;MME%s=S)3l?8x
zIgk-8n0qO8UoM*adMI=sdicTSUt#Vi^*odqH1{!}xeq;l(a%GHYKNZ(Ms4??$0y7_
zSbU?OKLV47g$KHOenCA1lZSHQ`31&@)ko;zgDd`Uxd&z*%szDYjK&uvgm8t=K*twi
zyq38B2rPfX(gQ|$%>YpWD<7fR4W9nc`H;}TU0$QBN9PmL50i(vht~c@um92ckkCQ+
z7oCr;9-WV_9-WWwU*$w)V+$ihd)vssum+?CHhu_}H%7>V#u`9l46yMA^!5j#@g?;7
z2UcF8*GI7Or=F1soP=QU106nOfVmGA-?087%suGl!T7NFh4Bg5AIAu}01jq9q47+Z
z|6uN+c6cN*GJ?CDF!xZ~{3J%mxwo`3KbaA-?w?lXCoyt^mq^0Q2L~3G@nBf|!{Q6Z
zCzKvi85zOz1Tgcd9scO)jfnBCg8br4_<4Nsi76?1Wr+L=^A9XNeg+L5{r~@;fq@xZ
zbgY187+8A|l=ndx=0Di{2C?Vy!1`mb^LSwES8Jf>)4=uzt3dha@-Th{R35gzR|Cq2
z?Z1QZVf&|ipz^T&T>(%&x_N~7u=Vt?^LSwEzhUOV+QT7G^I_|QVSL#7UfB6R==Kph
zj|Uchu=UjF`!~?}ptyoy)b;+b_1=We;{hiEumKSL!|gmCr1TEnAA)`!52F5H0`EV8
zW(Uv+ELc6Pe1L@~Y&{=rJv_QR0zkqOX}%9^9@2aun2)~x9$h~=ACaFS=A+C1fqDqG
z9vr3~w*LiTKSV!l{SC}Nu=#vAADSRx<{|c9K=$Lq&4<qa?}s`FrXDm_3KD{?pM*LO
z6u#i_hUtfeFKquc%zltw2!^;9eLn^4z9^V_QqK!Q+z$%z4=g^Lq5gsG@51GNn0lD|
zVc`RF55(;t65@XJ_=e3d!qgLTKP>!U@%aE`G<dx~#Qku2sQbZ<Cx|?3DKk_DWPKG(
zJ?wlLBtAHO!s<_$|6uop!rTkFB?ECj40?D#Ed#|j*gQvQg$YX^u=Xj;Kd|%y+n)uO
zhx!M}ec<$iZXd?}Zm0ub?uByU?m_3n?xTmPhuyCV;|D<#ER2tSJ`=3`fcXcp9~=@t
zaCsd5ff^5YUjbAAW*>U~M0Y<rpHlz8;veQ8*nBH2e&F&@|Dfl8Sp63R-G7d7-_T&e
z+AFa77?D09>(QazSq4~oM#MK%9_~#@{zpHb3K5>fsb_>{2$+4a@Fn(qFIamD<{w!7
z4_jY`C@+XR-wLiD8vlgc18cv*>?ahSgz`K3`9CoC!_q5kJti!@qRXS34-H;;{(FFy
z|KR$e`wfuR|AWi78EER!&zFD}e+)49!PbMo+y|G3h9|oF(91h?|HJyXF!N#cDa?Fy
zd35uU_+bBVKrMuoKXCm}|HIZF!S0_U<bP;$0`7nCCN)U?1YTMPm&f6MXz;@02i^bR
z&Kc7AU$Fg)F#n^=Bl#CxpTNp9Sbc|d{xdi{;QFEdhpkV5`9BewfMEF(R(`<Z1F^pt
zQXjzO$@DL5JtWM0#Q87~^U>we{R^v)VE&Cji*LAosDEMYUtIo$?N5NY2iAUqg+E*#
z>R<Ho68(HD^zsp19@gH1-3NwV9;5pgJ^fUm`4_ex7v?|o_8M$GK1?27yhHE5g{}95
z#XqdPg@p(Dc~)?FXn3Hz7wSBCdS3t)faOQn{tK9U(A^8$9|Mzzm0z&<Mt3i)e1^Ff
zwjUH0e{gxId(rD7boZm%hn`<y{dbssi2LCo=@(rd-F#?vgNG0Lc}{Ts(DaKQUkA{_
z6TQ5KjVHj|2W$Vr!UHZ34G(npA@RZG2fF`Z>yKdO!_NDGnU5}yWFFS?ssO40mOkM6
zarhtoyef46!_Sj|p4S1JuYtJ_E|0_i==lxZ|M2rSpytE+6EO49<)PjK#W&dhu=WQm
zJx_otfcYP;ABX?Z%WK&94=g?)tsn-_`90wJ2`&%yFRZ--JI@T3-iU4AP+I<>=RcTx
zbfE2RSo$Q_J?Q7%p_j+#@`T(28&89|2O3?V_yp$<^z-pHKo!8^6FtA8pI>(YO+9-0
zMpyp<O}#v{K>7b)80;Q&^|0{OfQBz@JP_s|^z@E?J|3a=E_!-EKR*vW{L$rcg+CGJ
ze}Vmr9{%X%B_Tec^Y#Rw;R}mTM0pD7|DeY&`gwNf;cI|q9-@4Ln1>$!gv<*-GY^sf
zA?Bf{4|MaO!|VTH<q^7jNj+~5mS14!?GZ{pi24)a-U2lL+=o`ku=WX}{{c}S162=`
zN3>V5%R{SAc>YCCzv$x?=;IOS<0<Ip*TM1^?7SQ#|AB)R*1m!5|AVWCmiHT={(+@8
zbpIpzAK3keXuo2Y2Rj0sDIooO^!!Pw|8eDK5oq}Xn{R-XKZMc;qCX7r4|@Ee_h-@1
z2SfBHvFk_g52EWw^v59j(ZdhDKZULz(Z9j2AH9Eqt{**pqMx6J9)IZa(CPw|-ofn;
zaMXhdNc?|5OK;!N_=xrm#Qo^uf$l%p`2(>02%B$$wNK!DXnL=M2PninV5c!KfbM?+
zm+x{=_rT0U_YczkC9ry!epq?-2dW>IpU~3}`gvcl^a*n>x_wA|uzl$LZ*)GAd0_SE
z=Y4@Ef06qCu>GU3_9R>$+I~Z{w;}O??jH2>zR=?f{k*pwPy=A$i=N-m)uV?W`uT9^
z`HfWl=;;YvKe~J2;R{Vq^(3Yz^zsUkeu!hi+E=jh3_kx1J+A}4e~*<Jyj2ype~#Sq
z#?Z@W^!ghyz5qFI48GqQ+Fyb5ahx{>-sH}}z#stKPYB!J4Li>ZG5<u|d0w#nWU%}Q
zTb~W%qtB1Q?SsZAtiFfkU-a|FVEe;i?nBHk5$8VG{w$b#(A|eVJ^{B6>ONTci_3jy
z5$zYO^I1sw30ywI_Fuu=gS4LtTz<gQ6LkCrJ-*@lv7zw|=d&=vj+%oeY{+~XEWTmq
z%Rwb^Qt0l5?Z<?<7gis_!UM5C6;i&VyBD_q8Fn5XoKGNqqTgQ+JKqkr9|7hbLh*?{
zK8Ego^z;S`7?^#q{R70>2W$Vq#<!668-U{%mwhmMVethkZ(!mu8eYCY%OBYOTyoE|
zgH{iq_5-|Rh1dm2Kd|vYSbG*}e0DWN9gKviM@m0n^O&I;e*cHGG+^~P&hw4Hx<F<!
z%>|L|&;jZH|Aps)_yP#?z(Yx}@)>rX1uTAH=jnj<{)6!7{(p9G)GUQYIBfs_dng~a
z{~yL5-T%)9Zdn%PXQd{W=qGY8K=>&s`mptFV0q~Jwi0%zJSdGqFcWO*8Ny|N@L}m6
zwtpW{-ZO(!K4Lx0=>C6bz=0{)`fV@=(tiNgys-KJCJ)R1=<5ez@`TpQj_&`5gfMLV
zDTIeOzW|&_k=Cz*BLRsI&J<Yolf%LvCJ$Tx37cQWWgj8?Ve+u`*~4xBKe*7w6Q1bn
z-_gSt*4~8e=RoI!jRq5_`zc`IiN0SMsl5u0`jN2zAC$&m>-%Bl3vB;B5$mDx?*B*3
z7eT@w+^7My#uy-cQqMm?-~XQu&9J!2Ly+G<7?wXlY!HU6w}!2MfTbUh90<emE7W-S
zeoGi1W*#_^FfcH{%tPPL3Nj0XVd_C_5T-XD=04DV`qBOW;PE+d)S>79(f$9R)Ct0n
z{0ST1hvg4a&x1feuV8flKPYTL7!tm){yi*w(fJ^iAdFsK!0Inp{~ace)E@_@Z&-N+
zE6+e?fiSUr^!k=ic?lcOgP9N04|5;bQFzKrXm@SU>`xqN`~T6~V}#-hmcC%|i_Qn7
zH4ui$gV-Pp<D;ub=Y!OOFibs&4Z`Srr1}jc4L{!umi}PvaZ1ax(f$A6;DztMht2=P
z$}fm4Vm~d64=b<G_qUJk|A&MSuJ9S%|BpX>hTC}!u=U>P`3Y8^qSvnk%WLQYU0C^u
zUcRF9A)$?#e_`b<x_WdzA^k9Un0sjLUqbB(^zcLHQ>q``zu^7-qx=8i{b^YEz{)@L
z{n&)YGhy)stKSKYx53oI@)Iom!uaU!8QuR62@zc3GrIqOXzu@q?e~YxFT(h+`B2z?
zf7t#57$3IYdUXFkWIX^RcYsI;e{}ypq=mx3zzkjwFuMOA79NoFF}nXBlvfb@J7D$k
z=>C65`aoZQ30toZTaN@;WiovC|NBB4WHA5wqw$B@{(n;a1B-vy`d!%iJlJ|#xIA<{
zEo>16Z2c>&J|Erx56MrX`~M;3Eo{9Vq5TT5{x0l%5@_}V&DetLbM*a$u<>D7dPS_i
zhU_Ormq#}rF+K~4ufewepOF7y;}<ahBi4^Y{Ese=?tdgcIDAL<|3lI%to$F{{|^Zd
z*m_&^{j>1>{m}K&i2YNL^aq!R))(meanSeoBkCK-{tR?^#QJB5Jal*wejW=_dIqlt
zN6$Z_`~P9_3EAHO>tDml4@&p{qnD@X<u$DT4zmxjzYmgL(B;wN6W#p5w*Md2pMb4r
zNB2K${SnOni2X<q|D(&J`ybZ+f|)<M{~wZ`VdeGc{(ne#5Zm5DFOSjp_oJ7`=<?|M
zzhLcu*m(r7{md};Af;z;djoy{`RM+CNc_S2Q|RG8y8j;%KCt`_oBxNM2Q<3>A2oc?
z_rJsT1H!_K-uwU2$5Tk%{}0=*4%;sUTTjyr-A_2!_x}^}Kd$nWTKoUe+rzN%gthO{
z_s=8xlaTf{y8hAq|ET$GbpJmjJYelxr1~D*A46{+qqk?#`SAVe42<CR0=4)5qq~RJ
z`~T7R-=pU*Li_bc_xD567i@eG)?b44her4JL&68veuVG0XJFI<$&Bvr2ZaIy18l$i
z=>C34c);=x`gskb`}-mD=e*GK0Ki9kfP;)_C5S?*-w;hBkOTu{zdmd|3#`2g-Chrx
z&!EZvez4VG0<yoq7j1t((tQ$i+5Zn)568p+*&h!JBxWcd>{RgnNQgX)&kB`?I-h|J
z$~S{5V2AQy=Ra{k`ASfEPBcCjl&=Yu=Z5m3Tn2e4A12QO<?BKf@Iv|E%hnkf82F%k
z2dIbmq5Ldpg95hye+!fk+y4*a!}kBLf+iqgsD7w*3}R?}aWuXJ8ebC1hdPHr5z1GG
z8mI*2!|W4*@}bfUQfPc>G`<WPUlxro2j#=e7lrcWVHPkjfqja!pA$TkOYQyt)ZX8Z
zWFC0y5z_r0U_R`;5C#UwJxZ|iLSXy{U|%yZC_uvl796nk$B6MU$bKwB_g%rxi-E1r
zM(4xKhpm@}@e%7=AtEq&SpN^#{#8QjUq{-0e%SmqdU&C)PlfSe`4Ps4%}2xd(Bc8U
z-wYBvi1qK_Oac~!qz_nm3eyjkLOLG+osVumOdggV26{g~Y`&0M;R*9EEPccL3**yz
zKYtO_0WkZnKpg<{AI5%ugZOxmn_&0rf$rJ^X@T9xiXQ*y`!mt`AiW?Avme9;VOV^l
zpXY%q{}Y?OKxTt5Og+8to7RDbH!OUiT#WVoF!zDn3c|Sf=-~}6<Uy@9=y?Gky&w$J
z4{06#{}1D%=Vw@chn4r}`}1M$g~b;-A7mE@!{kA15Qg#5)uZ!4YC#yL9>fM=bUw^|
z^xkiZbbkams9@m-3s0DTV0@6<K^Rv5ks4p<=E3-|@Bljk&;DSL-5?B84`PEbz4@^C
zqW68%4A2OI<u@o7p5M^<ps)d9SbWj?zG)Sx1ITqBdU~Sv{l)0%9TYYo3`;-szHb^m
zKGE|7z3-dG6@ResL3a-*>_8ZnzDDB<6dDZh{n4=a#1%f2-ZxEgd?hLyn;RhRFU58r
zvAH3#e4U{h0|Nsa0~@%g2DP0)Z6<j8DL)<DMTeay1T792VEgl6<1gs-35*Y0FA3wr
z!VkuWgf8OzmeKu!kPtx+9~d7N{xCkF@EpA_7<+gk+V80MQzMP1fb#>=cnX-0be<xZ
z4?RzjfgPHEVDSOVA4umXf{)HZT8{(P4{;shyeas3&8*CAAUTA2knvC0dOijQrfiTr
ztUZl>UodPxA8h@Q2lT#OLVVcxssdC!Y&|24Pe?zh^0510(C-U2fZ7jRk6;4j!_I4m
z@nQ3sHc)wV`$@eo7&adW8^1^AgTfqwA?w>AY!C_IkM#Y5Na-Cszf9?VLD+f-*!m<`
z`3H+1P{>0tB>a)a6T$W)jW>e%=<_iUy&w{zAH;%S2p=i`f%QY=KqN$-)cb;6Kw23P
z`@MqD_({+V4V!O7x}OYue-g|+u>E!D`-Nclui?6H7p5N;ez5%=u<(UC2h>9c`xpH@
z^d6`Jn0i9@?ZV;<wtfNqJ^{EqbUi!lz7$;UhpC6TAGY2S<{qf?;O<9{?=w&ZF!hAo
z4;^lX-?s=GuY~R2g3CkQ4=dFF|L4GZzB)`jY`z_d4-Rjr^Wg4>%`d~;3tQg|<D-WM
zx_(0U1v@}B!2AR2ui;8xu<{jlUl&ySh@oKhBW(RGto=*w{jYHS(DVZfU)XwI^niuc
z$1wX5<pX3r8L9g>VD~e@(i3d{54L^{J^#SY6NSkm+y^NS(eFcqtv`b0f28?uaCruo
zhx#8WKVV(oWC1O}VD5$04>0$jyB9Vd3zLWCPgwbZ?p|;s4e9<9Sa}M|A8>i7d(qby
z5^_KK{sowOVBrHRk74$q%cGkQ%das1EI<n%xPIt*PW1SSKvR!?e<5ss1m-@(dRNH)
z3b;HpJkZ?-H6C7`q5B`!KZKc&Sic1^A6*{Zd|3K{`Jd2!J9PgafI1MCp3wadt&ZX4
zKjOYCi2LC3WcnYz9}c?T3v~8B2;(~cAC~@L^Zzja5Ig@5yN?z&{|{co!~hx(fUFnj
zh8jq(`F~@meX#j|BWQfU=Kr5VD>&Hvza=yQz~=v9eAxVdA2dVIYyKZ*AFby9Vdf9i
z{6Erp|KOyG)Sd>%6jFO1ERWRw2Ft_xv#|Ml#QiIf`F$kw!C48(JTRZodH`8yeB)XV
z0IMHh{c%`%1sgwsCO`OiJ31fi2`ux$u<-*qMh0+~95#R82Q>h8-Zr9qg3LGHfy+bq
zP%eCY5ypp&&%*df_JM_9^04+BY<wOpiN(Dz|DyW`RzJYxVSJeRF!Nx1XmG>F|EL|F
z@@U~140Qml!c!g^ATa;I>K|%_C$zXCdOZRxK4Iw<oC!d+Cz11|=<x^R!_p`Ed?~Cx
zf%zYu4-I~t?nhUT&L^ZFCJ%F8PJVttd{Ry}Z2TD}&j=k~gP9KtpR>^V0X80w&WGuT
z`47g2<tGia_&0#^Vezk=o|>1IUz7xMPku_JvXQBo0gP{KVq##dY-9=Jn<+zD$hg)U
zP&>b9FfxFP7+Cm$FLeW_7sz-%SQ6}MNO?nP`GX!_9cbYNwu*xC2WlM7^ahP?obt3T
zpHvtbz)L7$;hhNeFs||mHhu|9pQN6*t^f@XSo*yH<-^h^EIeT4H&hy<K7-p2buTRc
zkXpW=muG~^7nu8C?nmdtoCA|b=cB7f=cB8~#fQZgY(E37;!6=40WkkqKpjkOd?iCY
z1amLcIC%X_Y5Gz^b8igP0$lEeO5;plCAp9uEUf&a)O{*w?yG@10GIoq!G+U(=;Z^Y
z^V_m$?nBR?F!#XH1NwRdm^>_hQrkV~=@05$c=<_h_uxuju<)VvdW6yVf}}WDctd!I
z`FHg2p*X%0m5nToLE{UM_J}fwZ;sAaHiWgGVD5pn_dw?>8z8M`fM$Pq`A4Wd1yfI`
zeuT9LRT-JUO-xvQLGSh-sqWQeWC8~PwcJbT{5Nbo8`i$~3|-L4z`zU+*E`S#1g!jq
z#W&3TF2O<ZIjLzSu=Eh`7Z2_N!q%U_#uGS*oF9jcAHwFxVfR<(K<A4|<-^WjQi1A+
z%?H5F(<RkBLh51XufXQVVe{n{Q2Sx~9bog{u=*Cphs~F}K-I(S%YgC;&5y&v4>sQb
zJ3j+9-ipqL#V1T2oj=m&$C2^_c)kyPejL(!1kVqHx8g#x3#dm2o)3Z5->~q7&Hup0
zuhGLBef~BDssN^*(EKONKG^s?`uq)C9y<OFY5n1LKdgL%xgR#34s#FGc_9CS!v}pn
zX9-jROg$m@!|a2(AALRtE)R7-`g}5D3)DjB{njw`F!#e`VSM!SUD4$iLn9P6zYps_
z5j$Uu=+8su+hF_UVBrCa514z<-HXmw1lJy*^LruZmqDEepYMRJe}T<Mq01xj!SRJ|
zKf3?X=bO;w(fLYf?n5`91=^s8g=Ym+0j~7}P-%GjngA7mg`XnAJg|Sz`D@VB&xQp6
zG<~EZ^v?qM1<HkoCv1NXEIi@z(DaACo&#n)>^v6q_ygGi!I1Po>+prS2ey6z8XRPY
z=N6~|u<$1op0M@>EI-5YD=a+W@;Jg1R{!}hFlRx+ZDf(K_6w{&hm|Mfu3v!bhlV%O
zd@8v9g2fLkera8v!s6EhNBqLtYcT(#r)OAs3@bm;=c~buSfup<=<Au#<<ZSY;)BbV
z8))ett{*yIkG_5Zy?#NTuZFoFmOs$fE5YTV@rC3baQgy@4|X5A|DnY(ygY-|_ptnj
zE{|j$*nC)d2Mb>ZXn_VxA8`Fp|HJ0*VCy%2poJH@|6%P_nE%n&E5PM(_#YNNF!!PR
zA2wbJGaoiz1oJ<-Ji7m3<rB>O1T_D{^+WxSD4ihXJE8aoPr@RlPgwg3=03PQ4*$dY
z6EOFo`yV{`jb#1=r~_f<qsycFAC|sh<~N}EAFdzje^`4M7XRqw33~j));GZ72ezIN
z=03PQ)c>&Z!URWo0reiy6s&)SrF;SBC-n0f7ohncJ$`wi2?Ex>Ie?}fJwKqUe}JZ*
zkomCifUWOCqz7j3)DkrN!Rz~AXoiKk4_!a3KT2$PAOaea-U;#Rp&1rtKf3#n?jr<;
zKcYN@td~O%k7Q^D#burXG(p44D@6GMF%LaH2$|=AW*#EHLd+xNKIrhue^`D(_y0+#
z`7r-LlLILJz~%8w=z>C+Jgj}tgqA-vp!owfo&bwaMEwVGFElzp=7Yl<z5YT!4-Zj)
zK=ebM3)hcc{-f(hls^#ti1Z3cKj`HLx_%`4z~O<kJ_YQ4^z@ei)c}isr0@i*7l&4G
zu<(SnH(=!vR6EFjVEfR+3)Y^3#V0x+$vm)q=;yaVqZ3{~BdzBIueXHDL*o~`cm-0P
zTY~(G6rPZz1R+801+(Dlq2)8W`_a#PLr)**=dq#dKL`yVSooo*k78Wm3w4hw*p(ok
zK;j?70^vXZg~8z^ho&DS2f+~aNb~<-^>E{${kth>`k~GRg%3DBk=8ST^+U`Ckr4CK
zp&DT62i<)1^dk(?3W*=EQmA&gedytbo?g)TNalgvhn{}GjdmpaVCzv}^(R~&ntntP
z?!%gXVC^H=c};Nj(D*`kKYIFsjej;XFk&?yc3v&ae7Jh3`SsvX133~B{^<6hr#D#r
z4YLm^{eew_<v*BxaP?68(9<V8yrJ@%U{et80kJ?BSNcR>Ukp+U!4UtTr&sjyh){Y(
zT5ktV4-m6KB*gqYXo4d)y~4_0YL$0L=7HUZo?c<?3z&Vd@laTLh08<JD^mFeHV-{L
zpr=1reFsYqNczDsiJrdU>Y?#XYWjoiXM@>?p8jC<DJ=cL)kEz=Pk-?6gUVBy{-DVL
zXZeHPekq4)fTbT$%7S1>`a&<C(D@x`<t?mz35#!7_`}X$CKR7Y`oZy!6rW%|y8aJP
z0a$&6uAbERh1(B}57>Dtu=*YnHsJYKuzPUVS1^_MX_$Sm_8NTt40`?^Y<(>cj`=jC
z@&sJJ!ODM_dj+8J1B+ku_9)_h5y<#*E7ZBL^CIDV7REfN6qJJOcZHoFC&IuC&TrsN
zv5@)+ymTEgA0Y^qhoyH|{(u_B054y`sz9QU^anfd2j(C2`4YH&(D;JYhcN%Hgr;v;
z`e+9kjpRPWd;@XrgRMt}xd+{S=<^|P`=IWF<p*5uJBvuqSof!)$M+ey03^K7$CnZ7
z!6EYK@eL~vVDSy-<A`rqd!Gdw-<@dTi5Nd8E<9oD6=C5ii5CCp<NI*?py7$Mz7d=s
z(c>GIJ~^QMBbfVP`HkA)jaXlgJ-oZ1;ROqCI3GuN!`u%GZ`l5iX6X60u<@4v|7jE6
zaQlc1Z>aa+6wLjw@P<o38SwHATHe9V6XeBF-ofRe>M1>+6xN@VgxUvn7O4J&r$mSt
z_VY!N=GU>FFWLYte_-=nu>FHg42<BTB4O$A|9{9$ld$rniGk4xWG`Gjw0(qd6r}tG
z8^QoS-xti(0cm0Y%@;z<gSAIs=7AM~)Z;Ku5vm{NKA3)(`(Wpd!sJz;>S6M*^>IxM
zjJ6;txOq72gXxE<hntT>y(-91ko%$G1Gf*V{y$V6R=<GGP5}wQ{13MehkDrgp-l{o
z<{*9W_<*X1#TU#xxO%8Oy8W>94^xliesEMGnFr>BD@}<1z)^)B{@Nf%LF8RQOeFPS
z{pjYw(gSS%2<{%Jd*S9m`LOd}VeUl=Kdk1%Dk)s)0X(RI<X$B8sOCZKhm8lp+zWRP
zR32^~ly3+M1c>?I_=1HOY<vuSI1WS}oS)$8q59F|3%1{_iGdM(6aYNFpz7h_4dt64
z>~{t+;o$|9hx-@GHw7tzxCg8rZXZ-0ZXT2mJO39JA8`FpdAR?ed~hHjnGcVDs63K+
z;P8iyKf%Hq9{%X+p?sKnSbH9BAGCge$u~jymC*Jlto;S`KEwb24B(~)y!?fh=Sckv
ztmj389St@FQvOXql&@eZczcHxG9C^a@4;2RBc1mGZaRYpF(Baw&VR7{1S{XHz;=Vn
zTRRXFt{xgbU`K!?pyy#*gV|v9;PeOAk3+o;LOs}iSp3242N$Xk_krz)tH)s;SP?`$
z*nYTv9O~`S?1!ZXnEkN!H7q^C)kDo=V1VT)Nc=dWnFmYXF!R8ULUJEmJr480nFgXB
z>@~Q1pz6`bf54d-Nj=;?9O}V^DnvavsNnWN)x(?H(D-#j_z$ceZXXWy?g;f@r@-xl
zs)vOhtp0+Hm%zdsZXXWyo(S{7=?6Xjyb$tW`{C-L=Ap-*H$pwwJoNbULCAy6gR943
zo-aZ@IR4=7fvQK3KiGcFCI&{ZdboW!)cYgM2NzB7{0CJJ>yN_16RsXAj~@Pk2=l=H
zLyA9eR!1@q%!i#9)5O3Cj#?!Dg5}ZGgBQ<2(i=Fb!R>>(2W}pe54+DE=6<B~fz|v_
zg!{qnK?+Y)^-%M}5bDA1f!ha_hnolGha=R3!y6n)Apbz+Vf|~Ed*SM#^623mi7*eG
zmEhqGRSyqOC_f6J9_(ItctGXh{)O_R(bU83gUZ9rgYsebiNM?s+WQZ}1GWDjHXbun
z_y1Qx+XM94{|~c|R{Q^9=8x|GhnyDx>z~8U6M&764%GgCW3>J1u<`)5Uk}mVq|W|Z
zV{oa8IL{u|K0)740o(5aEso&(;h@^#eCTi>1|NNY5R4DaUPSM|A!I*H9=89+1T8#a
z^%t$e(*znIF#jQqpTdF$>_l?I6WV=%@3#XR2ELyKk{-~*6BeJa{WH+zKN#mjz}y2H
zZ-?<=j)wUM#)s{%8QuR6i2+!8vqg)4r1?~E&|%yEWp0Al|8H(#YN>2&Xb#)21l|76
zzzjXF0J@xrX8FaI&int-!wYGA92{Pd&}D#^C+K`=aKq(cnqcV{CJ#%$F#Rw-tbYXK
zqsyb`C+PAtxP377F#FK?F#FNvVd=$^&insOp$>xi7kz&*EIeTDg(}DJFKmA?diao9
zzMz+9u=vAOzQEiEb3ZyC7XC1KbUwOzbUwOzTzr`OXubd63>pD2|Df-`hPj{E_@edx
ze{(eV;@a;Eb1%C4Vc`u+U)0|JZ;9r<!M6V&c3v4QzR~k1EPP<{wBB!z?jH2?2Mtbm
zdxYNZ!Ii#X;X~{F|LEZ}8efnU3aj7H!-wMdN>nyBG(p+#Z)|9R&d0z1-w5fx0$BM2
zYabJ;-(l)u<rggd!}t*2gV)=Dt1>Gh_W#qe{YR>M?TFa_Pl|gf-T#l?-$mN*4Bq;2
z8`^+C@2|q@XVCutqRjLRSbMqzdH?|IehT>dCFp!QEIwi5*|7D=u=DX@`+Y|D|1;-+
z(mHHC*y#R$$od&jm_RUj{P!zep+SmW|9xnBhs{qS<p=P3qS5{TkkE&%SA+0CBqTi1
z*Sn4G{|AK)2t&eWbpJmnEI{j#AnQv<_y0q}16O{6$bv{n`XTjv1!!>wTE7Nf4~$g*
zg3B{f&r=xP{||}_`eE4qKG=FmSbGh&J_p<g2Cwgf+zfyezhEXp9&*10Tt9R^b9Dbd
zBrak56VUSu5+9sjM)&_i!V6Yj!TNKs^G=Y~M}fl&eSa<b{%=@&9~S<I{kf3y`r-1>
z^okT8;PMQXzG3Ud(f7Z@#!F%5!{&=%`;E}$(alH92SCc-(f$9Z@jtr%A1yqf2@2MK
z7~TI52_IPg9Nqs9nE{4{2e^_32N9%v8QuR64h#m^`5~kG{~_T4yWa~oUIyE5inPBG
zl9V7MsJ#zn!PP_8U!&KT==*2sz5m||>`IUyA?Y8)0%7?6Kj;J~tULwDK`=x;()>TT
zy#Y6FbpJniYXo=^J7|9+wEYC%&yQn&KCFEN8=r-%Co=uO_w(a0f2i&Mw}U4rNP2^$
zJ@EVkIK9Evv%%8m=>C652sJY>g2QKY|34%=VEKvE{r{AvKlJ_#dif9DbORngfSkuO
zy8j;%U$FcPoh)F0^=}<XEDs>25l_PGgN^sV=g*+~q2T*tam=T|<|AR}eZtCrSbLMy
z{r~k)r^EIq!}$dEC&Tvt!|D^*{$$vG2V(ap!`9Ej+z;D-3FD*h|A*TLt*>C~GhyQu
z==;GNq5gxp54JynSogu!qr%*S?mqPS5V(C%_rctY%YD?|j}G4t2W=mr@BeRth6gOZ
z;d~tN4IA%)?MH8h>W76Vsr&z7>lI<)NofB++&*Y{BCT%(*FWg-4cqTJSoZ(Jj;n@+
zH=K_nykYK#g*R;f2Q0iv-TzN&c*E@@GQ6S50Zzf(4=dl`5>N)be1n#Eu>AvrW&i)^
z{(eYA!S-vy_uE7F<B_nxAG|e;g#G>C{baE66}F!nzP}o}{~vvSHu`=t`2KvTdL;LQ
zYjz~_z<gNw2HVdL+fNSjKP)}N_V*#F2kS>S558ZVff2kv4elOj{|s&(ln>vJ4|Om6
zyaMR`v*_l-_6Nh<3sVnsFMR(zbUz}JdQ|s9?T7DIhq@Q;9;iIrJSZRB=>+ZfgPxZF
z3olrF!S<iR_Rqo9L-nJ_7kqy{bpJg(zM$&i;SJ@(_rF8k3-dqBez<?3>f!tCq3YrG
zLFM7*LHV%#t}yq*^+V<1{)6)2`}3jZ!{Z++k7OP={E_zKgVP5*{L$4z`LO-<u>JRN
z`=I4B+&n0MsO`T8XL?9_0`E75#WyTH!~7514-8ii4Iglzf{QH3{&V>Ld}w}x>&KxU
zzCRy&J_{`U!0d<bCx(`PaP>IMgYVCW+7H){Lp^vCIg<Zj=?i8*xDbWNgZCrD)kDog
z-@gstpAYp9Ed9aEgSD4o=^L&dhk5Y*`B4AE-2+vRzJD9+NQisEO5yh5P!G-oNb2GC
zLDeJmcfe5%-Xx5q9&R5F_25m=5cS~ng&w}}{q@lJf~$v`haSH0{mD4O7rq}EY93rY
z4)fsq@uA@hcMnuOdicWk&qLM2?ZcrSzCRyYUc>VTR6TruJ=DE$^-y{A@*2J$8>${D
z{=o5rWFD9g+kXkGKau<kmPc0)-=7aHPvQ1K-2*od%7^tgVd)tuePA^ozTX~NKO%)E
zs(PsT@csBu_rUFg%EQfr@?rZUVdn|K%LAx9e1APO{NU=L^623W-+vFSAK~E*RSyqO
zC?9=4KRi63@^Jq``SAVuQ2)X0gUZ9rgYsehXIOZ{^+V<1{)6)2`|Y9T!{Zk!k7OP=
z{NelYq3Yq`kFFldhm|j|`Vnp)v_6EJ2jvs0AK~Z6K>H`4z5gIQQ2YPEhm0{WFbviG
z|GS{`JM`NB53`R}`~P9)kM92;-Tx12cEZLlVf&?E<q`UR3iSOgFh01@L%N?EHhvG2
zhs`Iz%m>*C!Z1EGd(mt^^XUG6P-ufN<a_|=@*kr2|D(qrEPi48YtZ-q!_KRQ#V<M^
z<Ps2u$%EJ+4CAA#N9Tjof-p=yhz-K%e3<)k^79MglX9|Q`+q=cK^S%)EO-+UNPqzn
zK4+l~cG&(?bUs)q(s>IoK5V}mY`hZY9;EqyaL|qJ|A&MauJA${9|wmQMD^(Yf7t#+
z*!lCY^vMD(fMI-Cc)`*ij1LR%(f$9B5P^jcG`qw1FGFMz=i%Vu!^)S@{r`{<f~Bv~
z{r`~gfagaN^C$X#>Cye<knn`1FZA%Cct1JBctm?>G`_$g0!zQJ`3x8zwmuHd2dl;`
zFKHHEqx=7%Ar5J;!uGeo`dd&*_<23B`VA%zP2O;Mn0i=zjqd-4g$QIm2DUzVbpJnO
zzdx)$=E4Bs!`6e5yZ`?LEV!ZTebD)EX{bCpzZ5C}TMx$$?cl=sP<hyT9rXLXVfzhX
z@<{6u!RvG2+Hu%VZTXS5{~xiQAF`hgRzAV@6Tt4Pg55`f6hGkob+Gac7JsnyqOkR9
z=<$QT-i^}z|A_lPA?}B{2j+g*dMB8BXnkKbtkeAeKV&~GlK;U$iynUH>7Um7|6$<)
z+usOt4{ZM<5+9uZz>Wrc0(E^mEWXk24+1L%6WG<0x<7re@BfFb_kx8dY&;c~{`P~z
z0&$)JJba<^NwD+^3s0zW(Ec27_|rOkVeWyg2S$%?dhh>7tT%<^XW0H)T;U0;|9qhL
zH6g-pWUxl}|HI-La(@SUdWMak!}1sU{%_d$GpziB)pxM`j4qFEJ`x|CUunJnAASEj
ztiFNe4<z@1>t`fB*nQ~!hxK1z{)eqMhxs2}9?3kg`LOa17QPP90u5H*!u3PTJEZkl
zVE2%^{~y-AfVq#*{(nO84{ff(?^j0bmxPo*=<?|Phm}t-|I>Q^{|snyhxwle%EuM|
zu=OP{_o2r>e1AT4z32j{c`)<Q<<b2Q%O5cF8_?n(t{)ozNb9G-@egZ{z|sr)`44<h
z_rTI8V!r?+e&F)Z^&GJJz=TTm0qj0t*m`s%|AXCs94Y|o?<_#`Kl**|ywC&%Q$M=@
z9~!~X{vE0N{}JUOWPciZcqc<MC@j3u+cyf(_=BZCMEL?S550UMWS#?>d5HW9F^`b@
zAiMwn|A*x#bobJF|39MsgSZ#H{DiI7#<l+*QGY=6LxTg}o<%SJ(f98o${&b+^zsY6
z{6N=_WFNSGg54L!z`zX7udvhx3*Q8&23Y(<voGAe==Zn7)>FdDBdB(eda!-y;f3D6
zL+2xz2X-I&epo@MgJJf;)@#DbXSh7H{DX~`!S??ng(o;E!Tbx`ZwglrEuYcdkG}sO
zJ$=+Of{g|FAMAc~{pk0xqo)t_`>o;O0d>zv+y9Rqe(321oe#f{9>;!a*#1sf`hl%K
zg{2?3JT(2l_wz&d=cA{e4Y25j-e(3^4~;K$_oJsD^!@+n=A)-ixO%Ah==XD@+lQXs
zVD&dFKOm()uuov=4VE9^>Y?_br%!lzL**&me+|vv@ce_cUIm<=(95GK&;~cGK0_~m
zzCbGwT;*LJR0FKM0|x@w5s>j3^zsgN|28cBK(&M1OICS@WFFXm=;a-3eFe-u*!o^r
zdWFkF(<@T?0h@=O9?;VtZ2TOS9+32dg91H$!_`CMo7D6Na}Ufu^z;X-Phsf~t{!S1
zdisNhA5@;w^hYQ@(c3Sy-v5v2e?jVhSa`tdYxMYpwfAA?Ng%~1*7WcJ+F^#}A9VGk
z#xLA{Xnc&c{r}U!We51aQgHr(^9k%vhVB1{r7zh2WW;;~arcwM*3ZJy2W<Z*jE}zm
zA8sEszL3`2g3}B7e(;%4|H0gcm~SA?eWdRHhua5rAFRB9mDlL*qxb&*S<vu+?MH|6
zal|*Qy${=uJ{zhZ7M_UlbK=4iw!RY<zl8Sx!|j8HC(`;xtnm%oUprX#|IdMj7c9Kt
zd>r8ob3ZJ+Vf#N|;f)wyr%ian?ISY0q27a2F!#g8Ti_B<#$ez7k2HS{4vKc@0NDTk
z!t+30Xn<C@u=!M3kRa0jLRfx*?YD%LXR!TJaP`pk54g|;n*mub0p6sDWFGo{R9Jfh
zW*%HU4)fsq^`ZL}Vftb2gYVCW%ER_k!{lM>mtgyE;pXA652hcc9&SDk_3-`t(EW+<
z@PevG+W!vT>Iqj5mH+?$KXeEa5`OUg_R#%xNbUy*HIjK?J}kaq`{~ic2bP{;`xlYa
zgY~1E2jBk<-M<ER57fPI^PqhAetW2Uk-`tF`LOf=b1zIiEWY6T^`ZM4k<_D_2elu*
z{~YRGxO<@TaPy#i_<nw9e8IvCw*MYBz5`32aP?6A=<x;Lj}P772#+tQdU$w4`SAVv
zQ1`<853?WcU#NQc{(Y!=xP4H0xOq@MY`-+j{c!zIdAR?eeE5ERsQK{thsq<F2M&Mu
zetoEVc=)5Mhw>5QMUeJ1+&*ae3^xzTC$zs2Hl8L4-QS2b9<dr+48aLVegZohEC%6E
zK$L%ADfoFqtdRLT`2I=g`Bh-8U;?6l142J|=mNf<A6ovv;v1Hp;rsU)Aoqd8)kDJv
z><A?D;rpAR`3bHchkE#aeyIJh^aHaWzJDKTKU_Ty^Wgh^q4vY|<4_OZ&kwa9=3bcn
z;7#;M^#RO$m^^&{KGc11^Pu*@#$RClQ&@W$RzAbc$Dtm+A0O&In0}c3;6fSVJ}?um
z9%|nI|F9T>#6NuhGSocS{$!YWFg`5*!PVn1558ZYff4L=up>b3fvShiFT(r}-(L^S
ze{lU!_3-e7^5OgUq2|Ng2bD)RAC_NX=l8?)L)F8=4_02m_isbv5AHswdZh6qa6rQM
zZ$s6??ZcrSzMmf&-stHIY5zUADS@88;QQ~P_QB1A+J~OL;QRBT>fz?&P!Hb^4s{<a
zd|>GTHr@$K4{-HR^U%{5Y`y_jKf>Y*W*&S$IW&L5)#ES^zCRxt|8Vy})uYEheE&N%
zeZloZ)x*OR%7^c_hnf#}A5<RQeE5ERsQGaHQ1$Tehw|b3^P%-MynKYp!^Y=e;R{y}
zl}E3y;rr{M;fIud!RZspJTM=={~p>NK?)zRJi7X5a9Iu>j{s+VxP4Ifz|Dj5V-V`W
zSsy8XU^PD$p&p#|k-`&IJ=A>A-hU9rwf`TsK7xsX9h_CchA=QNFhluJ>lj$j_^ePq
zZ2q4O%7@kO>`*?e{Xwt&|FHHDAJl$5sE7EWd>61U85jhh{6;8W5RESc<rhQidwT8v
zhuKG~{r@oY2WtO6yuHQ13{E<*_8bEPGdQNcBjyjV%EQhZWnh5p-$ybJys`mFKbQ~e
zufx>C&g+BmXF%gu0UF=1^bXtK2OIB(?Z3>)PX{M4R_J})Q0?&j>%YLhV1Vx@Lg&NG
zhwVRv@nQQfJfZqw^0591`hI+vyjy8ra!F=>9&CTJ0@Q)9`we~kUE)DyDvS@y@38$K
zF#B=wq0Yg%UnGc;0bInx!V6><$V?_^_#oQnko_umK$4)@8|Z#(C>I`HFh0zGFg`4N
zf}jq9$-~A&(Zh#W|3HW1;r@g1Vdlf^hw-7)U-0;*c6bJ(g(s~3rB!$aqlG7IeG|39
z6P7<<;fEfcInWL*?0$QwbKv0%?qngQmq$<oh~0k%TfYpm{|Zz;y8mGEP-%?)z_9e~
z;}2<(u|U&zT4HfYe7q3@EdRm!*Rc2^;{Ma}{Gx2QerR<B_djer3FcpPJ}&pd@(Z!$
z39kGCGar|HSz=CUDgy%ptb9Sw@96GD=fliL4}X~Zy`TvKChr2}!{QIhh38+GdAQt*
zE{~p{!IcJ5dP7$at)BkF%ttRTV0>75K|db@I@kwKU+8?8eK7x`^U>9#^U>Ag;=|mR
znFmn;%YV@2YVh<2o9~9DKNe_!!}t*0xc7gCK-I(i1DoH5#UCua!@?7)93wtq_E8#N
z=;aZi_=33)=6-ZOF8||FPpW>H`LOuPFD(HJ!O9=>@SrrlLZJ}=bH4=C!MNfJDvdL~
z%5qXr+)JtZ!qMDk19bo{_kk@(I-dx}$CaL7<F_z*QujN%qqz?~y~FH>h3_P&dYFAs
zF1$TNZTFzZC(J&Wd!|4I=;fZ_7CzACHv_Kz0jzw5m3Of80ONxj(@5zR8XXw<3sygR
zGBSd50IYl<)E@vV23rbgj}vOY!qmguN60-sjEvwW0L(qqHs6;K((j{{`F@O$1*Nny
z-<OdaykrMvJ~*(D;u{<_NPJSmKY)=D+;4`NPwntWPj5uDZwvB^GfQCY<M_ms6umMA
z26kxs8J3=4{cG6#3j+f)xM`CCt*~L`J1l%){)3GNa6sp45avO~>tXAQVf*)C?K=+W
z{&m=VHf%pTbh?~D0f#)gdf56+n0nZHXc!-6zX{Yl*!oQvAKg4ceAxO>2B>+k@d=oD
zu=>>kDi2#f2;;-nvpGQJVfMlJd!ga||G#uDEUb}fSop!#N5Iyv!Pdi|^AUO>>pRi;
zBYpoqQhEok2jYWfc-Z<tXmo&jB;fU~u=)cQ-caQrd9Z%i{3C3=7P>ro_#=&%f%PMe
zr-AwC`{mK~qw|sSA6P%S{2!=?VE%)thpo3o*bhlBklr=}1I$0L`2`q137Q~b<{{0$
zfT!-^=0nHB(f32c#_wV4VW7?fg(o<?Vfta=4cmVJvmf1m=<EG@pbB8>8{rl}{Fe%C
zz{A`Ri!WGsz}yF0e+-w0`Uke25SRO5>S69j-|q%>9>~Ao_(G5GGf)M@x*z5}nEMgy
zDIxpi;PO!S!}?#uo>u@3F1UMdpt%p$UWd6CDh*eU&WH79Vd{CH5f0<?q4D=YJphX@
zn0sLUg~bOfzTomu|H8^!9V+b)MEHk3ELi;rEB_Jxg{<#DxB`;Cg`gT>;RW-*29f@U
z)vqx7VC5fdej1T}h`V1Nt{*KvRB*TlR-eP{N0cv6|3V`e=09li0Hrsq<%b4ZdP17d
z#+v_N<B72SZs_5=9BLuVepq`FmL6gA>#+0)mxuZvsvYiL^ztGA&AqVt1?C>~@&Yzr
z0h5Qt53Kw_cQ3e+2fF_gy8jn8KMiv)TpsFP^!qE(-H&b``hH`WJS==*^9L~d(B;w1
zN8*FyYX@5R!1Y7dGoi;<0h)UB{l>8NI?R2r`Ud7cxI8pGklX`KZ%BNw`_TOl>tDjm
zN9<pPl+Wn$Nalgfhov7_d@4W_46M9_>&M}L^z#YO{SU3q;rroX>(62Shs)#eKQwqj
z=^3m4!JQ+d^oH1P3-Ld?Ji7m3=@I6C^z#Pb`f>O_5v{y{7LRcMBKDs^+yj?~`WL;t
z?S>{eSpJ8VuOiU$3^ex-!no%Dq0z?xoBxNUS7PV?Vet){|A(!Yh0XuN)??CY{vWm;
zl@~gH3tR6AoBx-CCJ5O4e>c>_u=)R`P(Ez_AI68x|9^tY(`)`8W*@EQ|6%42)cilJ
zzYd#!N3{1L<2r=q>t{hTB(C{-M0<g_@hw<-hmCLH;={(<o<pX);qy1>^WD(o0-ryj
zbiVsJ)IqTES=f4E^zjf}?uRCS`1m2TxWM4U%!gVB_g|tRWP%np-$3bn>|3Y>F#BNZ
z>(KoJlZPsYy9dUHjsGO3q@b*C0L{mO)WF7HVeKb!=VOb}{EsOAA?b_K@OTGuEYf-M
z;7uD0;QlTws=z9U4Ugi|B#iKgk2i$RFXuxW6tMX|bpOD{k6`2fu>KK@4|WWadtrQ7
z{&)cufVuY`ln;wvSpI;eSM>A=RSuv3fL53A`~<82(cJ?xZ@A6RCPD)QmVOcKFG%{M
zG`?O!&4<O82Q)&+jW6``&|&cn8{eh2dvhpsFQw@V)*gq2A1u?t$|v;sf0#VFdKe!X
z9T?>uOrBExF!N#VONI*2Dt*0znh$e7`g!KC^a0Di=zOSlczKWvnn1v_-h|Th^%`m+
z%)MU-q%Wv)czp`v!^)@R_~KGfp1`#}hT86xfd(M1{0nm*EWBZSn0wLl1N!{)FQ^8X
zdMFnoy~65)XHW&O@I<c<pwe*t==0$)d6<3Z?tzW>!Q^4;VfMlJxZHzY-a(^#z}$nY
zJcId%-r<9uU!l!!c=({N7l4H~EI!cVYc%~pLI_v*P@0~HUHVB>HZr!vJzor~f6>b`
z7#~_3VwBG?c}Q$9Fu=-(_e_u$F)Y7PI=>AYZ-%vhkmlRK7R5j_6s)|4xd&E1gZek1
zHa%?q9KF8@>rWFq{|y_jfz5w|7b7q*FvLLTgJI)W5>P(6JUSnC{;LdBJ*@u?<HN?!
zVe`|l`Eb~LG|apRsD46x#C|Qv`SY;(aF}_p`EVPkd9eBw#)r*^`#|N<?ISe*4GTZm
zd;skH2-tWaIv*CFFnM%7q4{rE`iAKrZs*q{<p=P1E&BX7EIx6~Z^G(pSopx^Ct%~z
z=;4h%KZ`!UOlW=<W*=<)95EjR8Lx%QL&s}j?R8@3H=)G=eEb?V9|H3aY`h-ENB18(
zzYZz@Q{RlnZ$;yy&u_xYPndsU`vYL(@o;&lf05h|o-aU8zcBqU_gp};AIgRMADw><
zDge_@s()bagZT$G9}e>mTpsElSoz=q9Z!c^KV&Fac@8VDVBrtDZy4bb?DMsV@PW>E
z!Zl!54+}3BBEt(-f56-a>wl0tzYEuo7Jm*n+ykqxVD`h}AJ=*G=<{i?`W=>@(C1sx
z<<ZTDl@GA;3pRcROW$z)(D@|v`Bn7!B-r>6%ssI31D3ww^3d=h<Q{bYf*UPJ^JnPm
zQ_$tn&4-m2F#n>@FT?di{R>ZS(DQjYpam=}e9-3)Vc`k$Kl=I;xICHuhm~hA^U>#z
z(dE(o4@;jg|D(?b!}XKte^~nj=050fF9R(8;qo~A53?WUKJ@s9jlaUoht)?g^U>we
z{SQmeF!RypgW>vd_#gc~2=w@em3J`rq0b+~<;nCvd_ESsUIBgn7+oIS|FHZA^FISL
zL%`BATtC$Ru=WgWJq02E!_Om!x(|Im87@zz|6%1dEPN63(UA5Jx;(o7Vfh>8e+@MM
z!}UY`51YRs*8i~b9OgdQdQ@2Ygv&$yk6s_5&!?l;f9UeC`c4B{zrgxCu=<2r=EK$}
z!TL|Q%$I>?VAy&9(A+-=<C_16#Sgjj|FHZDoBxNMuLYa`hqd47HUAGgj|evZ{~KC?
zz~=v9`-Nch|6$Pd2b=$g@nQ4-6;OG4&Huyfqt*OB%>2PR|Buuk1Sc&*>jB<D;|JGz
z0BH0xz{XRc*@NJC5c>EKIv+M(be7KR0nS1_1RI}%l|Sg?N9gW_@uAHpxc_18A(%Xj
z4>KQX9elhu5waYB0XBaOGY>YtMd^I_C8&ik`;q$RFsFf4z~sRkEc4N@_y&!i`Ne0#
z&qsi%hu!~9?ezlSL<{P@qMdI53*XWCX-Evf#=jvv-1~>mK^4H_8)>~aI6XkKKRi9d
z(jzRqq1xf|hoHGQkQi*f6Q&=QeqrN<F!zzV{sG;+e?XQYt>=K*2Xh}G_agcWkokU6
z(-*9~gM}YB&=?pH<zWNF23UT9$)l@>@uA*<mj~#4O7+9cgSm&+^V8>{7Q+0O0F4k>
zd5Rui=zOSlc=}3&Zm5T?m!dR1!N$j6?rS07KA3x8=?lh(rRO9nyYC{@0+{=jQ0P8L
zY6KYyN$=?MhosJr!S=7f+=pI%fNTO`m^`iLSJB;rUOqsB51zm1?H*j|9~M5O&i9VS
z7btZh&V!<MdZswO5|xb%ED-an${;?{d@HQHgVm3)`UJgvf$?GLVSI@18Q}FZj1Ox+
zUw~fN3`<|=`JdYMT|~2w+Vi_e=b3?rI<`Oq1XiEG{12<&!0l6{^-R>7&kcaiZ^FjA
zM4)_Fe*q?s&WDY^z~+Bp<0UXYY<xopY94Gn!T`!gH;)h>*L*I_eAxH_%zRjV3Y$-c
z&F8}AcVYIy=66Y*&xMT_!N#Z1`LOtd$)ocL&F6v>1y~bg{$#k#=OU$ltn<0B^hE4@
zE^NL7Ha-dtIxqnVKlJ%r*!iz8^@D9b7dAfxb02Jc9>xcI4NO4%2WEl^2)`1{2CokX
zhcEj4E^NFTW*(vWT=el<XmP~=yU!DrpK#5eL7g*bDOmXj8!tupm$dmz8|e5hA&<h!
zPndnM@l|r?GvWH7<JGYEu)yISSpGt{A2y!}>z~2OFZB5xSp5qdKZm7P*!VfRJj{JC
z^I_=+X8r?cfWguuTt9StAC|vi<NL7qfvHEI-+|2^!Q6*FzX_Lzh8Mc~2>Bm2KLax#
zeSQ;N9^HJH{V@N-+P^UW!1Y7@k3PRc$p5hV1Li*T`AxVy4*$d2Q!w|T$3HB5VCJLG
zZ=%bi`yXaM%=`>!hX&?<xPGYrp~(X@Vg@eH6wuV8$3M*dF!#aAPgwed%aiGU`1~kz
z{tSJ76I~wN|FHB8^FR81KXCnI`X4s_1M@$uyodQ8E>EWaVdW*veDwKEba{0D!_qg*
z|0~eq6Rw|3|HJ1;q49%0zX_Lz`X82`VC!9Q&ELW1*I?~iSouk+epq`Lmwxp5IaqnF
z04>j9>ltDCk-`_8KhW=cK7kg#F!#dp8~T0EFGy6+0WHvB=Aq|Lbo0^u18cv-`~$Tf
zp5KF^85TBQ33ERzzJ#C&8YT}jUj`apu>Jtdd_v)cUfvMm6S@x?ef}CzA3@p|F#o{9
zkI?<i9%$i>DBsC5F9XdyM0p7@4?RB7-3ObmfaPcO_#^c`XxRD#*!>ZR@(bb~M1F?w
z(cOz4U-8fa7nVNI;~y6Ou=E5o4;CNj?P-{MVDiyu=EKq-Y=1qh{|QTfi1rf1{jmBD
z7JlgM9rXL75$z4^`qA49==zcT4_<!>n)?Ug(fNPK{RX4+|BxIsI{y!uUx0)L=J+JB
z<Cll&JpX?f>R{M-DXcs~A78}fepvYplZTa0=zN&@Q0w6H5ydo~|A*aQ2(zEk`G3&f
znbG-w#QZv}e+CO*bUu1~<Kn}|ub~QH^XZ88KO}#_+Gnu&M^fk0k3h|bxfgx@4%)nd
z&o7{-Z>V<o{4A~K|IyuxKEFq*d!fw_vd=$&Hm~9N7na{)@i)@<ryqqT5Lo)cHJ=76
zAJO9vsvVxbs6GFG3~B+)eS>ZO|2Ufa2HX7qAvE`)mmg4Rc>bXEd^@^((8~u{`2~w_
zdb<Z#`iHrP*7N`9;WHXvkQ54=FGmj_isLI$+0YVo{@>7&j`RP=(B|XO>pNKe1uK6c
zp@C?x<0_BQ)x*N?IGTL}J^v4GpN`J|gKiwm0mUtB{Bv~vA2Q#IG@b(<{{@8+1ViK@
zY!FEs{`-}#&@Lu+^Y23)2pfN+_xwNl`Lly<{vX!Af{p*d#s^{Ji|FH#pqPYU?BR_*
zUO77d4+$+?By7G3Ha?3!9*ocj32*fCs-cOWVRZf<vcC^jKf~q+q1hiap93CmhSkTg
z^ghz&|6$<+^Z!Vj|3{4fK=RW_oBv1358(QGq|N`MuYVbx|A*8tu=&T)`F}`wz{<zb
z`G3^#7@hxzga<6Yz}m+!K13EoLh2Xv`G55GHhOyxW*)5ljozLfo&N`gEdv9w=l?^O
z=P(S`{r|A>RoMQ2TWA9nw*NmI%7^X$hw)+i|0|*L^xFRqvyWE$|6%42m-+v1(D)gs
z{r{u$|B&(mwm*#0{r>|o|Brk=KJ2_1*nBn-<HIQTw<FrWkoj*|`3Rf;hJ_Yvd>XdC
z4#tPg&%)+gV0>KjwXpgQrXHOSvkxYZ&PP{|&PP{|iw|=jt<S4RpI=8BUjPRcv^W^F
z>j7ZvA7JZsNOdn_e1W|51)EQSr3Y}Jjh+VpKmQkfJpj`91vseC;|rY+%O9}(PV4mm
zJ<thbT<Hns9$5JT<HOuX?ehT8*8{Af(0%CXoznf&=<}!O<p(T$VEKdI^Y7^812p&s
zY<&W*^bZRkdanl<jW0+Fh0SN9r)P@eYjiz;Z*j6h0qnf>qWrAX<P!Zv7(XRNAMbtU
z=<PqG`A~4vY7ex+fR)#f*hHMiGrArC79yzUyN|91fQ)yc&o9723pSogYyMzg4=~uz
z0{|BS;7EeRC$-lDG=j}WoDYD0KKkf+0MGzpV9o@w(8q^CVh{|8pV9RIkkEk5_ruaF
zY`-zAeGZdHDxa{f2S7jH9IhX_9~gaq9PIoR*mynqesEZQ3$q`w{}QrZ0WJ^S4~*_U
zaHkIJMM!+3?}vxYXT!`#?9YRkk1mgHKFoix@)`a7a=3n||IzpN5%NE*Jb}3nv0oJ8
zKDay%|HH-uVD3ZrKP-G;=979J0L*_d^9!II8d!Y7&4>CQ92H;!5+CUIrK86`%>6L;
z!RB{h=@TwbrvKsl^`ZOCNj(n$DLsJK1E8PZ4L6@m|HH-?Vg85BU%~tjmnYNzu=P_g
z^GQ7q0G9t?@xK8r{^916>3{fse`x$5_76kSKU^N_fAsQxxSa=pbYB}dy`h&M===3Q
zpv4Cv^#X|g3)p=0{E2QpZ2cVUe1qYB9)JN__#o;d$bN40@I~Km4~-7cdOC3U2B4XT
zDBsC5uK>+FM0p7@4?RB7-3ObmfaPa&_YSu60ATeW%)RLOALf5peT2Rq0KNS@)XoC{
z?fnN~T>Jl_$$^2~^Z#M>7i|7t30!xB&Xa`fw^xVq>2?0UF4R8Q`Twx<ePQ$eo1h66
zHvfMH%7@MW!}zfIe`RO@(QE!6W*@EQ|6%42*7<)z=lv%`;{(@xKcaqt%#Xp^f9Ufc
zr1D|ot$*pf-~TT(J;TP^b)bCo@k3nxfhKSGd?>8F4O0(mpTf+C8V{duD1siqjqALB
zO80mFgIWl)KLpB$`41Z1aQCD82dW&t{}$2Tg2X?p{R^A#f~kl3A6B2i_~_vQ3oqFG
zHu`>PnEPPvN9V)rgUO@w(bc2#(bePP!`xStnx2`P3Mzluz)pvS7i@h7rSbJ2>HwI3
zVE0`PRD8kW8|EIcr}4~ZqsK2gAL>1L`bX!Zt4HUftH;Ghjjwn=*!%)aJ?wr8MEeDj
zo+*t#*nR|9cp;tt1P(e_`h(>MbUw^|u>1rIe^~lLpPwc5zGv8aJ(&B@%LADGFnL<f
z&!W2rJ-x&7*Fd=kSNy@;L+bqOXna9Z7%Y9Gr)OCHfyqNuBhFWW@nP)+^z=h<d?hLy
znIi4ihNVAP{v*`hLC?=H{jmIw?tUgl$c5Oj@`{M{aCqlOVfMk?i*(-;xTr%qPY+xk
z!Q2D0KQXB|9#qG{%1cmP2T}uTpHS=kbl82;u<;9G`LOeUVdt&G&f|sgNi~m<dR*tH
zD?ra<hqZ@QpnO>U0pr8YuY=96!t8^cuTJXu>9GDZtiO%U2gMZxL&kR@Y!C_I6FNT~
zQQtt+54ZEvk@5q0{0IF$Y}ot=vFE45*0aLKH$Wi`!I1Dn-#_gGkz|0WC-wYv3#fkB
z_%kfO!_E_jWolgaVZ+j&4)lCasBt5Pf|Vbz{mQWNn%wil;rgND->~q7op*~Ku(0w9
zW<RWb3p?K%7N4;EjXqxlQxBUjK))XyT^`1VnGbU>%zW5<8!SD-)Hg%t3t;&Hc78be
zeaNu#0_Gl^^Ow-@fte3;4-y}oAJP2_o8N$$j~MTT%s-&ZBbf)DA4TGW%|8H*5SV}A
z`l0?spC5$HN5Ryi&yT_8-(c>8g(ob3!sT)J9~OSF_(1nRxY9<t4;nE(4e>v^Jd%IG
z{zo_80GhyH{(<X<`X3rR@bPh^^?=~;L-#+dyn*>2=lmtq|FHZaL8bBscAg2A`~-F{
z`gzXi_hHLJ1L*&MVX%7i`4#l}RP^+Kt{#2<5k36S)x*LAcHS+lJpuC%G`isNfxe#;
zT|cb-0n?9^9>D%X4-fSCCd4OnUNidqBceQj%zvPVH~Rbsx_{B<+YtE+Vjg;Y5Hb&a
zJ`RyyA?BgS7rJ?{@hn(=MRzZ$^E0si1nj(KSbXw8^9QW`2#Zfdc@J?fy8Y<oHTwC*
zi1HJnA3c4bmw)K`k<^3BmmkmoVPIeer!VyMgFc^$UjK+A^4~0wUf6sGtUN)t52_rV
zA7JGxEI!crNalgv*9$cecAgGw{1Rp#tUU)Szv1%G@(WS^Lc$N-JyX%lM-T6MMp%qN
z+J{i(aQ_^H3c%cl9^dHtcc7_<`xjcCGr_E5fY=8LV-WrWE$`%@9)Q^gk|PDf(km=|
z!uyA8%v~UJVDk+;tjuj7KHNDB5SJmP2XK0V?Qes{X9QFMtiFOKxBoDCSbYw2zZ%FA
z1_p*E=z0Y>pM^0GDg~t=_QUSeW?^6kI}J9U*37`D1yYD;ZwrFu5#=*PKS&J-!@?6b
zp8#{897qz3A>ohSAAs8jjW4A62XJ~_i59;w_rk&(9=_1<{sRplUL4^KmxronU|@hY
zNtsrHoC)?MhyjfsFcVBb{0mhKO0Qt0u>3CxwGXTmOhD8l^-t%5gxjGDM*ja7o(JMf
zAmSGs^|19SO$?0kAbF(n2y7ozJ1Bn5LGp0*(EJHM|DJ)-2_z3!50yu>{~_*!`3Ghn
zEd2lfhpZ%nsc&LnbOf0PSC7LykQxYvm=C+}0@lBPyAMr2EWN_+w}80^sXPb!Ul?LI
z-2ZU(Q1ifn2uc57|HIWo<zfC|fX1&V!aS_u4IBSxf`%uOda!$t>;v;*?Xe~XMpuy0
zNd5=QqpOGICs_Ev?Sr}(ZXT2mYu~`a2R*)E^(D-`Nb0fLFAZ`eB)q`xg@q3+KH%<!
L+7B!5VD1F~_#P^X

diff --git a/posit_adder_verilog/work/@_opt/_lib4_0.qtl b/posit_adder_verilog/work/@_opt/_lib4_0.qtl
deleted file mode 100644
index 23e2bef13e028983996314732f63d6d6f93d75a6..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 105776
zcmdnX!~h0O42<$1h6*EyWMKIJp99R=hmdy#$snl*%WsFtvoM1B`(b<rMrV-vJup60
z9&R3#e*h*AwSNyt69dBjg9v$ZkQ7`!RR1A_yc0+st{y7?A0!CIOknpNMyPiI32%Y%
zq3U;{@sGgxQ2%U#@uBMB;Q{3zg~>zJ!|j90!_9;8k0I29-4E9fm52Ke%0G@!4-Vhm
zFg{cs$vm)sPr&4%?t#Y-x_T)80$3L)`~|0h!Ut|23nQ2hHxJ6MWC5uHsTZCFVL{0M
z{~0<!tb6|<K$4BQ3&g(*;r^FoWo`rU5f~Cb8zdkizyEWHfLJFH?gyvO7a&2T^l=Iy
zZwHcstB3lB9V7_G5c5wX)Puttt{<u%>U{<V28jAI2=!q5-+;{m`4^Hu&LZT&_QTaf
z%|o~U96~+Vez<<9dUX5GBh-V_E8P7!)L%fT2d59XeyDmR`@vRSM5qV*F96Mdm(b)x
z(Bv;8<lR70Nb1qe2lKBW)PwyG*N^T#DE}%#J-GaVn-7&o@-Nu@YY6pV|HJKrs)w5g
z<zGir4>u1g4-X$G{|1_RxPGWSlKo)!+(f7chi?QZkdV^vEj0NUH2K>Id2sk5sYf>-
z%)f(B4-Q|resuRi`F9cO!Ql%xA1aUJU$FW25bD9<3%3ud9&R3#e;-Xf+&ri}Jba-1
z2WaZy`l0ej_JiH?5TPC%zUb-q5t=-D`hAR$w*>_~+&rj#==t{vntHhTIMhEys0X`m
z2gnkn_<x2bk6!;hN63TS2R9FgeJ{||!_CK`{v|>^*nJw{P(_q)uMqO!^aNKAHSZtP
zKd|!hH9|euJRLOi-XP?`=E2qDFz+owJ-B>@y9cTsYCOEWeut(WZXXWy?-A<3=@}m0
zQ1x*8q5KbM>fzxHm5198<$pw|2e)6~=^HBl2_X*-54d`$JbL@(Gn#s&^a~COB=f-h
zF9`ME{Eg&auspi@uL$+v@POL~br0M;DE}KmJ=pz7`2(x@-x2D;?m-GqRP|8ve<0L@
z-2=A|Di1dg%KwQ_4-Rj5c>$IGg^&lk7p@*Ej~?E?5$eJ9FFd@V>fzxD<^Msb2fG&@
z9#DC>f1&)pXzJniLFM7*LHYj>>cQ@Z>xat2{Rid$N2mw82Ohsrc_j0|;m^Pf$<R&E
z_98s|(bYrwHz2wg{{M%x7vc6n+lO%TpnO8@MR@xV+FpdX21GKg0+9#|X`h*}xEp{l
z0|PtMrw|p4P(HZP%D})N3gzoV{igusLsT;`a4@iPfY=53#hE4Xi76ZmY+!y0NDx%I
zK>5n$${N)c)dn#6+FDIzLt_&L7+*Ovw;(4oITOY&(Jjv`N>w(5@u9{sFe000ie{c6
zLG$4DW0+@XW?^D%Y6-K?z(U#3$Rs`<#!pYpOUlVMMz}Xo+0e+8fq@<B9+%*t_?*<V
z5}5k<{L&JT9js9K)cAZ5mw^S!&w&cS<V$i3z$)0F^2(U*u{4a2PluTgc8(Fke&s}E
zOCtsb2AKYwT(ETv%uw?ZQ&Qq{<1_PM`VAq{3`|gYEcTgOpxbANVxPGsl6}Q!_JP8q
zC^J0+W?xBsW**2&n16Hf(?QN<fbq)`b4pW@%u`NOHZn%y7ndX!fdUlfKQP|_#XUwQ
zNa{25AU=eJcQJ$u4-b&}rYP#c&Vk2IF@%ev-V8~7RccXwd`WHr%zseh5#gDrYy>Ju
zVeUm&4>cY|y#<o_nR#hoBVpkKaxZdtXXd4WjX;t&HbBx3vJYec%zouWWn*MMNFHPW
zvOF?BGcOGkUGVS#=|_$akbaN?B>PQ}?XQ4F0J1!?{S}#cAnV}qubilCf*c>YiFqZ+
z>T^LH1_tE#FhPzFkb01TF#qI&IB4oo!_P22y(Au9-auS~V!kQJN|<>$#US6J%7d(c
z$>$ef$|I-8qGXV14Dj$QN(Sjh@N<fi!3Mz6t8${UDROueW06NrUqz|unYpQk@bU@5
zH-htXionJrho32OdMn11H$#rkREYE8`com!hx2nlnHM#@%|KdU;Zux79yvWJCn}pE
zhnI4qvN^JRN@f~3LBi5gYDGajNDP+$AiiTj)DMZu7RccTDvwg*Q{eFpF%2a?Es@g)
zxV%KlPoVS_pI?@S$38;?r1IFu-vwOa!RiO)L}fz*OGJ4Eau0fa5nqCk2dOu<K=4a)
z3k*RO1k5}isE6U@ccQYPu?6n>(jB{cBV7Io!mi#Jm-=8(;fxyphQ>(#EzN;s5Tx{N
z2r4jO{wc~!i3h2HnGf>6i3!60MIg^JAo2?&JmQOB>Y>`<^)aSA#6z(95Ntk1`1--~
z3s@e>{m|+ho_|5|rbzWqN`4+Vg~QUPg@U1pLbZaSk%DPTszS9wb*)0Rf{{_JLbZW{
zp|MG=Lal<aF|wSAF+|P~EN5z>V3eG!P_1B=tYDgw0y03M#N0UA(8?ed%rLVuid87F
zFi<G5Fo`w?F+mi_5(`U+K}M#v3bnNg7HLqE63q}MB_@MZCZ>T^S{i{BCRsuirWha;
zrkH^gra+7|w*)IpHB&H5O9VS9HAw-4%oI|SK<c1uDAz#2q_$Qe%@AQ)nmI^SS_;@&
zBV$k?7#f%$<P8lHqs?PMY77lhA&S7EVQ7eCh@qi5OpzhTRs#jFPZbOeQ&CMavoe5~
zWn=;|%M5Ix5wbEv^Jus-P^?rNC>WW8l^G)|Gcr*qL2{f4)IbZcN)t;YdyPRV5iT@=
z1hEk)<P;1|k^PEhrfDMBk;VpKGtH3Vz!1$$Gf<ouC>R?;R3ar1Lz6Ux5<{@-6b#KF
ziOm=ky9$QpNU?8dW~NYL2)0nc&>WN$3>1tZv0;H6&<3esUxNv7q*#FB&p^Qh;zkQ(
zn+=l`N(>Fn!30<>C{<M(D40N8X=#oWMwTfGC5GTgQ7}w|BzqH3VpT9iPNRm2$qFTg
z;7Cz0OoDjE1QLZwrbwn)K%!8g#L&_R5t&Jlq+tRH_+(_?CxM&__8U0;C>SO~g1{8w
z)Z{cI!@=GHr$q(B6jN{vnL+|I#R5qg*vJ%6aHWDAs$iH3i6c`;@=Z-dSDR|2P-2(@
zO5+NKX$BB;AwiIaoJPRrrX?zr7#V=gH8Oyt5>s#*H8My+GuOxfWNsQrk%EySBxp^+
zsnp02JqU~plNCyg3_%H0!3bJ1nSo>0$Ot)nL2fWIOarL}g_DAj5hQ5Mz`<pNlAS<m
z4HJzON+1!Y04`??6wJVh%g7kRYe^vIf|Gy(xTG;qFaw8}kug$;8JQSFn_C&jDwKd&
zM$lYs1WGd11`6g7vrUk)D@01c2o(C&1_~D7fHg8jidQ2u(`XATNKs>C2Jw$2IH?$!
zBRLYJ3{*TQlz>>EQWiv8fJ+r4bBH!WP|VaS7+HWydT2pyWMK&|lZ`Aa6-q!HkRhO4
z0dg#;0x*EsWr>uAj4acl4UHg1Cqhz>p)n-t648|zLX;&Lf)fr*SrVu|fw=%=8l?C$
zN&-b2sMdj)mV_KqmTA$JumDYl<ZhU8$;eTVY_3pZlmtpJNrnm~Mu}z$B}T|%AkP`4
zz}y4zT?$evg2*Wtr9j;RDME}=kpnw5Nuk6jH7(j4T*raK!@|lC>en<-UNKMr7jCr*
z#s<h`ZZgPSX{ib&MqpJ6#)gm_WoQESv9Tdi_!*jmN)>Y>a3u<gQ9}^h*btP&3}Y2a
zAccW~F*sj<>;wD7*a#_X!S$Ddu@NYj87LT<g7Qo)q;<i-kiZFH!33J1<2}_7VFnn#
z9>!;21dm76!1z%0|KS5_knx%ZsC+X6BX~R;t{<u%I-CR=aRD<M5$ZwBT80Zy{{R1i
zV0pNCQ2k9%d1eMiaS#)(A1Yr55@cWixgXq*hjQWe1w#c`7?{E4Lx+n%@{>SPGr*z@
z48Q+#fQObUpyBlYKV<&18Kj7TfuWfJG9Qla9=JTzJuv$i7??9bT3bN`$bAfqk{~8r
zKU94SNDv|q_8(k5R30{|+649g1gQG||GB^}Z-?@m7#P9hnQ-+`{Yd7cs%K%u8eXAL
z^I+kHun!VG=-~x7A37d51F9c3ehB4)!W$gE+}scmSop&1gM}AF7D3+Ng0Nxp$KXk5
zr11Ixk!JY+pBwDIPAI<#ntqYggXPiFFL)9gVjg%t0<In!egaVQVDll}P<|5wBRKuS
z?Sra^PKW=8sqaCk2j?dw{b2WiW@bQoVCs7j>cQi?aQ#s8;qHa<`w;3;&4<dP`?nve
z9+qF>`l0HfjsnFOm<fyjW~hH3K=s4&E8IM&{)td|Sbl};hswkKha>&L=2KzmkJS7M
z&eTZx72Q2>d8m6}_QBHM6sY@~p!pT9AF6&bLLQu7;p(CCQ*p?{@+&wKK++pHzrxi+
z^&^=N4u80M9N`rOwGS3vF!%h2mS^bs6>dH>zb=64CpN#r?1P2Z3$*+>9cmt|yh6{P
zu<`+xKatdf{fnMnXCll4hZkHwG`!H&!xpVHF))JjGu(Wrdi4A}8(}^;zrpQ;s)w5g
z<-^spFhT~-q55I@Hx9~&#Rrs&llp-ceh7t3;HWo5TaS|fofUz_*Ewhgft9C;Py=`v
zn6p4kn0sLH1rkHX9MJL@R=&=GO5%#Yxd?f1d4r@LYy8bas0YUfTs<^A(c@!2LOr;A
zh1&;J54HrX2Gah3rQaq7Mn{k^+&-v!P}vH?u=oL~fnbRKg%Az{Y<>)GKU6=G`CzLT
zA=HEY57!S>kDeYEqp63RheQ1mgnDp$3a%fj9zDF4BGiM^FI+!VJ>0!e{xXDmaQTIv
zKbIrq!TAlY9;zS7K5%%z)#E6iVCfN-9}xCI+7laCAr`{gUvTrG<<k#{G<-f1$_3R=
z;QWE!o`BhhE4}YP%Wt1RiQ_*g)|g>cKf($I1_qeC1a$uLBUHTvj`eODX!%JUEq_{|
z$*+Xk2Fq`-`sx3FPH_0bCP`uC8IpRiJhXTKg$J0w8etwdeZtj4<BuOI56cg0p!_BV
zM)3SS+&-v!xOq@MTs;e8Ayg}rg5)RgU?T$qg9o&|4V5H<!d~8?YxF=1zx7ZBObm>o
zAm#=T0jXcHrtggid2sk3sRxH2C?!CYK>V`_!U2^J;PMNu9vU9#`EfHsJ-GaW+Xqz-
zO+N7U;TAOYaQ!&cZ$+pFyB|qE*!}43$!!Sr;PL^kA8J0_e^CB*gnCr-q4MbA16%(D
zYfr-UL)Aka1y7$lq2|NdlMGM=u=XU}JgEL%Q1!6(BwRmK9_~LJ<xe(LKdk(LW=D{F
zz*!BweT3fs+YQwZ>;Iv<2QCkF56nJT`2$=31zV2|OFyvn*n6So!{lM{36tNCkO%i4
z;r2uA+lP<`r+2t|sQdwhJUBj))PqAF-kpc8k3EP`4^HoJ^-%M`izvWqAo=4En9Tsn
zAK>%_*AG<>_aBrGSI@!-nRtQfhm}XLPAM$D;Ni!@2%ZUq`v+=XI#fSQeFl^-0d4=l
z%!9=TQh6Z;QVP=#lRpd+#8ZA8LCAx{6G=TJJmCQf37?|~_2BS?tB1NDz5F<aP!BFY
z;Pye)qn97Y(bU8B<4}JBp&smhB>iCbqn95i5$eJ53)c@dAMQUW{}e(!s`*fP^z!30
zR6VTxfa`~<hdK(BU%|{X2=(CbK`%ex=0WwJg{p^@A8`FpdAR>@lpnA}3QJ$4mLKP!
z_QT2#boapJq3(g%2TPBz@iAC`6s{kt{yfxtSo;C49x8tkArFoZB=z9%geO;M{=bA!
z4^FRe^-%NB^Z#Xpdhq&C^z!WrLf#6*gu4f79$Y_^e-)$%lK;T&h3kjPqr3MSLOs}i
z^z!pMLLQuc;r@e~2iFhf-+-!Tg7zoi`l0gZ_CuA!)8|d7026e*9o#=q{Yd76!yl<U
zLPR~-3`qF_E6-r*Z!K7kfq@A+9*ATg*t~UU^62(~2PGL87-XR3Bg}kQdb<VH4@*yo
z_Bv#I?KVOl96w0v!S<u)?>h+f;PeDn4-F6W^6xG}Jve{E?SraEFaPeLsfX*wq5eKX
zJ=pz7`oZo;FaKcUU9kQkTtC!&xc{L1hq%m#%A<$RBdB^<`3KhzRS$I(JbgVzs0W7+
zdie)8532tOR6VTxgX@RN!~KV&{40g(howJK%fF{k{jl;6-92!5sC!`c!P4V%sD4=a
z2iFf({|q4yZvVj5L*-%ni(unTNb12si=LlfBFqD)SGanpdFbWeD};J*`Ga2my++7`
z%Rjh!sCjVrLHV%#NHF)p^+V;+-TM|{KG=Tr^6wo&9$fyx)kDpL`xnZ84^<B<|KR$e
z^62(MmBZ5~th|7ge{lam^&^=N4u5$0hoe1M4z&Q5{?N-mB>TYTp_hN?_QA?O4ruuY
zGar`TK0@t-&8MK3uW<XI{`rJZ4-Q{=c?*??+YjY`MpF;h50!`859NPBQ;(z{>|eP1
zpz6`X2d*C~j~+g7^Puvu@PUQLSA_lG@hG@{sCxAL@C~6J93F7}Q1x*4LHXYi>cROJ
z=3dx%Ic)p~79Vi+Q2j{ufx`o?9!Ggr0ksd7o)Gpy#-q^tgK+bq<52=&TR^o0WPLo;
zI8ge>IvxeH4;G#gXyX?h1jcg_=0jMp@fDbP5K#n)KK=w7uVP@pKE4H$hmCI`Od@Z6
zz82H~*!p}}eM$8C{CJC`%#wKcdiA9EGO&s8^?BvW8lW}Su=RB%n#w5ai$QXrC4aE>
zojBI-<6K_|+7FPKXQT-;A7VOUeIImrFk-zO8T$h=^9=Er4_cjyun&j(aqbr&xPCoT
z+1NzK*c9eJ9W!$sbL91ci6x037r??VC9xzCw4@fsFG?*g%|TiZnqOLymYI`^Sf7}w
z3|d|ZU+<rs0~ry4#UHGEfv*oOP&P$gFRWakYzk`F!0b~lP&P-lAAP+eXss<MfuOH%
z1g!xBuWtmc3IVMG0WDywHc$XjwF)Mn<z!H46VS3h1<)cZxU?B)%?wo9479*Q0k&uj
zw5CGA!Wh2L(859igp3s|EI^h(*-$QIp`k^pf@!J&cv+%libA5XLLz9@q5_1SWB}nB
zD1a7})hZ-ez!fKf7K<pDr7D=DC?usS7$qts8-YmZ`VM380+3|TLX|YI;YnbJf=L4f
z$U-deQW=PBNm8mp321>^vXMdwXeAVghG3AxA=V^=7IPUWn1YQ4FSkU}Y?P=_l4z+=
z0@9RXrceUHFg}b1i6t2*q=1&7Ku7~{(AC10oiHqbmUrHuNCVB&Ld$X3x?yGpMsN{w
z13Iw%|Gx~l#6|BX!1@8r(0&lqIEH`FbtJw}0cHk91rSpSM1c2c3WLr205#z6e-3?+
z6q5a5`5$QVNalg%k<0_@ht-2H`;puaT0YJI-3AY;C&2m%sYmxO$c-QjGatkT;XnU{
z!Bb?gc{K(GCNRI87bFX+r-Z>%V&FhvU;u>=)_x{vO+82ltX_nvhs`6$Bi6-$>p7Tz
z{z3PNq04K43<HNZq@J{fb{t^yl%O&Oq=W_94ujbbtJg@iAEqAW9(4O<5$*?1>B8)X
z*>?cCF&H)v3X6XR24-;3!rb@ozaU~r5##~rxCy9?0&!vC=Lh0|(l<2yIY9Za_(L)e
z9G;*(4<IEl_rv6Ig+JVUXuAYGd_iU*W0?D3{zXr}=;@nK`h}~9=3jL6=;;@pzo6>B
zL-UtB8#8!`#WyIQfeo^53gLDp@DwXJ(|`pb<09ZlLE?j%U;-kKq#ib91(t=4Gk=BJ
zhwI!5sA5ol1?Q(;wET1dExq_dHNeL4J)nHpI6iD2JFFcGR}T#j^nO(!R6oo-Sop!r
zgYAQWjZ47Ihnk0^9~>00b!jm3VCfNN9&8_d69XftI%a^Yhnfdlrv>Ym!qr3N(cK@0
za6fpQ1<Ac&_aK=E=7%HHgZr`Q;Rjo%1DmHrQV-UTZeAq9Ja9h*?jERn;pRd4uyrjk
z|DlH;y7|!v^TF;#k002%4>0#4sYi7$)c#n6d0_X#-2;_}n+N5?)^)(*6FgZDE^i^{
zn8YK@1IH&^Jyd@JLLMAHaP?4m^!S9$tHaVSJU*f7;qd|GCn3xS`v)GLP<eQGK>3he
zW{7ztxP4H0xOq@MY#%QyzTo<y@^Jq_`LKQyEWg0h2UH%(JaGJgH+4bAp~3El#}B%C
zC?A%dVC!<=_Cd>MxOq@Mp?%Zv@(H@`;0uBB>H%7L1e@1_r4Ly70L$;NabMUvM!0&Y
zf1uXE=c!@sc9?mv{0}n^yx0g5Uf^{&aP>IMgC-A9`3eqiNG|~q|8Vy~^&^=NR-Xek
z0OlU_@(jA|7c?&kPXBQAQ1f7wI4u1j*$*}!Nk5nmTkHk14?R3#jVf4vM^X>gk8U1p
z@dPYA!rcRPAKW}BA3XU9314u2MGp^j^P$^9LH-AqPw4Rl>LY`K5awPa^{DQJ+7BC-
zg}E2*9;iIrJSZR1YX`?C*naf#2{!Hqt8d`yq55ItCb04b9zRfdc=$s3l_1YR!UvpR
z;o$?7hx-r8uR>D~w+|{0HxJ5(uak$aD~9Wb%ESEw<-^y_L+63u@eP$nG7lWyunrO|
zeBt4Zt{%#VuX~5O2W}r!9$Fm0^A~(wK2$y2J{;;{<tMB>gWCr!zu@LU`Gm?dc=-h_
z&!E8%avyBT5mX4$egmBW0m8V>fq=DNVdG4&bvC%hnLy(h@u?N?aiyZf<dXO@#J*(E
zxQ~G*VxOu7XcIT`zELv9dGv~s5#v0D7T_)iY@7nRZ5qB$nd;*_iOPoNNasL6PB~zJ
z+gAY<fQ`$5_KljGA@;R``AGXvD>C!qL3tiNE|aKiXkm;{56hphaUIA$Z-hL^JPS1Q
zN^%R}<3_0!kP;8sJr+p&TtWMsK?wvFez1M4pe;1uks8n_259RhXd9CScsm|=TNhYP
zp#-$uuUY}T1JOXi&;q<g%+wS<jANRp079k;kj;lsHk1q6OJi1BtAM=s(G0XFNx=*>
z&S9WnXb#@VWrnnY$t*G247?c%Y%xd)*h%I{TaQc(6-vw!K^Ww8=ypd#bMV$6<V{;3
zb)fxP;Qg}-7SP>Y7U1n=mY{(u=+-Jr%V={e6R@R;&<#(ZL8)4WL?lZsEu)R0`>LRO
z;0!_LBF1MFpyM;}@)Nr61zvwb%QK|*4Y>S*tA~~+Nc9o8zJjF>*ghll@(Eu5LCYt&
zeNgkz)g$@`knsh$ebDj<ZXT3RsC<I;KVjz@g3=lUlQ#|yUW|xzP7SO*gsXfiN==Uk
zogDxhm&G`@p<G#`F0}%Fu19>nrm~T_C35}&??i{^PweFt&T(VVIUdOQA9@T7Vw^is
z*~kKEoEYTRd_TlEeJ&*X!pj$kJWBpI0+oR9ef411BF4F4`5CmI4Vq`cxmf|UMH#&N
z89XS7vj5T;o|d7x%*Y&^%S@0Gypb_@$D;{oD;{XyDR^WQWS#|hDAyDz&zhQp%~L3W
z>M;dv;5CU=D1kCS+0+cO*VYJJg23ufh5&FDU|^7gq!@Uk3q10N)F}hEgdmL+@MM}S
zOg%J_L-QV}QUsd_8`NroZmxryk3+p8%slAft1$hrMmnt0gf(JSpz2}r%1}P65dwEF
z)IPZRP(DmQOg+p#SSE+t2UV|%Fdu9*Y|sm4zZyaw+-QfZhw4{H$b$<NxO%8OdLaQD
z1cdn)#)sLbiDn*5z6o0BA=w9x5Aet|B>upQrIEr5ERU{U8(}`UQ3|&Y>Rz~cP`(aA
zJve+|e3*N65%OUF!{l+fR}Y~c>|Uh!LUk|He(*>(#D8G-!tH~~!_9;8VS|*g@U?;3
z2XimD#RD-99G`IYQ2nq$NmwTgt{y6n9-p9tS3p+4ItB3ff~tqd2b2%Xf3Wlk4=<=Z
zJUpO$(7`NF^TGay+Xt10n+N4X+lZk24vr7FeyBX$e^5R+5Fp_JHXoiopz=uOf#V03
zKVa^M#}B%CC_e$@cyM_GsTAS%K`TMHc~Cx~N)f%$4jYt!4bmc&S6ByGp?fVr<r!=r
z0n~+%Mn2e+U=avk0kIhbAq9~K?`uH_Le$5>1t5H|QZNDG!zy(KR>+_-Y*1f@l^M1V
z6Ra9GDEtpP>H*_JB|+{7+oXYTANVk1upn3wWYPtFkQgk5M0lX-2d@Z%qz7<*M<2wn
zhU$e4(!kY2;~VN+_#h1||H1MjdZ!y)=|JoQ57NNZ<1i0uJ>37`6;TlV;PeZ3A5=e*
z`QV_jhZ+FOuL%D^mQf&?2UZW0hp9)nAEF-Je6S~w+yl1{>K?dxP(EBej>RFc@&Go8
z1Je(ign_#knt$N#f%4HCrMB=%Ovq+(nE9|t7-;aosR*?60WMUK{D&BHf`kWRPzS<?
ztH<F#Sa}KaAHqDy;up9>7$BQ*(D~?toap|8nGf?HIFUfyk2QV6;ulwXh0Ehu90n_&
zMKCrSF@eWp;Pyk~AGdmhPmx)$_65v8$Z{Zd23mZ9D_K1L1)ungBoFf+tiFUDh6UT~
z1vd}sesCrMSqz<Ygrzr_dbs&G)O&*Uf(PZmRwL3kB>f=S54Oq+O+8o>xPF1CN3tKR
z9yX~DtFPepLEQs456XwD$B|xP?GIRbMYtD|Ug7RV%YRL1eAplsdU}PK4~yRlwD^Qg
z>cG+`QhovZ7d8nBE1%)&q5cCa0*4`_K7vi!!puYDU&y2ld{Pj)_#CbtY94xd4>lT1
zK+K1^4;KD#_d)d|nGbdvd{PE_cmz!U|NoHqh4EqSOZcP@R6WA|5cTNh!zU4;g9dQ>
zpzeX22j#=n<46y%_9HAkz}yEbpJ9Ah{KMS?O%H_1FPQnT^Z@f8EWVJ^A2|GwCI!Le
z8zO%|;u9`Up!|aQ2OO1Pf(bkx4!0i~K1k&e*nU`f26Hc1D;}Z)Eq%k=L(oZh_@q3M
z?IS_BU6Azm9-2V^{^tPCcYz%VRs)fTO~N)aFoMsufVH<^i+JGjQ2)Xv6{)o-2p0du
zE($`jAM6!ac)=D8A(;o3hxK>={}%=~)d?-~fi0qewKoZ=M_*I{4qC{f9<co|K5S7C
zQu`RZh=J5aL9qS~EPW!SfAFFe^hG}~|G*Zppv%MR3s`xKzGwy3e}XLvg4qvC-=x|P
z8;^jw2i<=3ML{t8VfM|ykza^i6a?$f!NLzV>D)}_q99oL1}pE-!xwHow7f$PUs(Ep
z(J=SH{EMD`(bG5jA|F_M!_qHYJv9HKt4B}2@cac;4=;b9<q^F9M&zO(r1XxGA7Ji7
z2r^;qufoEGfq}e1Uty>Lut_P{A_rW9zCQkt8UsG*VPs%#VWA8^%oKdmb!uJ`Y*08S
z8!|!ySD&bCY=LyRYkp}-d|3u!&=t(jLGa6RAj=$3^dn8;fYgH~-eH5tAU<dy9*Gb3
zAxuA^#m3M<-DKzio<>NAM;d_+ZUe7aHHHkS8e4$RFEmCvKn<kE#6Y3M1azt!Sgi@<
zm^NeZ>_@GFsR3dJ$5g@86eM5@G7iQzPyllc6pT#`Y7vth2GE26A5Vpjm%_>$SbH2?
zsYBZ9;I1C}crDZzc>fqy-ryRqMOP0WPlehK(+{&BmLFm54_N;ZCJ!I)g0`>V?uF(j
zxcN{%Oh2rB2(xdX#%rN#LgC>D>p#N$3**E53m-p)P7=Z7VeM1&@mKixD)ev*r0@bq
z1-g3pcpNl5;r2np4{jcm4<Ap2#vhCib1$ra0UO_h$>VY_e0&w^UZnU!buZL@a3cef
zKEYjGxP4H0xOq@MtbGd$U)cB$%)OA(1>8Rb4;{kQL-oVQGoj%RR}Ym(k55?p6gHj%
zk1wctczi(lu>1#0pYZU4%EQA0%7@k0F!gZzpz?6@pnO<;2a6B5eyBX$e^5R+5Rk$b
zo<5-RNalg#2R^<EO+WDXL01pukB--Zs}p$shu8O`<F(-Q1g>--=?^?~3Cpjr@*3LR
zf{*{gLKD{Bfsa>0)8puPEhL0s;{)jZ0rc@9Sa}0$A47B_$kFjyNLaw?4@hekd>AWq
zIRHc+mL6f{^XPaj<Z!~#@mfgwAT~e3+BdNLI67Vn3BS?tTFCq^e7qFe9!DB40@tVT
z@mQ!lth|DiUvT-+@mg?r!N+4q$7{h|3H0%<(eYYH`7=6R3t4Xi>;J*Vn@7iMA?bsG
z0sAJ-(ec{R@mlZzEs^83u*2m4LpSa7K$92ja4DqmC-C8(Nb3i|^Hmzq1#7UwhT;05
z^CeK@K;sc$^@(Wfcc6QK;g><c4kL%T2QCjC-+_%6!w#cFm`@%HQU5^NJ4pToyZ1O$
z0Jh2A1I@n?;94DV*#$53FdCTp3^erz2&ji0UIPnHc|>@D-GgpEEIdS@oBqKknu3D@
z;vcAW@bC{t+q93aUka=jL_qXIy${!q9v&c-5R83&6GRR~LgeeA6+A3_(A~EJExcfx
z$YArE=;56VolnGN-U&4GU?)<;%tMb4Lgv9PvxdbFBE3TDEA;q6HxFri2^^p3{yzx~
zaF~Cf&Ig4*IRDLrE)amp^MQgEG@gZ)KVXN|OGD*h{X0ba9pYXFMEU|B;s86$8g`g4
zY|sIAm@xW$Bh<NY_ra}$u6N^rDu9I-KN=rV{y^M=WFI(u;O>EzClYAxg}Db-UcvcL
z^+oUihNM53`~LhF2AeO3W*#(nLFEzX%p3+J_kc};nGYL(fqDn0|3#n*VE&gz<HIiJ
zfu%>d{ZRKK*$;Lvy8nfs9)kHF&WGwR2D=)hh&cZ<qJ;-YCn*?Kp1{%@Y&{AGbdw@{
zy)$$?8qSB#N28Bd|Al549_ZolaPL6Z>!Ifl@FHTcgCYKft%rhzKh!wT_%1j+VTT{X
z@)NjI0?JR&{R(hCj`dTp`3?c-dPx|+8G7gr(tHHi>4^FUvVI5bR0akHSbEF=s{)Ba
z+z*>iWq{_VI7Imkc0b%cXncd_nnAi@{#^-8Z!rJC=2u|ugQ<t*Z$j?NB;Y>u^%HRW
zpzd1%avTGqzC?H5Sw#H+n`(pVfP@!%e4l{}K*9@lxGl{8@bm=@KlJ!UnvVke49>@K
z83`=BIiT?kbsSC#?mPx2aQXcUYzs&^#J#ZjVwij3=^uxCVf`W4d?%bwAbrBt%YbYK
zVOV-Y>MwxP6MA|>k5AbAC2YM6y8F@N6J!?%!|aPk^FJZ`VCKW@(}C)Tg%>XSKz4#K
zEWTjn5l9Rf!^;<F`Sb@`K=9%yZ{YG!^$ZLQ&?<omd@lqvyMgKhs7qnCLDDZ&F<c(n
zd;#&X?&m;CKVb6|p%(o94_Ob1B#(9d4XOJ@G||k5xt@W6iLCu1u=Rc9?hi3QxCdOc
z!!CP)9m>@X?I8UB4>^<z;a&(U08KyG5J>qBUfO|_zQExN+hht`e+*X-ZC^o?2dMr4
zs|TNWk7OQfehW7K2|j@nA`hN#gsaD49>mok5|UnE<uPnN9_~J<ekAk3?t!)cVe11B
z{)4P1Mluhq9@gH6sYkdUq8{CRSbGxY9=Lr__rT4A^5N=nlut1C!OABXAC}+Y?uFJL
zaQ8s@u=NkH_7nPiBg{Np`9A?IeaL`<9ui;R^&g1x4iX-)_5f^t0InW~|6t(>^B=-I
z$a;IIb)ftYE`QPau<{+3|6u0B{0D1)!PcX|{0~cyu<{lr57Un!k7K+Zw*CUwd?Va`
zX#C?=kMJon3${KB<{xA^5W4^^zQCCn5?^2@x_@DOT>gcx=Yn2t1~vp_9@PEdOaihP
z+TMh@54Jx9Zaxn6@bx&*_5CpQu=ImuKiDc*eBjEDNcMx(!}s$-*CW8~gSrQ99+VGP
zk0ZUp)@Q-eE5f~y^a^(`H2=Zf1LYISk1+FL@!NnFpRo1#u=qmCFJS*7tuF)j&*18z
z{sSvQiZ7UZVCEt6FQop2xd(RH8C*ToJoNG&Y&4jFl+W<>YtZnAyAP@#$$YTW;Om{B
z=>g$CNcn<f9#}nmy%tnG!u=5S=;p)bb7AE(+&-v#;O0U3aP>IS157<EJs{i*Ne^)M
zLgOFq9w?ts`2{l{mL6b@epq}Vr9W_Zz{(StJS=^~$}hM)f$|IHA8=HH3CR8sxc$)Z
zfu(N-XnP-KKFqyft$2tDXz3f?9)hmNhOf`2(f$xveBs(30+)yS7q<S8TKhv_>;H(|
zAA)2*IKE-w1=}BjWFA-^7C*yfe+Vo-VCfSn{e#;F=>0KR`v^8ahAs~)&tUBb^!*F4
z@P^Gd!t95oZ&K}tsfW1--G21_Au#)4_AS7XUx?iw0!tsT@Pn<7B6oiXEIeTCQS|VI
zn-4AT(8CwKXn?s7=3n&m3zKJtjz6LA_khJWZ2S?f9-4pA)uZ<}Vd2dTRSz$Jpyd&K
z`~f;1hj9PkoNt8r54Jx9w*G|d{UHYN@#zHihaeyB4n6Y=en=0t{UGLsi2Wkyhpkhz
z-ve}f4(#l5bMWCiMo5S67@0>K#43~+nMWIfkFbL63NZ&A)m5utYzg1#VVtS}LY4}~
z;4L9gHk6CL(*t%r4s0h5Y~?I$rxJ`0Ki(7CGD6yk3NE?f$FD+DI{Y|QsCw8+8JKx+
z_0W`yK9d2r51JF<=0W*{PUe7(6vB?Hg4H~*lR3bS24@HCJDu3Tav*|<sF9olh>{L$
zG%P2=PS${*6apQI0+|fKke25LgnsZK1^l>K=t?X^$qQ-e!bYNDJr}roXm}!xB!S%n
zKQ0!!GXbt2svfKe>>!AH;K#{A?T5AOU@bHFak9{!7F<2lJaqdZ`>Mb@C%}g=!1Y7b
zquUQZJ`HL=QppWg3f?{o>e)g416L0<j{$x@FT_9a<6EKTAzI#$@Pv(=!*)Kv)#ES^
zc3c@O{Ne6_s)r7IgGK_t?u8%!1`RK`eK^#^kAs7rYz4OusvbJA12-SE3k?)~u$@(K
z`*5g-9|s2wKe&BR_3+?>_LSkr#X;4>?ZcrSe*7pjywT$iHWLO5Z@7A>dFb&6KOPin
z9(w$Nj$VZNADq76>T#F{KkgJ7A8_|T)uYEB{P<R=dboW!)WeS_g&r3U&wo($;O*;3
z=@+gZDvuui;Nv=w)FZ_oIDH|R2j;_O+HoCUi>@AioGY}vhT8{q58ON`AAY<mG(8}t
z53v2{<|7^F3O=+0DLhftL(PXD*9vtH+&-v0+&m~BcGMi~xLkO71C@s#&kA)fTs>4C
zJ-p$^w?cdB@bHGJho>JXA2#y~>#4)T11b;qFO=U1O01Ch1dmL@?Ssn0&4cor5bD9{
z8?GNJ5BDFG-;7WXHXk0pP<bTtz~SG5rXC*t=<1<-^qnDa`=IqR+&n0sP<;)rAE7fb
z@cI+laz(07u+EG?oewGx$vQ3<))I%cJYnezSIg5SI4C|RH4T1TZoFSSxJd*%eid|F
zq$SeMVOaeLJN^|`9>DmZqh3LNfbASsPEXBC%P)fOY|c-qR5mg-gC9?6Y+_<ytZZZn
z+xcv!Y!Gc2i)fjG?Kel_lVP3_hIxqNe?e{qVMYcva8aq8sBCP2bo?c(euw!Fy3^SZ
z>EubnWN?etFjb+%5ZqEV0$u21pkQPPKDyP&1iCBQ1a#yj^bA+S<Y+@sE7(Ax1gu&C
zOoH^7*DBQ3DwrffHJKr8lQv9_Ho~XL9O;l)uz3pRpc{xlcOikA;jp5Dp#hvz7#N0H
zTN2*3ht{3wZAo}r99p-*)kE_-Qr-iH0K9DuElc70q3XekK#2p|c7V6tq4uM<CE;yX
zsQqyDQ1j63hqqm!_QUl<)uY=F%WJSvM)bA_EN{ZvB5?Ik^U&KOu(S;`54|k{uX~{G
zgR9439=z=e^*`J_Q1##ph7_LgwkA|P+&&!Y;cZ!{dboX1_2_L$c-s`J9&R5F_3*YS
zG=9;;7v2_yh6h|d)I9X?g||ha=Anl#yzL1!53U}EdGNX$>L0j!pz6`X7hZQm)x+(>
zp&s6Lg|_wJ`2(sR-nN9g7p@*EkKXoxw_Ty?k>U@Ww2{mM^Wkk#=&pDq|AOVw)x+B^
z(6$xaKB#-(=0W-JwhPofNa+Ks`S7+Z)ICVyiK-rIK2lo|+*X0x2bG7L2j#=trqJ+)
zmj_UJcv}_fUbuRwJbHM;+eXl~9z49E>fzxD<-^;e(C~wY2UH&JUnn2emVmVl;r2o0
z;pRd4@U|D!{c!zIdAR?ed{_kqGanwmP<bTtz~K*XD?;554}Wy^P(FHF4{jf{Z3j0G
z${%WNJy`hTYwHzdrf0y~S|!lN9_-W?P}|4Q1ku)lmEW+o53D|c@rh~cnVTTmdgd0U
zmdeJ4<}mxAO+EM(l3@Fht`5M~b|hdPsHul$9{#qT5z;6qtbT*}57yQ*Q!q_|wcAo4
zZM`&w62mm`Kquq`eq%$ET7_B#BU5Oz4W+G@0%_|RDwG(3R4af<0|jG4&=mm4Z9UK(
z2MCM6Z9QC?%t04hLe+xJQvh8k0J_)`#6z5b18eKS+al1sg5K6aFYDoL5op<jej)+9
ztb*okxO!+_`~UwxbW;Xo+zOU<U@HjFPmF}`=!EKrr9D_#fPSJR`Zz2s?qKTS_Mw{x
z<-^+=Q1`?2L*?QAgYw~R4QTkGw=I#(1D8$kwgyx^Jp7^N!PP_gh#h2*73XmKpk)!<
zJSd+~*)-J0jp20{wC#l6)`!<s(6$X+Jv2Pg+uHE98Z^G)`l0H<k%rVZg}1Gs_M^A;
z;cYdj{c!bA^U&>wx4oeD!}UYequUR!tD*Lzw?*M~GgKbEZ3%CiLEQ&84{9H51srU~
z4ykPgK1>8|J`VNpwieWW#EJ^YI5fQN1@$jnJ=DDa|6yl1L&^tuT@N)6R^GtI>EUfD
zsCjVpILw2$wV>n9aQ8sfBdu@+2R*#)0L`y({ZRGr@PzW=Z850%aQ8vw(andqb)e?M
z^+VOe!yn3rx2>S@2X`M-9=)#vtNUT&_;CAhsE4=3py7?4zL45l;KgU?=?mU=g1QfG
z9@IYc^aXEQLDj>}$DtlRjtF%hdisKoD?-x)Ts_o0^z;QEXM~!Ep1zRA5wVU-qMHXF
zCxpg7+&xhH(c>RJjtGr^xPGX5cz8njt>Cf<JkJC^90=|{s64v)Z3y+?z6V@CR6RWW
zq5O7)dhq4~c=-sGhwVs%jU~X<L*>!y_fCX);P69Azu^3ZWFDB`g-{P3M@I@DusphY
z*fIXF`W<c`)ID(XpnUMLvygFVuzQg52UhcY(cFU+o~Y`f=Jz4ggTotcA5<Q09+cmY
zP!A4oczpnshwV6ng*RM1R31IN!FTCG{0ClK01t1ddU$w3`QU9H5cOd9!ove95BD#W
zKN;a(uzI+CP<gm{Q2rEzdT@Bd^+V<1{)6(TBGiM;hsQ5e9?3j#_=A@jA-NwO{^;tV
zeAv7{Y=sfrK4|+1ZXT3Rs692<#`R(GH`>=jjN2PpjP~_F*$TGX61JKPeK&A7bf6Hn
z`wq4`UI4mV8+N)aF8wly-G1QRx#;>~b5Jn-NNr(ownN{ood8t;+dWkP&hd!dc<8&!
z8_?9>AfO)RKG^PK*zORR`=HuEb8cYwq3=dV4?iKa-Sp_@!*-j%%!fJ`Za%vIL2(Ab
zkll9>Hi*Q|C$w9A0n|cxc!G=q-{t`6TcU?A`fhOa@Hl{G9;~ecD_haSAKg5p)#~8z
zMK_<)-Q}>hGt52c?t|4mFnMTjz~dtx+L(sr2PhXVj~@T9wPvvJWr2DK#^*!hM?(#S
znGZ`Zu+^=Iwld^&19bbIqUBeF`-x-0?1QC8^xe<!)s@iQ&+y%c&^2CA?|{Ms%!DQf
z5FgwO059|cI|Y(nN!{HH>wCa<kHYylR{z8LB0SL5@37UQu-(H*bwAj>i1G;1*91Ei
zX?JrFSQSVV;(pj}BwV|j;r2n>4zRHVSp1{!{)DZDg}DzlwnXgi=3oNuLtp(1w-4$*
zLc5#M<CD_ez_8WFu=s@Y3B)ICbu=g@Kp3_=*ONs5!_p6Ibu?`CH?H^r*#*Kd`@BfB
z4`x2hJ{PEdLiT~|1YuZuhUHI?7&3<EN9dXo_-<bu`4cV=Rgb<K7%9G?E``|!$se%Q
z%doK_Qg`b<fLZ|C4Gc3K*KS>;_{HiT*g6Grck3dZjsh;K5SC!S&5hLEyhx{sfX#=6
zA8cM7DSd#ghMlGX+szAC5AC}k)t6xPu=X6xJoMc~u=W~kw-{VK4)c)W18hFn(MWwO
zxci{`k<16Hhwt`<&NCwX2ig6FWFA;Oe0MQaJ;MDE_2}lqchf@0O5pZE-2*od%7?4R
zQNF<32P<D-d{};kyBAts!rcSqBgUQ~?I%)q^GcwtiH6lju(1q8c?Ag%Sa|^3jR{we
z!+)^wg!vC)9%MHhQhbBkU+8?q*cx{K!OVx1Pq6kgEIlHHCpf-g?O&KYOh0Ta7cNg=
zHyp0rym0%W@ekYmhN&LmQ)CuwcMHrv$Z{aI23mZ<+GjBTp!*lT+ZsByi0)rl`wKQU
z3pWqyesG|HEQYQ#g0(+j>fz?&P!ArUh2&rGSP;T}ko1IPKiDdmJZvrw;a-S(B>TbY
z;k#?0`4Mg()ID(XpnSM`9O)Id8xxjZVftbD5$;}S{)4**$|sZ`Vdlf)*8(j*Vet=(
zPo(?;_Ah+5G6N%cH!oa0)PG<_Nbv;=KbU!l{0o^2f$wgH-u4Dp4>b?HyayW%CLr}O
zd^a&P{Ne6{>PIpk>@@gpUub!Y@E;_8k<0_DhlM9BJs{i<QIBpuEPP?*7u-Imd*J3l
z`Ed0((gSR64VE4d?uC?JaQ8yvAMPF~pHTS)Gar^7;JbsN`4uVsfx`p7I~ghui!WID
z1(zpKe!=_$j!G~A+06^L9~wTe^hNG&UJtbN4R0?&chAArAj{KeH!m!FaqZ@X%R~JO
z+ucpA-Mp~f(ZufNMY10p->~q4?dC-?4=fLhpTV-57pc8W)@^UF`~^#&Na-KkK0xnJ
z!rC9O-LvTOu>1}iUqRn(3hOV!#yep4!}24k_QTY}+=FgEq20U@IPwd*yLn;l4_fW!
zg_{p8@6f{+T(lq&u>L<Re9_Y{diqA+oePU^SpN^M9-4pA)x-KPF!k{K1yv6(f1u?N
ze7p&|Cj#Mg?7Ml9(mOamBB=-S!30<k`yNQJ6qq3Iwm0bT8v|@l1+4vvYwpFz-z6S&
zqbqD(9g(|fQ{g*b4UH8HjTKTA42?lc%)m@2$3Vf@$P(#-GuTk)2C(-S7+_fteTW{`
zgj9hJ@x!`Iup|N<p#%--fr|k2A!_skX3-B6MIWL^KVTNp5W+pAkAA=`A@%47vQB`e
z0oafWx_e;$SAhB-k;EZGu2Ai8|D#U<z=qIZ;fp>6fFAzn@-X{lp!UO(5V7G8jb6C>
z2=NI`5uhJHi@y8}J$})L^wGl$X@~`!evpPZ!F=@iBxD}akP28o`Vc><<{@?Iz~;g7
z4J<y<(+|4)kecXV{pkKDbqWK0hy^{o(EUs56b7`0gQpkt^b1=a1j{e5<smRWZ21U`
zk3NM#$i1*3G+6ker&shT7wC*4+`Z`e=>pUMn0v0F@d>#Xmj7T=oUkDXSpGv_8jc>n
zuqFqri@FgSz_2MD*m4z^f6@JeXre&6H0b)#r+m=$!<tOE>_?AZ^eH3s@I;q~dIuE#
z;3*wglbC^l8Jyms!3~lJ^O3q7U_Nxj7cMUjtx#a$0~?Zr9SDqWAG&{$jx7M2kIqLj
z4=mpcH4tVWYzPl#AEJxM0d7sf<)KX!Sbo42e^b%SM~|<1Mp%l0Od+BB=OCJX^z=fi
ze)RN;u73xbeem#tHX+}`BNXCZ7#B7Lf_?xsOrBU8mfv9c3w_EFKBa+U3Ii!Ufzu;w
zh!!>_hjf4yIR4SgXY>Pg;azU%u^w<fjv)!y5EiV91ncs{rtpxC`2a^eqCEi_vVnyY
zEIgmX1fU1#!iKb9{y{%L8EzjmzF<QTu<{RmiV@~sSa`$37diz5pAy0m-f(%Sdh{tH
zuqVNOK=mK2$&cOzfR!JTQ2W4I!32hWa2K{0?ZDI>V6`9uGDU-aplSd#egFQ?0ZtlV
z0x|>&9-Txgufa}-Pa#0JGr-kD^FMq_0lJ(Nt{y7?A8ZQPJzy5B{R|uOLn`0F^04#(
zTh5219xRV!AD9no55mktD(}Jau=)yi3<8pRusphX@F8aCkRjYXQ2)TqgYsed6=pwd
z$Obm#1s{@yh7Xc@toFmwH_SZ5kRqhz296|1e1VsLBB@6;59&Txe8Pqt;qHOT!_9;8
zVfg`OKP>;i`~&L}!;V>itB2}`53xf13s(=7M^6th^I=1%@c4$RhsPI`4<EvW#t%F^
zq4MzXfb!u(piuR2`=Ii0^PqhAkSx^waQ#quxc{JhSo(#9FFgG~<&n$-#}9l+7wR5(
z{Gh9c@)7kfWQqxHAGCafn+N3+T8;@XUl8RLD070^A7mYy0J|Ut*2Ra_54gJci76@Z
z#idE{u&r#M?mox?u&raDV+)Ke5nJi<p$&Rw=rIGy@rfy*EkLj#f@F|s3=HtCYq0G|
zF!Nwr(U5ld8(J!q7$z%}7#V>E35+ai6{-ysjEpTo=LdkC0>ZFr5EfjxnseygZ=~uM
zT)m<<=iv8WK&w+|X$%T}aCME|{6TNNq4SZ<1KWq*oP$*_u;v_6@d$3t!R4XJjnw8G
zq2?591PE4Lqc`W^?uRB{Sa$`c9uyZK3~LUcH?Ppc4>n?kE57=n5d>=vAn6B(A9{Sj
zx|^`@LsCyxd?A?!whukN;LS~F`aooVNb?&m4~;MM<}iBtL2rH|t?&ZdkKX(x6kl-n
zL*wfrD00A<xaKf=^B=uC1vZKV0#^LPns3x@E~5|65Na;Nt1oE$!TC6v%dp}f)?9`)
zH^^-+pM{4VBtBr(AuK<kH<#h|LE{q^p0NCZ-du*c7Z%=wy}1k<PQi7*zzjrr0B+gB
zy0@_A56r)?<_}mAr1=GIIl-C}FnL7z3aPH)=0U?3t{=)LwYr9tZ@9V#NW;5etKiLX
z=!`6^`2ce-Qu7z=AN1xcqWppQ2W}qJ{c!zIJ|X|W-A|+D2h4x?njfGh2dw!3YHk=H
z?VN}0eugz45}^lz!cS#NR5mg|YM#J$Tf@{Rp{a*9Kah^`G&BXZIt<MrtqudwPId*u
zB*aE}Xsg5oDnHsPVPIew=vK)wv{Dtu9c`5i&Q{5BSOh@_jbL0{@ip2ifrSuckO@}n
zQL9yQ7-l>)KG0hw=<6azTP2VHgALNbTM^J&92P&YS{z)}kG4t}nxL(aq1Gw^4Tzw%
zN(?PYYn2#UQm<8FXo<g7VrYrARf66(CNy?30nzpb_eG&x&{znVkKPxDj}<`sR_OMj
z_kGdFO3?X8=7H@)?~B97Mxgc~+V+rI4=xYwix2l!%5-Q1!TN?s;SUZ!^!S306+pue
zN&V>92?GPeaBro2Kua%!ee8r#D`j--1kx&j-OY%!TN>N|8S1T+4T$s#_78ll1KR3>
zjV-`hUGP>0RDQURoeYmw3i<>t`h*>Pf)pC7=p%fvz6)#u6s{iH3IGqILE7Tr^IYKS
zq4Ka+2W$ZVtSt|l0EMSIsCrl}3cEK2KH&<TKt!J)g_#di51)XAs)ySLH6Lysln<Za
zgie6M^+V<1{)6&i;RBlhh4tNF_9K}Go&beUxI*0n8*#=^4>cdr>Vk|w!tH~$_2K40
z`GiIwVXacwy)ck64MdW+#u<GA6t;#N=Llp{aePr~dM0cFG#7ecA#8#ZG$Lt=)b=le
z&S=8qL3%-Y*r5}m5PrNL%sh}!Kp1u|J9Grn5cv%CM1>N=6c7dtMH)ee8cjise%NVR
z46q4M6Bc&?5N2RthZc_@!x<PDp?sJi6O<2;Wnf@N<FlagS)qK`7HKvpAC?^1p?p{&
z%K_!Xk|QS?p9{)|&4+VC`7rm$L-{ay9yC5Lln;wOJ}7@5)Bt`ce<3&#gKmk2gvV|u
zUl1w};|oFgPoVO`P(IW+1~D|gI2vC9jV}r1Lycolgz{nQ3zVRIn0+EpKFmHTG`=(%
zUj~gYi^i9O@?qw~=KEpA0RsaQxP9+orSDsutWdzgzy@B)Sd^cYnp~ov2;--u=ojP{
zXM(Ox0Lz1>^YzLYO4y<5VeVyMVA_vhgIEwgY=V!0ff;<53@kgt_^=5n7$3Gi3&!Vz
zCRkkA6V|C?U|<E$g~B?Oj8HzvB_K>H|IdG6uz6n?ASs>&Y96TD4>AunfdF+L1I+$A
zAW2Y{7^)u1Wne<%!_0%pBkY5Sz~o`Y=kNa<VD~^|LHQZtUvxe!y}|qo(}d24nGe$s
z<AWm^EC?|l9BEkm12YfSNr3TT;SVzpW<Lki|C|jF8(@5xJi2-qAM6wc1_oSwO7+9c
zhq(uRLJ2Vl0SOOS^$m+p*pWCeKFmCH_rl`$D^vkY9=6^c7Qe9c1Pf2}_=IYQr>ES+
zyps6*vNT-P2{@C3HBra?-@s-e;$sVxPp<o+wIu_t{6uMZe}}pc7T&P@iOap{;Sbdg
z4{u271&Kn!6V_aU$3HZ};Czr$Ea?}P9zLVVqo)U`G(7!J!eKtlK6Ll|f*JsmhjQWO
z!T7k`gC4(7>*4xIbr0-FDRSL|EB;{dI|b@Mbn{_+^zfn7J)`jjNkO>M55@77sBC0{
z)Vzj9FTB2m)i<#665<*}{fH|+qpOFx{|6J~G<R5fLTVp@s~V*C5txtEJ_7Th?IQ+Q
zb02YhEu_4FEvR5%U<O-s1?nMKc?5Gmygo`vi3e>fhAn`AwWm0s>&>CsK{Yg(30rW+
zz`z7Pc^lGtVPN23U<RMW3hTaEkjaO2S4E)uVeKy%pHlPD^~3rT3{d-E3$zrVd|3BY
z1<Hrj7cf3-_&^6L4>R8c%HIpEApifDhMgP^ivlnW3qRNbD(Lc7P<Vp}(b4%}O<)4D
zpbN|d6A(V^xNX?}9d>8~3&uy(FA()CPyv`cqCEnUhdLkb9<Wtl0wND)f(hF2->-Cq
z1}S#)??W93b3ao02ZtZ5eGij|#V2ek6{;QNKky_3IFTdy2R6tDo8$mXfeDCv(5I@w
z=f*G~_UAUjEr6&`MGJ43eXs?khy_-VNhP>Eboc{Y2!M~E<bdra2fGF~d;|?F1|8`B
zSg7QPp<v|)EI+}@XV`EQBK#mnvcSR@7JdkMNch6_L&F~yzOen@=m85WuVD7Wqo08Z
zoW5Z732XtTCsY9}eL}emu=Ie~9|cJd=<+Z=1Jrz&dtv6oma@RoFHC(iba)Il{0G|)
z3ERI6Q=f#EUZGsL`w$DhA?}0AL&FPZAIyD7;SJ88=>CV*XE6W6-1GlG#C&vlbn{{M
z!~72$w}SZxt{>`uSpJ6jKM`sGEIwf6A<R991=A4sz~yoHmr#6zD`^G>cz7cA14GP5
zmq+(65+59%51<JW=3lsesDGi-pz;o^9u~eZ_2}^p%MURB!;a{L#Rps->VH`Nkf2ie
z1FMg*<R`HE(a%?awYOpZLH8f}c?Js51PN1*zF!|*y#tzhLgvH5Lj)Qgu=)q)AE<Hg
z_&`4|0bM_={Q=XD9{(8O0grG<{R8JhmtLXs37vP40Cg}dyb$>xG8~T{9_ai3q1xg8
zg<Y!zGY^r!Am*XR2O;woKrMiohe)pw^9Z>QHr@m)KcL3J-An5E1hD)Mo6dm6C+rAq
zSo;wcpNR4v;$E13n0wI6YxMo^F#o~!U!%Jpy}U!$kCdLk^%c^1DmcHPr<Vgz3t-`m
zUjLvk0)e%6VdV+Bedzv$m9H@Wqw|r>1G^7>(GIlv3ol<0(?^i<6)q1gzYygw_V7pF
zK!YCM=o@Iz_m88;FS>ek|D$h^g8LU*p8tSH2x|VuwX_wkoJa;Ny~5HbynhH?un!ww
z=fTlmL`o0f^aP$s2ipyCF9%csEd8M8KUn<@tB>K+q0mJ{a6XY6YGD06*oGQJds`5k
zk`d)IWa%r|sYpj$e}M@=%TL&JE-Zbb_XptiLE{T)yd9ighx&#Zr2Z**|2g{p@)O`t
z1^0)*Q4gCQg>9%oDv!V_p=(MQVDd=i9ax?Z8vd{&f8pw(`4?_Jln?6<!1j;B^+V;+
z_aDRB?=bt(7jeL+-*GGlfQ^s9`WtZdQ2XHKL-|OHWE??WgX@RNqq`5J27)2!6C9|J
z<ptpDU*P_Q>PIpk9Da!M4^qCt^+VGqTs@Re@9A?`c?_FRgw5~bnx6yhA4VR(0!^1A
zkLMAZzd)alL7y*xPM4!>*g?OZ+yrH&9CktsXqFs=M`y|*GvkZF=?^hez8A`e&6LCV
zu$l5_P<eXIl*8<!)l50ed|1jJYBS}W(3%=oPK1?gu$gLDO9p);oK!w+<or6FXUeZb
zidpzf6jIF%>-2(E;PMYN`7*%dVVcnSu$cg`VMsH1(18f}Oa!cCgv~U;)Wgh!wVYsl
zSop)thuIGs`5);s<u{-K2n!$dnKo#0hsPg!d_uLuXKvBAe!}#_@&l~r4vRlhXZF$E
zi#~G-vkw;kgxrf>)4}vp%e^<D0R#)*D^NacCJJUB%)RJ*sB(DtqUQ%nXIfz=-@)96
z9{(`=Ve+(|iAHx1di=uTbD-RVEB;{aq4mr-diadS7bJzk>PPhOp*X%0m5mJPI5U2W
z&NJg@pcx8Q9zjAAG?NXPNe3@)kI&4*wekr*5`tso1J;upohgT`41kSvj?R=rM!sO_
z4K{K_DSvdP91?=f(2);Vd-wl;$V@Io7DPfuPGBROqci1@le=N<FWATxBK#<uDc69`
ze1Sq986*0O5c>(u1fb6p!_>oO5)dQnkdb|Kc^Dry6Akk(EdLF*nQ~bF4dy;{|HEgp
zp(EjlnFxsg(dE(okHiO$M8i%Bg^k3+^+QJjkw$94>S6Z5)T7TF!)9t=@q?JzgSZba
z56!Pg?g7sf5b{5KW*h2%#LNxEd~|tq^AY_CNO=tFU%~tX*AMkSBJD!d6Y@VS|G@l@
zn2ChA4=xY&Kdd|&sF`!vOcKoh=>9{W370^$pRlF}boCY_s)vQgaG5!eK=VJPGv^g(
z<{|PIWMm&b{s_&S&p<N|kzR>24>tY;E3cr&4cVD<^zsM2{G)W{d<QguVEGNbJ{svW
z=jh>&J`;|p?;+`v(wX#I@B$uEzQedfWhR{gnt)*G2|Yg!wwZL;_&Th-7@bLnr8w*-
zwIi*x0Z-b(XS$&?(MaVJxMYFPj6>z|%%od^Y=*0cwnyORL;3KTW#~rm(V28ms3C5O
z0!IpHCLY>e9Beb`u>6H<{*KUi7ieZ3c{~t(zJth_bVEbL*_MVD3MGb#piSq7$&m9c
z4M(Ta85kJQH=V<FKEpPhF9Bz8#8mn|C?7VJ4&%e7(w{@+={1!OvyWC&=`iz$>QuTQ
zw5rCH6%j)tkfCT;QwTOy1}S(Do6v~m!=?&Gr_v!I2Ahh7@DSk%YdXQg8y5bssV-R8
zeRL`va)<$J=mZu%=u>mh<UTr;4n3rR)T#f`sdPvVMbEFW^ahb-V1Six=u@GiQ|XWp
z!WBN~`4yrXaR|fc(g#S0z@~~}<rysf5nTEJo=R6X#5I)+8)}9vy@Ay?u=)pLJEDGq
z*^f&erXCjG^qyKLwCS8sS7UT)9TJ11o6sRcaY$1);Hd^k=zvIwJctFswBZl-sde;|
zNJlrJLsG*)k+7jM*rq91c|AI{4!N`!mY)Y|6FRJZg$+HAPOU?73T%@dtUUsox*nZc
zhlCG|Bz05z=+rtS<Y4Iw!UK`S)z^eJrH@XngTfLt6(Kwe#Ddi~u%%8jp}P=a;SVeS
z25M6}%zjw;i!^lwZr_bgt%G8bfdRIZ5mEj^rn=F?kJL@+==w*e)*;~y%P+90JXrc1
zYMayvO|7H%7e+U!L*gHHC>VU|8#;B4R6c=c(%@6&Q2EiRbx8QZ4$*?~V0>79g7tr3
z{UccY1D`5~F6A8To77?H12+E%i+^16d4$HhAXDqO#|Nn~)ebu29W=?VV3>++svWjE
z2()7zghzL+kM3FrW#iFZ>!46T4822mg!!<c_xp6-wSFJ!K-kp8=&p50fWX27!b41L
z!KU(I^5}eY^|<)3r2y!wpkTWkU{gDk?gEFM?+0@)`qUk)>j#?}0=HKfFw-k+DiytI
zf$67}d(o$2VfGR0URv*3e+Z3WSoq@FMGJE;y8EHpX|`+q9@GMu`_SVbDh)rig4R>F
z=<Y#}Us(EsxtHGV!4-cn_Yj=Ag$5`rzrfCyg!7^DF!P{X_)-M)@EMISNC?5|NA&Qa
zIKC2<jf~6~;OC>m(jTlmgAIMa@-M_Si1HCvenwXhbN{13wrd@99+uzeu5||3`SY-$
zjnVVnAw$E6_6TI?9X1sQ8|s4P2YT^Gr`91M4jbx)wLeF9twX{EorDb?jZUpY^6%)b
zbw~<<?Rp#CwGIgn*wP9J4@5%J3;M2L^j-U~@&`8ckJtq~x@#R2is<2ozRP`d*E%Sa
z5L3~J_BSN`jqX~9gb%F#Lf^#<>z}~dU$ChK*!>jfQ{%Ao2s`f`T|d&))#%hZ0|Nu>
z6irxrYp74HKY}GE=y~KY?&z*{$SF^-{KLY)3@(ab{Xufie}|2)!}2F=S1pV`I<*c-
zf&c#t&jYb&xNF@KWIoh72G~?GY-$$9hxHdm&wpnGr~kn|wGJzvVN>g{{Do_3ozQp}
zXlfmKJP>`p1AV>*eSQIY{yY9%>qcg^3bpX7(g*4Qcvw*fyDA;J=#OEbu1a43%AX7j
z46t46so(^N*tK2{<->NZ!}zdW>#(cU>9uPeW*@C~t;5WRCGDYh0Q?4MeBsK9l<r!G
z8V}zk3{5`psT6ib$Xq&XsulgJbi~jmWY;|GYFPBC8gxFcsT+2vgJANorZj99Ak2N}
zQv)zQR6E>1u&y;s9=6IJW<Jb37$0#!9mGGdq7mKwF!RuNt;6htnFr&;!Vmobcy#xm
z^U>Xh&PP{|&PP{|iw|=jdeMv?zm!g;b3h{q7CuNrY2ctjp9+F1hsP(({jm5zuR37*
zVN*|(x|b8`0GNCCKpg-}k1+dS=>eS&RZg?1X*M+Xp@%2Tewh1dJr#`Z9`x{nIu|}w
zPV1>)boUIq@JUoQGNa<uD=dCs=^2)OU{kMLjF7okSb0I|RoXE7VEGm4Dq(O@hBR~q
zE+1g-f!Uv&pH~)dl*|Cz<xQ<!%m&b_q+vsg#PVTXAK2B|u=*LsC)GSc>S0}L^r==E
zsQY18tHE|P!^%S#A9g@E>}qY8eXs+=Nxe!Nb|5CKYmUx`#UD%_olod0ZS<+r(W|s!
zAqctZ7?GbKL%*=DCv2()rWzLh=vU|YKo!8$lX{gl%s$vvwJ`U<hW=ogn9x)!uB)D*
z&KtHAto(otRm0j7uvPqs@T2U2a0N0C2#47ZOF!tlcwzYyHkF0A>Jc)Pg)R@{!*&6|
z{0lQ5)}Mu?N0@rpR2!`S4I8S5l{YZ;=u>U5_C3sfh^YXG`{44>0~lfU!Q4m4|M00$
z=&lOHfx{5<(dE(2N8*F04q#gZVE%#YhaPx{$Ow@9PRReT_B_o0h^Y*S`{43W|HJA7
z*n!Xp`^aOV@8U&|Z}h8_VM}je{zvy8`cxonsUWfHVg3_<<_B1P3Cn-5@(Y$8aa|P+
z(+{g(VfqRA4-txx_#?z8v?~|=YHdXNhU{WQ4?jW&RO7mO8#eVt$UIp87#4o$;YsS%
z&frEN<iJm`QLy;Kb+t31{DJr%J^!MYALvtkNcj<bKt7?XnbEK6Mvs5=1Eyi_f#pYZ
z`(X00@Pp+~Tzr`NW(G!Z`GI~lGi<67W*=;P1y*0f<)Qf>QGQ_$KlEL&=;4iiKs3yK
zF!!VHf`!S$%6BesEQ4|dYW^51Q*A4t?uV5}==lLwzQcBj!}<$N(E0<;$8ps%Y&;V-
z)dm}XhE260+GE6BwG117gT)VQDgeeuZ!f~_gVsl|^aS%S`c=y?_rl6Y_*K@>tF8Y)
z1Be&L1y68!sCov_&^9P}FfgqIQPAK99e|Huf+QFqQ<Jdpgzb`q<!4E#ePE?v0-_#P
zzA-RhKOi~-Y71-^E9|Op*j2_z<qKFPY&;Qml`>pCG{1orA=wX~T7w<{4c8A<{~xRt
zL_pjNpPGa2l7($W`u`s?@&-RZnt>5~^)XyM4)Z`JLomdASo;*#9)-IPsvpUGuvcK|
z0ajnY^+VGOTs@Re@2iht<sEE(KpNs%23+Iq=;IBbsV?O47xeK{^zlj9)RqC#fz0OM
R!<a20J4DS;rnO)@7XYYZJ*)r#

diff --git a/posit_adder_verilog/work/@_opt1/_data/exempt1y18z8 b/posit_adder_verilog/work/@_opt1/_data/exempt1y18z8
deleted file mode 100644
index 593f4708db84ac8fd0f5cc47c634f38c013fe9e4..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 4
LcmZQzU|;|M00aO5

diff --git a/posit_adder_verilog/work/@_opt1/_data/exemptk2g0h9 b/posit_adder_verilog/work/@_opt1/_data/exemptk2g0h9
deleted file mode 100644
index 218787d0424db92c89ea36c45a7e455c9aee1ac4..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 84
scmYeDGcnnLfq}u}(1G`i3=9k)HUk3#g9}KEfq}t?fq@|aBtQZN0M8``SO5S3

diff --git a/posit_adder_verilog/work/@_opt1/_lib.qdb b/posit_adder_verilog/work/@_opt1/_lib.qdb
deleted file mode 100644
index 007931d7f50549704e103aa60dc44e03a769d2cb..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 49152
zcmeaxPf5)w&dgOvNlI5RRA5kGU}R))P*7lCVBlk5U|?fF044?o1{MUDff0#~i^<2J
zXTiZM|AT>5&XPesUH-hBrM!teF*<RXI4V9G0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsK-~~%bY*52
zmzQU3iY!S?%1O;l%*;#6%t<YVGgzF1TpdGP6+#@Hd|Vaa!U`IRr4^Ye3Z8x;uI{cu
zItn0N$o!Pjyu{p8g%H<>5FG_1W^ra!DneIDW-byhEhjO(7$F(z=NTC43b6=gfu@cE
zR2X6b#B5DXLsm9%S9wN;%)FG;isHhY%#zgj#L|*{Fh3sd?06$Mlg*HYI4y>7Ev#vb
z?Bb%LjE(w`z)CGi%uOvxWI_$1)RII6jqKD)sNc&Hb4pVU5xxTRjSzgOcd}C}brisI
zhMJn{Ol;!TqBwn%T9O!V2(p=(fq{VolpuH+7#KL^eHi3F%RiPsA-`IFwtTyMv3$I|
z4@h<tkA}c#2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjE2By2#kinXb6mkz{m>$K`s_mPR4@7^wjvwyyDcNl41!VP8L;0
z6d{%%Ar5pgCIKOKbTMWDK2{b*4u<s9ywsw^lFa-(X%-esMuw7%qSWGy{G60{uth1U
zIjJS7#VL}^ET)VM1*t{Jsd*(RQj&a3EV4`tAl2X{6b#&qEYh5uWvNBQnfZBK;Q9YR
z2KhPif%0YYo$|@@PvlR^uaW;U^5SpQ$D<)I8UmvsFd71*Aut*OqaiRF0;3@?8Umvs
zFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Apj2nLG(2M
zTtetm`z)LoLQEVOLd@*^EQ%bAi1~k385T=MMjZ41EK)3{jEq?4|C#w&WSPKg5Fqpa
zOx#HG|DgT<$wD>^^7rI-%g>N6l@F5Fm*<gtDR)e6v0Q^(telmcxa=3%i?ZuvyJa(E
zU1gPI{>eO)*(Wngrc5SCMo)%I`kC}0>3Pys(qYm@(tJ{{q>f1~l4_8Om9mr)mHa4q
zR&u3et7M|2t)!&HSBZ-fYb81*QY9QER3#Y2?~3mbpCVo$?jx=t&LZ|eY>(Isu@bQW
zF&!}u(I=t@MCXWBh=zz7i1LWM5IG{UK%_<_Lc~NwK=_UD3E?He4Z<<P7Q!MzAB4^b
ztq^JvN)WPv$HFLMGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%%E
z3L&7B%)!VgsmR2_#G>U8pI=a7Se9Q<QkZOJnqI+hJXtuWkC9m^39d#Hp{6p?BF(Zy
zN&u=R5w1o9p(evL!>A&U;WboE0$hzcLQRozR&rjJTmn>0JY0<$LXAPDad}b-!*8gX
zIJg>Bgc|d7Q!~><xdNz~ShyM$gc{Ryi?ZZ&xO-yYYLpRbl2a2+%~Ig*iH56DLa50y
zO-;#7XLtp*D+;bg5uv8UIK416Ma}`LCK9ej0injwGTk`SnBhNEO$1zxJVK3yd1gwI
zfsz4KO*mYQ970V}VMRq%9-|mkO&DB_EJ96YZk2g)mih#!nozhJ8H5_kLW3;x3b;8T
za5d5hHR-uV6$T~h4N!A};cBE1YI2h;OA3wQ<^;jjNFvmfCnlL>7{JX5gsYK2s7b5L
z%`HKMPXJtvI6_Tcd0|zSfxZINJ^pYtVhA<4S?1-26%2==YW(18L=kGrGc3)@ip^o+
z><d>Tf>2{tTvS<^#pDAu#|N%P7@;Pmu*9M$-FpF4jW=A45R#h8qC}%axH(>MHG&8=
z+2tkKWoGU$b3EZ{1Q2S9ORBQUE#c;Pz}4_0)EE>SR_2;{Jb=2#9j=BCp{C5R%%G~A
zaTZjK8(a-9LXD9@MPgC070f-Za5X##HD>0yMp<Tz7og_2z}0Xg)TEdeCMO#Q!`$Nx
zSHp!+laZWeVxA5+#|f^66QQOkF(cI=1#XTbTnz_8O<7iDd14}yDAYX;a5d}*HAa~R
z<|gKju=HaOSHp%-Q(;(?RG7yMOY?ScHLM6VCI%(B=~;O&b8O*iSP*Jb%`H>2Q&>D8
z?oqY@t6^qgVqr$8$u&(XN=anc2P-(N!HPfyG!sHmqCrMpv4J40(6r)U<djqd8H7-h
zQJHCwRl&IC0K_sWOAby(Nl6YCMra<4FR3g@Elw8B=~Ys)fZ4%>l(-DjQ%%du8No^z
zxs}Y}YLF6_MQVClZZVT3$QVX$B{R4hq{LNNVq|HO&U_K7#uTmwDRF_*s4+(uRE-H-
z4N~F)*8yfSSx_~`a5YGYE6KFPFx!&N7^=nyt_CS_rI#C2C1x=eLDd+-)gUFVtgOTg
zOJk-Ks2T&f8l=Q!T4+|0X9*7<eYhH=#Fd<DVV-UV4<9|a8l=QkS!9w`k<3^FwM!SS
z1}Sl+C1)0=<}qG}s?mX~K}uXE=0$}i$xPd!YP8{MkP=sgMP7<wI;$I0jTT%DQrarX
zNG>xnU=D|<QPc#dEhZKwBqe!?*~S)G%wZ5E3L2oKrO3p>h*WeNfTM!10;GglNgZYp
zGg1P}N={CzvSjQ8DPiPRQiH2ON??UqCgvr1jEkUZRN-on5}0MWQAUL^b1zhl3S13R
z0xLI8F)}x2eFRmb3|E7cz>3PV6O&7Xqo8V(;A)T)SY=t2WjbppRE;8B4N?L#wM;BF
zDrAg>s!@QeK}uj1CB>F06-=y9HS%yZNC~XUFgGtPov{O|Mh>n9DS;VVrlclTFfN3u
zk%g;4N??XYnT8gLjFX{iWZ-I$6PRgsp-BbfTBsUnxEiDcW}Kdso}I^}3{@iqSA&$m
zEGsLsGxC_fLDfjY)gYywvXb)3Y-46Fh#Ex+SOP;b#=OwjA`PxYS{#(X#F<zaq4j=z
zN@_u|R#~!ePEW9s7)Vl`gM|rN;a8L;#}^dk7ZmGdrht-P4`ZpKC`b*cm5Ws2n_HTj
zl%+GR0GYzbtSACgf>hrd8>J;1m~fncDiMY$L8|VnO7gNz3z<R9;2uV1MIo3Hq}o0^
zw>&c=g-Hi$iXco0Qe~f>YEqVGz}N#-A^=l@lv|R`la0%>z^MUb5kE``Qf9F*$WAge
zXEK4B!Ut1=lvhlO%d*T$7@t6u@WPZJWtHMA!_3TdMo>c$WDyTc2~ti;O*K!iG-JF2
zHH90d1Sz8=nx_>Rm%x3*1yh2QPs|Jo4J=cbd7!3n!jvFo6Qklvi&O)+MI10CNV%jk
z!z?2!3vLlRObJpZDM?H%E;55FVS_0_$|HHkd4`q6aJR6+lptl1%<>9LV}x^AU`mj3
zNNTQWriB4K0+?Y+kWzMHYD!5;7Sji)r<q_%kn%^BMOIO<CDR*-5(P#MPEK$S4N<pe
z8yDx98ZfCqRDkyXC(E)i$p4oABL7zYnf!hE8}b+BPstya-y^?Oex3Ys`33Sb<tNE^
z%eTna%9qLK%V)?Z%H5U=mAfK$M(&8*9=R=YYvh*5&5@fT*CW>=S0h&<mm`-V7b71f
zA0+Q3?<8*}ZzQiJuOu%eFC@<;&m{Lt?vvarxkqw-a&B^Va%OURa%ysNa$<6Pa%{5y
zWWUM2lYJ(8PxhMZIoV^f`((Gtu9ICRJ5P3+Y@ckKY@KYGY@TeIY@BSEte>o#tevcx
zte&iztemWvET1eJ6*{$}MvR8QXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeD
zjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb24P5MXCvWMpK~N`}yyNf25i5kjjc
zKxnmi2(20ip;clbv~moDR*Htuict_+AreB%M?h$~a0o3M2BBp_A+&S|gq8}1(2_wA
zS|Siaiw8hxF@Fdx>Ib1kd?B>34}=!-hR}ju5L&<!Li2k-Xg+rc&FcoCd0Zhhw+n>k
za)!{HP7s>I5kj*&Kxj652+e8-p;>GpG_wtaX0m2uVdP|Fv0`K4WMq`I1dB2WSU_lg
za|q362BCRPAvBK(gyuGe&|F3kn$r+Ma~MEqc6|uVrU#)}bs;p14uodbhR{q}U{^3{
zf?Xk@0TyKzP>0a`Y7m-F6+-i>KxiIi2+geop}7<xG^YZD=8%Wb>~avAO%_74%0Orq
zX$Z|M1)-TF!LDGI0J}n5988Icfhkc@FeM@ari6vTl#mdZ5)=ee0s>%)pC3%|@qsB`
zUNFVO1E#pS!4wx4nBwFFQyd&%ik%%yv9W<ER#q^@!UCq4nZXnj6AKF`CntFRU%Zt;
zj#FAuX0rHQ$?LMM5{c5rQp{5A^44;mviY(br8di0%bk_gmEe?qEUzjhDVZr}EdE*c
zv)p!xa%oSQs}eh9k4t@(=a=6vy-=c8R$OkOguL`mDSgR$$*EE&<$lVql$|Z3Dk&(}
zEpc9YyZA;aS7~nPZi&V6lO;@LR!X0he=S!kxmxPJ#8XLI`C6G;Np<m7nf=o7;>V?;
zWf`SQ<sM5Ol<<~Mmr0j=D^)0aSLU_EZ^>Y(xiW#W&f>G>17-MSBjvBk#mh+z@nUGy
z`J*8)8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd72G
zD+EMYBo!GEJM<tYs3fC_Le5M{LKB6Yl9Grf3ON@g0ZkNg5=uOpDCF#uI5bhnX(zF0
zqLA}VV$eh(Cz?c~i9*gWi9!>FoLUlzCJH&HBmzwoaxzIcnkeKfk}xz;$mt`YXrhqw
zMncd;At#IkqlrS!6bV8Tg`6T1h$ad-HzWW}6mn9CKbk1yY!E**QOIc^zG$M5^FMsh
zMZqV2c%z9z&iL>`6NQ}W;fW>+J;wu06moKhJDMoutPVFcQOM~Wu4tl=^Eh14L?I_|
zIHQR|&fIW96NQ|z;fN**Iak90O%!sHhCP}n<m?PPG*QTD8MbJmkn=HY&_p2zVpyYz
zLe9Xj5@eB-gyv1isTY=L>I}h0U09%rLJqeuM-zn{XJLjW3OT^S6ipOzWQ7TuDEMRq
zOJg)q$gvbgXrhpVC=Ag=AxBRbpou~bo6tuSg&Z%Thb9U+P(l|?6mo=w4w@+B&<Jfb
zQOGe7T4<t>lOZ(GL?MSkXrPHg&VNux6NQ}kpoS(2IpaYUO%!sfg9@4`<QxZOG|?pR
z$qh<qqL8y16wyQ>r!y#^i9*g}kVg}RoWLN5CJH%oK^9Fk4SdRi44Nq9Tm@+~QOHRO
zQfQ)(vlArIM9sm+B}fRcNQ%SKIp~B0aS0Ylb*MlE@~H@7==#A&A&8=hLQX#rK@)`>
zcp!`>3OVCI2u&1ntbrh!DC8sq0W?v_;RXC?qLA|n_|QZlM-=d)i9${#;6W3G97Mp4
zCJH%wfD26&a@+tXnkeLi0S+`#$e{x4XrhpF1lZ6-Ax8(WqKQII3t&MLg&YvTj3x>_
Q6F`JTQW5ER1jso600(@|8UO$Q

diff --git a/posit_adder_verilog/work/@_opt1/_lib1_0.qdb b/posit_adder_verilog/work/@_opt1/_lib1_0.qdb
deleted file mode 100644
index 0b59fe72238d6a4e6235d05be370a58bd10bb603..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 32768
zcmeaxPf5)w&dgOvNlI5RRA5kGU}R))P*7lCU|?flU|?ZD046j(BSH!%i;Y3gf`eCn
zCIhqFBL?|}a*yOQaH<`Z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70X!kl$jrnpE-TB}7+I2-
zl#`lRT9K5KpPUV&nVo}N9Yb6dLL8lZToquV3L0sdIjNZ`3Z8x;uI{cuIts<9g-D#t
zs#F9oKP{~|wFJQr_45o2b%mM$)~Ttf&&($7EX&A{nU|7UQCyglS&|x`SXz<~=EuXV
zjW>j`KojpAAYa8YvWrVgGd2psTn}<sVrd14VnTO2ND$rW3IRc$zK%hW3f``fItr;3
zsksFud5O8H3L&l$A)34l3=ER;*$nc(<-f^)lz$`tT>g>#UHKdGm*vmNpOil$zh8cr
z{8sr5@~h>S$uE?jBR^ffO}<gSMm`(lxKTVB0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd70QE(G``
znHf|e^Y7V4=>{2=%o5BD49w!p3=I5Ya4Ew|LyIa4W>Juo2#62{5keqB5JU)o2!0U3
z2O@Yu1P_Sd1`%8!f)hk=fCzRF!3H8&K?DnkU<MIPAcB#ZfdMrCFCq7wLH@h^Yx(=~
zm*tPk@0MRLzgT{{e7AhPe6f7Ge6+m3ytBNyytcf&ys$jG+<&>xa?eLx?2USOGz3ON
zU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtrq30G9+SBL@?c1Yd>yBrb7QMouOsajr5`88$IiMh*^6aV}A?
zyeQKOqY^F=Fk6J<gytG9VK7^mSwL+Lmk^jO#H6EAz$FM~3o`a7J>e1nvjrGC6l1vf
z!EAme6L}3TJ}{e)@rkSp7cZF2%Q!)L3l|TV&BJ&{@&*?-n9a@DBjLlv1!i+G^N2cd
zae~>LjCX`nxH!OU4#pnA8(i#QHalaFfDacNn9at_!|TAs3TCr1^KhAPv4GhuOeP!*
zT+CoLGt&oF0WKymn~CWSlL|W{D<=mN2a^gH0|SGEd=zN^{}=hU^3UY&%ioZ{D1S=+
zu>2nRt@7*Sm&-4ZpD8~{zFWRUzE-|WK3_gVK2bhuFhuI88%9H5Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ON
zU^E0qLxA=nz{bMJ!6+fY#=^+SC@v1>iHm_LQBg1@A_As_g~61N5SS7a1XBV6V2Ym~
zO!4u7DPCSM#lr)pxVga;7Z;e~<OEY39AJu_9Za#Yfhks2FvY?GrkI(*6cZB*3nvFB
E01(i~aR2}S

diff --git a/posit_adder_verilog/work/@_opt1/_lib1_0.qpg b/posit_adder_verilog/work/@_opt1/_lib1_0.qpg
deleted file mode 100644
index 95bf828715318314a6bf98224cd638d2c432ec23..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 8192
zcmeynF;pntCPCtm$w^1WT^4^!oVwOcbFUKM|2tpinv<#2)-!7+E3wGjdogL>R42<<
zJ67-7o*;8$W@pEgAp2LlcCTJm$a}J5#*C?6>Urz_?fz}i650QH&jHtyooi2D*)&_T
zJZ{#H&eg7e=Kg>3$=q_vgOnvThAm+W9;t@-eYQDy(`r-o6O|<u#p)5uMHe?rzOmw)
z<%|vggR>{AKGtcr7UM|G4Q4M(v&@*v;N&Oru_O1JaFYJPC(lgF>Ox{?^m)9syA`ck
zF7v%&Yjn=PGMRwvxZ?|6Y^*Ol8vC##a-zY}PZ^thkNUqnCc1O>SGyHI<=DKJ#GY(P
z7V`dL-O#mO_RfLe!&=Y$S~ksAzAPrcqI0!>#MP=TpUjO7Ry_PtW7v1_OZvK_;fG@m
zNq&6(+}}Nz`{v2^B%@OGiSjF@rl#qBi(a1pAi(p2{W95Q;_=@yCl$WhZnLoP!A>r}
z6CYx4ccvZXp6a+jRBCM*AETb|)N~boyLD;)CaZqw@;&CObQH<q^J#HUG7wg(_~pHY
zJvGc}-~R)`Vxc14c|3pWUW?j!HLm>f^?LWku54ERm%DZzE-R^c^Lx)XPKn+-6TSpE
z_-<VnA+mCt%*?476-uR*t?$0DcyT;Bn((P>V*IoI%kMNqUWwYATpVK)Ig8QvT1a@-
zHdFN(O-T&@_rw*dmDSw1oaCBPkl#PaSMP}{^C1(asx#)NmCk<Ee!%nF&&|y5PkFkL
zp7+%~KNI`!iw8e*U_a!fsJk<1yPwzcfR0z6jCC&OE?K0)?sJ1t;-avU^`g|g=J?t<
z*W8)@fARV@|AFV)Jr;KLk~{uPVCEB^R6Vn&Vp9GuHl{P*raV*(WNUNna9;A{t7-gE
zw_}!*8KkyneG0yNP4#M9Y=oBo>(xsQBIVxSS}=Q(EsNjFLzj%p!^LKE8J%ipvsz#k
z=jf8Gc6*tUrsa>c&;{#XhgRD)f7YF(CA({Nv+A2iGV50_<d}KH;CXGE*5}PfjP*Wp
z_TQhMGkZGk>G@l{R{eI*JoiQEhVk-=woCaQGoP0FcR*;y{Ov`}(LrJ}^;Ru2j|_|y
z@NO$&(e%IKu;T%1O32Ik*WN5n*6e@%`oQb0(Lc^MKX&|c=k|)%k1Bdz-}`b@pMm$@
zmhDLiA*yR?!e>us<(%$gEfA6*`sRXrh34<jq-&>kxmNIQY4td4CD%FUtHZjd1+7+}
zY)?$|U0I|eyh`~im-za(M?#PN`Mv+nx%^VA`TyRp)wx`Ie%jpBGg^J~b}b8Cu+aDS
zvb!hmoHR&y7JNQPC_QD(gT?*R3pJajWcM`{a<6~ryXe&Nk|{!E(}Moo`(z<)z2?-5
znZoOyERDVOE0AyPH`WzK8^6ac*PP6Bw`SfU(--p=iad@iO`D;AeoL(V)i0-$lw{%+
zrMi-Or>2_vef8V<BJXkKT&3)$;(7lcGQ~AjJYE=7ZQx+Fa+;0B6!xrxPrls9{bK$0
z{*f)u%;P>Ti8#^cb#$XvTu7MK^;avkj=04?zh35Y;z7i$jZBqKR`jiCHPL%{?q&B<
z$H;TPZ```U{c6D{y?47^y{DYMHm7*$mb_WNmOG!cU;F>ro%>tEFQhCBx+%UVe5#dz
zsL$ohH#*0XbC*?4aD4YcvW@$A_?x(uA7XC0xMd#M_ji&QpEOS#OR~F?f{juC0wufX
z1%A)(?yS4I?2`T7)gfuSl2`FXJg@nlcH*+#CCgT?KRXLp<Q8bY{ForD*%CO}AuUAj
ztheRT07m)s95arwOXjA(NHhvF4GV91_0d>U_w<jZo)wvk&!)uuJ<F(hDAq@iH)Lv{
zCiA<;qEr7Zwmo}1Yv-xEhSJYvK29{MEi0{D(-+dVdXJOp+jn!nZ~U?|DPrN-N)Dfv
zti21J*<=qyTs_|Lf&ZJ?^o-jZ%Uk}HPtbf2z5krF^s83)BSGoK)8*K`LT+^(W^q&v
z=@oP_^5eg7t@pWX?w(V#7x!PyS$S$_->=UO4`q6OJ-alef~{g^$G)otEPi`lEuTF1
zdd1a+oVQM$=aO~&pf~%mp{{QA+kgMPHU<h$NZo&A``ObU%9q9OJwDAR$LW)H+qWG}
z%%58L&#bvtb8kb@@v0Zh)u+pB1lbeBK6D>(Fe@<s<)Jx&MWQ5xv96=+!j!dN^_TcQ
z_@QRzwZp8Y#n1cVj~!LqEs__GTkv1JK3(<7jIIf@YEDm`p(?o`WB#ixf+?%_Jvbih
zWh)-{Yg@5efI#Nz#i50^_CIHylet`yv+y<BAFUSK-V0_E7P?1FT)pbeNvp#bRo5g5
z<sP3s?ZE!&)m=yS6&vh%HRH_$0ojvhwk&ZosXeqd-u?Ch=~b6PBA)->d-F+dvCWBV
zQ{7ZlRCdXg?!QpV(WobBA}k>CYg1}WUUx{zmY+@X?`;0<J}~LCUZ#`Amb*L$G@ROP
zpG!;$&)e+q=G>O@qK-Sqm%0S9eJDA=Tw>~RA#L>*hj_L!{a<H{ra$YyyZQm=@-7y+
zbAO7gzvU!{?)hWuJ=gy7GuLP~2F|r7G+Huv*00*?_aRi_dCfulUADIxYc9y#4bhz>
zdVkll<lN(RFD|}4sQ!N1EJ<GbJNtGm)J)tWe|y=jt+IEL9G-=2Ze(E!+I#)Dph$UA
zE7wAmKvutv3GY9ZC~-Wf-MV`rTlIyUeJ`ggNPc&3%Ub9a62fuG>2lMSwf~#*UTnId
zX7j9QvfdIu$66y<4XvqSl@;q;z6&szsJDHu&}j1%<J-vU_o>9nEnUkpe8%>Oysu6+
zYZeCZ9xvg!aIxq77iqV;-KUPXU0&AaH~aP8$ESFE|9wAJzb*Ac>UNJx>*aHvy`QJG
z^WNljX8r{U*Y#gScM3lZ3z)VoJ?QDrTPvnI>z+KjWQlW`%;X(xY|aXL_b1BuK2S0F
zW3ehq|B>+eJqrqwR==5b{;RXzo4xyHE^?}Wwf5G^^E~%<zx;HY{f(N>j(ZQx8`k#U
zJv4jupQE4Lnnc$Yvd=qu>+rwNbrXA;g_dZQ>z>ZL@~^!t?zaH<gzgKGZDsXqm(S>5
z8+&Wck5hk-Rj+-w{H<f6&f+bpr<MjfJ`mHJvsSs2;r;EijS@R^QY2Q)O;#1)Nj1Lv
zR(b9@&JC^B1@ha=-fK?giS(SYPSd6}Irhv&R*oMT-9MH~Gb>BXxqCb><@DQ^cNeHu
z?m5x-@?_5Kw>`^dGxjJ;-R)Xn_+Z7&9n)^FopWG`^0m;_ik2TNCs?h{*f8tTjwkC5
zzhkMNeq8qE;%ILb4~5uk!3yFjBIYw%WwQP#JdpmL$l2_>OlFB$Y>P&}umPL#$&O<V
z8703p3(W*w{7<|7`dSiU5^g!E<GsYLz?S4InNG~+AFd@yEB(E>@!Hu*5-)qt{rdS{
z*#6UB4=&M5O%{_CQ#oEAt6C(K#BjXC^Hl5s&uKi?OnWVNA6H;7y11!gkxliwwYOL;
zYrd{9=62a}V5*9h^zGff=VCueo!h<svBnj%w%i#_{?l^znbmzyUNALK%=43V&xb_u
zni<9V3zg&f0w!M%C@MBh_#ZP_Jm2q6v&p+2{R6-3&hDC)x&BwV%Y|CU!vA-q{4V4L
z`5X&R*s&})@>r?w*@k*SfpFy|MX9PxroM+n6KVox|JfR%CwptngnyqBJwM%xkmH^v
zaqnqHQA23$p?P2UUT8dP68N-PvLxZg$|oz<7B0x%xw7rlx^wCB>wd4f_1QbT;?#kg
zyq{bxX6^fJ#uS=2?Xh#cb_3Viex3_n*O>0RztP;^uJ@$8VNuN^qxrA@oMNmj5`3E=
zl$af{=;OuqOA8NMY?xJS^WgAwt0e&v^WQIaS<#lg;#N;I2haU`cNZ&28N|<;{A)q3
zz@nJ*EB6<kG?-VXXrA5XeMv!-o%f*d?M=$r?uk(yuL2h@pRwxZv8>6bg){fu66D`r
zEuubaKBxBL64MPjsdwa_lw7#cJy*{A@2fNS9~sp*Jd|2ByLSHC`-)r}+5U#9IM^(X
zTNs`9fb*OntANdlYPOGm9_F?<n9VI$;+SE*>Y;r6<QrSp#Jpn`ZQ?m4SZtboSFduO
z-$uEsu3np}ZU-<|Rwqps_Kj=_kbNE#_i9_3{^S}Fk5<kkb8|O_?+2UC9TV3%^YNW4
zYmveB+)26>FAFTQWxnaZwd!I&SZui<b<q|-zr9y;PqrsjU7x*s_hwf0CcZy+uIIQ|
zvU}WJs?6vWbMEEI>?N!m&t@}r$9{@DwZQQCHA9<gx7W_z=d*lT=xWha>BV=RZQuT2
zT|oYyUz^$s?UJMZo`1;7>@TGKYKm}~X?o55=LrsOj`bZI5=3NVZ|}IPwK3d(@+r|%
z9?RtRe^gy|IJJ6l*Unq3B_2Fx+3!*nnHZm9?3;BgNyt8O63eM0qE7bDET8opt_|U3
z3%FJitgM&zK&2o&+)U{7v9vUcsy#E><wQQra>=@8q<`Ss`IVdXLSnj>U(v|B6=fdR
zV5G4%s_y!$n+)0US365e^gZ63KN7|DN$+OYwlcdJ3H-kfm-4;vS~35Dxb5WZTZdLI
zGhet#|L4IMGf#gP@8;x4v|VPs!7}C5zr*UMmr67>N2I$hURr(KNl|O@n@@XJa(-Fn
zzDAiv?Vau2x6W@)thX&nuRZ_ff}opZq2#^&6^mF>qV`|Z-)vA4Gy7ezmE+DE3!A&2
zIBn3`ySsmT&6VV}ZraCmj^!LLbnon$uJN9^KeHsE^038z*8huT?mH`2PQTYa|E%hf
zyso)77pYGE%Dehn$HEht>srnTTX%B0W%UYAVK5G|`((eM)}qD#xc;fCSuN2E`9AXQ
zI?8p&*Z<lSXS@CUZ)YCJ_9=fak~h)4+`W1J+Aq;OxBq{?kT2bI*NLs?rK9z3{@J^x
z%Sm!HEVg;2Khf{+QIG4)H)q^`p7*5c+=;mdIU9Lj-#XpMw~mj+|MzQ02KR9BPUVF^
zx3gJq5<Xj0lB|BRf1?!Vk97A=p4Xvuw*Tgf#|P}|dw0oFd&d6R?>>mc?VEhr;&#GE
z{WEi!6=d!N=1eaU$vC8-xk*Wty|XoO_O0;qnbosTeardJ`XOTdEAiyY8LB3$W~M%M
za8>&#C0H6@Aa?(fKth0!^{Ov68f|vs6<2sflZ*`cwpr_4Fjm*S9?KfQ=$Te#?k-W>
z*w%MU%*12kD^}KG4P)JDxfL%m_G<HQjcrN(_1Z9`_MlzU->>mi)%y>xh~8*jE#Nt=
zVya8HiutT{8xuqvT-KL_hlPq49o^I7!0M-%{FCwe-^DUD9GhFKo+Sv_-<l|YEn9EK
zug7*zIg{*HFAkf2u<3Zjr>Zp{-}%g*o_0NVlFBn4wa9G!yPL!k%(5%oOcnBF-Yegl
z#_zNKYu=X^m$YVWkhyVZM~ct<!qhp@SG*Sp@-0;C{PD+myP#C}p5qF?HhQ1kvh{_O
z=e{{J`fijRs{PsW)rD&nL(-9Ir}m7ThjZ2nvI-`zbgl8eBXR$|#x9M>nF}T!4atl&
z(_DR#L9CQ1WC6ROVu5JIcVR_F2BoYfAthyFd4WVhL6549j>?hA4YNEq6qQD7_;#pR
zJX2YxYZYIQ=2|WGj3oZT>dIEZT=x~Llw%|=G9JyT{3yA|aNkDxBQY0byKV;x>8^Fm
zXef89Y)^<;RA5@s6ZW%k&Jpi-BH<P%|5&PhS$A&61tzf>s=R;CRCYb_ElBJ6-jm&~
zl)kzA=f*2*Ce8f+=g;*kzTZDb{a&@n^3;7H-Fvf=bhe6d_CIx&Tp_We^MD3(y8rL}
zE3=m=$~B)&?2f<ezy4d`^D6>cn|sgB-jloWGxKTo6yC)*H!MH-QTE;U>lMrY?ta;|
zk!RM$&-S~o#I8Lu=g#fL8gsT>NN8b--nnyX^lQK0FaFQfR9?p{yKbY9@S%=-r`Klo
z?|ZN~;O+BHX36hft2;WqCd^&f9M<#j95c_FQv2V{F&6T_*lYjgZisA8<UH*7qkk!T
z-a93!*~=3-t}#o^SdbohR4Pz%DxY{_D68S~W8Y^i3X#3@ZMJZtA>;C_=hZT+95r()
zSH)`o^?Xp!{A$IX7|D5uUa^~|@t)dy%-AFM_Rd3Z4iseG?0+$5i&5^b%e$1ABU$5K
z+{|7o6dX27ush~CQ`JJn=hs!z({AmZy+87gTF7qpbjx=<&zEoe)TJ`L_Ss*yl+@{$
z@{bD{NbP<mbmj<;QfkZMs0o4$(r>0_w~8e2+&k*`NR`{Ix-VTS{P42Y5;L=$&!_md
z2WyGvZ%aFMB5P)*#ooDR6tq~MT68z^dE98`J@;9uX4Bc*yAEpAh~>YSz0}nyLn!Xf
zW)?9+vAhkx7Z<16$y7*3wU`L0dz4>v59Euv!oAb#l;5RGQ!}c)KW&Pe<9O!8=H<(a
z7|x_y&TI**e-P|GHK<(XtAwd%^^%+~F-%*JC<-j!duHzjMcrQuXI5Ii$uY4xARP5V
zax(WN4_?cklkN6%FV5I~+o$|>^vqY^%iY^AMD@Ssx&3>Gy2$FLyKaufd~e_06}<Ju
z;?eO)FSl^<gC)-F89FD{S3G49_1ZHjWbL)&synkS1(Oxz{yZ&VW>n<3+ng2AD4aG~
zO_gV5;=1DdmttF^=E;W$TFg{rO18;ad~-d^|3|XNHmY(T`}C3R?na4ypZm_;St2p#
z-tQM5-kf{Abb9ROk9I%%pXVOA*T44XcdJ9&GUrU$F{krE;=7oL)S8XHS946>?Y|PZ
z?t@3$A%8)IZ^vS%dPh%wm2yL&@c`#)eVua$4lGj=wV1X4&7^p_ciWEUU6b-siP|8s
zEx_09C({-&w`7~c3BNY;cpcfeLdy4>^oy<;WyehGzI<fiTIca}OFh%}c#-6H$5MVB
zQj$HeZs(lu>G^MFUB0B~<zjd2qJxlmO8la2kM_>wo%`<XjZ3#zo?Cr&m6Ua$#jl?e
zwZd=xp8xdKHtkJqEK5^1O!K}Z_n3Rb{X;vxp1!)ZWR6<IlW%N!7b|nZD|P=L4s<dJ
ziMaV*a*9im^yFo4Z4a_lyxsn=KWWQV&+|K{MqHc!Zq2K+T5|Q`F}oiM>Iu)8fA4SB
zru;9DT=zcNa*1`{;pefJmTlnJ*uL22`G)1zI~ycs%$e-EHB4=FM#ju5Mb;CHQu}?5
zR4>o0QvE1u|4M(W`<14{)$$U1?(JM{`&|4>(h>)YCY`y8Y>IhZaiItQU$I}7n!2_`
zJZhKR&x<ly2Y*fYpYztteSzl7@<`)-);m{-uf6-<T1-i^?`MO!QjBUu_Xh(7fl!Tk
zN6L-E_?fl3IXm(iLl|~;{}-<*=@L|&|5#1udy(tG;Lx&;$@bsuWNzHQklym`kAi-q
z_x@uOR=;@?ekAJ6;feL)UNMP`fB*Q;;eTB5Y0EU<P^&_}PrtkQrx(~Bn&!;cR3QKU
zajMd(Wj5#LTU>pl$p30%)US($@jV}-UQA%iezNc1{>yQaI&p0NO+`vFM!h}H^CBDk
zo_?&+yWaL$W@%c+j<#J>&-Xf{H4B~&RLFNV;BKpr-k@!>wvoy9h?v0BaQ&cA4wa-J
zBO{ZPvXnw2L&1>Bm;($^ao-JicN9(9$9E`ZiszzBt&El(Mt1Ao)+{=)$3nqOds!2I
z$luMjuh(RBPu@TK%|*Wd>n2~e`cVGKby9-tL7v;Ds>xhuT+&oI?B0LZ)of0xI^O#9
zWc5_-*ZZz6{SfB&{ix^78QNF3d;6y()}Q~V;&1%x$IpL!r!&%`uRWO>sL8h3V(HX>
zi!CO|+}e5Su8Et;vck{&ivQmo)N3@9{WKvn+itS&oK|m}+l!fdWGC9)yH>lwuPy7<
zo=`#O`+slTliI@7vvif%FW+BxJ1445J7&3K>Z@I^*10HOP1%!DDy%;L`hsI0mR->~
z`}S~4-c`%9=ihzw_*p2u=lmUZ)+G5?`#zPK23WnixglR-d+ogqe-Fk#7hO{!Yq4gV
z<#dn2&H0yA-(2CnE##4!bZH`UQI!A1eeu(h#1og6#Wg3H`dkPoI=w~Cup*{!-;q$4
zV4e4Cw=k$W^X@)5+0dVR<*nJbo!egrXrKCCvAzBFi}kOWwSRA(YO=alH$D5S+6TUb
z`@ef4;vOj84`EBIJreghp(1PbZ#DLO=Vj8%gPAO>xTY^ZJwxTz>Jw*Ad=|Uzu&jR0
zIR#U%CpCZf7tDS6-06^t*Vm;k+!JdoY+d*MJ`ljnt7&Gj)Td%%Xq>AZ&$sC(+f;Sb
zT)QiTr`?|#f2=k=?p{OulK`QLPbWH0)xBj7>@*39m|`5zKZEUqp{+#%v(1c($%V$z
zegQMG8k5RP6TUojV$bIL)6r=^N4w#dNVwlmXRfch?u#t-=RFH`JN?9S^<wp`|9$MW
z6CHUvwy{`DGOPXbOf-9{f%+TcH>VavraD*bYq~VCbyw&6buSmbH1z7)G|6^qq`BZH
z8Ec8z(UVr4%9!;?<%`}UiAh~QCrK~Q+P81=owJuDe!Mz4f7K?-&fd4P&OEid-+keW
z?}f0*y7Ly6E}B<-CF4Wn%yshdtEaj?GD<eT#>bjt^8fud8JX{PUpH~FA1u<?F(>!N
z`ntaT54qP&3Obf~GBIO@wfCxxj6Bcl%4Hu5%T6<K((Q`caV~dPywfg66Psx%Mb}P!
zkL;3vw`x(>p;HrI7+0-dckr49@9h59bJp3NoUpNS?qk*G5gT&m9^{x*H%G<$zfm#&
zv7EF50l};z3E9ev_uXDtmMD4PTh#{>&1?>_CdO3O0E;TlLkyDIOV|?k&yHNWX#UPw
z--8|{EsWT;iGNl}YVcl;zT1kY_x|Bf43fV8vROq`Ux4%MYt0|2GuNJM^=;wV^YiS7
zm`6!p<NwSPb<<of-WT?D|BY|fThnHmNKaNj_v>j)8b`XrpNO?qeYQVmi5l>HNV=^x
z)3&2EYlGBQ&PS*BOwVnzxc%v<gWM;NduNUma$c}p@WsVBZN{wk3vvwCRU}J%bl{pN
z^5XWCm_nwEhGqOR|5=`Uec@BCFSM1c`px;hq(Dm0nB!4Nka>!z%Bn?45vHe3uWb-k
ziP$@@_00bAM*=TWBU(gP?OSNZ@a?XbdtkcG*|%zECNUXoD~ww5erAD1`SZ}t@>-38
zt4_2gmM&hT`dFkmw)Y^%G^2RY=#5o<Is4@1eTn-X`;l|aC#Q9<Hp~AxuK3jZtN)a(
zFIhLS$?fR7nQ3{nddXK;(ZC%Ko>V(`H~dVDkUrluAuUPNVL|-2VD<EzDW*GG*~Id{
zvwUPLPxWed`?pQX;_1p=E1D`weIHH^_^@H>X6ZvUryG7++*qElL8diesf~i2lw`+I
zJ+9ue>#|pqRo<`Os4+p1<MzS-|28LStU9)xdx0R|sTrH3mn$YVyPp3!(N|f8Z|29x
zt%vXaK5_TP*7ac)YY#5gylm%c)~Kr=`&7v2^gQGL3Cj;wwA6Kbi1-DI<}6MtPgXN_
zc)fwqGj1BstK~^%RnJt8v6__C98LT=fknnVO=H!GvMbl;-}wE+_sMP%>3KiQDvsBr
zvF_MW=dsO%rQL#i($ifZ*KXju|LnNV=~nNxr#`#22B+O{@`=lP61`YWsW7gU|C+6L
zYu;nK9rdrCSiJTrm@h1tdA!`=^NdS8w|VaLe^@_#cGE2;qr)PHLpmG0_ju?3`Fd<?
z5LbS1{f}v7rOxS$UmPN1wi?TQOm)fmo~*EKeM`xeH*fF%>?qf6Ys}lT$*aizssFui
zM&kC(JU81E7`R`%RXr_^s8n9oEUHxEBz%hFntO(2|F(qHcQm$lYVA0Ej)~>zUDoLS
zqz~M4Sii8wT-JZAd7wIgm1|yJ-XS&t*{|s$n~t4mc|XnS{I2KMl<s!CnzC16FPDR6
z|CNxl58OOwJgC^Uyj<z3yZx*4tglS>o!R}^?pCBJ|GodNZmO|GuKQ0r%vhs$_-T>a
zRYQrrr+MC8QIVMctn$Q<oOA0h)x6&==C$vG$faNr-~Q&<E{8v-cfS)>;amCnq}u1Z
zKYrZ*BsM?HdhX%QuE%z6Y|ZRF^$*OGU-ve<eYm~2U2=lm$L%#QMa?XGE^=J4tb6}y
z?Ux(Jq}SZ+?Ao=<OmMcAN@?dLt)H3gcDHXu7<Sg3@A{U^`1C8!`&SZkXU;7wSv74o
ztE*tzN6~A0uVzTZ|DL|L>Y<YN`WF-Z=4j5nG2@4Oj=27-oh?NPRyMA3f8uvmEiWqB
z_xpq9e}+AAObiv5t$U0*56hl+5b%4rudV-_*d@z*Q>KN=+(=34_rAb2)r%oW_o@H$
zG!u(tW5YS~eKr}nXYGHWxzw0FqvN{IU5(c0XY=1qs#&Y4S1*#P+bAR_Jb#(T+{~u@
z@3$}Cectj}fA_)fAv2tIaTvMyU3I^EJ;vk3C9B<w6H^wj@OA#sT=4kr>6J}B>)*Zm
zGvk@et{FY2zOLGN`&`QoTQ3K_`74@v)lR?twdKdesKpZ!zm!eCQgJ+W-_h=}zeVd+
z<4@Ug&UvT$!GT$8$3)H}cKm<NZ?T-}s<C4J)r?88x|zvh$$h7cE__|Qro!cGPJeUL
z|NAdll(u-Cp7wij$&dbDUpBHEFG%mO3H*2BqxWVW+fwc+;U^|I%9inqyG^@sG&os?
zG3=R2v6^G0gOa}Q76+4PsZZLCtZwCcGg8BKGhP@(ay(D<n8CY%|6r2rypll4sX;||
zt{eIFD(te+J0`zSx+`EQYt`NaF`cs&5C6Us*dQwL`AMnNE{`QI7;g0Z)(Bv8yrEnu
zR;*<AMVw!1DW7lcdG+U^4vHEt<tmN{RVhm9cN~)A>2iMHci-~OVws1W4KgP`Ij!IS
zF|bj*^1zo+sq6CG93pGpw;3B)RH*Ab*7sh*;vZO%e{|8#eZ2onYql)n;$UC*=Ifz<
z&)n8I*Zg?3$+D@O@w3fwxv9l2A2+h+KNAQ&&f@6Nt=F*jdb#q+j+4L6v%c0Wv|at!
z?zZc%f9L+Yx?4_a4&8s+al7Z0z^@gtqGu8pxg`lCKDne@_arwUXG(y?gn$|qCq?TC
zL2l^^qF!4QTs)b#KNS^mck$@$irT^?#_->1m+xnHog+opju|K!?0>gvo$t;9!x!T5
zg7#V+|9D=D{GT~vvr^~DnQQJI<lDRWdey&ODh{VDX7(QB(kq;9`~O7rx2y#r+tV$U
z8eN(bKJUaAxtD9KMY$(3O)_w=E#q>MF*~_Tk&k12lrHyn|BUD@IouLQ!zv~StLyBJ
zTKCSjoo8im-1oRE(KE9a9s8JEwEOg$>;JaLy*YF<Lf-t7Wkdf|C-DyxbY^x%&N=ln
T$>J#QmK}yo2aRH8G&2GK@5l1A

diff --git a/posit_adder_verilog/work/@_opt1/_lib1_0.qtl b/posit_adder_verilog/work/@_opt1/_lib1_0.qtl
deleted file mode 100644
index 80d015b60e10bafe32bba4e3f36b620f2e77459c..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 19978
zcmccoK8bt(!A(m0Zy(&G)L<SFrgcH>aav>LTd9Zo)g?`)TE{g*Wu#8bXW7r)^Yq~I
zRoxY{?=u(nZ+Y1i_arsp)tc^=yUbl|d3fGQMU<}$`OqhHVnJ={2gcc54bB0f1;@|4
zy1L`>T(0}?coz!#w@$m0^H1vCgFWlLBVyMiv#OT1-`g6g)M%@5WbWJhhQ01yKYB#2
zs66}8Sut<j^pu5X-x=*xoE2@ftJyLvV%bkI-jit?x`NDRub*Wfv%JLfJ?mLMFVWKH
z{x{D3IPpL@P2$F~(0=>tOg-nTe!a>*w0PchzRUW&cf(iyd3jWOa@Um_i4Z5|%Gp~(
z4xF4B%fBY!(u>O1|K<zk$u%We)jWQjczT<@W|S_U;vDW8p|vG5T&y|+UWybQ*yP#r
zfc106g6y`93fps-4fuAXDdY(4Wtib^l^J!_w&T|njn5t&)yv902iMPCmS9wsb<caL
zZ5WrP_Wcqgvv(aVJbMZ<D<m2=O$bO}bv(Er>(L{HtxJ|FN?B?tmKdo^EL7p+6I3p<
z7vbG<XKH7Y;i~p<Z9e~#yjovQ*e7j1@x|!{SEgCOp7eRHcNq;@h3CKSS{=5en(I7Y
zfA*0gsdbn4ybw|S^iF<XX8oeNgt$*t&J~JVp5`87+O+YSm3jHB0MDh|_GX_Z?VQNF
zDoe<y!}|PXmjEu6hXMC$SI8`8bUQq27xNqc7q|MaOgP)Hn{935zpfRxSf7`4RkiDc
zJgm>VlCzQIG@`b70dww)>$`IT#cF08$|+5L*w?pUb#bw&#k^K6ftnN%haD?29vB!-
zSfI$1pvaumVdS<#Ent_{C5Bry0b8WAAM81y^2GmGK{$(aMc}VPu3v5*jjv-^-kdP&
z+>GemD(&e_mu5_KDLl7n#pQGNt{5@6X3qP)Yzou%kMp`?issH`j@!R(mdn0n=MFo`
zeV=iZYv-YLozJdpdMUQi@oCjtp4ghKnab`8E8od5v?-ldvJ$$x=--AFdNvc5Cuw_`
z_41u|*NCkxz2A9yhsT@L)_c!pBs0E|p8M3g|K=|9AD>M;o?fx6R7e$EWc<iU#XRtd
z2H&9-hBZ$Nm}jhDNDDAbSaX1Bp~b^gL&im`m7Gk>c#mlCdp@*w*)hX%+to|wd~=^x
zRUG}<x*@^d+ii2;@l-SWx3hWVc7<2Oh@78r!{eXB#gB6y9BPhS@HG9h!m9Ph49xWZ
zD)7tg(sC&^;n}v6>65>!-!@xwey&gNyZqL+h8|_KJt(N=bF=%HoXuvQz8~k;yb}?c
zc2T`@qsIAOE~O92WlS^rwq>hnne{EZ^r$^U+;v&>o&1+(TP{}Lc&uDqzg2T}vW}kS
zdUIE88}kG?#i#$dB;8{>Hrh%poBs1dr|!g>>36Fqm;7Fmdwt)1mPM*Oo?B8TwOy~|
z+{YlXtx#w7WRCvJ+poM1G6)pQuBv&@l<610r}@Y^4fBWREq+e=$vr`Qa*6X!x6Wt6
z`-|4Nyl7?m&{b`<nQ3l~U3ei&u%+#Gu>)2Ik8vfgSF<SWTyf?7^Ce*>{OvYt@7_{h
zWX%&gi_OElDek9z%&iW;3s;J`KAdPRyR;~~&*;+j8kT?ZGqX>JW~@81|GDmV$2tD5
zm&;#?yf9lJFa6Ysdq4lK_LP3ws?zBtcJxzRyQxfm&u8C&E%QF!{KmEA$J%G#9@o6g
z@vAdoOyM&Yn^mSJ<oc7Gw63~&0dwAq>$|f8#cHM;$|<dW*w;5<b#Za1#k|&60yQa9
z9Cxh9cx+%eVVNRRf-ZAXhnCw4wS-+-mlAH(1e8c;Kd?Ee^2GmWK{$(SMc}V<u3v86
zjjv;v-kdON-HhnnPukO)f@V&1DLlJr#pM(Ct{CySX3l%OYzkBR$9dg0MRVsKj@!RZ
zY9Q140?UV~1`LZ<D>#^#aURg%cYJB>vZBLs+t*L$e07&rRk&8RZb-26a@!nyG}X-d
z<!m0YP2m+jEaxZu$oS_lapRl^PRx-Do~B(=T($Oyp_%qiC4R9@dM>FdEZb%(J@RMe
zn`XPc-|N%+?sIEf!;do99u-vax!8S3PG>Vq-;MKo-h~KlySTjaqsIB(E>K!$p3%20
zQ%%dPYuTko{Tbq}+oJE}pETQY(fY<?<=>#Ro}{Cvxz^lO+rm6SPV?!1E>8E@j)}HX
z%dY?Y(76^{T7TPiy|Q>CH@~lc_R)H)+snDTV`toGuREF&$m(6V<4WkJxAI!NUFj+b
zs>`Jo-<1niyUBk{=VIp@i$g`P8J@H`WKAl$Jzr|q!iTmxf=%t^TLm`6-<Wg6*;Vvw
zjB?-eDQ_RE{Id)1;q3VE;L^Qc@@biwoxa)4Ua#BC|F)$3T$R6hLi&DI=SaEiXP5c5
ztvS-o!}P&7(|F>V9j0d7slMu(U+lhJKhwynz-ARR=k)K!oONvv&o7jS;ySy`b)Ln(
zoc@n&n?BgPtIQOglh0DKG2&O+Ea!_pu5Vo&x175@jnwph<yq4grJGAK{bM$>3-dkS
z<`lC|_tk3)p6weY${5AsrY7aw$yzew##D{Mi`iz24ozoZd75A4uxtL^ZH}B8pOyD4
z68dz#Hq{^?`CZueVrR2Tqsn<~5laMp_3usC_CjIzTen+3lZsQ><F-uo%lNu`&qKkp
zf5MWIEyKROGMN;Xac`BOgUtPzR(rK0dUvgP9_Y;#wfCA*en-d6PKSS<vuE5`+PTl}
zPQ%&1il6cmUag-mqU|Fq&zN^-%e1AJR@_OP&2-tKKK7^5nO~9{#E&s?-Yov^@#p5=
zV%JH5%W{uP*RMWz(MW99HlNzL?YuLyYN~>^u9$L!%h2OxDbt5d-6;*M<tr1i`-~QB
zt7TP`?Z{HB3Ro(!_W>85=8AgT3a*VaL%-iI%2^k2_;~)o{8ZsXeLFd?)+qO%oS|0t
zIY4(#+X1VH+y|l;b}jHeAgb{Ffww{bg6|5s%JUg!sn%u132vSLM8<NhvXsj?(P^f4
ze3!nuc#ygO!-*IT>G-W2Wh*Dz{&+k2q)*N5w5^S~Dd+gUB`1HgRtnc_+W2AB(Y3$2
zJ^C{j3M_Ay3Y0UC{Sf-|Rolf0&vOqrNA7;`?6S+kHAm!?m_DSM#O?meWEphF@2|Ct
zs@jI5iv^-)W*X(sN&c(-FEaC7%DVk3XOD|7sLKk|YSEv?>@0t5<`l`5n-*-@vUlff
z<9x+fwFk!QNJ%p*#ao^p+P~sU;k;cnNgZ3v1ZLH~o@p<tQ}k)h&CLy!mpSqm9Ln6Q
z_GXf(#r70$1HO)L3N-<}j58k8I0rOLJ$Aq>@aV@w-FvQSw?5}x`NMi0>k0FYxt!i=
z=az9^zcceq%oP6qDJvQ}X1(0ryWaC>!v#0q3!!^G{=a_DllM*aQCen6i-}O0m1~mQ
zIrCyk#{X_7f4XoSoLP8bOUjCQUt;}gg%a}a3r+6{+`OEj{r`u)#_-~`&4D88=6p?-
zd0Tf?>J4wq<)DItXHxdBTs~{+O14~SM*CSuzI|lB-6Hy7PH^hI2AA@=&rWjQytyFx
zQ$%n3;loC8dDlMN?>W<Wzw$@&{mB!S-*b1+zx)1y-L3r#WUt68M4yN=xc=ar!r8{V
zS_iy-OYJ*0zcY4u>eq<Z8nZr%3zi(Q65N?M|DE7u-%n0%rz@Vk`8ILI&R%B&;p*oM
zZqwE!yz#MJu;Ztc0pp|)1*h=SoJZb$O@6d}<J`G{p<5QT&3XQ!eZN&g|CyBd1uDkL
zlNY@Vy3*2nKF-tSSo1A5ai!+A_Hzy2_lU1t)_P!mRlqWzOy%Rt6gDxxch1^h<IJ+y
zp0#)7s(k-<XLkJC^htOM_beah!lFaRnik&PTgn}J?3B%`?6POim#=YS;;);o=P!EX
z{NJkI|7K5JHYeO$H{$#^s|){nMGwra_I?mO{o8`;e!YtK-&QOBo82O@wcL|kdfAUy
zMcb!6GRj}(ZNGbV%2Of1X9m+&?9#lj&pY*Zlu3B0-KiM4k3qYPq6#-|&8&X-&SviN
zjw7y`h0o6zb}zWgS*!lZbC=$^GcLN!RVLLvTlz(rqVlufRm}Ui{&p@GpUMuG8V!M$
zGYlLy1u#BfIgqp<^TASu%?q>@*%Zu_at!!PRw&eK2xu*lOmL`o>fE<>f<r`2);|51
zoS!pY4Qq`W64b8WwsKP2b2jYiar-Eh(w7oy%;j^{YGnRPZ?0%tQF6`dVuE&imE{uc
zQ_PH$t_T+klad$uRhK;d)w}zY{M9E;tLhnYOIX^|gzmK5T*}B4tL5CuV{ox7lJiXS
z!`g=_OJ_ALvk!6AwLfvn%I?EeQJaR>z7_@3zZ+bYn5xM&pE-5m#Hk_E?%a%(de`-P
zy?4*$HOXr&O56MTB9#=qHICe6xNpci*Xu{m!YeAzCUsWKtD2s&F!i0$&ca#IMpDg|
zVG_%JiuDe3UrKR%4znTO&NQVQ;k_&~T&)tLF51rcHAmyJheY+av<9a+y~~p;Yy!S4
zoam*ZSo|zqsclZMN>1c)jUAWX83-KR%i!=zI^n^V@C6Gv&l?yP{Z~+$K8KSfFUm1-
z+q)GrlpY_x^y4|_SGIb^S-$aoC3{wz?3lRsuW&KPEcZ5tkoP$cPwd;d=!2}VYD2V}
zR>Ji+CJWB*<WzjaT&y_5eVRn&`5eB5UpCv9EV927dVQ*)mQ|%TpUD(nEyf}yo`Cf~
zxJ6GWEc#q>t6I)ow0v59E9<h?>I)K6W-d)XdVHTjqW|U(?6I5nf49DyYRL8~>`L6;
zKOc4pHg(<>*Rx@r^Zxgp-Jz~OHn@~+usATsApVqf(7o>ujPCR=wmVU;lKpF`Vaxtf
zgU_FzTijI82vxs$fU7Y);a`h>iS6<&NqNbKUgQfVmR`DUF6y7ZaCfxqG)@2AQ#~En
zs`<>~=KGrL3VpzEd;Tju)}FL`cV@fu{rHgZVCSU9MHcGLlEOEy$+%sZANl6+ipx8m
z8&73e&$1_rr9f$Z(C(r(g`h)E&si%>aon(1p*Prh;qjCl*Es7!Decdd&!Q{JS5z}*
z3iM~JW7lXC?_4y=_CdmuPpi}?$Y$AzdrfgFZ6GBtbf_(S`lxU67vJl568nns<vz)C
zZ427BHvNf=wA1X^#0LSF)~vgFTEXtscShkU{myO~^~c{#n0IbxLhN19j#Izf)fOE7
zdg+1Yp@5P<Vh?QC??3U6Y!7EqogVmW-|R0pZ6DV$g#SvIrI#JO`$<B2Q&9Lsm%_kJ
zD=vSycg3j2HFF;GvMEgNALn)Np=0~`sgsI%*b@!DGb;>hei$&%n81)0;Fz%Hz@r5g
z50@G+F4j_TGBxKsqQ&d@$lhUTzv{ks%g;q9E)&uVNc%aP(eoSALtokBMPK(j7k=&X
z5b|uUiinxa{`*H<>XU2Ta~-vwF0ESj{#2`)rLRe(LLVt{G1GAK)4z-Neo;8knKp%s
zooAxlgGWgl%3LP1tbO5;&bjsF+7jkTCUY`VI4d@H6m4J&XjRC4ki)pEVXKpfnc&%o
zL)>dxv|Ep@T=}E&8S9D75_377+0QMLoO);GnHy91&(B%Wz|r+`dvBlT&xT2EycaI+
z_4xnzJx|^<)kkTbB`qdFX;!X}=vF_Q#^qi6e!u5T|NY7z_4g-Fn19dRA^z_B2lsC6
zUvT%Tyu$6%aR%3)pHn#7e^={3*l)gl|K`k$xK*@QPat;Lth>S4+PkI%zIgn|RbS!e
z%TKLh-+Wot)x`Wf)NrXc%j{FY)Ol5#c_mBU&$(itcKUOv+@EDveczB87oYCz{gSu#
zR_66B{_M*4<+dyRi`COwf1lgj;#wsm>*1~>uV<l4uB>^j@zQd-*(BjSh7|WXRSo|t
z?wXxAuJPGGagorKx3#G?D#`E8$rn4btuU(mw<Kc8MNa*D0`@NycK>s`_0y#|m0f7d
zRKE{jckj6<c=nH3QnKZ=Z?8;*=+(yduASS?H8ZQGBxvi3jw4)#1usjP8a8#OB(RpR
zT#(ggq_DM?RZ*%VOR*$isl>tuTx<rb>qCrW5AL4-W#U?f8;4i))w{dgQRTE2UofMZ
z`L&GfMdP{u!zUeO%X=ktNuD8FXy48=H9X2J6TI{z3qGqfUux5uXq;>6!199Yakg9O
zvh~+y>9Ed@XVw$^5_7mMz{c@|$M@;&r;RSyJ+|wde!I4_QQd{{?dAAC9;@Rgy_;K8
zJgvI1!tR1e;aBz9RziD)y+Vy2UD+r8nUuH%wS^CF-u5N2xj&)o_yx7JS?Xtx-`eWO
z%=}6v$!!bgs{1@H<~IwTF&^*RnslyKd&!;IVj4fgbImrLpT(f^zqE>>Z}nX>%|wmQ
zpK=xn1(emMmIx=myH{B3tX5)FxlblyNnoY^J%M{K6n5LV-THZ;IF(&$%T&JyUw7~M
zD0ucySyHm47(L^dqJC7?x`@LE^AF}{3LolQ$a%F!xc}q~x4O>(Z|Aff*cF-kK=ksi
z1>VO*6~4dmHt65+T_IO^KEo{6x~#a1TYH<PNHr?R=dC`yBj~yKA+5lPmJ91Y&Irm9
z_z<`6+5#ih$(&XfnN_v8d(YfjI>FF>+qreE=DYNq-~Lb9pjVXsHz+2Dqa;;MI9K)M
z<Nohnj^zy>-%QGzvDkNADB}T{)9&{<pB;}~_jc*8-4<7lvl}Wo`MbugJD(hO{?GLL
z|7QBXpA-ClU&QfwvKQXPMjzOF``QEP>t`2)pZl$N|IIYTe>3AGwif?omtHp^R#Dfq
zM}|3M-uAh@Q=U$m_{?D1%3Ycl_IRiMjxz}_H9Hj}_b_OeQB~o_t(sL&-tC#Ntm8<u
zdBwBK8a<1Si4>asN!+1x^QC@fpwFKCM?w}BkL{fq^rw0`@I~&wSo7%Zff-A7KMc?m
zUwpvGPwfHgADso+Gb|Oh2M8PR9dJ{~dGwcI#`4{sQKEJS7Rd7Mug~E7efxWOrG~e-
z-t_F_*;)rz`Tr1H6s^{l8J;xBS<?DP$J&(zCzUMc{VY-~-z;|Jk0YmM0n3*ziC>!;
zljo_~Zr30sFU(h1`1JRt^<Q)ztxfCk^XFMOt>6*Uf^gShmG`essP%4qsaL}_$*8wF
zg*9q=N7fg=fUPV3KHw3Y-B6koW;U_s&LM979_`ixJ68TEJ;Hiob<AAO;{J2XDqHW&
z<oPj$-~aB41`f`b+j~1ae>Ti><GpZlugCw(?|JgtR3D{vmb92$qHCQ)VxN$2-?2F}
zBUTmd)%y^;Y?f?L5r^#pi}xoQV#*V57v$c}4&LJwagpQg`CHWsel#uM-uUf4tLsU-
zCf<^ze_n2mTfI}QSv{T9xcG8*{g<Tvf0^e$u(Jz?_icB2SEK)`e=g_t%Ai`tw$sf?
zKHuG!+~_;6@v-*4*`nG17+AvhRyo|Wy1T8@S>y9&%|$|&{?w)xuqD5{7G3OYreIV#
zZ%f1yK~4R86Y5?l?5=gY_0y?1m0fPjRKFKrhsd}N8^`MU5GUD#E9<{B?>}(=Ko4J4
z5zigH<kjNae4?3-Z;8E}eYQSWDU~g~EBX@eftNzFEKk<BDDzLarSD&GS#|xTV;U!o
z-x_{kdBNPA?UtUl{@T7Zth2?L^#oI54!0H9I)32z`rx&p*@e(~5ymlgHE)@2eXX7B
zW>mRNq<Dttd5iRfdz=B6W~(adZrC|%(WEdglbno@nw8H<iCg5h-JVi*o^Q3A_T)O{
zN9;Nh%qDQ}u#e}Zm#6;T-SBzVZ@x((+iO!!=*{l<AR89gP<`rA!S)}mS0lQex#aCP
zE}Z!0&$K(*yi)J-qSkx6Ojwg_yT7!3U1OwDVyMQESeyHXyidG-^aNi~c~;h0F>llK
zl!ag48SM<56>SvNY#BCVF!nc-qAuF@zni16EJC(ysohc!pM||SRbEw_<!fFEY1>8H
zel8O@#$5f){YOrg*oKAsLfuQX9&k#Wy7WL)RA<%MPYbeMT{4*R>R&0T@d0Yz39h}B
zx#gLl{>5WV7alQeR-BxwrtGm)Ug@P~r0yh}<EAN+=eRq9?vw`{{n7v6&BpqMt;+Mw
z1o{0Aaciv9Zaw#D<&Vq&))SLU=5jLMKeueA>YbTqc240xAGe}`gYV_`-Zsyl4NY#m
z7e4Ow_`mo)PhQ%9w6#er_p-J<pL5(~Zc$#<L2ViRy=nW`d}ZqP)w;i5{e#ohq&ac^
ziKY{>Z5zK!ygn}Vq@(;xA-AFSrtT}(dEGwVPuSb_cJW)+y=psmO6dqb3AJ!qHIw0q
z`O>UOVgmE)%Ea0#PH;c1<KKKl@#gHh$Zf%V!N<?>gc<DH!|}dh`*W8LR=LkzUM@YE
zoA>$8c-YUVWMI{FmTS_TWUbJ?Z6Z_LD@loCP~G-n#-uL_egDEwam47)|L@eb*^^~0
z)8!1Qtgl;>xA!ouWX#FZPMWbrY)JuUuExTmS!PVFB@8ZEE2|DH5xTprMp)x>WXB>Q
zw*9rKHyV@QwS^QrPqQ<syw@4AWMZ)Xy$Rhf6n0N{yY+KgaVq<^EmQq=eBHgrQSj^^
zv7}_nYlC^L99E{j$ota#{?+}Xaq`A#;aiGwSzW_Q-|H<ruDxhw#Eh%cT%LTr>QVTy
zG-y+OtSaxiM^*{BYt<L~a%rmlR5Q|<c#Flt>AE$;ld})ACOw)tUuv4l2elIEW2;x(
zG49FhDB2l&A>x{Q=-dk-%=6Z?tUbKx-ahdK9vuZc0`C1<mz0tD+9k8O?`M;F>B5|!
zyHplWaMx6ImN3$K78GW(=9m=+(--}OxXqcXES<i{|CRP>SNo9q+_C%U+#mr9RolHs
zj=Ee{(`B&Q_q%R7e@u%@LV?}A?gf2HtZ9}<n!Tq4{7)_3x@O_B5RGtB)6AE%7rrF*
zH)fvy@RMCQyl9)#yB3{S{keSGD>oG}wsFr%@+q%aa-(m9#>ZMkvqiI+7+Atxs~ql~
zy1T7&vBu}kFBb`2su}EcFsc1MKEc)X7Ob*M->+*rFS+22fLhMKzegF;mZoe?%)0ZY
z%&1D*@7QD)3vZtfFIdm7o><J_%5t%hxj*36|2HjNeG|@va0ZYxUolmC@zdW|_S{vN
z_m6Sci*oU=8BY#$O>{LcD0#2f*|veNwuGsA){5-r5W($kCzANierT<^)!;q<OTqP^
zl~-rnm*Sc~jd|h3{WGWC={+v>PU-x5?>oEJB=f#1Z9k_SskD$$<H+5q_YHZsd;RG7
zdPU`#RcFOKt?4NXkG?b7X*es|Xcm3PW@mHP2(2oa;bPJm@RFnGz^1~M2dvE*3$oKT
zDr{fFWWaBcqL3@Jn_-r_SytRt)%nk+NVIwIianKU?fCid>>JxB%g@F5%*o7Q-}{DT
zj+?~wE&LbM*H@SFsm+~gU6q^gk<I0jfCi_o*S1rOR>>|h5n+|DB_&VHQQY+O;gsoL
ziY~qn|KP~4!B=_e)~aINeIo5rAFH#H+9vE>l@uzXv+R|Jgz}V+1!@`13w0)>F<U0A
zbrb2(I_sjAu;%lngm32pN}Ty0*i^oG;=lA^ILqCrz+Zk0UvA#LQpYfTYQn5_TcUSA
zxu4z?q&d;0aP6iQmpSfTG3uem9Q)UdB{x?U9DiK=W$$)=rtSKE<!*d`_DR;ykPV&}
z5PdA>z_m9wADrEJdBJbt;|kN=-x>J5->dLuKL^7MnZV4b<kua)ezbn}xS+T#P2jss
zZzD&At(eln$;Ubr%m3La^{siRQe(MTV}_8LL4d0c!+}>82@kdkE?B_rY+zXSTtR96
z8cvovtAwO!dXxHvvb(1m^gX#fS6R~J;DsNt&RoTg6ErWf&T8^(RJd%Z|A}+@ua|bW
zxhz&yv}|3d5;nQ%<F+5iHhpmC;oWdOBO&m3^J3F`iE5mEi**XS)R|`c*~jIs7P0(S
znDjT<y+)0pSWrT!vD&Pa|LTv6^BsKM|N76=HQo24@9&O|@|MSHJDl71y_>2T8MEk0
zNWz}z&sVhWE}O1GQocnWTalcles)R6>$Hzj-<%iih+-2K{hH(9vUBgt*CIlbcEot6
z2>$%m;k2nY;HgUWgEgGf8cc(I%mm-PIK=(xiFT`3=E@(5>8vN3rRH)fGoM>_bMBp)
zJ}0N}pWnZtf#b}}?Y&H%KO4H-crQHM>+!$&Jx`vR>Z7!iCG;N4#M8c72%2k*IuU1l
z?ZG*<^Nn}aj(GiM+IDRIPT%FJQ!8F;L~Rro{NidQxHD<qJHe^`pPanTS3LRhZ{mv1
zxy}Z~(a#y$uB}VRIcvLM$1iCE#wlS6PSNK%k9_-^{HT55+_{FOTNb$8dH#ak&MKk*
zd`kQRCFA7D>s|(3nbUhduF~aL^DZ`VCFi#Ga}4<A8X0<5uFCU&cXrwL&HuS3bG8Kf
zC*;05(y;5_HAB{9zkAv~ccQm6bsyJwcl&(V`nl&=_*VRjtTC8-c?Lt&@qolD?+z?F
zvG;-MN9hIHP2q~>Dd!FOcf3=oHJGcnK(orDTxsvRy}{g-zCZmdWNKKdCKN4{ekb=)
za8;??%Iv%8_e<4%*gW^-Tnwqc?ptGAr=IYjQgO+;Wje;{D%#8(CSqx!j52#3MYKk~
zfA==P(Qeg44jYjt+}@Rnf1@S}b<THkbz1Y})f0;qTUQDia2q=_l(9Wa=*d~Lpkk+m
zL8Fj>f>Wpy=aE;>lMn8ndg(&8%=>vSv(t8b|DgK6z%@xptvn(}^|N=Ceb6*hDV_cf
zcY}46Uu%yvPF6ip?Q69C;932bg{-~`$GU~Jvd-VnTDtPwloF0kQp(N_?F~<#>})y2
zyXj-pgaVriZT=>e+bdc>ToTM)c&cEN==XMB*T$-n&q-T5mMzgL<kb*uiZaVe`N}Y5
z#j2_VMbW$8%4IZ){iiJw(r&IzZTgw~&S*)o^S}E>m3-b2OAN2+-@DN8LczMx?bgrT
z#i{IXwoLWo`MP_Lrr_B>$C8pQ%f7ubxfGUhPiru**Er?!{l0PjK99<`JQpRzB3qrA
zA3we}Yw7eeq1ygGPMiIk@SS&#Lw|L|gSzP#7R>cKs2KJ4k>RzjtxD%Zwe^lX5);}s
z&1I%9`{kqBb6rn;+j@KRkBH;_(zWOOTrQpcbHnh*jEl?@0uHA;9C)_=!GpE-3l~_+
zD=CP_sTla((NuVIQ-NW|1;(tX-(K_IoH(}Dc+>84rpHd*;bj%M=;&PZ;l$lb{?hCI
z8lPEy#4)VhBQ5Li1a+y*Z?_&wvrMtru%&(0t6JrGKRhPh44re`E#z{(vt_bukdEDM
zS*Jy8*^-~)_9ySzCAvr8wtNsDYmfN+JF}Pe{P?is>4rwmm6~P21`_X{nFV{#bw2im
z<N2Ge_H{c-^YWd#_j?GH$$xOY7uWn!>u%a={!?pNIsP#QIc>L{t^FkL`%fda_ZL^U
zaJo<Y^(*yFnnye@OUt~6{ktb=Os(el#V&hXaP|M{Yb)8zk`7&3FK|of-i&oMXA%!g
zIJN2`|75!xb2~j}bu3V5e>441%dUK<XJYf8^i-AaiM+L>>hl$?q-`fmm&GmO*4MsN
zZfAb<t_<%>-N>p<Rt-~F#HM)$g_b>@_4D@{#nnrN_GCU;zcN>S(aPXG?JYJBj^1>8
z;O5C{<mYnzZCJ9(`NG^(T_5|r@U7j-u6u7>^Y2gjoPNLXk6`Vl)T!0Hvx?diSbJj@
z&-J>m7P<VM&c&N|Ee>7mWO#C*HEYt3!1+>(wro+5v7Wl0{pQPc8)GGwz4rV&%ZJ1A
z$uiR&#z$wa*%-d-dxvVm;azFPffF)chhNpP%}+V8UsmQ^`|ifJi)_IYMB~mE7T<bu
zsqe}vBi|FI>?;;==N`XQzU$4u`69bshZgg;Jh<Vx+<VFC-8q|9><e9cZTXZ*MekQW
zjVj#XxbXb#bss&0e!fc&<Tc~Z>0Wu4$78kig02oWfsW;Io{r+TUTk)|vgyO!6Rgdh
zi?UN&E^S|x^-tb(+lx>G(e6)il{4yQ9N7@Ei@%lU>l&5~@vFPv6hxJE6k9gdOq0z#
z6YRb>`SxvP>3?s}a-M1s-^kfC_t4T0J01HT3(C!Kj1J2>d}PPtH@B?jyqEoH)Ba!i
zYkbnrtNRoLl-@MHUu-WHdHnQz*;H9$u|)CS-|AiWnRdN)JF?3y$a~>sA@SdPYvPtY
zoA6F`4*zWh=ed%lYdRVtU%$C-5VPz3vT%)QcJJ%b>gUZ_pP3V3zwuR*9HY~u*raE5
zr<bgM@kdy#dx50<j9X$H8)TMGYn^j`9?Jx+^WAG^O)BG9zM`?l-Y)Yr1H;~wx)5d2
zEg#Q{#_bUARQx=5(^sKg3-#26?%g~Qd+p`L6FZ)qJY+R#srG+E;dQKYB_~~a)%#eA
zZ>bp9s`KAh&2sa<`qjwLF|p8J^L?P__NsO1v$?kO|7cl#S0#MC^@lADY#Y98kK^27
zek;R~{mLfMxD%|NeT%X`c3<A!RC**fwd1w!s)F^5Dvi7%N)b*~{Cz9<FAJPf*mBGC
z;31cznf!B?R~UEB6geb+{7&^1|MIllSgT1&)@SWzvz>a-5chXN<gSTPw{MHjpUFC>
zcf+0C6EFYRa3*?##f9Sr@vnM=?rrZey2D>>ccOl3_OGSEE&EHq?En0J!%VYagGFa&
zCN3>l7W_}4IjAhOLG_^I`UPrs#jiF`H#GeIYTEP-x^Es<wMvU;?%6iISwXhGRx@Mz
zh1zSb!WGQ>*EnrGyS;Lcgx*ApZ!&cj^D{14**rQT_CENf@17$MeoMa&pB}#cYutI>
zKi7{qt$MQk`djCknH<@^pVsj%&GX!K>Z9WAIyb-BVa4SSJ<7GsQ#Pzy&sNnu=Vs=H
z9bC;8jIQRA0grzs9osYE`x`0eik+d)COMp5<0k%%=}XBRtKI*C67|-<vq}CKzO6zk
zWJ}7o)E%W3a*wX;JM=_zJ7@ZiC+v@JKiMM~lv{E=P?b49_x52~z8Ceom!48&ljisu
z7w@>~){)ITS6+SaJh8QT;UeyoE0@YwoccH4;M?m^>!~ddJSvxax2)Ql`!wsZv|jel
zhwEK^q&h0(TV5D$kulor+I6~DPjc6W#WxqXTrb=7=Y!}{^Iu;(cW1^<I@kOt<gEGH
z-=BYSO`JPH-6_KP=93H0F8@Bb=1AgWrVlf>S#4Hl4-60q*HBw#dh!36L*l$O3J=A;
zy$o28AAeDQ|NDE&!kdo@_=X;;{TjV#!RG9rhu*w!DGVw0eIV^7=eErw?F=W+?@w7p
zYcDVV5cgkpmBE@xH{xR+B$#W))OT);k(qSA;`<G+ry1EcWu?C_ADpJ>q^_{NaO3Lr
zx}PV_?cZ^C_p<C%Hd(KlxZtA;cAfpGd3*iI8rC-oRd=FKyZtDrEZjM1Q-g)NYOL^0
zsVQz3*gf7Hp7CMF^We)2>yH>@v3#(eZ?-vMONHI!$^6cp&rVvrlF8k`vBf<8Y0Wu1
z25;kOU9-L0E$%wJzFJ?R9KxpAVR>od5nZ80297l*hVm1vxbq8ybKYNa+wE!mR_r6o
z3*W|Ux8F(YueB{@ot-YIC)iSPxNSp;<A;v4*<XwV_lp12x?oVzBKF_p-OK!rH2tMr
z^(LmD4eS+{Nj?b3W_8pE{1LSx;A)rRi~NxAg)hiy#{{4K7`2gKt@`VosVdBtufP6T
z7W2RI%O-(ID_%@@VB4DiGKr;U@1E>BDa#$5p+*A1CsiDted2u3(-OQavf{bI=c7NY
z*J;JaWjz$s^AfwWE<x&jO5=LZ0JSygqL)ki|3yX`9ek?sL^k$*VXvB3MbEV>D$i>=
zE9NYlp0f1rJEM(^v!a!LHCvY5SoSlF_hgzuSCHB4b+hbamX>(FXFSX2C0qL3|HQc;
zCk_awNz7Ol+Q0ugIeSoSY92pMKfO&~HA<Jyat?Qm(%O<4Dps8Vnj%F9j67N%uztu`
zklnCRaeD%@A>YC@r5xqGEHhNC5~BodXZ(`UyzC)S@hz>vWlrz%{0f_ZHw!0vi6|C7
zV^?aMGg&1k(w&TTQ;~fod)Auln7Hw;aIwHF_qKwN_c@Ix_U%miAS=9-)OAz*JOS%J
zM(|%@+wn2t%X;y6^GUDj51x;Iz20O}YG+TwvFC68rSXgBaNPaX_QKjnoS*H)<`r>2
z%{Xs$#8h1=(pz$()vjt$wp{Y%?J-M^#NO0?t$WFQo7FUa9<g2Z6+WV85B>1u5t{h9
zvM#87!l`p15u2}letk$Z>2u{F@5(<--ljG4cX7x>9xa-%uK3;8Gp^Bdlq~t3`ua|s
zk4gRAGw;vBiMzP(-fB0pKAPIRZp-};&nr0_-+6tsZ22*Fl2Xs2BW1Jse!ban_w7WM
z<pHZ1GTJ>mRgxKN+q0Jhy6Tz+dY@ol(tEX#ds*$7C#SE)?ouc|X7?=PXo2)3-hEO6
z6P_f+Oj1<%lfTfiFKSN%`<+GSu55MIT-U$m$Eu`<{=FOj2|i)ufA@1s<p;H#$L%sU
z{w+4WlkBorZ7G_|^(1rsL9Wf3%QO2vM;zd2l{+zs_jADa(;B&d7uB5k?efg-c*6E{
zi$3QV1<SnO3k?#TL<^5p+3c%$Srzc}-UsQ01{UXkK9FtYcX8{`ow+}0&WvuA+{IBd
zPH%OxY|%acvYkEl0{@Qn&s_34YIFT1x7aUni&dUf9<{^vVEiwxgFiN(n0G{NwVT(j
zeP8k}W%?9wY>DhFdv^Bb2a)qTTVD9_wEh>Vf1a%WVv?!v8qPVVo)+sov^uiGVDe?b
z>=(@p|06E(efcq`*<k(DEoD<(I3EA!`ta<{t=-GKW}N(eZGsT%v!cTh$1TiLSa&Ub
zzG?osRKMRV=H6cFR<rGh|IbSdvVXsece6iu#JkLTgLzNpxx?zGSASsK9Fgw2$XNGq
zfuLrO%+JG~j7Oa{yEdproK5Kruav#G?%pKslGVP78S4!9gzq>WwblQLWdHMw|0>Sb
zKNfOs7MJ$ab^PY+W?m$#Tic+l&Dj_z`gccmd&e~0Ial~5wm9;?UXt^(H}z}!k}t^<
zTSF|)edk!*u|KS4vFX&Tjm&!AtCvJfiVSg$ye#)wBwbdU?H|t*GeZHhN$u*vy4kDV
zy^lJP`*gA9b?YS@pD#4B`drDHePz?(DZT|+zs~R9Ex%XM<JH86FO|MEXm-qA8z8+v
z`oJgkqMT<{eFy6qcCxkBO$fH`%;MYo)!#u>EbTxZ|2dgE!G%m&H}ct*Kiv0Yl6lOp
zoBfa1ZI{^6z(1wW>)wmY0v+KuWFn(yOyum(`&PZ`ep-r8qwVSGp*D6QcTLh+1Xxox
z?q8C~Q}^TS^_#zZK73?*Tro}a+5S_{ijH3OxxK1M>iCxnUO#RMn;%v1`LcdTF4wcb
z%l;FMxEIKMt34oO+3eo`?@?;~BhEd`CTy55`}1wr>W0tLtU9J$km>*DE_^Lc)awXK
z*`(Y5irty)U+q{@AYCvg)b@t*uYFS#ZJ*XXdmXUi!;%fvn`~}&%x<e}ke~5#))KyB
zb?0v#x^`Qw(Z2iL+t*%Pf8KqXR&JKmSh`7~?yL6~jzbEOJHxHblo@26=<9w`PtR;E
z&08ABvM?;!?ho&xCzrn*6ExT>nz+m{#OIQ+R+Cv--JF<H3mgsPujEg<H08nr6}x>e
zm+Z-Y9=&Li#R2A72Oh~7a+_;y>z-}bmA&Hp?<KK^cz(=meL9^<<hGbc&p|$Ml^PY>
z789-7qYiRkT^+rb71{3B|CV{tB<8WqI%$?Gi-mog6ijw#T+o;oz2b<&+RgW_E@V%=
zBDG~nro@wu8E0239yGn=ctkvX&7X_hON;sEf1J5uVWy6mdtZ9GU;QTEC0cqLy$(uD
zE6;x_wOMPcmXNZ=k(?_Diq&3%J=zlr!V482EC?3$=Gr^wgkB$a%a-^jaa%J_|5LY8
z$+vQ42?=#HO^j2SK672{{rHKSMSfpDI9EkO-crqav8bzif_T(s^)y+j<rPN~*#k8H
za|t&7SWt1<Q1NYXvg~=Uy%VM7+WL=d2~*B}dH2Zje;+wJcdohB-v4!nnDpnRdcRjn
zp1=P|y-)3jf3bS*v8szK0ivqw|9>tPE6JX-ym8sL?%8L%cTJnc5V2zVL9S;Rs>av-
znRQ~@Vp{zLzO7{aAjs;m=1a`3@FQFD|2yb<)GFOF*tWR;V8kyuLyKA4>Nhe7axGkQ
zax$YLZ{MnO57pB%*8F&|`>p@O&#5h(P1b+@XUjZ#-f3<AWdZlv<7t(hO&0x6!u{tS
zklJy}?3GbovEbjk7tGyCEl%HBBv{Yb;<f);K)s#E>;p@do!FtCbMEfP4Ov<XKIAUC
zF|Fp#McH$|m&W~nsC{c^d;XbMW|`d^V|VQ1=-#oVYX5Y*o>P;YPiySCx$m;Vu?|(I
zISFS<)atzz_6WZ^AHC7>*k{W|zE84eE^;l_J*a(Svc`0oX}&iX*>BCA!Z~w-)%3hA
z{PP~kT;!e>yM4~`$4k<Ko;ZE2cwU;;HEUwQ$*IAY^&e}@++_ce=OF)@3$lmR9_?T*
z$v>r^q-;{|dz2|f#VwF~fr6PLFXzES6E?2-<>i{!yL?9F*`Rx>MSE{d<oleSHTU#p
z-J@Y1^Ix_~?1}t+c(=`pS7!M?Hc78MZjjM6CHBnIB*S$)Q##eI^oKp<{%E^k;v`Fc
zktL~9OWjJn9!PF0O9`vG?EfSrF8k!v%*TuoLg{zTUS=r}^t^Ryp^{licE|JE*Mhxt
z1e{w~6@y|`x40&xdPZ;QSRiB|sxg1fWdi{Piy8Ai3$d7H&!4f9Y2ltzt7bRy_U}4-
zWAE{dwJ(0IcsOgp9;NgyH_Nr(^Hk)57mCkV#G0)4CE{h9A_LF$)WZtGD!LtP$$}D6
z{WCI}lmsk$H^#LFm@)k1z5hU^+~#LUQsdi2-dClKY)>o|o4Kec_Q&b8nv2XDv)dEA
z7aK?~UVE&vMX2iR`vX~*gVnCaf6e(4%6U1W-D{J9b|dSL*FOwCMP;QV-#B{vuiYtj
z$&HIO3KiM&pTB<;R;228`(=AdAXD<9_ya`(94T)3#{xtYgB)caWG2kLtdhAfHckI0
zck{yU*RI4D+dORWIO^tJv_oRmCi7&iM!tC;Sb}8Rv)A0xd=p@tb#H3UyQ-yeH@0UU
zu34n>?<3Dcy~ddP*9uQ;J-uVc8xij(OU34}?OD+<@7}k%ua|6h%)JtO?y=Oa{g3kZ
zOvrftEjaa#^xivP$}_*|?pk-rPu6SA{ace`TzWi(+}5096R8v3&#(IWUHN7&@ugmy
zET+{JGS)xfUh3kYR(r^9Iy+;j)-=mcadi)+Cdqv&u6UNQC1QG@)>Dl={wnKE+~&<(
zt1s!2SZk5HfS0xBAggDSk@d3Q%d0kW2=bon4QFx-<7$}xcel=A>yCYYrfD7VT=!$<
zmWsy;Te2GGF77(hSE9Dlz~V~dx;Jn0*W9^tW7Z~(Y0vXsuWr}-bJg#}?gqQxPZb*l
zy7!!Y%-LqsyL<mp|HpFo-!FK)SLJ=U=Z$3_ry8=UzbaKZ@!n*SfFQ@5%ejY)m8|za
z_r9JWAU)@k*YqTHou9uHMFW-jeq2)*QfBp-`=!(<%Wm64g*uzNepMRtY&yRe$>>*Y
znaHeU$RK(Dv7&&Gvfh`Q%}QpBwJW-}vV^FqKa^-@DOTlrF8@)<)Inpb_H-|;H7U8e
z>Oc1Ohcqs|DJ7}YAi3wOOCZmMx<6M+o%EkXoL=gl#PcVp`h?Wkh^i(22W$@&*DSVu
zR=qq>@2_KlWw-cxffb7dE}gbreSebw{{!KNWDi;Gm})V}_LJr81#%laCP=THoOwm_
zNZz(zNA}+Tnl<nD``tI%eQwM<`|{5xroVZzmK-wgCq&0=<I>?M_^`Mj+^r;f-EaHn
ziOw3mCj?xW6}k3(FmOmM<9+e1DsqZ5|G5u=@skZTJEj)%PO1(Io_Ve&tF5eHgJ5iw
z<^I~NX|{Urp9Je)3FJL_$$<Z9vD}#pLKfErJ@#Le<SSxPzSg|(x8$;)GPy>krd-}8
zTvbL~ip7S8X$oaI`RNKq21W*Yh7iiYP)ETlF;BtB0?g+!GzP0QQZUpr<WkHuG)Pgf
zFtjuRGgB3eOu>va1w#V^u4Lc*l++xBkksUiy!@Q}^h&NM1BDc4D}CS8ypsGP_oBpt
zjLhU>{alc;lGNl3Gn06T)%vLwiMa(isl{9&@%aTMU>gk-49(08jm*p~O%1ui?BgwS
zf--E=Lp}ZC%&mOP;=}!nvyHf-3>6Ga6bvmDOccuVi?S68@{2P|;uBL+;w_RgOX5qC
z;>#4$5{pX|47h9!bqx$sEX)io(+pA#QgqFX4NOwgQd4w|Et7SPj1o<_qKp*sbqh-~
zQ%e+d6H80-;}esU<1^FZ)AEZ_Gt=`Fbir1D3GKw>WUeS<g%anobpHa7@p%qlmq(c>
z`1@G<TSNS1ZEnaFWB~D$A;MQiMuz6bre<7WhE|CQk>N&FW?qqYMy@8o{$8=Uu|`}$
zh6vZ1rJ7qL8R(iB8ycG>TO{fl8<^>unk6Q41sQP#8FN9bHRK91;VMf^DvmEoP0!3t
z<%%*@0L3pj48gXUSb`%eNdfE(0|Nz*<ZSPJzf^lS4^wA*Gt;C57ng7&1Fk4Du*M_>
zmvqBm&wLAmBqxU~gD``zs^EBsJVP$e>^$F~s(|QFb5p;(Tz>~6iwuwWL}RWfbA>P*
zTB0lzQar5meT$P73X1ZxQj<&c6H`*SqAV544b4m~EKE!+EDX4!3=I@4FqP@UV^pt<
zE6UJN!Hs|##Y{sZBLy=q9|Hv=QzHcnt|&tzP?Y!}MM)7T5G+g;47iL9xuOh>L0&en
z0Hrr*Sm_yn0auiv30Tft0l%#^Tv3Lg5Vtf?&@D<W$S*1>D9TSSN-Zv~F*Y!$0VkXq
zP{OIvEz2(`snIPiNh~S0&CAbAts!KxVy2;?u>vT7%oOs$CP9+Au8%@)Nv302t^$M=
zlm}z^!^J`otN=HVy3D+^#N-lC!YKn~5<{*aNCrlv3olF4^ys`GKa*@He{Xl!Xn&K?
z95+L*ATuscYg-p9ZznH51H<s7@SrFcTSxamZzHZCbFLr@t{_XUAVUMLAVY8|0gnO`
zV+C`rAVVWAlrRr6H0BC2G~o&|1X~aGUyz|0++BW{?lO1vc8zy+i1qdKuyPB|53x_p
z^Kmc&yUQ=$%fTbrAjR1*D<vnyCCw@)A~)L@zq<@f6f8(`R~{(59P+rp;pP{gnTP2_
zhX@acXg7oWL|11g!#q>R-0<M|EMu?}1Dvy6T@rl*-F$ov97CMrth~H)YzR0J9EPL>
z9n^^+w}D+*T$&V*B_wkUtP--)ZNqFs-MoD>ea(~NeO)pj&h+q3Nq31e&2)DS@^iMc
z4o`?OHwYo%Ok)EDLqpPo6682ga3<&HmBkw+V}_=QZAQ9}hm%!EN{+j^mrG)XZB~AO
z0XQ@*Gc!{hd~M9b9L<x{O>?cY((~;>B`v;$Xa*`2ND0ldl*E$6_|%G$qQvBq_%bY6
zB_YQ+$t>0@JS;dk(A3h;!9Oe2-vknnsdjcIW`WL`<~DYIxkd?|nWnKOL56sfq@k&)
zf-#AC#U(f>J|{J;B;GGR7&8RJA~SL#a?)K=LxSA$JhH6g5;G#QAX&!A-8>`QFVev<
z)HNu}(ILpk)+of7KvuReRWKwm&p4zw6gw0_oam4j?@-KD26A#yW_kt=H`{t!_ypuz
zWO(Lf=0)WBXE-{#_{2kEJt5W2$UW2DA}Z7&GdsaFGr_?)%>;j#8XK6A>t=`>p^o<P
zcfkzaSf?z*NF!h0a6c<2qkOZ3q%6C<V2D%my`9p`10(FB9UKiUj2(S#><p@^@CUE4
ziG_kOs0<~(sLXT7amaT_;VSd-cfp#`ZG3`4&0TFHjolsmUGq#FViPjWpy@Nf-po1H
z(9$K%#WUI2&fF`?HXzpkZ~8PgGFLDp%YpHrd=9V2F$2=dIx!{5CN|M7$0N})Au8B4
zIwvU?;!cx{D5K=8Xy<@1Lkssb>m-Bpv<y%!gD<Bm7@Cq+9+kn}hG;dSH`WZ$nonU)
zo*p@NiMDacaqgBu!8zeU7LlnCH#_B;r5j~>m}gm-IcBE0IT(6|h6W&|Q@pJlm<#mE
zxbU`gkkmn1Jm%mQkAgXl9I9AsXn?;JgD|m%OR<>REg2Nkp)DF%jbD_S9-mrK5T9Rw
znKt|qV$G7xZKFILgOe-+?W0U$E#g7ZYsTf7lNT3|XYL(f>*N*gnUSC3>EmONLZGrT
zvs3^#JBZI@WuS@*OD*aZ?pqb-;h1TWVdN2EZ<ObdQ03(b$^GUzf&TG^A(4S5iCOMR
zNsjTcel`~PGnb(y$?Xx4yFeKWL}PD{r1?c<g(svNIOQ278iyJ>**R2s=R=%m7-{40
l<lvcZlN09`ZyRN8mS`9qO(5(HO%%*XtLH&ZbI1d=M*vmoNH+ig

diff --git a/posit_adder_verilog/work/@_opt1/_lib2_0.qdb b/posit_adder_verilog/work/@_opt1/_lib2_0.qdb
deleted file mode 100644
index 8eaebad51579f46ee3e2b42cba24535c5fa726f9..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 32768
zcmeaxPf5)w&dgOvNlI5RRA5kGU}R))P*7lCU|?ooU|?ZD046j(BSH!%i<v>sf`eCn
zCIhqFBL?|}a*yOQaH<`Z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70X!kl$jrnpE-TB}7+I2-
zl#`lRT9K5KpPUV&nVo}N9Yb6dLL8lZToquV3L0sdIjNZ`3Z8x;uI{cuIts<9g-D#t
zs#F9oKP{~|wFJQr_45o2b%mM$)~Ttf&&($7EX&A{nU|7UQCyglS&|x`SXz<~=EuXV
zjW>j`KojpAAYa8YvWrVgGd2psTn}<sVrd14VnTO2ND$rW3IRc$zK%hW3f``fItr;3
zsksFud5O8H3L&l$A)34l3=9JD8yMt&%YT#qDE~(Ox%?yfyYe^WFUy~kKPi7ie!u)K
z`K|IBKu#IOqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF
z0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd72X2?1sSW(Edkeh|S2B6vXr4~XCf
z5nLdG6GU);2zC&`1|nEN1Ph2@1`$jkf{~el0W$yZ%pm_={<Zvl`OEUh<#)@kmtQPD
zUA|ksUcOj9T|QdgU*4HIt{*jJGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n25ks%39vG9Ffj=*
z7JF^r;s>+&nJhiZx%j|rKIV%q%eZ*KY+jBo8yPMhFq=mvOMVj<H<-=MW-M9H#RX<_
zF&0Via&dy$oJ=XAE4etpY!1d^5i>4!Fq@spQt&Sq8<@?;SR>HL#R_J#GG6Cf#l-?<
zvoLMv{>;S;W;3(8v90A|0<)Qz!<oa_8Cf|wm^hfjxWN1WCxQ0=f02JH|4jbA{0;ew
z@~7kv%kPojD!)#Cx%>k8nevkcZRn3WY%~N$Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1O{aYu(2?5
zFbW8ODSm!1#m5JxczMAT4-c5)<_1$-Twscm6HIY%fGKu%FvZ3OrdV0Q6blQOVrB+Y
LOiU~+oE)40|H!4s

diff --git a/posit_adder_verilog/work/@_opt1/_lib2_0.qpg b/posit_adder_verilog/work/@_opt1/_lib2_0.qpg
deleted file mode 100644
index e69de29..0000000
diff --git a/posit_adder_verilog/work/@_opt1/_lib2_0.qtl b/posit_adder_verilog/work/@_opt1/_lib2_0.qtl
deleted file mode 100644
index e992b4e51e915a7c6533dfa2c655c4f7d2b549e0..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 19491
zcmZQ9IK>yROIm0B6ANF5UD7(jUggc(oL=U|-sW0*HcaF0dM*CmzyCovKAZsrwoYMW
zC>MUI!}R|@Gy9$lMvxd1W@BJrID4IeVeVRV8D<6sh7~@{3=AHN=00U&xE{-px{`(c
zNg~gtORS83(->dbvN8ViVC-sOXS6nAG)rMrJR&@o<GKb1*VH7&j3WXJ3=E7<x|v#~
zqm?+^!#KIN&S%uHV*!b#)HAkz^8UcV_n7VTbq>a}a~NM(fNW=cTEy7+1mrlzfK!aF
zt*lJjEg6;T*<W)^yUKQW7boLdH%5&fu*y_v#zu39${a@5lWa`e7cwgQi*<9fi?Tno
z;$VE~#<&2YvQ&z3p($9UKnCN{gX~P(H!vz^iUhMU96QYrK9$wcnuBqrE2Bae*xXWa
z#)bM2)iI1mS8_33PhfGpz{$9BGNXbTM1?=&!ub#tHjIBN7`Of{f5&k892fiPb<A}!
zV2O3R8QaR4J^!&Woj=c5HV-Ve&z^BfJrnmkRwnj$Oh)(E85kIt^Y?Lqg5zo)H`B~_
z%ynm2nGUXIJRi-xh+%O!4}0|-#@!|_Y8Z;XU;fQ_{R_*LA3Thc4>6{+fSvJ&n{hiE
z4^xO8<GWl=#)mH$dk^q1DQ;q9FXdrWzR75}8LTQQmGQ!9#@$m`tt@yMi~N6fGhJup
zV*hNx%$dN$==qt^;~CS{yKGF~I~W-mco-v%8MD%urr+gaD(+zBWaMGAG-PB*1{)M~
zlrf~6X^IFF$lWWn84tVgFzwpKWRb$fr2CtB>vJAP$3Ki8euC9*`oOs3A*1d2@NUMN
zlDcXPznxxBVEAmz&VDz9>HiKcro~RoE2nTWR!T88n1VF~WiVD;VBEXnpBEE@mj}a<
z$qadHlkO<J6TZa5#FekJ_{{fk7U{yA`O`ElKd0KQzRr}}sFJ?J^I-lQr8{<=e^|NY
z?3-T2@3UQQIrZF`Bc2~KF6~Y?v_Gu2q*N^^=TUBxh4b7ApS#uPynMIk#BOhKt?mVz
z)y?Yz#3$Niywkaq_ujJ?l&eD64oe*lbzwU!HT6_NL~f8I&y{VSsSACznhzVExBl}V
zgxeEAk;%aNlaWFEgyt-8p0Z&Dc>*jBCBS)#hk;?PG`b8pPbq-%RMn<bc82S38B$NO
zus>PIyD4bs=PAyC%TpZhm~0_=st}T=UgmK#J$%R9cZQWoaTOzfMDtRH#SuL0)lH1M
zIbN_Z6tQ2HX1s2~a;0Km^Hg*<(`-nd+NRC;--U<i&@QGEDO^lve=(On;bA=ZoAJXp
zP#R*~^p0`IT}IpO;nj>cf9gJC_<e}TJT)1fr%WVun*0<#U(l7@!~Dqk+%=y~<@<Z)
zZa3<mzxv+FZ<4p)zG`1mWzKS4tjFNzSM$A%8!o#}o5Hr8^J>s0_L{@-EuzQQbZ*T(
zmCX6})ia+)SC<Y|so3-f50t{p(!zVP9~x`Pp8mi*d%g687R><3YpKr@|BHaKRd}Bf
zzqNax5&!p7fzPbsEKf~+U-2~ZxVF3XZvQEN{)2FN2{_|Cy~N0Hef~;QNUn+k`5P<_
zCBV6A69dECbaWYTuDSurRb6*d85pkfGNf{{us=~`f#fQtSGH_S|2&wx8rYevjhI0-
z)d9c5EDV)1nVuMODkRB+3gOb@Obh!#HZcmwFdo(9VA`I*qI{OUpJk#9+gvM_OC?}c
z6JIf9UID9WSk3s<jg#s7KGwyBk>6M*KUO)!$yU6bZE-y(Q|=ko6*EDOWK1z&Y|XJf
z!^S<EB}biu@o_Cn%P+8Yn{SNfe>s`LPO`q93>J8#!q^?b!KB;7A}`9pC@jemXACxB
zRw|?a@j2a`jLa%*&XJr<Ga6WBnL#p4e5{OtZ2gifnKqAenWkQ_IKj$Ly@P>0iHpnh
zJCnwH2L=WPrj)x(t=pn27~CImb8Y?3r2mhDso*@ztbQ&=X&uJ02*!mws=b*Rm@}B?
zU1qzyjf=52jxl2o$aKc1)r^hbz%C1T$LM;Nm1+A*Cgpgs)y(ao><@Qw<E~)Yn6__V
zR?ZbW&wQ_koxM?u**|u^C@TZQVx~nNRV^&@xS8G9xENdPnB?ohm5Ib(M(=N(KiQ1`
zRKI1se1e^Q?jjaOSEiQPtc<2COzJGbvW(3dZ0xp4EY3%m*k-aa1~M{vfkS}t7%QVM
zH`6C}R;HacOtUJ$u1)*IDDZ?a_sI9TY%@*dYFNsCv9^6@F8jyDc;-CQt@%vn^tc$e
z=P}CM0sB&JH)CEI<MJo$-E0g#2UytlZe}r0XB0jUmb9D0=&r@hv@o5~`W_2YL_f=B
zImVYdtc*XhnEqd7j9$(7ER1pE8nDu;XvXtPxS2LqF`9g3lKsuaBzlxltBdK5C|Gv0
zCdj9ZxsE(c>ozhjOJ>}5obh-+;}1SI##?&KhkV!>SFd6g3uR1P_AZiT#UB40w*A-t
z?P63fXI=Q8n=$hY<ARxB14<1T7lJDwMu7v2M<?<!Ef8QeGvH$i3}$`5D$aq?ZZbb>
zm?+yVYXPQC7q<A;J^U<;u{tc@BN(SF;9@i@W^{Z4au3sz(~O2~f=oX4jF0kJ8E3v>
z`hJsf$|5dCvvNkqHxL!K8RfP!`sIT-j4SUlO6~#&ZbCUD_dCXfv%HM2R<bT~;%Cg>
z#x^a7G4bkw^(>4_b6D7KGL|gnV*FOZc<?nyE7OuIjD|aen0%ZWA5CXvoGHU}SA&aj
zViIG(5s)<F)NaN!HZI0Xc8s0%AOR+aKaAc#7#}?3V|?|2b<qa_#_UUM)0QwMy5679
zI9r#Uoi~Fe{vbcok&WzpMFLEU*V*_NF$Xd#Z(w7u4QAQDU4Y3whJDKprUwNe(-==b
z1_#^Rt2|8dGZ;M-`50dpvSvSKdVGw@a}Gb_4sJF!HeSYZd)A;j#+M)de_`u<)_a3#
z_kOo5hCA08j!anUzUln@AlEH2%a=(<9lkTKZQuMb)-5v2cjWFYGZ*Ren)@JJ++fw6
zoxL2#{im%HbF%mpIwd(G^W<`|k8|fr6bgql1T21ayWqQZn$(*!At{bRK6|?r&u>Yo
z`~3HP-*xl&9k&;~mN@MfSI6d<{k$|!sJDslH{Ykcr#~j0YTmnjTh;3=Um`qiR)I><
zV5e?{=eADHPTdMcUKxTdew@0Wg{)LtFK8}&zNY`>-~S+dJ{lBD3{!g<8O#+kJ;3GY
zo`s;G0E<Hja5?JAz%aKHT?Sl^vVh7_qvKDR8Lrndq`qWffAWwG(&7h~qrW|vw@qYJ
z)Q&7>VyN_IdNP+&!Ac%nfR-~Yd=IjMQQ!{a(Ut5(6`&j_1t<rk0Oi0{fU=_(pd9E0
zC_AJ8WydT)IUofn2krut308n|a$ze#xgZ57JEQ>RgcYEBm~$BB-DbPIjFYjqjxpm8
zC`=ij{>EN-GJp$DE<%N8W;&!L#n-~ks%Xv3UnTRAhe7rtOZg(k9WIOvGdLObm>Jc?
zIT@2>8K+n=2Bz>b_55Z|ddAB5?myG2cWg{`@0h#Jax+=4U^WY2R9sW!%dll8gI06)
zf-IXy2`7qF%tX3+CL~62zWD#}{6<yNSpj<g=i0BkE+N4f;U{LJcCLr>gu?x{wfdX>
z&Ad6WbI*yc^Bvdzh}-`8du)MGSls$My>0cz6NArYE8m{^sQz2De?U}wa_@0t3kB&Q
zhK^U+i;{H8bB}?Nt^c>jhQaaQ9vgl*S!Z+5?q+`|`|lLP8|uG<mz+=f`yYgtdw~)S
zgX?-mhUqDqhrx-~W;-Yt!QxN?oM<x`80KC^mjNf*4WL9DRg=QXaD6R9swb#P&5cs|
zvq36<4p8NPM0hR(q?^i=aRk%@V*+<my_Fck!&uoS&u7Xuo?gtb?Fn1+4Gu=#CPoc#
z1D`RKld(}4<Q&ET6-L)cHm2>3Y|8v%+zikz=RY^b?LA<58!5)Qs_abc6&%h#j9l3n
zX1`<*KFH24yOFcLn3d`HRpu?LnI43JJi>T-J*0^8<z$jy!RX=0#`t<8TXr(j)?-X*
zy^Jp44g_Pf30M(tH7Aq(QAUp0Y>e5gY*}24GbNZ*7(%=mx~E_K)g=A5X6G`?_xbwM
zdaF-OR}<P1e{Swm7M%;WhrXt(i5|G9yH~c0M<~KiZrPr#BK}#ucTPNZ<&am?+;@??
z?qa&v+Yb&AbC_BMA1?cAvErNUt!WcCXHQmK$o2NNAisc)t4YV?vb^X8U%6#K(dwmT
z!))VtI7-WgIn1*)ol9%whdWv;mc%)#irU!PfBEwtge$=nGQ-bPj12GB-wXvu?44O4
zUxNY(hCva_Ys|nPn}RL_j@bL4h;1ouVPLo}$dGEt!u}+XZPO-JM!zt|S9?L@4;-lD
z573BZghVW4{J~p^fzO@o^L!4*TRe>aB|tVat}$ZVTf)lZev9$&j^il|{<qoK7PoS6
zv%5(%sGG7ctma^>JHoiV6Rg%ofN`!NI}>{Vqw|{Cz6`>h?Ci3NO!dL6OqZuJ-Lzt3
z>UZM^Zk#60P@}_em78C5^6U%2@hU-=1CH*x;%a@cdgbl&*>m@>vYpr2{7-(LL7CQ)
zTRlfy6sjMuS2r{gGM$>37L;gQw^@gI?p&oAVjU~rZIoP5#hlf>Hpzr7TR?oy`|A4^
zlky(Tm=_8PdsmxSdmhnHn^^me9m`+;7W-Ht@h196-hHKcJd5qc|NaMINf(gA87wz3
zGUUhI+y)8y81w)J2mO8q2H6^P8F0|Q2L=5TpB6TT>lYbPH(~}o+RzXFpg+sQ_(B3?
z593oK#>Nzo`(T4S3oIGUlGqpnzcapn)OUel`y%$n5DrGWS&Zh8aT}XV#<_>tnb@~5
zI;XJRWw^eB)iHsCao=Y~^JidVY|b;z?dN1-)M2rUVEVEVY{}z9CQzkx_97S4{t1j6
z2CR(P!HikU7-u?cn!>POn{}ca2cz6R#`0RQ344Ar&V9tq#Q2TH?hVtIn-CK=LQE*+
zV%mR!kz)fJ+ysLb9tKxy&f{f_H{LQHIK{!ZZW*Im3<qO!H{%pB#y}fhrXFwRq&7~*
zclOMy^0*nnr7=6B^$JF$()ivix%oYPhurFxiSCLOx%SA-<@(Rnea%`nj^WpM+r`Zo
zYL@3t=SaW#@#dlC*T;7SC4I4adtu%|iH4j#(_d%3{TBD>p{jP@()yjpGyEJQ^Wu}v
zJTWQ_&F6Dh56{&5T%;d+I%4sj)iw7wsa=V*cL60Fk0WP{UCy|kk2rG1SfF!8<w+$$
z<7=wjE^3h~NiM4Ui~s%y;Z6@wh%iX5W@OOMiM#|!I#WR*0v3l7;H0C=z#toeE(1<F
z%Rxz}B(8;>;rd60)Q>FePcCwz<XV!F4o0pGxW#yFDI4R&3da1ueeW5z-(hcD!NF*k
z#AtpL<UU55PR6;+>`d$?jLs>u?=lFlWM`L6WU9Z6lwlcR8FsGVgQV9o3xXVjybt6a
zaEfC-EA}^Lt!&G~1NHWN%Qi(E%aERX=NR8~{X8?x4K_C;7nFNFmYr1pR;X2;r{tFB
zj&H{1F53=OPuBOjacUJKzudv;!atkK61EkAg4{=-L}|v(7=aR{Nt{i7{YH)#me`$Q
z`4y<fF+;ch-{1crY#0f0Gec$vBg1*EnepHNkLg1XR&anzF)+xQq04{+yc!hXXVO?$
z7_K)mqzbaIKM~~GWCSWEnIOd^IKb_U7`aM07_DzInr&iK%n&}xaD4_R*Hk9P3>Hu|
z$oPbtsZ|<VHOPst8eGuGXePwY7%0y8Ua2ntl6^VRvo8l~_T@y+zFbTKI;>%y+)NV}
zvgUisma#D0na{&8mxrxfl5vL-BSQ%%quy;swdI_Q$+e7AelZ3<0kwHplAf_KzWdL(
z>K!{{-8;svvs{eUD_Fs~%psPKVQD8DyD(#f8smXjPR4Z|jA{&=jLF7~Q_>g%@9;DA
zbh9L}u`#~0XIzyB)y@sq9`DOAyMu$BSBWV;l$EJ{CbO>+7t^wGHim!POt$A)%_qef
zGQ3G-@RT*<;JUS0D8O!k@J;*4B{5Gers?;KUr0-SvHMg0WP9_>o0}4MJTs2G`Pu9E
zoXs(JS_}`#P6_Lec(#5~+g5#RSCJ|9n=Rz8<vqxJRG(Elds_4w&LuWKj#fR_e;H|R
zna$Yc``JY_!0_2I14T~lwX@cF?X_6;$ocPyBW@Sj?-hg6n6J?q^O6~{Mr+JBc(#4L
zVRmxE?d01kVz<>KOP22s`1>D(U;2R4*v-X^4C`wnKZ4WP9gLO~IE^i2V32jdn#QJs
z(wInFD<i{oLx$8sP^RWU%GAF-7(pEaePM5g>n-eDQ>_>?N+7A~HB;+t??sS0m>owQ
zd@Y56{S^DdR(8gJ7L3~qK+a{fdBiyPDjO5~3`S?0*|`kDC)wC#7sBe@ds-kzGHnkB
zm(r<wIhcNUGVY!4rNwY0oMGiO5y>SPXKQy{toSb7?PDq-6lS~Mw$o(R%{ZC1{k?O}
zRrUWlefw-DzY6Exm(BkVre?i6apGnV!&0G}dNmrWuGu}36Ih(NS!rfd&S_zNVUe?2
zXG{#sP1e|X4;11~CU3c}<ZKKwdCL{vu`E`X>*AJ0n>Advxhl@OV!40UpZ_4dvJm74
zhRB<Y4C^~0r6EDi4stVC97=$LoRfh;RsdZFoUP44K^`LA!pd-cBQ(hQAwdqx)=d9A
zm_W^1J0mu(QVu4&o6KB`Ihm|WS<GHBD&DAE%J9UKQ(+RMbEeL;&>v(cqre=-qncc>
z&KU!~(JgLR=ZtakW0gbPjK!eQEpE)t86$XfiwnJT#*N-N<AQX~xG+0s+?>py(JgMw
z&KWysbc<o?g|8=}qgy;&rr#Mg-h*eCQsARoypYZr7bAFdi-!?9y0u3*hvE7uKCY?D
zm@|Ap35Ds&Y{pjmpBBsv-f0Yc=h;5@^Dv&(VSE9u#u%S&LTj09w`5YT7kkZc?+6=v
z<1Qxu%5%>d%+IhlcJeZ6DljQHf+CHvw2^TkD7F|F1y~r5O0qL;XJAw272{`Umt}vb
z#mD$EjcLIdh{{<gQ&AkSkurw%x$F<Mco<)%F)qKu$C%p1v;#75<f_4VFc2JV7ZxzO
z25>TMpUk4HKi`On;g~x^crU9XHxJ`VRYnCbi1E`==C1geHYTvBUtnb_p3Jmb%iDor
z^CUL*STW}Rw){+sJ(yQE@Gw>yF*c-v!i6#D1Y^Yn#=ROz-b@U?JQ(yRvu-r!Vf2h)
ze6SO2_SXW&g%7|k5jeznbgBT;MhP}`1vaMgV&;p_n3V4DGgfr7>|y6;a<ySTUU1|L
zI|JVjhU1@D6Tk2>zP!z}U>n$!(mck6cOj<iU_6>A$h7?fqw-sq`$7zBd)dUL87s^f
z8)|tN^-eIVHS#bf8!}EwVhp?@%+xcR-OrryO+72)w=+!dXEFvV2{8UIXBGU%!^nA_
zF=0N~3tw#+7gj+G|Hk<G4da3nY>X0%n6A5mtzOy*R^-Si!t~9M(J7hn@-fCgy-Xck
zqKtNG%xtlOjLMyiB0`KADvWa-(oeE5sLx=?R$^y=Th2cJ9~%?<IcDEpL8gtGi~>Pm
z^F)_3rNs*}ee7jiFYNh?k>Q>lLwY@{;(H#(%(sjSZiCg7Zed)Q331T{#-kg>n6?M7
zE3b8l7iU=8%Ztss6O$M#jxsgOmSEIlVN{dkWlUydn!>~wC?d(!Bh3sB0x8CCXBfdj
zz|Z)<oEaVjpcKf69t6v8fIaQH8B8^nh%lAiVszTVcsYad&qc<LP11~ZVT^2h1sRn+
z83iXXR;VyGM9MJgH883PiZLb&vQJTB%y4C#+m!Q?fk9n{AzO=s{cSq){Ci?d$$jja
zygZDRnv4y>V85C!VN{FcVN7pi+%3$<s4K$MroreKJAXZcv@a(+?@E?<XECP7TiG?M
zco-{>Gq%s?V^rl~vXBJpbv0x>m<$f53r84hIvKZ0z0YQ3h|*zr63w8%E;>8R@?#jY
z?|z-GZ>$1QQ&ML}9usn(bWeWfyMkGj{tJ#67QDD5vAfxmLssYi<nL=|mrYKep`y0q
z>!Dr6FHb(0t);W3>($$%f<cWLe~;}rsJmAxh_mCpB1h$N*{OH73CXr9%rj<o&0j9|
zX<fT%{37-Z&O5KvKaV;QTKge&_LC=bmrg#Wa4B~7rtP6o%eYT{J@jw=1<RIZp8aQ<
zCC)E(yv1D4smbuPpdi>tGAD1dsJ~~c0NcsVx+AAfhI)Ts*sOmXRAt<dS+4Yvom1+B
z%yK26*^fhdl8Wc(X?LBS9GKJhQTP3izyCq_F{CMYwv&;eU6V5sTxZlYgMtVYI4}&V
zGkh5s80Ipe%Yf3r3L#LPA=jkB$Z%biAvKbP{YfL&CLvZvKQU&cc@R4zR-`(^u9TVU
zHy4xLQ5LS*+)UQ2EM{DciZUWhj0}~E3{M<66`CNm2s_h4evmUDb0j=O)grtowFoby
z7U9KJi}0Y=BE0Ce2oIzd;lZp$cp<e2FYa0-7FLV!L5BE1wFuH22|w4?@67uDcpyW3
ze5kdE0D3Lrh*XR4;j2X!STdU>u`vaHXMX=^{so5ro7ve8hp?VrdMu7XeINV6Tt3Fk
z7mN!of?|`gbOGZ+M@SGiFdh};VA?LgtgI{M&v37YlYN>NbCe4gQ|E4$`096$8T77l
zvnS4E>9=~G!OSo(hhg6-L00!=Y^P({nO1kOO3QB3XL#o$#QJ;{bGHjCllLy>%2Yna
zn?D&3d;(i_=^10iS;oE7epxV-B?+@$|IYmS9~)EmIp&`|e2h1>7!QO%l&xZ{2xZ*6
z>{kRs*&-3v>*ZWi-!rW_$I8gMj`^uCALGLnj2i;L<xA)y#)qz8w@>I`{3FP?RsWS2
zLs*+A>vVfA(R!ve@7Wkx-!MNt$;bF`0pkV-h@K|KhpZ4i97uY^Sf|^wX6Laob-!o+
zd5(|q<~qg$;PDH_OS>3TOPM-eF^OGeT(et*vD}4oX9pvjET6qNqq;9EcQ+f8w<>dG
zG#}&5R>lKd5HE-^rs^_vL@|kNWn5D&%2<Acb6p3cpzI=jhGRYwtlL+yg@-b+tYR#3
zWo6vClX-3uAEV$m#(=kA&7W>E)^BF2C>LS6f0y&+ZpLNRexD>6mtSWqU(e(c$M|V2
z8{^Il=D7#>7zH;m2BbptoMfz@%v516%5*=L^WSd9jn(y!7;azVWbd8F60al1bR?UV
z@0d7~*?ty@BBO^~jPV~?e}9%>x^{)_$PP)Stxl}!y&*yj4Cj~`<V2)c#iW^|)TNm^
zYnkJ}+x=!({)Khn4}QkXLre==!M2rhFfJ4XhoOK1<IzAFrUet&%?xCj0)yE>(?SgC
zO{|Kd0*slGObZ}$ilr%x3r|8cOkg}}D95xQfXQrwJX2sW6G8(YW2PkIa%BO=)Dos0
zFTobMUSK@95UlTl1EcE%1*QeUtY&74Oo8#N?>EI2Fr+_XRlLf_m^qUXyG2S&8v|I?
zHwZD62QxP<V=9bcWxUtT@_~(&>8>5~_rkny4D9b%AD-o7e7S;gK>*mw(nX95A!$mW
zgYhV%GSfx_R`mj5rt-@W6WAaout7|C^Gt=|?>~X<e;F-)Gcr8qW7PZ0sP>wVG5IOu
z#8ZqFQyCkq1sL^QnABzpz^hhOrXFcX>p~6Gx|r6X#K^3~66nJCql2k|L7h?0h)Jzf
ziZS^n^TbVz6{$=Ow>22`TG-SiWf+qg*(WkFR<JTPNNY0cu`sJi%7Ki9iD@zFv9PE~
zDljH9vQA-Q%wT1l%Vxo$!Vsz~@Z61YMlYiS7a!v~bw;%~KE~vJ#))!_6}F5G*#eAu
zPngth3NR)&LMnf4bQdaPaiKI87s_IBp*$8BDk8a%>F-MhhFvlY>#bQgrtvX){$qUp
zR)F!-3#RoKz%kFWi1BZz4%5LI%=-+PJ{O5Fp1H>PZjS=vaxd1WQyKTE*LmqO9$(J9
z$)D+UpD5!WJ<dKKMaJ}1tVJP=D;F$0#l&zaf<bMO9&33ycjG&ziZe=#Yge;ub>m}n
z+{gH#7F;G={lWO~1K6?&j~K5VVVXNznK_+>MNyKEF_V#T0W(-pDGTF5POu^Y0mgR<
zj58dWN}51PhUqaISixC&eWsN>Op@S1M<z=nuwZ4G0n>r|jAyq$-owlwRmQOGzaeY*
z8RplWdQ9&XxaS54F|kczb}$uY+8fDazW-e|L);M~*7n`Z-f2QiE&rI!-U~AazGZrU
z>DW6~hPXWpcG<?P?oU}x-_~PV-@+{`A;rYT%;F#}&9qmR+1&hHHACDJ6V~?MEZ)!b
znAZR2mVGD9#P*)W;k*>n-nGo;!JeO48Q$$@V6SC;_?n;b<rAg_CqO=6ES<!-P!-}g
z55}X7rc4_RnAHpPn9476uiY-glorF$uu_)EHi6yz%)4F&c1hNU%KVHkOPChCfM~zO
zxNs>#yBUghS%`KSu=W!^&MXY?IvLmnSsxk;Fun|7TCf6QL=cJ*<|szUF{Q;YH>{Lr
zvQ1z@xIh563oKBy%R{uwfwiCTM{)rl<I51n<vRozi=3F&w}O4Z!@>BM(UR$)0rT+!
zJ*MrKxOKPaFr`H^H!Rm>vaMk<|MKoH1N%?bhmZLfUw&a+{zHJV=n#rtD-^xD5WPBJ
zy&sGZvopN=$-w@R_2Fj$#`jm47Vh9@%y(j11}Rr7IT&|B%2fpg#-)MQOyv_;7V8}I
zVF;hi>Zs1oxUZJU9J-q0JLBB{HcaeiSe&P@*)m+OV0C=J&$#b16Y>bPEfc#Ai*rcJ
zS~~{a3~uoYj1>zQ8v^+l^(HW?8S*nG2Qp1r#26T2&(t$pAW6-b@m)Rhs&}SLb?=zE
z&e||puV8_WYuPa@?c|1yYw<zGwfGs6jhVpXS`JJ--2zE$CXm5rGl+IuB<+sK!(veF
z0*r~qOnb}u8N=@|UE2vx0bK=*ZVws1UUp(SGKH&KlX0e#4Wq<17Pne+#_uPYTqiTO
zsxh_3*fJ_~v6!)1Fo|0-wH7hfe6eP_ew*dpcE*Xxi!L$DU(R|lj-Ro!k10V0Z0J{O
z#)W0zcolfZc=W6@)5aB?yn#$|ix_u>S~F_SVA-oEz!+Y@bnzoU<Gc$@1snJocLy`=
zSk7qT&lEI|Nn4knQ9G0A9(d%6ar;tmmQIb-XWG}u*v8Dbk)26T&YFplpXDt-KjTLo
zrU{U4z33*!#8j}Y6HYSznasFVU&fk=;guT$e?RL-em=&NI*bn>D!*=GTnL$(5IDtn
zwAF=ay9JMO?Tz;gLKmgBGsfjIA3H6;ID08GgD1#pX0?fo=6c1RjE5Us*!LRox}`EP
z-?n1ZX=MuMVpL=mV9c~+T2Ku3xXBa72PeU-(-T2j8Rr@rF!=>ArY&GJb6~vC!1$K;
z8z18gAzcH;`XU$hqt|)Q@3UfB?8n4CvD2Jkb85<LHil=H7{r&e9*pN>T-n2@04~-T
zON|*9rh~mEaE9?{rz_KT1zu&}WBm-_vaF8U{ERD8nG{Ze?JY$e9B^aWn82fc!I`Oe
zGRJDIkN|gv-pPF7>Wn}97#n){81=Lm)m-@)lXo&sEMly9%G7Y%gHf-AQB9H`RDFQE
zq@K(@(k$REsTZhAI<3Qnky(icR&RPU>KQSrmAWw|-{hJItv7ujMz}I2Gx9>~L#9sj
z+UCJuuyeouU|a~P0|Xv19=+<zw0#Drvh9t0f-&LCzSoEg921t5$AmBY(d%62_gNyv
zL?B8`?CW7PhfFBi7&Fc-_hVwe!|J@HCD)%p_XQVP7UyG34rByp@c^ct*?dWAMvU+3
znO42?WvY9}*>%>H$!-NNm!lt(^+r~+Bu2#(hguopIa$Mm!QnAem9f$b9MKD=GcL6Y
zWGeLIT;A))B&@-D(vgpG-3CU10zO9W%Zw?@!RlxEGQOD2IM;rgB{RdWG=}x(SvU6a
zF?#ATK8OX&f8D{j5HiXN4&NZAjWgKe44EX87(K4~F`k{tTB^jyxUrn^=X<7-bAC))
z*R!_z@i8i{V>E#1H`>X#s)&*KDPzHHKc>B{tW%}<7#Z0Z3wXh)`>G`4LnE+jC!{g%
zI?H%sHsf7ut0ac?$5=Pc;b-*ZVtN1>;QFe;xG(^$P+$?`(a>O~?K8NPZC&a^7}lO;
z6Q9ip9uVS#3<&YT2ZTbIdS)|$lOD9m7R1P`#0E=x9E^HK%xa~<prkit6Jtgy<J?2L
zU$QXlnuFA+!Jf>*n6}U0Q?_-f4`*0=mKU4-un`&$$OsK0J47&{Wd}dTLM2w-P(DVr
znT+g^c6UrFW7#Rj-BTEKG?_G<y&3DaGPYF*Gb$h966|2C5MXLB^kLKsU{u=}#+V$)
zH)Roc@TF~+1jBk`){SL+jGp%xAMAxhUj<6`h-BJ0gI8T4j7hkd@8owr#+`Q<8Fqjb
z1{E{bJY(8@H;hTUgKsAzA7i8e<AFr5)Yb!xiVcvkXJ-7%#&n*SNsudyv0j}|FrJT*
zvzIYJ8XTElO&J$vLDXGie7%NoIi#$F4=Nde29*M~FuqM#c#e%B>IOspCRW2z0Y?9u
zOb-|HGrlijS_WyCR9<4-32B!ocrY$)jABYK;Mi6e%@lZn<^7sFF^&v#yV%M_8Fy$g
zGPv+D>g{G!OBY~F{L8fWH9uqc6Q*mB0<>!aqnje**WehYBU4y$R7`(-8Oyr_7!Rv6
z{qqC+wPPOR3>z@Zx*F`M+9w7~eZLu>eP>L6&$#1!FeCRGuDubAJPV?j%!@hno-qm@
zP-u;1NVQ~ZFJg>%%6Q;5ALF_fMl}fm#zbbOz2f|g;WA9uEWt*?s>(Q~BU1!ESwu0O
zbK-b4C6K98isP3FSjDm|#`dd>6}zID?z^-6>u203p1PZn;gK1Gd_L>N^8$>WYnT!s
zq55?*<H9rs(A2fSImV;C@l4w_n3ZFXt!D_2Wp!MQr$A3&+Md9yeAZ=tBEwo<ZrI?W
z0HfXsCbdQZ#$-b#SkmQZ)MH^nF3FRadZf9N)S?+@*0bDwC%`!U9aG>Le#UvLnaab!
zPT8}badSMQz`A%Qu6*XC^9f9^*D_CwW=!0lWyZ|ll+F-;hBa&^I9NLk8S{$x7{6a<
zoU;z>bD20shrOV2DaNgpjEbMY#tA%UJbFKwX?qW=vgi$a2BAW!?~HM8nLu^xmL<&k
z9w3vLDkd{_YjHa;8Ba=Pj}>QY(_{J-%T&FJkFh(Q@#%R+ufAx;llm;Regcfw*E3!8
z<7fQ2jwvISQEM0D{}RTIAJI&{hgeFd3NRj*WcsMY&$zRUY3Ex;mQ(ReO3Rsz;v<<X
zdwJ$^^DzplFb04tbH=F?8Pkje7@vnT?O6wM0i#wN<NQ60W|d5@9!D~Ee&PB1LxAz(
zA*Ks0VDC)jVoVePduM_+<F0VV6YCl822b0>7?HL1AXELsWcH(iY}=HXX1O!%p2x??
z&BypuhS5tSn(<^ZOYJoQ#_RK$F6!|!{>)>_xJ#L%6O|yYaA$no&v;Xw$;uAwzOZ`6
zo9_h}&%a?3y8(^~^-W-3xt19){kzY|wx97^9aF$x#<!mW-?1~e{$bGmWcrAm;o4t@
z@}I11pTTBmK4sLq&Bs{Y$~cD`Y=(>oqk|?`YHJ{);v%rc0`81Q=ch1j=V4P8b<uZc
zSewfyew(pk8)HK)xZqZt2-c9U$haw(kI{1q<12^>p8^;+FJ!cFX5yIc!DuJT$fnKD
zsGQ0qa*8pqHI=EylGU%6@y&NH#&35R-|u7$OiE_#_|7W-o^j)OK1TU9jN2l?E=q^2
z(l}aR!1V7DBimBOZ(fW}QyDL7G5!f<>e%JYXy?YrHaCG$nUh&ih_OP2sUgw_GQgd}
zm@LQ!8{m$DG()~=rLkU5V|sljg{ixX?I#N#<9#c}V<q5COZQ$emS1I@u{)iK+l483
zW(w19Mm9+yK1O+QMmK%17w^R~mhWbqk)FZC{f8;|WeU^phisCU_!#A<GrH-610SX$
zlZpEev+fV3I}cMBpMGFd{lLeVewlF_r0S0JVf;B09JC7z7`qc0lg=NToyEGHg@uum
zDM*O%o@qMcoCv0>jZA&T8H{OHn7npoGVOL`QfX%NXJazrVUpuaW0X;33J6YTYM9C-
zqRrG4##px}gR#w<sjDrM$=Z%drG`=J2V=<x#-(pgoni2w&046=$9S@q@xd=}n121n
zxbO|w0Rp!ek1ow-+E~F6_mRo#5u@}~K1SJ@j2@8c>`5x41Eg`;Jq_$VS$hK}KVQbQ
zS&Zo>j5{i`7`YEHuWDeF<dh3!VA#aXuwRsQq7@&boEu|#FWCG&l8keea+ui5_?#cK
z9L;6W-N7baz*zBsvEd@LUxa8h<uUcl=1EdZVVqgdcIzM5zKQ=B<KFNwPJhi9a}DhB
zIqMl6;=pmSbw8t89v@@kd&XV&!2)V~7~3ltD;{Js-TKUa=vxlc>U(@*dl?hUoPILI
ze_###$j8`ukuhNr*qpEKj0^j~E)<YsJgS?|v@wI5_aalm<^;xHp)8wr@iF<kF}|KF
z!1$MgsY3wl7BeMA4HqWinK?|=jC_*9e2kK!j1Jmh)3$~(Dz1W<7S8y09n&_S6ehz}
zY+a#XjfN{2<sA7K#Wym>K(<=VIm+nJ1$OgRaYi*eKE_0U#$EHl0%|sl6Dk;Uf4YRR
zGeo^+$iKpBxRa03(~<E3c$R?iD+}X74v0-cj7Loim^Mams4p-C&C)eJV=BCp&v>t!
z`vY4((_K5R?}eYgF&zHS!M^tli+u+t6N@r)UpN<I+8mYy3&vvwj65GA8C@^)q;BV9
zd>G5PVHemdMrDkv-Y^Q>WRlv%w7Zm(G3EyI_6>{=3-}mcUSeFZ1gyryi}3+?!4TtV
z$h!Brjs{GA8yM3P7|jkaUTk2R&d9V-$dEBZjA@x|0h6Ey``Jc5#*GGy0tsOKqK6q1
z+rg{}yo|e~8Sk4jo=To}h?`M-8*6s0Ak*uUY_n%;R5CKK=`qB_GdOk@^rwjLdhu5x
zVC9P|?Pl^;nQp<i8VZ7wJY0PzRC@o2o8<T1*y-?eHiHuf%2tN2*gjR$P17-V?d!<*
zn)ekmzA62D{j5-Dn$*iRJ$@b6qHpb+5Wd~m;k079>fC=?wo63hC!Sm)zt6g{#qL5(
z4-4y^C(W0_M8kJ0*3UQl-*KrRefO&OjhFsEx@LUEdjHJ+t%}{V?)*4)E#v9rpjU=f
ziKP=xMBMOQl>P3q*n-1}nV-Kbvu;u4{q{jsW-^;gzx6Y@K8F9Czduz?E#J1x;zRnT
z<GTBd*#%qOjh=VE(c(H?oZtDE=i!9;z3*06yNGmh3gp?`cF`65`80N!xf=7g0^jwT
zi|hU<Pb`Tzn0RXbwmNRvUAzCT47;=D#>pp*|E+9FtIl?QHy2go+kfJLnqjHLoj>oj
zd!mm&V|cQkf9BmL>B;{me@d8r=lqU)7mdD!KTLn3E0K9}32%d$k62*WrCp~IrX0vy
zIMLpOv48H3&#CO9Q+Zm?wHL*F@NAzS`CQ|2*kZManeOg-v&^=*1Qhx&QCry-$gf}!
zH(}b|izZ4o|2`gO5tG&tlAD^A<@)n41CLT)_m>+_1F9!%QQxWYS#n*6OF4I&#iKt{
zRq8HPUzzSA9J1o~rN5JedEcEn*cZD_)qdg)!NqEv!kWKSi=C5-gI+yL36ABt-XvJy
zmpA+DGh<)ZM!i{61gkY=cE4YcmA`>w#mj4{9BTz!ubaF2e#i(G7WT4a`S+ocY17QT
zoBrHPuetf*s>|+;HfzpY$ei;i?#BJTI<r*Imn#o@E1o&~cg5yVkH7Ew@9e4mfAm{@
z+r9P2FR`)xQO*0alJTRmi0R`(mBv$lMTN}7kMP|t`f=af%<E!i=W`}j%|*4hT0=@L
zj$7V6Zo)09s`qWzlM>DFM$4|l^-M=om8ObCtJ)_WFUZljQewFvG|cC7te5<QEDM{*
zPKJNp*KVDAz+=r?ait#V1LyhHh?vS=e?9kFLbA_<d1lIDflSW=${kz_JP*hU_3unv
zpSp9$pQ%yvIgP4kb}BNLMlrJPSmU#Xv$^Kj?UkUJ#w*8GD1GBQa%_dtq+1f9Jweio
z_nUg<P6^CP{-!(s$KU@T{1!6Lc(Id_VZUZ2WS(&jxC8-}9WV@<XY6HUV31`5Wk#4N
zhz6BKD?~x_i~>zZ7#XfxGNdN5uz&w3y!f?A6&pkCIfgg$ISuT<bB3YyOb`EqG%-&2
z!+7)sE7SHzEXpU@+ZiVEu+5cXxnu%XH8G0`d0!P9%A6q^BY5Qr8?HG+R`fYTHYT)v
zRjiOXLsrZ=LpI2qAsZ2MhU}1)C!q3`G372JbYB$*WaSAfWaSAv>YO1b*VJW989w0l
z19;^LXwEP!jY0T4%gKIr#+^Eh43K8O>1IYn=s3<<#-rU_Obb+)%_6v&0-KrNb2TwA
zJQC(%`6<G>U-e%cL)|)F)`R)1=P%1`VtAg$s(6QkF|(U#fjB6Z7)x~+7lLD#QD6h(
z(Lz3^?H5>;*B<j{2%pR9sLjE+K9x!F7AIq7JJSMwhz1$Pg_hv>5h!3h`jMXzMFTtI
z%2Y<|BS->F3ldn(E(kIOPG)|u73aWUH(7|)QIzGp(#tnY42NPElU56}{7hxuf81sk
z;~q5;4%a&N<6rW6*co~rh%(rI;xd22XmNs(p^=?Y&yZ2An4K~CD&s`ZWFu3<ZcfN7
z4JUlGNsOsSnk7k%3o<Io4cXzq!(_LDmCI3($!;SvSFt#g-Bo6;-4aZ8t}I-$h2YcJ
z226|$a*7Pijw~-Hu`~V@V{Fg?``k2&QE@B8=b4O04@)v#-@@hw-XG7n^D?95G6n`l
z21W&c);aSSOAWV`GhBYd;(3Cdapz)21~-TS{fvsB)XTsqV9R(kTZ-xW6E-)<2>#B=
zjFxIB23##?c=cDB<NpcH)&?0yWg~urQb|Vto2>UXF@DUvdyL`Nd})sRJe)^4WEmGL
z@f(ClG5XJDy=TVwG1YWF8^gX!42PGo<oWP}dWJ7&GtD#uJ3JweQT41GQ~659#Zlr}
ztPGWF7}rE`RPNiU&dl(kl#A*14VEt(Kq{H;Br$$H`C=M3(`z}FDK=msnJUK8A1}TN
zGrhjSG6kYaCW*21#EYpsOs^%_rWim}B{7zsNnmGTIN8ATi2*b?%J@=Pj^(8Y;{*+m
z0!EP_#?%!rU6?j?fVxABnan)QFHPAdWPyT+N#q)1>VlUc0-IL!FmN$2W;*k*zud|;
z0X&=llVsYo0&HwR5cAtLPx+V_ZU@LS&7H*VU?Re}KAK%_J7Zze<AVxJ|2D91%=JIV
z_;UKneT)nz%^2t8u}9niO&&AuT_w!qn8v*O4CA*BVaAI}%<p|q%ds#VZDM-P#;(T8
zm@ds|sUpk~Tg`m$JJZ|;7Du?4ymvESPM2i-{fAZZg&bq%V@AGXjDZacSh*RiEtoea
zN-<i0V4e9<jxqBBBi{nXK%Y6ij6o7i_Y~zAw-hqgKLoEF_<8_LJ)Eq_^hktdziPdo
z0_U;y><5F_ZDQ0amt)Pp%QSnn9FueklOK5Of@#G>Mp=DvZ^p>Emqi&FdX*U>Lq#|`
zXL5unDKRydv(^4nX1sh};9{Q&Q=cA7Of2KqO``9a8Mf6hT>i!4`GlQu=Wj*^$bMkc
z_l%17!Qn5km+@$}D%15R>_nwKHAZD4VS`dtM*o{^;Iy~z7{lQ{mP{UY#-G}Z4Uk<a
zrt26LV<D#RWIURz&b0jti}KUh`wZ9jvb$9??)}cV_^&WW<_YF)6PbK<miRI%&0w-q
z<Y5dhWIgnlap?(<De7#irCBY_nK<fI8GX;Pm3GT99#m!g09mNEX&U2RT_!6pL8j>C
z%%A+2miMSK32U*Pbd_V=xr31bvUxA)F=O5_#+7pg8S^+;CNK&zaT_ov7pgMOzQ}fS
zlN{sBFh&Q+-pfnTj1}7%_omHR&BjoDM1$?_ZuSFdB8;p4u`9pPWXgZdw&J)tWBneM
zeHDy`fBoOHy}bB;8$)N3(gg;Q#SF5oYm$wOI8Do|E)-bXZ7I=P>vhEYxp$axVDm#u
z`T0_B``7z4bgu7QzcusPCh5~RFUPH(sMeM+WqRn%)|b~Fv&X%7@YH9v<-d-XpES2u
zU+kC5Safp#pG9)|0{Ul`uG><d+~C;DlBIia_4i*>MAKi1v;DI>ZR%map>y2<0ppBW
zkDu9DJ@Wp0x52Guna=su-^0Xi|M}P)6ZqL~&WuaqoIOe`$E7m6zZSWy+vv0G)9a4+
zXQh*Tnokxgc~;y%*T-==y27yVZ};u$Ha@1h<~OrW);5-HmAbiHYoXOt<5d##=lVtU
zZnxjlAa4D6*4@>svtIWsThEdbbz|}c9glY^QLpZ&Oti0Sew?iI=NiL-*usP9TNhVn
zpEzDH(ST8Y-Zb+kv%h4nx#6gFYr0kM<n;9Y5y$3~9y%<l{c5@EI*)69-|pPJq_F1F
z@xW=^#d@nxNc!K>{Jy9}FIZXF6x0$4{1>d&-P1bX@n5i-(W&}V^WA>>*VRcqeR)~q
zfA@O-`+xs~usArKF{m~$GK5>LtOKukvN;1PJV5CWhCywSv-21j=GvpnfZHM&pti`X
z-c&Y*>t`8KJ3)KDq1(S$k=8sx_kN>n{{m@eeA3O>DjluF06C?ENy83YsH8wnDPiD)
zoKkXn4%15wPR4ghOw*5moW)qv#VE_l%EWHT>Rfm2B?J2f_J<oe7+(f3Em#0@Bx9))
z<3h+JrT_=yQAReV?FQg|(G2aN)t;QV*M)+PC}CF4R0(Edh(66QxrL2g){3P*m6d7n
zPu7)>I2bFxF*QKerkLJfRNM%5j6fpepF@mWd)=fM7+x_k@Uydil;>bP&%^YPgOl-}
z64Q3@jvz*xF2=d6Tukg1T+THuf4LcSPjHA&V60GJYzX9mOhj@pCI&L?-OR}t8p8Br
z1!JcJFO$tC-ntaVnwzYQOB-323UM%|i!p7q<z$TWVER50Z2KMs#?6k50+YBH`Ng^7
z^cX(|ByC}k&tkoJl!LK<2UB7KC*!}*OxvG<72BL+oZHLC#HhjU?#Rk?c_XW55eMV`
z>r9OMI2j}TnX=|FP1ogOD$d~IJjlUlzmbWtn3K`&DwFwYi0)9vrK_0OTv(X`ceDDY
zb1-iI%Ov=UlTq$DQ~7zYiam1~msm5hR*OGo;J(7nt~;B>)Ql-7hcV(LE92XRtfoO6
zjPBE!KH76K?(|`5p26f`#H^FTsC1K$QL>Tkt}qAVL=mO{4R91r4Q5PS1~znpKjXXk
zjD7Zu5%o-;-}5o;e#18N1P7!1A|}UBPR5lpnG}q`I!e<Sm)+-J6z^erB*C=D03^ob
zo5XbODj(Cw8Em`?9E|40OoHDz89DDVCF}-CGkz^)T=t8DQT!Owryj<YqDgiPQ|cKU
zo38!i-?Vzt>uiaiXL~pQyQ`U8_Sxg*R{5Cq=VXj)BK~%M%9QGi^vsC*Z%|xhlvvZ0
zVkjB*uj>rU?Q2TQ+?IWcTvWd^Olei~$B)SoE&}Tna~OXAR})jOR>^1$awxM2JY1ks
zWZ^ZxNw_??IOo#yJKauGPnP+vUtYO%>EFInyO}I+PW=+r)nCSNtW5vQkIA46?ba~G
zG9#>EiseO)2}Td37=xM`vRSxdlpQh@>J|U~2jPoOpwMDixu222-*sjKI5)?DLjf!f
zB|y2EH;sWo_8cexpn_lulpR-egL1Qu6AKf=bw`HOi7f0-1lcx$H?u(Z{DC*KSi{d8
z8r^tC%Eq%jQi~maU1ADN6*Aq=c;(v5FDaLnX7_c>ka6J_<Ly~0#;Q=7w|b5GowX7d
zclx*Q=Ue=o!E?gwxi=?=NnC$trt>r<Y@tHA|29|I_FSO`uaMtLCwF{K%RlYsR6VUq
zB-}`gk;{9g#OuoDV$*kj_g+c^B^=KV7QF>uI3y!GSoDlJCmz_RrYIEjb5_ft>nbh_
zR{x*-_df_bhJuqo=LALuerZV?NZRp4Pa)v6GlhXc7QFuhtOH7b(~dJJ?d%C-VP?3V
z$dG!Gh5gAyPV&<Z6?dLpoyv6IijAq+jnTVtnK*-t4nxYkH7@*72ZB8BELE{wT<Y*v
z`O_}_Im}u7Di%KWJ7Tse>1V7tr179QLzdk<?vkzCWw#LC&t(?#lxKf@G-0k)=Zm{H
c7ku34)q8M}P47(u!4LYyAzhm?`XZ(Q0NdrU&;S4c

diff --git a/posit_adder_verilog/work/@_opt1/_lib3_0.qdb b/posit_adder_verilog/work/@_opt1/_lib3_0.qdb
deleted file mode 100644
index 97322d0944784f2864e5229f42f8a3943f75ddc5..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 32768
zcmeaxPf5)w&dgOvNlI5RRA5kGU}R))P*7lCU|@k_1}I=c<1<3V@lh-cdKMhK@-rEj
z<sLD}FO+*EpMg*LsN84>jE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb9j6fktK~c5zu*#>U8!#H5_m
z#L|kSoc!c$7|rY)<mwpWsu1Gn<m0LU6IIYi%gjm5Oi}Rk3vqRK4bo94PAx>@WLBjj
zc=>5*#i=C-eyE>kV5lq91h7s`O?_rIac5aZhRnQ_)QaN5oXnEc_{7qZd@w&AW^KG7
zj0Ku}=Ky&rmXTdtTAHy@5axQ2!xBp?Kok?Y+d+cpPFDyB^7M5Kid67+jnq*{tw_x+
zD9KCAO;reSjR?`?Wnf?skl(-{|6Bf>{73mW^3UZT$={W~A%9u^ocu}oBl7#@cgb&+
z-vDyTC>{-g(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@RpiT%d3otV<F!O^5J`lkRB6vUqH;CW@
z5u6}`14OWc2sRMG3L;oQ1T%<W0uhYN3=EL@e`f~y@A9wZ@5^77KQ6ype!cu+`RVfA
z^7Zn?^6B!?^8WJ9)N%c&DWf4U8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Auwn|fJ=atk%NgzfU(nZ
z2Nyq>&Cj^VLX3+K%;sb6HR<Hy1+#fsAL%XU;sLXHgrlT=xwye>Zq`uIA6#5uHWy>8
z$ZRf7Fq@N!RcIO)2bj&l*dfTr#SUh(GcM%M<zfS~*%&AD-r`~fvsoF}^5}B0fY~fe
z%3NGr%wRS%^EWmTE+#OWiJ6O;i=B~`lY@zanTrd&|9=u_|Nj^HxAM>A@5|qizbJo7
z{;>QW`K|Kn<d@4Yke?|(Y0!rLsKZ7>U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmwh5#E2BL|~^
z0GQ(E2UC1}V2YO)O!4r5DQ<2s#l;1tI61)-2M3s9X9rVkY+#C&6-=?PfGK8XFvY~g
J!otbH2>_?_qjvxR

diff --git a/posit_adder_verilog/work/@_opt1/_lib3_0.qpg b/posit_adder_verilog/work/@_opt1/_lib3_0.qpg
deleted file mode 100644
index e69de29..0000000
diff --git a/posit_adder_verilog/work/@_opt1/_lib3_0.qtl b/posit_adder_verilog/work/@_opt1/_lib3_0.qtl
deleted file mode 100644
index 425ce9906b2d1bc298ad68a3eed758ce8c205338..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 15169
zcmZQ9IK}5b<3HcT*ct!%UfU=B`^@?Iek}t8Ff%YP2rw!rFn}1$27C-G3=9m+4txx(
zAUc4Lfel0_h%m5&=mHT24iMb{RW|{uZUI!?25|;X1_lO}0}LD>dIQK%mJ19lAj2Mj
zIUg8UKsGQ6fO(99$XsSdK@A2*Auf;&jKYQn+#sVEMHpB>3`Svy4pE5FjA9V3I8;^w
z!j**B#V7^gN<(F3AY54n7Lc<T<se*nsH_5ntBA@~f+|)9$ucvlXfQCU@_=2W#=rpz
z6-ITC3=5+M0}Cin7&ReWEvP<i5SN)zM}vV;mzRNofr(L%4J5?y{=LsVj@OLq7aNH4
zm2qUg37S^AEiJBG$emMo*PXB{pR*tOg~WJUrKI^BSZ1Gc<%+Vf<D0g58Ws6`UW+f>
z{Apg|y*TiFVY}5%*KMK`cX53bcG`Ya{hW4?;l-_go1d3ldUzmbc1Gs~+uI$}Vh^(<
zNhLl%azb|DA?{EIrngT!-99{H0!6)mf`9@81EW6U1_u5A|NsAI^aqpc|Jy4bWYkr5
zTJE%#(P@#>BE_(6iW?N&mnd#fT&T2*Q89d*>ncVQr==>+i%f$zsd_T5XN+Xp%cAVG
zO!0Wo7E|{ns>=<-_Ax5DtYNfZbXuap=(^l6nsGmqq9@~OCez?OjH*72tC<a>n0B!!
z`Z29y4ccNF$GD$a)sJx<OB~ZqHszyMjP5I#v>1bTFxoPPEY@Xo+HS$<x=4dDbZPJ|
zCZ)g)VCQo%2naAXF@OSAfPsO5Q3>QH1_p))0!)mujDjp$P(GvV1(3)GCeFnl*+DrW
zIAyu5xTT+C%5qy3|A});yWVW6g{lR`&S+i$Cvke^g}mU_t$hs}w#8o7?~vU&t<a`>
znXhTYp4g2g8wI)#Hh0*q_&87Ujjw2d-jg>i{Hcc=&AGka>f1?qySzD(cz(99-P<2;
z?w(do;MX;=)0T5|uRbuRzPWX-)#hLPktYIW0!~$(xNz@bkXWM5-PfzzJQo~YQ?y`y
zSH*g{Z&~^0wp|GN1WrtN^MaO|({iVE(7dpHDC7kdXnF?a1tUg579A)bk{66VFmYZ6
z<%F;|Vn*9s-iR3$M{kV2(skEqCR80!`G7Hj8Coasf$Id81_lmLF<1c7%`$<315{xw
z0CP4Va}Ge1T>!~2Jz)MI0;w?=1+-Z}Iv52(#ZWjiqmT##v?74kHH;$A%7#%CQroaF
zia`rvQIKIQjN;JB1Y8#|GfHYOFiL@{4i-jf1`bda#E7T|z?Bf99zbxJ85J}b7!^S!
zJu{<{B&1$sR2BypHO!1E;tYJ?`bkxsfgj9J6K4RGK+KHlk_>`i5e-QOA#g6zgt|`)
z;y!H#7LXQ39SB#Kfd!<IQ4hprX4KbUU^Ea0>obHp*9auT!e|UtXaeDyGO&Q0z-R{H
znnO*sfN(7tSU`m$qZNqD%xJB_z-S`^u6}GG)z5Xq2q*n%!K@BEQR}J>2nX&zbVkzT
z>r1;+r9pgwqHz}$n)E*ZbZDHQw2ph@*K(is!d%_O|Ah^hIkp73^a`IWiu}?LAYa9v
ze|Uo9D%CXS3#U}_mKqox?>hd6agW{enB!}Drt6rma`p^)TU7sN`)h`RZA<qa=`C3G
zJ9D=BURLYJ&(c&A<?g?`tn<<CzS(>)^%sBHV|35GmDoAs{1Im4>}bdM2uszZqwTcZ
zX{pl^r=?2ayO|W-S2HPv?`KqWT5965T*YM-qhb`}Ze|nrHB4#|jC+}somMd_IxVr%
z4c*VErR%(!QOSL|;zGsn9gM1*oR^!sEK(2I7_y&H@wmDBDn|8?%@&L<i!>OIEs12@
z#jN7Kl1cfB({jZ~#{G;=D;O1BHY#pV4BKkSv`CZ5X^C;r4n}2{l}yG#I~kRo)-i_e
zW>!3I&E&p{QJX1ri^&ER_w|gXI~Y}6Rxz2xGVWwi@n>AYY8t_~gGJSoaV<+E(=ImU
zz>Q!JLn|{-G=UNhyfR}H6<}hFVN`?HW(Ecz0R~1VXihB<U}B6bVDW--0|W$^7~>t7
zSUR8rjB<=1p$tZGCeCxtM?twhNFkruAmopOLOyeoSL2=_t|z(WP}QImgR}l)3}A+~
z1^K}Fo}~bis}n%FK$#!ZB$@!`EPy0?2nU+^4}fHtE-*iUv>;hNXtRJcFbaUO`$c9(
zK}b2k%qRrQ?!vI_E+P&s2}C6sK-rmzQ4Erjy${*vuKc;c?$(+h4bj`7+daQbI?6JA
z&5X#K63R381utv55v`%)$;S8fPVSLv(G<JYOWr-TwKZC`+uWyVdNBLqrCm23l)Egr
z^s9T%r&nJeKbOqjv&`t{wgz^igXNw^$3O15(d%<2Hssn5A^+|)wN>-_YS+e`zUp$I
z(xMR@ZP02%oG}?oI@Q;3TJE&mX^B$k4uYvv36e@}v_khY>S#HyW(2jj7Al4BVpQ~C
zTmeebdl|ttLK6z85eqKQU?u$l0VYOwMj?1fub==DU|_U?#%zKB6QgGViwl$sPA6Ut
zOe{@MfdY_%padpPK~NI$yK-D)Q_Pj)B0H@D<u7#pnvn-p28u(R34|G=vjC0B253Z1
zfEL?m$phRU04EQOo&v~^;BEsmqkskjqadh$VPX`5#Qw>e(=)a26fU)B&<$9?apcOo
zJtmxKHft|EUo`WQwaD7r8lEa$&AWO|bXQx{-J294xqa22>u0rfA3fS5bWBd=QP&q2
zqdf)netN8qw-}Z(KT=-ws?<a}_uc}tybEFxQ%W+|Tc#hJpS!9&_^NB@+;^@O|5L$H
z0F88EMjtGZt|RZX+-VJ?(-Kf|5w@2}af_n+3P#1CjY^^0oK`cM1npr|@nl@jWE`}g
zQQ4bu1<SD*raf$msBK!1FTwQ@tW7&XfbmZOybL(dAi(%1fr;}_*e_6Id2uMHnMAyd
z;80L=(^6~*<77ONH2~4Y{6T|(Q2^w0CPqO>1o?4y9$mY^?snJuTbcpU6BL~Mw6`=(
z%G$-5Ig`U_j%}UllunHq7tbZzpL=#{;)IuNhdyP@^-fIDx~M+SM$o)0r1LZ{&(q5a
zH=aLd4W5|HWv>-^BT%5h_0sFw+5hd2d}}+SWz44gR@!K4SbWa!>Bx~I#OQ)0a<t{Z
zIdYNHN=C)7{fvsPixfk*DY`CK4Bh6m#MpVEa^Pa4ke!T5E-RQq_c39K6j1VKgk`x2
z0*s#%;2Ca1g8<{_047d7P?WexIVyeO2$OPDN)yUtndYJFQA}Bka6x+G&<w=9KpfoS
zV&0&^umDsPuq=SIslcr*<^#~;@`47#0Z?%X>WqV0Qs6Ac{6LZc)U;vx0Er_`zRe!F
zd;a`f_wLxsn3+X~x$lUbk!_j4p?sMCTjJWqeCPism);J)A>wkssi);y!0Yo%PWy{|
zGykN#a9Q<*RDC`3?5`Td?3KZV@AmHb<5(JFWX5}Hage>KiD9Z^rttNLAL{1JUt++!
zQ|-i#$GiTV*mdUp*E0nHDaer}z-W#ovUDV&k+n!MYzL@3bXuesvRQG{A`o(3su;z%
ziwSHBv`7Sd3e*u)h6eQo0md^0EZR`sg98GLXA+n=CAB~i<i2Qw*rd=!8^jvrIuCvD
z5V@8DRR)S-G731<_yI)+sBVMRe>e&_<_j7OA0Qb<01_G52|KQHUz+cEEz!Q<Y}3);
z!{?{_EOFnq!c4W>=32JWv&-j0qZ}?Ib#Dy`I{3Hfz3iI0yB;+<3z?QbpRm$r#T?dX
zy9?)TZ(rT5ZynxRblB)s<Lwv?#Z5;&Js%Ym-nqEd`%1f_+|P>_=7GZvT8;@aI$#NX
zJ!z-qPOG4Op536V;<QLHXd^hM7&$Lg3S4aDyiqBFaUUbDY=TsF-3x%1U7&1o&w+_^
z**|emJb33tunHK2MCL`XZq(bESagzibscFj0cndc;~babg0xvSK-C?9j88FNfaZ}0
zkQN2=2S~19VHAKgY8VAUDS?Gi2->57jy;J$hjyU-4KW55P{v{u2PtM|l+a*clmxZC
znHZ%Y5&Hg8^s3JPeqkOB>YpA2vux<v{33H<UFOwk*HmWyNVsNP#{cKLwV0x5X3*_@
z+n1+p-Xd;Yps!izHTO)C{-oMh8#^;DcJ_X2y4+V)neiyPt(TY4dfz>b8#Xd8rFUh1
zxh5K4xoL7xYsl%_i`~NH4%W`T(B*wuW5c7qjTcm$_jU8lGQW=;)zXa7*rHm-X}QyC
zMyJK#!JY`l{fv-G3!2}YmMdLW+@Ki2xP#Hub(w1LCi9@J>MpAoO|Gh(Wi+{_61a*n
zd=E2PDgbp)z%>}GuzVoE_-p~Zuw)bh@h32G{sAQdAAt!{pBdu>CP;-CIXX<_WC}_o
zE)9r4;x+-=%qV~~GeAvIP`d%v4+ZBwNXfWCgW&+EkI8fa5<T6#%Yw3w-HYCqpgH;O
ziMe-<9Ag(-z0+|`U%eq)>gk$_`iL&SoeNiW+l0#~`nj#+_4+f@?(2>nkB`Y$XKZZY
znUgJ~S}85QK8o4CcC$iR+>BMHKL_t}4s~Yh;%&UKNQ2|?riaa4NHOq$(G*(*C^#*5
zTFD3s?;W6=rnnHE&5nidLa&EF1q;YXMp!x5Ai%`P7632k8bB>MHU}n7W>!$(Itg9W
zHI04~AaqgJio??-+(T*C1L8s#(pY0ofaaV6NH3qUftdkR<uFg+12@V+WgRFFz{)xf
zkR-UKVYvVqsD*GIFmQmBeE{VYCPo2pdmmgmF$!rz#$<&-9f2#%j3Of73W}LgR0P`P
z7K27Gqc|jnnHeRZj$@RBx{gr_;yh+XX;}YUMuUM-8r0ne_x?dCl2ICDI}4*Mw0Di@
z{exV>h!_P1D^>z2W@c2@U|>`Mb<>#{RmGwGdo|EdFsP%(!l=r?0qXNJszOw&i!(ra
z@EUwP;0z!P>eI0>YVz@jf+{C4mzhyZl0gjI(btCbl#oUQKuH%eBEZ6^&%gpQjnM#P
zI18g8GMAarP=kTdNE|%iU@Xoc0XENsk4F-0j}(||%Eu#(#5LpNk%4oW8O<dbWWmZT
zpoN|##2zc?IJh;0YlF(Qg^n-SL1gV2SU^@YI)J#$jE))%j81Z3Q=K7=5=Ix03=5+x
z)EGAi*Bxq%2ZZYhHO33XWoGo&U|{r-2aj6#Lh|Y}p0M3()?GS!rZn`U_ZGz!YZW&v
zIibe1qVjZ!;=S{4Q#Y*TU*GAzN=QO%mgZcab8%(aX+{q==r0#;H@hr-<VrzD?c*c5
zby`y!RhYJKJ)Eyza;qpyUeTR3Io4sVzQ2~{9Or`_|LU3dZSTn_Uim8fN8--CoF7-5
zI8uLi<$(etOS7;)I<E3h=e1Qovg*v<ba*yHW?S=H|20o_1Q%Mjd+t8<&du@Hrf#)v
zj_WIbZNGLa>sV+0$EkPcYtJ=3#=9?-D{_9xu2RJW)?Jr%gcJPk>HL0nL5weZ{ubY9
zeRp=`zh>fcEKPe4%GV6gdfAVOQ4dRDp)KsR+-U`q(-LqO!)d8vG~*stMfa6VO5r=0
zz=I&G7*$-BD@HNyV>EGJ!KfC&xRXf<+`U|EFA}<sQC|eqz1*PWzC<y6yQ2F_M#T+^
zPK%U+wk`q<ikNLsb6Lq~%^0#xo6%{Jq3c4$&}G59nUp*j*D@|q+N|iZiqV&8Epr6(
zJ{BcVm0-i@zLHUgF?541W6(}UT}GF6jK<E}mCrIpGVW#u>r(V$T*u_iw2sMbSq$?|
z7Nt1G{Y(~2?kgEJn1YwsGllG8)MxTw1P!m+GDR`&VA5rBT4e0HP&srxWAGkk#p4!C
zo{Xy*HJCydTQG<0X4GJITgzz26t<U9kI8AViR%WH&=pL<dzsOu1whFkoYY|z5Tk+s
z<7`G1coh^7Aiy}AfiVPH7ETagoKwIO1LXw>2r$ldU}BjK<umFqiU=?+Wt3pzR0I{O
zH)NJ8mCV?A@084Pr73yEbHrvp4#@^b9s_u|kcbu}wA_N0s>}_1D5Wan0%q_?2lEC#
zaAC-DfPn+lz=h4HaexXeaL1VC0|OEV)O-P{gEU{57=@S_g(0OV3!{iOWExErRL)Li
zW)u^F4rGdpKnF4<VCAeNv^@(dRYCO)Gov)ry^J!ja#ofL;$lWQNJYTRC=VM4RnTBy
zln0fHER6CD9H6q3Q67@56~(~=qs)v-8Vrnzpt6mHQIUZIRFpC*LX;?rGk}Ur$b6eJ
zsL*6#RA%4+i7`TF;#9@Kr6)5ZxEuzRfZ%c%RH7o4!=O@$nNdT70W>blz`(+&&cFc@
zV+7CIF*9n4Gk{VFGozLU1EVIWm}FtpWZ(daL1yxp8MVb3K#2%4ho=oGE43LoKw^y0
z**#rx22g3o%%}&N<^z>jER4Dg93U}9U5JnL#TgX9rL=(t1EanoxNOvC-~fp+>VuSk
zN_OzjIU{1c7wingcrVyW_;@e4l4V4U_kwK$kN2`LS~IYKO|}7<%*<%3!N6z-YKt>7
z+KV%Q+6>H$4&n@;Y{AUvD9)e?cA1kngBqCOEY6?~X1ItmXn+~6;tZN#hMPD8Xiklp
z(OsMY)T?4<^w3~nbO+5XurRtaaDc=Z-66JmiZkefHF;?;Fna2Nz3j=r0TN^M1Sw%*
z^ycHy2h~NQ24GnqJ|06Pt}h>t5fayrkH;8^>(9qy0_QR_21qiPf(;2oH8Tj+%wSYA
zLr~2OMKv=_lEDn@gK%*Mb1)-9gMl&J0&Gt>0|!WqF&yL-X2wWy21~G#C=CY2NGq_C
zNCpm&7-J+vNwheFHCRcE1_NU>XjG1cF`9t`B*qvGQ4%Z8U<+0fr@_D&YX>$ZmVpB#
z#uy7x5--kR4_1<(!N3^r09F#uzyT6tjE5*m6lZV*D@oE|U`zxJ6SFWTGH`&z7!x5%
zlEoRE!Aepz7#Nd5LxL=f$qXDIF~($wl2ma9SFn;a4F<+kH?T#i3>+Xa##D%sba4iE
zu#yZ72F7#`u#$8J4v-jQIz&mPID;oxNtOl!V<u>fnuRfwfdeGQm<dsmEzaN#R+6K^
zz?kg=HYJ;Z10=?n4N;OS&fp7HlBdDInCk~tlFPsW5@XDTD9IOR@CPd?&|qN94*)C4
zXW#&dG3G;*6pAwhf|V4BGX#Md#o`RXU`B}~1E?BcW-OIt2nCCjNiu|i8Rg;(;b2CE
z1_NVx1lZtm1`d!IV>!g&N^yoruy_>%2Z+H~2@+>vtY%;V+g}6W)<P#~>Ofp(#(E6~
z#)c@czDB6NCXfsZV>47=3y90i*s8(6*cJ_TL%TQwsDff<>=0*&1&efQFfew+flcXP
z-~fp+c7U|8Fn00r#DjB40$8@2j|bGJVibjNd-!;g;Ihn&y^;*cVDtLK89)OeER6jO
z93Tc`AH=i?3@qT_o(SPig8F4Lh|A14MT3EHYAV>CX;6LBK{70iGoborg1F3#voshO
zXQzQ}nj_AT4ra`iWXJ$B=7}?8f*JEQ7#Qb)Mle|z=P_`A#2Dv6^e+%+$ObD}sKLOv
zAO~#Y0tOC{7^uQzU|?okB+ifv7GDf23P3~GER0L|ct9OLMo|!#nQ^HkLq6D~W#SA4
zVD-yk0agg+u7Cv?gu4<JU?46t<0?spBCz(=;tZgXJ!Zx=8Vro9OTb!JGjM>!7*|6a
zy;hu|6s&fgI71njv0jn^G~vR`xIvPk0xYsooS_oT*d)$S1!ioPWT*x+wum#-fQ{YC
zzyV?~ZUGs~!nh6EeBKV>?tn(gP6&4wbcS#@gu91<1!OhjUI=#|RPlZY_W)G!K?wH{
zRPkX5_Xq<E$Zd>AA>3n7dyhl7C!j{1gm6zWuz>x38p1sTl|2jLo`cGs2XUDhFK93@
zUIZ<@U}3xj_1tBU3=88Gs3lh++-p!{u0yytpzgg1;ogF}_cnxk2delkgnJLF_&$XD
z0IK*Qg!>5UxyKOh6R5pUA>3zB_dbVkUqDTM3F0y{zS3Y|d<`0{VrG0J&QK4Iq_?nC
z1j%RbV5tbgeGf}TATBfG2T6toa2$UWXJ~{lG#D5^f~I6x7(X&_fW#O-LM;0v&d>~2
z@=1e%@e@SJCk76X7~?01lF#A{Enp>|H5eE_LzH}G-~fp+eugOdBF@kXR`OMYf$<AO
z$rlC=kQn0^h>~yO3~gW~-!&K*zqNyX^^Ji8B*yp+qU47-1891YnenFv1LKcQu#z7P
z93U~q9}p$K#2LE4ru>#<=ms<Xh%@wn8Gkhx82|KwHT_}W0EsdFfoS?C&d>)|@?V32
z@n1h!$v*}TkQn1XkP;RqMg~Yvm5GrND!>F;d&R`a3>9F34AC($vO)#eAd$+%$PN|Y
zfJ7@3BPUdV3uXp4RDcJjg%>Ko$G`%rhnX1pp#lOh6_9a4CeT13$e~QoRccI(B9H+O
zCPv6uArqq*WD=hVI$FpC8ZKmFl$-$S<iZCGH^q2`yxm~Oa9+Y>-YNdYcdtLY<=t>d
z_x1LU#@3D|9{CdOY=#eg%I^EP%rfTuO})_jK(FJ6{VJnm-m{1Jinm6*k*PYjiF<1J
zj+S3Lj3>=AE?*hCuJTuT-~0c~Ne#Dld%A6u(qvgGdwJ>8))Q_&40d_Cq-!6OmDtw*
zw`fb+u0$@*16+puyoEp5?o_q3x?Vau=XQRENYU1BZ;luIUemIHalbtC#l)I_B^e9;
zarCR6ecKRkB3yQ6PToXW8Mj=upkw`M#;bN6&S5|3yt4Mpwt0tQE_!FjPrv<ZA7A~y
zd47wvZ}5v&C_kKkezMU?F2=MuPYULqlbDz6xMY6K-(3ZH{Q}pfr8u&uPx5FCi?(O9
z>$@br<f3EKgTFe5U#BxUTV`i2S?f9dky!Twdr9v_Th%JhPMB;FtK$^=R>bS*wJDrA
z@~im&9_IbH{`30FNsD{_**#eKcu)Jv|Gy5c%Qg8ixBuhqg*~;}{@q_b%on}Lq;cr(
z-&b1{jE`UcxApw(xliwITKsM<8~bTxRXNFHvy?4%UaJ?|P?P*el5_o|vp3^=G^;YF
z+9{<)J^j02ZP|*h!|IXRUhh)ZaXS?^R-I>G)@^XF-y^|1{hQ*iSheT3?i`!>f@i_s
zFd>m3jr4ENWn*`?`bf{6sPX)G!o$wh0hVpn$E*JI#I3DM-2C>g%h~Cn$s2=~ya))t
zySS%yVqk*o@e?!cUB5dN#(IjKdV82x_3!=Ci$Xy>Vm7|Ej{Lh)PA@zD_sY5XUu^4?
zAL-5D5%?Z%f3sF`LO<twx7W&1=06y}Jt=x~!Z>!<vlNfE?4uKsN~9mo_j6Nv!}++)
zZdaDB)<>p%xzpf2D|o;`fQfkumf;2^$k^~wr={RU7fYR%DaAAHW+QIEVW~Z1=nf`*
zM%aLZ(^ADq#=VT-L5J`ij801xgSIMdTB^8pq2k7ciW^rjD((PHcJE+ZtQfd`vEsoc
zih(;Amna^xVcej@=(NlvXa}Q;%X&uR(A`Wb8*D+w>M{myF%I0U?7W)MC}cmQlFMo)
z!;n2pDo34`D!MLlTFIyww3E?kiQ*=w<%%J@7#AyUUaaWFxQf|rHKW@qMz>W=ZmSrh
znRl@(I<I6z7Xz&>S*Qq_;#jDBcqOCKPDYS3l{SJVpp@K~LpTUh88m*oRdEBzD8&tm
zE*lg>w=Y-T!KfU*)p-SzJ)_GmMt#P>b&M7qVY`?#IQ$vcGDk4&V^KP8>b_JpbQPl|
zlj}}KO{UOQjKTYvlsy>NFe)xw$*AbXxE}1z6-@DrJ6M&&cQb(uT+3+7?6O^#IdC<j
zEoaz%MqN&S##PJ_OuJ#`Ew^WO-KNhRx;%Ifld{_?Mn&fpjEccK7?&$MZC$FkMbTxc
z+gip*#+^*cftx^fFnKesU~*f*6vMQSMKOFQ(`rT)r|qCoqic#AltQ<I7QL-xQV!n7
zs2t6>gVAL*qXl2cE=CPLPsUYDcD#{{yO{KNy&2as+4Dv-?q$;FbzjS9!|1X^2egvY
zjyH;FCyO4h57QbJd)^qPJuLdX9!zVPZ5Ts$GwLw<Fs@*>V2ohe%c8;P!?d2wf-jnR
zH@gO(Kl6HaJKlKa{p@<Yp3Li5EtsO1cC%_Qd9bYHvg3_s*~6vB>&vp9!-6S}c@KvM
zlOM|p?l{)nJW9t+y%|?9s)jGN0=ZEO>_!VdkQ+7lKyI|;1G!O?&wVYU9iz(<J&+qM
z_&{#d-~+kQk`LrYO+Jtt?LcnS1G~`*>_#oH8?C@@)ZzoV%L?o+Ehaza)f^Ulu`K&I
zH28d2S8&C$?%-DQVO$T2!<EdQOzWB4Rx(C0?`KhoV%o>-$+(gUh8HTvFz;bor0Bu8
zY?)Hf4n{@iC5nNYRx+yWWL(B5&DhSUE9A7?O2B;uqn1GECQE^!ZJGius~9Z>g0^Z3
zcrdPHwh#oZdD0LBjiXtx2JU9mVD(~L$zmxG$+VwEQ^1F54XcG<4AV|l4M7i<73@|#
z5iEPzwRn73R&rVh#<1++&=B-uS;KA^&AgLcDS~kiqou$xO@V{<{DGVG`A;w!2JK~3
zMqQ!+s@*}&T1L?L0cezraiV|%<1`jf4<E!WC=gI!U<7pvK)ekC4h1Zrb~%U>ARrLn
zz{Ii+#0GUjJ~K86Twv^E;slMXT{*Tw=?llPV=I)F21qZSc1t4kDO4S(;)Rc^fkwnZ
z!|Skh>MQ|}A${;5G)n>l2S^e!0n~uZnE+7+o(*E!z<dBQgT!({8$3z$05mct3R;l}
z>MJla3P6@BF*6Fn#=3;Kz>^-#jKW+DpdmPBMiFuF039=<C~SeC7;FMU9JWAE0yZ!v
z37bHW;)0HYNppec3z!*YV8dRr;tZfcFJ?wL$Q%JPqdXS_XpD=QQGp9Q%FoECD8&F8
z<!544Vq{d7VgQX5F)^wzGpceifX1;H8P%k~16M4J>I@p72^mHWQ1F4qw=}pwVGdu9
zs38R6!q+2efINkmeb4}#2A_R^$il~{khn~YCX9@x($MJyGX{vU<{(R%7%dnXEv3Pu
zWGswUQ1`&679j4i1*v3Vv}1tSXb<8tF*-0ZI!ZCfgByZQ3>u)}LPlqZlnXPXD;IcJ
zjgiq!iUBmJ#>D8(%;>?z02=IKWb~8<4|cIIdNF8#MZ6&zeV7=b6AEmvH-+YIv{Vn>
zv-sa>rS^~uk$1u@uT0PQwCYLHF1ds~;d9)$0&5muip;;Z%_Mx*)}n|LM{lz4y7_ZL
zX43hHRa!RY%(EOeTILvT4f*})Q&!^ouU+lSE-SjL{`Kq7mHPAM?2a(ym0V#C*S6^j
zu1dH+bt{kQN{+I~`U~mnPdxu}ci{)li5;8o{!d@ON%qvb$YvjpzWB=byI-xDXPo&m
z?{?hY3dwhZH@kNg+UIxN`zpEn?iS<!E=OOV(TrTU=h|(@)oU0f7QOj-UfoCHjgE{I
z|HlIJh&}U5xWs4fv(pdO@!Fa*<*~cAX&~nf6+|V^!05-ssEfUtR|*8p1`sukzzCW~
z09C(=8<gA^!D{t|;CdajOkgu~$)wT-#U&~p%<EX4mc}sdU;)oiY)}N1FM%5rF9dE-
za$n7;6t;^|X`uzP2jeP6P38#3U5u8@pgK>BIf`*VqZQL(ZKlA@R?LU=m=9ZnE0mC}
z_RN8sG+53ssRr+0RyydsiqV24XqyI0&{m~GiW{t1K_=<2MlkMXv|;sPT*0Kz8p*hu
z(VE$HsSb1aZbqdGCm1bR7izKw?POH)1XZ(82f^7&%Zxo3m#IfG?qO6!*=qpGh2Z=G
z8b$>bON=T43XHytppj1y*8x<_fyOC89B45I8ZZEH8ATX{1uig(FlsP@xC{)8k^+oM
z44{ky<x2@LDl;&#I6&nE1OymW85ljGTt*oIMs-F3mLMp<fI)y!a{?3NJ}942R)Eox
zf#o=qE5HEaGBMtS@)_j>7_Adn9znSV3<8Wc4or+f;IzTOz$h=k=*++(4dsG#J2Nn`
z=)(8{0*tN<jAl?SqoM$#8v_%I6O<2fsyhRtH<Zh$EWqfIz!C!Gf(-LwV1g~Z3A|&@
z8Tgrz+4+t+=Y99W`^H_r)uup#fY`Yc;`So3Y=9K@koo5e4B*w}usIWOc@Nox1l@E5
zDkhm3g`w+07)789LqO#^54cw<roq4{3R>O4!YB%vje&OU7{#HJxZq{yER2#6tB|%J
zffo7TUV09yY>+0OLAEi1C!awRA7EEAs(=bF&?E<Bqmdefs}8jYUbwS>^}-8xh+cT%
z4$%u<Y!04U2HOiS+#!0wg*!8&u?7R931}9aiP01?{c2vjP3^>^iAyw^i?9BFcO^Lg
zX3R~^3CRWrPwLM2K0Do@#rI#@>F;gt94j18d=ZnZUSufZzV2pl5W~JF9!xq0{Fm8S
z#NL~%FLr$9HQCGKd!_j85Bz6;vOoOBbA4ifHG{;P<M)D(XB)?P-Ifc_OYbf=I##o4
z@5PdUgLTt{jQwkrSBG=H+j;tkyIkO|c|CsH^3U!&IZOH!BP0oedoT=)W{h94lx@0-
zkR_SRot7$sR%C8abYG^pL2-jp_)bP+_mzyw!Hdm8b~37gW)l~ygsx-^-U*tyT+gWJ
zyi758+fv2wy^QAWD;d=Tms&H1?Pk<w1U0j)7(qP)Ek>86mW<8|H5ok^S1?;M#xw3?
z)@Jl&T+d_}wu?#8n`sTJHDeU>PBv{uALcb|R*ayAo))7A%Sv`@#t4?3?AnYT%&S-p
zK^v$PJy_OqSTn}6?BdjB^kiMjX~h`Hx|dUn(U)}<mo;N7>n<*BMqifI9ENes``8uz
znAda0vFzhkL~WRXB25TXr^6a%9|V{fc`v{lW{e7;O(DDoAk8hedlfR9L+(|`%$KTs
zeDQ>IKWGROlo>$@4Bpxzyekkoao7NzH>ANjMZf>7eOjmc531!YTH||`v%349`Ax6?
z8Xby{q$ctiCiA>|Wp3j>{g!E9_h|u_D~11NzYXsfyRNh>%Qmg@V?lNL>eb&l(}E4o
zZ+tUn<%$`lcMn_=IDht@k?7&yVUwk!*04F=@^ajvquJ^4Me>D{ZK>CxcRL!+i_Xgj
z7h=%#C4hCIqLu`i3l%}l5>QxQ5MVrnSltU+sCX!WiSuCaS5Sl4<Jk*=qDjmCMLl~V
zFjr~jVu6z~van_~RU!$rfCn_k3tPYgE*arvCTKMZxXi>{tl05EP4@LoeaE;5j*}LM
z_PutxE~sk2!>6gYIO$}u@#24>3-|w*2(040z3=<Xnyk!Xx7)2JQ&ydS!L(m+<Edj|
zGiPkS<o--ZVZ~>L`PzP~Ga9;{UjD<kDBm>Y`^5dii+OtA@HnnH7Uk00jT{An*jFn`
aQMy_YmRlwWFkTCQXO;~O0*u!jm^c9da)Yw~

diff --git a/posit_adder_verilog/work/@_opt1/_lib4_0.qdb b/posit_adder_verilog/work/@_opt1/_lib4_0.qdb
deleted file mode 100644
index 90833f43959f08eb200b40f314f1020f260b502a..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 32768
zcmeaxPf5)w&dgOvNlI5RRA5kGU}R))P*7lCU|?ooU|?ZD046j(BSH!%i<v>sf`eCn
zCIhqFBL?|}a*yOQaH<`Z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70X!kl$jrnpE-TB}7+I2-
zl#`lRT9K5KpPUV&nVo}N9Yb6dLL8lZToquV3L0sdIjNZ`3Z8x;uI{cuIts<9g-D#t
zs#F9oKP{~|wFJQr_45o2b%mM$)~Ttf&&($7EX&A{nU|7UQCyglS&|x`SXz<~=EuXV
zjW>j`KojpAAYa8YvWrVgGd2psTn}<sVrd14VnTO2ND$rW3IRc$zK%hW3f``fItr;3
zsksFud5O8H3L&l$A)34l3=CTGdJOWv<-f^)lz$`tT>g>#UHKdGm*vmNpOil$zh8cr
z{8sr5@~h>S$uE?jBR^e!l6<dxhkUbqoqVNyiG03%mVBywf_$`mn0%nTkG#9Qlf13G
zg}kx69?1Elcr*k?Ltr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1O{ISFl#Y0FfeO^2n`US4kFY*ger(o
z0TId|LJ34Df(Qi=ArB(tK!hxakO2|WAVLa6NP-9n5FrjC#6W~7h!6o0!XQEjL<oWi
z0T96tBKSZAFNoj)5!@hx3q){&2o4az4kFk<1S^PO0TIj~f(b-0GBYrM=Kq6asu|>e
z%72u9A%9=~n*3S$Bl5fDH_5M*Um!nSzE8eIzCu1<K21JGK1kj}-dbK?UQJ$BURa(@
z?w8z0xfgP`<<83;liMq|L2ilMY`IBtt#Xxe1#-!9VRF85E^=mansN$q;&Pm_e`G()
zzLLEodtUaK>`vJ=vWsP>$#%*%$QH|{$;QeC$U4hf$?D1~$coEy$^4c1AoEz}n#@U=
zJu>TM7RgMN>5!=&eCc7-MWZ1w8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UoM|;0|JC<X~dfVmQt?CzCr6%+Zt*
zU~G!y4ghmB7+y0r=5hOjIqGr=jLCf5eqfFo!*9kUVQybAM^&zX(Rneq516CE@S4$S
z2e&tvqb!%es1m{L1?DI*ykb<&<Msq|6y+QkS@pO*z#IjJ|BNj9-0rN5oJ`E}Oa_dM
z|JmJG896u@<u$ln!Aj&9#TXf0a=U<)$g)ph_!-IW3|1nm&g}$NBEu-g@WYwg5v)X-
zy@BDwVRi?w5@|JVd$1BIMlpu>Yq{;fN+j7E7+&bI+k%xys&d<al}IrBXLzp1Z4Fi;
z&ac35gOA+`tVCRe+Y+oqjNvfDb#HD9uo6*m1BL_A?B-x4qRQN6U?n0<J`8)$^O&+S
zGBPm>GjT6q*rd;H!pg|W!6>Z6ZVXl|tjKKyRxHHi!?6A_k0DsGAQSrnh852224Ka4
z3heq|#e(wOdSJx@Og;=tzw_vV74tK3JYbkB&aMMi%rD2T4OYxA%dG`g%*Qy3VRkLI
zCfKFCQVSRw?y_rumGH`Nse_a-@i1Pf=jB!d8OOxUB>W&-mt7TX8n-mN3Ro$(6qhns
zDHr2~%vf$Euu@JY;Rm6$?22HeoRaJcV5OWAT=HP09899Y8QgMUrR+?M3PB$1vS6j`
z;_NbDrR-u{(qN@*%ng1gxTU~KS(*7199D2hvNAGqFtRd<vP*z9v5K&ZgEg@VbBTd9
zv9Ng9Byfv@H8HcwImjt+h=4UQvj}krLs-m$96}HllK{IQ*dS(pb^)+K%zRw@V1t+h
z6L=1A@Ue0-GI8+o9AM{V<z(bw<l$xK0W-LH*tx+BE^c-%FoTneofFL9;AH0jGuS!U
z*})7pc6K%}gO!b)70h5^WoH31m|57F!3-v5HYQe1P7X#URz_A%4h~K(1_p*8*`1*M
z|6k?b$v>BWAb(T-lKg4;Bl3IYx5=-UUm?FxewO@X`5yTe`5O5W`5gIF`8fG-d4G9#
zc?Wq*c_Vo(d1ZMSc~N;@c{aKKazErg$i0+%BzIfxiriVbV{-fCw##jhTPe3tZkF6+
zxgNO|xmvk0xqP_{xkR}rxnMaTIafJ5IdeG!IZZhwIcYf&IbJz7+5fUXWIxKjl6@?D
zNA{}hIoac~2V{3b%Z*WLGz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU
z1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E1VR|v4NFmf<z1%WBeKrp2d0H)Oa
z!IYXGm{RoxQz|}SO4%DsDS3e@MNcrL;K9bi$jK=04(7?bfhjpxu%N69m?!HDrevJJ
zg3^v)p0op)lClR2O4@;WlD1$<!UilTZVl#%TY)JtOR%7*1(+vl4yHuR*jX4E8HJfl
z!IHuzU{PUXFePLJmK0<%1WO7UfJFuM!IXd=SdyPf7c9xI0~Y1i22*@mU_o9@FppOQ
zO!26Lb#ODOfhD<B!J^zMV2Vo_EXm2F1eWAf1dDPifGG}nup~Q^99WWF7A(px1E$!d
z!IG@ZQV^O+60C?-0xZia4yIVdz>>_Yq7a%z1VS?lLue);uqI|fuxe%jFvY~r#=^<S
z$jb+&czD4SHxHQN;s#TkTwscW6HKvlfGIY1FvZFSrdU|P6f+B$Vq#`x;pAjwVqxLr
G-~<4UUTH-D

diff --git a/posit_adder_verilog/work/@_opt1/_lib4_0.qpg b/posit_adder_verilog/work/@_opt1/_lib4_0.qpg
deleted file mode 100644
index c9c9f9d97381d9836ae8734b64e4df6cf5d4063f..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 327680
zcmXqEaTfq#1_pK}1|+};<tsqNn4o+{D4!Y1hjJNM(D<xSzA97!8<bxN<+DTi9Lx{_
z4k%v<!e!t@<8wjznoxOeC?CpYkcaYN@;p$!F;oFBl<x=S^FjH{><|HdC_h6O!WV$@
zuR-~OXnY|k|BMtwy)cvy;W03Xq4CAh_!4M*Nhlu{zKT%3Jk&rXC?95@2$T<%W{^VT
zOQZ2+(D<@wd^so|X1*wt53`?vfe9Q_9#;Ck#mNc<91LtMAiIn5vr>~w^b=wHlob7f
z{Nl_K7(YHSB}K1{p@bc(9;63?nf60y7zyEj`VRpN49q+rnXeG;e+C9-aL{~2@WJw5
z5PY!w2LvB1{~5st%l|;|!Sde_e6aj?1RtyXKZHCt$bCre1@n>I2j(NW2h2yZAIwLx
z56pj$X8t=g{#!Ku8#MlFH2y0z{!29e3pD<7H2yO*{!=vm6Eyx~H2xzr{zEkW12q19
zH2ys_{#`WwUo`$7H2wz(P%JSpC_vLQgvY?Z!NAG^VtarHFoy7R^3#n#Tvi4)usk@?
zg9M=Je}Pj80|OHdK3FLO0|N_G9>)LkUl?p2IMX1>2S644{?7rHhjQWi-AeP4OEUBG
zIH2xPfI5&3$_Hn*_{0>Ld<xV6Ca65jJ_e|JIHB^4P(GB)Kq?>RA6^D7un{o-!pc{e
z{fJ5h625o9suAG_<-)@g#)p{)<0I^Yh`{9MK)4L(;fKpV(CCBv7Z&I+^)None5mp8
z_@H%o@}Y&NQ!&H^%+UCRxgQpvl!hlC#CW*>u0Z+J3Qt)68L05&M+?tX8iyx8H2h%x
z<$*>RwZapY{$S=qt%Jv3dTL%$PPQ=vtUQ3JhozS@&<qJHztH*Mq6thu@+-`ISpKVl
z3c&0)LGz!op_zq=v8g4@egg|-LnD*;co-kueGtA8+`Z`TLFa>=1}3n(FB;8#1%%ya
zX&4`$4s#zk_>34B*rE9i;yy#T`=G`#P%AuP<s~dUmqRUpr57yWX>Nh;UPFX?OG``Q
zQ&MreR|Fb9u=sz3#)op@=?TV%#lIF*0OsENXzqndW9Wyehsne0-;~6X#Q4+-P<s(v
z^cE#1m&BJL+@F?MTmsSqtB(yp90pMR0jZyn+M^Kti1sL$pOT_qo?ny=k3UHGAkrJu
z`SAFpG<>w7?t}T)9-7}^@d=eCI($IxO-w3==bxg~^vqnO_@&gnZcqoo+*?4QdqHjm
zxe=D1a#JA|!0IpT{z1eCD?~Zf;=>(k9?XB6DfA!6{i!LLX)yn#LCuG?ci5nOSouI{
z{J`3$F!z6;(EY`wN%5dE2iAT}DK0VuIT@C}s2%=cAj6T$YdvU#fZY7x5*!p?l$o9Z
z3;&XMzxZGV1_qdaK=G59k^;{!=>A2o4=IhGBsBl!QRqLA+d*!I`41FVAS+<$D+k;=
zVPJseC#ZE)%P$!q%aG!06Vw87<IBh21uebh&@{c}pt=7Uh3*HXx17{8c>M%TZVa&e
zQe2t@9%6#=sh$6e(EOtcO~B;F2YUX<P0TCFFH3{hkCf&IX!9CgzeP~!UQm7jB^c!V
zU6h&*&)-G)kN|?^2k3Af)$&6*#CW)Wrcvl0klRx$3gGU~FMwD8bAL*45jcm#(i5fe
z(FQRd?*7{px*yaYi;qXN&(PCTX%56gEYS8d)H<rgM?ac>1fUfbx%mN<zCdLxEPX+%
zSGarSp!UJq%jkTlG(3D^d{}!K=6_iIjcdFIHvRz9Pb|MIF{c#N#DcjWz5GFUFFGG)
zAIyC)KFs~l;D!4y2PyyyZ&>@8SogxrhpC5!53Ice9s~uq?@{}AF!j*kz5g&ixRH-k
zU%~jW{sm_P#0D52RzAS|i_V9+4<?V!M^}%|M^}%F4|7ju9;kE!jTb`tGZ5E;bV2+t
z31T9J2MaX7Vd)Vn4UZof9~OUtPyv{KZlJ{<EWN|R6KXtsd<?1`K0cS32QHo%VELQc
z?)yWb`-)2vi$EPlT={`g_X<G+1Qwn$&<qF552S`?eksVci1??}ebDJDczSRl;68Ns
zLcNC+-;f>-wc;CApTWW}g+ljLr9xT&u=)~~A7SMQrQwP0-WCeoo06XgDz4$}M{2uQ
z6dHlB@LfZpd%^k105QG<&7Sc3k<#!LM|1BL0`7$>$5}oVLyA*){|Hu|Q7b(_r<36M
z>kp;wg%qbWb1y7@VCg{yn&5DiSA@bBl;7e@azXRI;HnlH+zhb(DXcu9R(M0F*Wux9
zLuL0vgP&&Zmxcx?Ec{a_bbn@E8aT*lRo=;<xwnUM_kx3%R_>KWbMF=k-3v<Jpa7;-
z`vKPehNXu)RB$gSfNAAk*!mBcds)D_o&i4J3d`@X_9HYpaF)+S$)M1sUVBFcY9Y*h
zIuyGP6q>YipAUuZL+>Au+FybWhr!EJsB_@!A<+9vu=s_AFFGF@{BZNo`RMA=`RM9#
z@nQWX(A)y@{0FRlgY}0<?H|F`r@{QU0U99W){hYPA?7pC<BQtvy+WaT(cMRCdV=YP
zg&)*8IMWk)`a|dAihr2-=<3n=g!IGYVd*IqQXIkCU({~jszEJ;x!(c00EgW4l?o}I
z;O?b%`xf23DHOUFG`<W9Vp`Qt>S*EHLIwANf|y?JT|=RJE1&~Nv}#{zpoQ-h%H0bJ
zUYhlPVe5Zk`Rxyd?uC_au>K6G<s+;-fw_lJ`3SQQrXHOSjSigkExLMiJ|X=ud06?F
z3(C9<i1|jCe_-K3X?>;(wGigt49IdqvfG!r;M9e1FSW}@Jv8_BQ0U&wJj3|(lK4#6
zd@po(m1gBTG&<qwXAOn!&w)<(!RD{19p2!{RiyF#D-^mHeZGy_?lnP6A73bRZ%$D%
z*hFfLznXzf1NCnp^9v%-0*&1ENHLY&XO8AR6AImz1D!ypRr<6<b8iTR?k%RVd#%vi
zTSB3GbHJn7v@36I(A+zPLiZNa*uA!B?%hJ6dvkIj2GT11+Cz+o*9TW9bZ;?@-Rpqn
z-Y*oo7uG(4wP&El!RNoA*1^ZK(C43_%}aRr5o+JT<YDa{bUw^Jm^?ZkT|GJ<T|F*7
ztbLb~nFgNVgY_R^{b^WuP};t8hB^S|p9t`-D)Pq<bBe&r$!OMpa6xl#4TbJ4rm=fn
z(cHU)Lid8!CV|oftUU@fo`Dg%o`>4$!xL%&EPb4z(EXtGdZ_C|GxI=Jz|uE#xSeYA
zmp&lFk=mzUsP2Bq3ISS;M}(lcUxgPUKyG^w6#l94De(Rbq;#QO`ydqJTzL5#LZSOX
z>%ns4GvWK~VErXp&2L7cxxa=Q?nhb=4V|8*T6#}JbMF!g-Aifxi$0$Ks}EuI8~S_(
ztiJ~<Z_xQr@4?d(Iv-s<Iv-s<E<VhCpz+c8{4)4{0+@POc}wl`3euZI^#25)8vx18
z@1XVFpb{3gUy9n}L*T{<Qu%5_p?g7Vx#Np75aknO`4!E^ThgHs3QKP(6uKW&zJmM!
ztDocZOH1NQXtzEm8_oSaG;lx23R>0A(C!F4KkuQ?{W+=e`N->EKyC+NSbn8;`v`Vk
z1}y!&p@REC?xj_Dqq|oGx*?X_@+CDMvcR8O<6os9&m)&76uPg7%I+&eb6*UF?xVDR
zMPE-!sD4FXAB)b1dJmo-(fR1=(fR1=aq(gGYe{YaIEG;DXIOiITK(H9r~_d6^S}Vd
z7y5cLLh*&Zz7Cxa^&ZanLRXK@C!`-Hj}%{qpa_GtCt&R>YQ<M8)IwN%X$U|B$St2>
z{Xbgu54s@EgNMHlh3<vzhozT$b0~Cg5Y+$la&HfX?hU4KdlEf8tfA1o==-%O9q;Rg
z#uqGqouSZuMR_UlAUDF=yXf^9rS9!PbMG4p-CL4dU>KhtUj%b6)cNr9B&gj#>O*tC
z2z0{_x#bzA`ym$4YW`sY#CUl5=0X+s`@#3KQaim&L33{oh3-XnpEA^Z*m_0=Xaxx8
zL*-%l3(94H?e~JUZ(#E1`@LZ5Ve*r3m=Cj$+U`N$-vzZE9{;4e=NHsNF!w^aaPwe%
zT;YRj|JFc-5Bh#BSbq}co+;1(gZUTAh0j-^#}}pHgT6ltmR@nW2NvEi_fgwD==-l=
z<>^4V2Yr7PY`m3R_l)LuND87=`WemdknqJ-9**XB{NY1seupjxgO}G3+Zb@JFM##m
zVdV*o59=>;GC)dX7#}uY08@|7huH^{N9UufN9Uuf$Hj-aM>#XMASW{!KHgrUTb@~z
zs%!{rAH(#+#!q4PQLDd?e*VP_=ztcn?PFMb3RWILLL202$oe@_;}gBUA{3u6`(W{f
z&Ih>!gkka^HVDJ`=<3n=AhjS2Qx9T;Fgl+=d>YUzKB3Fw;O#pB=mu?S#V4uje_-(k
z3ojTS7GCJ{kFfL&Q;*IEg*^zv<Uwo@hVjwWqw_&(K^UeU#0Ft>KFocjreB!<VCKR2
zF!RvMBbYq8dKe$pe#OP7R6oe?APjRKsqs71$5Uw?zv$!h=zLgs!{Qg6kFFk_kFFk_
z4~kn5hQ%+44Z<+Ka=EfbwM8|&e5$S0R5mm=fsYS><xSD#4Uyy%l?{zd5%a6ciOQCs
zEe5dp1m#3!bI=-KB)$=7%{q*42tBY8Hh!X<sB8pUBMy@X@lBD|Yk>HmHQLDXpf%CR
zd<!J?Mh1p;pgmj2@@Vcc1~~yHZ)9LtQ-`EqIZ@ddw8jM{58@;H52PLxp0N2skUX;g
zj0_Ax*2CB1DJLqMAlnDxqnU4l=3f&u|C*q=-xOKDv4NoxXw52;dri^Y3*J(K<X%&>
z@HR!W-xMu;O_9S_IZ@dR%|B+y?g7aohX;s{W}i8lyak&5mT3MpG(b9kRyk4G(7+NU
z{TUitp!0FJr$G9REtHLL)z46QW4!W6_L~?O8r7&7!}F7xp;1kpS`8vUB`O<&5&&%e
z24ud8395Td(A*D-aAftSNau|}&fi6xXAG+^VC_TL`deK4&tdI1T=Jl_4Z^VgP%RV0
zL|AzRu^;z-UWjT$`yFH!2*d0n)&3YJNR5YTKjOSKSo@7u{`W_-A9~z8Jb%O58!-D}
z?OB+AL4F5e7#~*u!1y3J5Ju<2!XLJO8|HsP=ikG|TVU>?c6_iiLQ)*eJ+uyg4rYjt
z=w%-#BP7J=WgiDO<isCb_Cb74z5K+@2uXps?1T88diJ5GUs|UZDJDn`q?iAs>1>|{
zCuD&sz5J(1XZsB49G;e(kOgG)3QsFK+h;>(`)uiKpFN%JbD*<*o^-Y^jn4T!m(KQe
z$%1+_i1psIu8(@??7s<gwr>iZ?VHL3X>r5KYa;Hu0AC3O>(4>&U&Fcn<PLO0BLf5E
zCcHh+0}f&1si3wx2*buxVE3PKurh;()*-E9kP0R+6E=Rsz`z7vIu0AJ;b33}AI%Os
zuT6p(!iUuE3=APS<k8hbm*+4fK-EK+U%>e=`zshB=0k`385*E`bn^)DA+1%A{~+c;
zgNLC7Y94I;Q4f?4TmLu(%7={~K7sOK_K86KzZW{e|Np-<Y^gfPGB}2X9|Hq(CP+{N
zk{m!M0#C!n2Z=#26IdR?29XdxZ2j~9|H89CqU=x$V0_s9ISdRC^(@c=111k^pTp##
z&WF1PWF`bd^h4Mnk~aMJD_x;S7GgL5KGcCQ_amiuaQN^+JLoWZsB(~hCV^ZHyYB|(
zU#N1BJXn7OND%{gKP#AzE)SK4t4F%;53C;PK0q)(9@-)K|6dr)N7s+e2WL94Aa?)%
zfqDq$KbZPv21v&iVLwDabonCa{1veMO<=PT{!2njFA`7>|NqaS4^j#@p9Nw*?2<>A
z{jl|3zyEW9XKtX*1BDMbyrJ7CL42_N3qXb<g)fu~mybgWuRTx&zyEW9^*6#TfcP&J
zEj>e>&j1S#1E>JZKTs}1Gt@sP5awfbKioQK{<(or4|Wff3wJ+ye1Cx|AlCgb`(W<3
zfI1lFez-i;{jl{VxWXS+p25<)05n2j?twZF?tb*}*FjTHDEy((0S|u%H21^hq3(x_
zjxjL&|IYzCiU;Z>Nc{uzF9QP;I6cA2TbO^Kis9~eKyz;d8XxK$xO#N`j)?RJE>9u7
zaR#`57@!8g;sY)Z^$&XfKzBd1aKmYT1)6&&pz)E+!<zok-483@Vd;qj&HZqBBHa(G
z|8Rx>1~m7cK;y&WAD4b~_rt13nEM6L+z*#0(*1$(2#1s(FVNfvGapy}fNO`A2MSR4
zz|^av@zv4z`=AjBD?efBALc&}XaK>|7hE3dKd5$4`T^$$*m`<cczU4OM{oZ?vkyGK
z2%z}~E|0@Mu>BV>|1_ZaX9XG`JwDL+l*SKav=Nd2HJ}+D7C&%#9RBfzI|!2APN2D;
z-u{7B_we{JK=Th=9*2KGcXL6!1o008G(p1BzXlo~q83C#)q|K|4B=B6Kd|-%EdN-b
z`3EkK!$06kAIUuyXzouy<Aarg35ff_OfUiAQ|cdB{|V-w1~mV`<#G7OAM6$o0dY?Q
zn)_Fv@j)sf7@{7+29XdxrT&48P9pNp3N-(~<#G52b{;gWJlKKe{s(A$kjo($;(iDl
zL_+wK`Ukol5T1V?p!o+bkHbH({wvHsAJE({0WB|K`3dB52!^;H!UmBLKBfMF^}k{1
z?FX8F;PN>913Rw<<{twz_tU#PfQ=u({9^!Z;K0gjxI7O3fbNb0g)YoL8EF2QfX0WY
z1(A^W0I?t#!lyKTVB;S!|5%{;2QH7pKd|#)VE$Qw=Kc$4e2CjYB*gt776e21l==sj
z{$c(}K=Th=9*2Km_o2Z2^8n5Lq?Xql(DV+=Z=|;0VD$&gKOJcPfy?9Y5A6Ohn12+|
z+~a}9hr|Vlgv1Ak1;G$LrSSs`UzmRwpbczT{(;Lw{R8WdonT_lg1BR7k+Av{HXes)
ze+y#mUkO1qz}oMK_APPh5$#9f)Faww#HmNLe~43$XkQSg9#MZ2ryfy15~m(f{}87h
zQN9zW9#MW0ryfy05vLxJ|A|wN$X~>%hvm0DMCLbG{S8asV$kf_#J~tX$`97R{Qn;^
zG6d}ogZh_XCPE%EJ_FZ}mj8F)a1X4$h1ri7UxfM>T7JXw6SO%5vLD>P1v>)lW=Q!K
zfL0ztX2ZbaN8tR5KK=$;>j%>QA3A;rVnZ;*yyZ{}VfMr32VmtNtUQI4e{gxI|DoF9
z?uB;mKzy)!D$v{uJAWPK9(4CAKpY1e4+HCmg+Hu*KzA=}{sQJ+4X6WP?uE-k-OETI
zywUCRgnAGbKCtmbn0>JE2AF;5@=)!d@Bqgr?7keBe_-VUtp0`TXJG{UA9g+{EWBo*
zg=Z3)e_-=9F!u$Zg$G<78XoBGBjkVB_!rFl2sHE2<<ZTD#V^eN4AAxh%>QuxQ2)d3
z3n$k9u<=Eh`x4OH2bag;fAsu@9{;fN2WEZ-n)&GR=>7*6I^Z-8$$uJX{)g*_`X8(m
zOhD8pqQy5XJ;B@qyYC*B9^vvh{7WdlVfQ7$%&$Q6FS<Ose?e=5!On+{7kZ%i7p@<N
ze+i{`SbBoF4>n!~^FLf3hyMx1w<5&x@cwQGn*Y(|(ftpTho$!nH2=foo1y-PwTEHp
z9X-C4(A+Zt%{_2=GW`qN-wX@S8EEFC%cJ`@9O^!pe<z^%7p@=bU)X#jF8{*DKVa@z
zfaV^!JemH5-IoM2A2uEdOF!uH=>CQEKVkmef#zSheyD%p!2`_?=<y5Qe87MhFWP|S
zU${J({)OEy1M}|=H1pBr(ftcMPZ;Lk2Wb9<>nGB`u=W7VJqOU-1D7Y$zp(pLVCKWd
zpJDNfE|2bC*m><R|H9g<u=)zFAL`!(XawU*&#?J-n0qdu`4=uvrhm1e_QTA-fo49s
zJi32j=e@)Hs{rl)!u$)@5A|;b)IMDP)q%>x-17j<J#cw4{R`e4gOp!hpqY;@kM7?n
zsQY04^+5A4TtC#maOF7iFKiMQ=HCx!?t#mb>0j`o1SJ3dKr<g*9^Jp_>tPDe{0rAl
zq<>-k3z&al^C7VO1(zq&zo5NIpfHD-&jIxi%zSits5HF24XY1f@jC;}zi|CT`WLh}
z3)wvaPzz!1fy<NWU(ntvWb-A^%tx1pO2hpNt6yRMJ%Hw4xPBu23)=gI>>dTEg)slZ
z<;nCftbGWJU)Xp#%zSitsP%CF!rBio|Gq%;FI+#7{srybL-wx$)Iykh;PPbp7qmAI
z*?ic18_axkd8qYp|H97KhWS?j+JJ|Z&v5-j`WH5S01JNysD&{1z~#yGFK90yvVT3$
z%tx1pO2hpN^AF6w25A0;>nGB`u=`?Q{tbXy2=gyoo=pE*gFK5=K1ZOLk1h|DhWi&5
z-Z1|Lp!pZBpGg10+AlEw!sZ8H{)Nlq@Gp}4z~d3<{b5*p0cL&yR0GU>ba|*W+`q8+
zgZXy{nt$Q?q5ds^hA*tWQGli%eLTbtsvhRP3aA5M?t{yd>3`7PU62(p^E=SYN0*06
z!~G9SZ!rHqK=Th=Kh*yfAj=pK<9&qu4;w#%xo-m00Wkl=<#G5QI@|zqFV^^Xgt`Z2
z{sJ`f(dD7iAoIZEVX*O3nEySX3uIvB8C*Zq{|!+4VDZm@rXD^1ouKMr?t{($!~74I
zC)5A1@f?`>u=#nI`RMZK{)dgH!u+3s<{!9zsQ){l{vp=?u>Km%eFvZpfVmGYPp1E2
z^WQM@PoSBPE)SK4$3Lw71@r#|H2=f(L;Vl+K1e?}Jrat4H>d`f`z}Bo0P{awo=pG4
z&Yy*ue*?{Yba|*W$o=5-4{I;N{J#Uu|8V_e`X4ra3UeQ9y$H<zaCsd5hZaX5_kzP4
zz5IvWcLOv31=NEu^U>v@(jfD|{)gRn0`va^H2=f(L;Vk1Zw*WTgvJNG(BdDq-UQ}8
zxICHu_eL}S2h@Ww^U>v@(s2KWLG{D@&jDRf2P^;J`l0@x0aZ_||6%J1Vetb!UJEq8
z0`BU;<)QvZpa1QKCIHy{2l{+3x;(o7pwkr~|6)x)u=Rm3_bEUt99a0F%cGkQ_5?Ug
zAmif&XyFIf4-G%q`g>UTX`rb`uRmbxVPNjlKyx2l9vXh=?jz)X*m^aX`4(vAqsyb4
z59?pU($54m|G@P_{l5YnR*3ckA^*e1qhaoIKyx2l9*6%4jjzMjBg4%1Kr<g*9^L=2
z`2(2$ccA$nt{>|E4QT#HAAg0dCx*Ev0L?vcc{2SATR#djKLX8sba{0D!p4ta{=I?b
zU$}m#e|He@FKm4j%smNc?t#mb>0em+4l^INo(z`1(dE(o3mad6`S%B!f8qL}{)KuM
zUf!U`FRZ+Uxu*clzi@dn{R^A_fSF%`W<I(+x_@EgjWGX8Ko=y#>I1lbBK->+AAz~2
z0nI&dc{2SA8;^jQ-+^X6x;(mnVeN02e=X4b3)fGie_`XfF!#XLE5gznTpovik=%#1
zzJiUP!pxt6=3jJqB=f-S9oYCe%)b$6{)Owu;a@`iy%=Z)fVpo0n)~4LWcoiA&3xE;
zN?7=!%cJ`r*58ErzXHwwaQ$TZKMu`(8_@g@m&f6MsB(Duf!^MYM>Bs1R0GWa=<?|P
zhs_7V{67QD|8V^{{7<OA1Dk(>#s2{`_rc}K^gryrJec_>(9B1dNB2K$yand}9cccC
z>nGFyNoer{Ti*+dAGkaY{}XDj!S0)b`Tqu*|Iy{q{STWjg!%sln*ZVYq5eMr^$)S_
z)fA|DnEPPsgJJ%M%aiGU=ylWZ^$;)6{Ese=?tj>L7R>)Y(EJbA5A{E6e=4#5huyCS
z^Zy4l_rc|H_#fK+#F_tL_us+H|AA&cx;(o75$gdV{gnjh1|3-a4%ZL${{?7#!u&6R
zrXIb&0-KM8xsL&wpkeV3m&f6MsCRJsAG~QFX?z>DejH{#x;(o7Ve`GP`0qgT4_rUg
z|4{G4-9xDSgDt{_`CkCd|8RLS{SQ6_0?GdpXy&8Kqx&B=o(c2+1~mV}^^@s;@Szk)
z?t`tThs6(E9_oMe_1NhBdGz(y=<?|6t<mMt{g1@Q+P;Dv8Uc$x2ej}-mq#)W+`fXX
zZ-j;K4Ycru>&FqkgxXi&%a@VD7q&kE7QS$KX!xSL4?3IzPtWM>3&`z&i1k0P^}aCk
z(dE(2hfaUO&F6rw*N2raaQ!&^PiQ<1Hva;Pp9r-0fy<NWf7pGCF!K}8%tx0;_dk00
zTA=wKuAfZ*!_Mo5xi16FeQ<dk{zq~z*7RQtE%0FG7oeGsE{|j$IQ^rS-w9~`hwI1T
ze?sXWcAf^zeX#Z2u=EL+hx#9Ve+8lP0X_cE^9Ss_7nuDWXyJn{j~+gV{Q!{qw*xJF
z;QDcd525@4yYCX_z6ogVgUdt12i<*y+8^a;=>z5-SoovMqni)gp9w1;H=y|+t{>`u
z*m)nswm)F=lQ91;K=VIb9_oMe{WOHq2Xwg;ynI7XAC+j~vjNS1bb0jffvt~$g^vPs
zgD$N6gzJZf57auidoG}bCwlt~cE2Vpe0HF@4=xW4A9VK-${*EG2f@rgfM!0rJi7VN
z<~ZCx9%%lD>&M}LSbBto7rOsz(A;+d&3$lr9R5dgFV^z27R~$%Xy&8KBbf&-KVj=l
zVewyp=6|?;9R4R%euB;_2RRWIKd}4EVEGp=5A{E6f5`v;ko`i0@&}>xQ4g{lsr~W-
zEqu`B(ZdJ2+!vlc7NCU>Tt75?9zgAbl^=xC2W);H7Cs-)+y|G3h7bCFIZDH)5$ax;
z{jmKhu=qijM-LyUbK&800WEys`k~?T0%{+z;R8Fr3g$izXoSGr2bYJ254!sZ)i2FZ
z_rS~-Kr<g*9^HJ{`fphN`GMwtxPGYrq1M5}i%|cz1*!q&J_$7U!R5*HKkPnTnE485
z=A+A_`yaMGALf4rXoU+a58?Wu{{H~=4=nx(`5$~pAyWQ@?H_{0KU^N_fAsyK==lSE
zKPb99y8qDIm+19xJJdh0@N+;5KXiF?^I`k-VBzP07JhL3(D3^KwGS44gvJM8>t|r@
zgY8Fxg&$lV8h+^RL*iqte>$P=fteqG=6`f~B=f-a4{UuY%>M~!{)g*_`X6@w8O;BL
z>L1vByD;}fpt%n&5A{F#{$E1r19pA^%>C%;19qP-%zoH@C|LNT%cF-6Y`q4|{1a&5
z1J@4=A835Q)Hk51M{l3N?$3p}uK+E4;PTM$L3bZ)|02vjbpQ84-2*ef0?mAMd35t(
z>y=>U8$dfWu<`}2AL@S&=mb10eY_yxf7t!IF!wc}xeqQ6^*{Q4Z$jw<>Ku4^j~+h#
zXyF6fKL$%5=<?{{1Dzg*n;(D{K5+fe@PS$fSC2kl3|nsm3y&FS;Q^P2h6lQP2>Ev+
z)B!N_7oeGsE{|?LdVOAi=3lseBK-?4gplf&6=?2(%aiHf$!PxFfM!0rJi33O-79!}
zO+fQ6TtAWih24J)3xC-DJy?2!%aiHfsc8N^faYIxd366mn@@26Zb0)dTtAWi1y@=~
z@p}TzJ#cw4{W~4azZcNVN0&$UFKoRVtbDtG=3lsesDB}+HXznBq32)NdO2A9-avB?
zTpovi3H7IDqWKrL9}<>+(B;wn3tP_*^Y0Hd|HAb{{R_1Yo*oGGr@)7JBE|O$H2=fp
zarhs-d_ga-XG0wX3*QfD=A+A_`yaNx6Xt&n=mZ(8K7;Fr`d<QCpu*}iLha=_Xzu%g
z=03PQ)c>&koz&`Y&qcGJ13EwtOCRX+=-~rfp9>400JQLd>xYJq0$TVGN+0vk+$VtM
zKDazIe9+xTD1XdHGhYJDd~|tq^I_|sVg9c`^FLfa)c+bJ`X9C)6qbHr`(0u21DA*T
zA9g;&0!GMrPl)~Bkn_wbT|Z0f`8Y!3@r1@>35`b)8jqp0KT4=SLa04TD1XD^cMddu
z5&aqL@hbx@AaSjaMwdsAUvzo&`Brp!^!ZkFdGz>2mq(9Zbb0jnMVCj9Uvzo&_(hjT
zA8$aHM~`20dGz>2mq(9Zba`0(PJzZRV!QzoztG|dUcVlP7D%x8oq<;VpqH<_&;fLq
z`W+;yzk#M+9y;IxJ3k-Y{2yrQ3AslCT42HIS3>4nkf=U_MD?)v=z+!u?EE9xd0Ein
z93CIRX!#AjycI$VfAswd=<?|K5nUcVKcdT{=SOsT^!$h}kDedV<<avax;%P*M3+a;
zkLdE~`4L?nJwKw$qvuC-dG!2<E)R?U7HIq<)^8A(AEC_)c={m3uZK2RVEGe0eN~{P
zU&Q%Ykogz%{FMwH@W*A|3^el)=TVVo-VQYL5a&HX%tO!5gxq%n%{;{UNf7f$_3sZf
z^AP6~LCho7eG<?L7*<{(&i^6LJPS1Q5a;U<XCCx=q5rV<D0=!iiB?}A%~ykmPG&;O
zYgl?&2u-j}(Do%%J19Ma)kBjjh!5_XqxZiSLG{DhuMeOOg0)}K<<ZSYav#|I4QSy5
z*AH!9L#>0m2R(hDkEbq%YJj;9cHaQZeQ<dk{)c8SxP9pUUxH@-2Q>eq%cJ`rsvK^<
z2K2yMSbBr&$KiiM`=^$ox$g(mLYV*I@=*Vy*Jpmv@mg4VLF`|Iq*uiG1rR=Be>;Sa
z*zXMCBlf>S_)zD;{U48LZ-9peVEd<F=^fpD==UqY&WnVFANu_d(P-wQ*U#wwMeJ9E
zxEFDL0fdj(&ko@u_BTWLi2be*J|X|Y_IJVji|#&3{fpRt191;xe+Gn)Sg#7<6LK$X
z|0c}6=<ONUd1kQqAT@pv`)45TLF}i1@Db}vA$&sah3&_Lxfi{CMX7ty?e{>-&qtvJ
z04zTt_HRJl4{cw<!VA6pJ%*+qvHqDn{iM1VvEPC``w;7u$<vQmPY%%^gO;CR`wwC9
zi{3tlo$mt+UsBT}dV3nZyg;l+g}4u~J`uu4tk;C_(Ze6T{DUSRc=?EKKdd|=*FT8;
zhY<H5)(b-T=<Y?Vr-R57azAYUFDyRL`x~(Ofn4{a_fOE{1F^mf;$FmhCkUUA`(fuh
z!rYH;AFO;Q*Zt`ICv^8OgF3L8ff0OE4XnNM|Gyx(CWj8!gVG0>4_6OuFLXc?5X^n|
zp#=)8{6P;7^!$Wa{{#syba`lV4P-yK{f6!z^!qeO&2Lce!_6OV<te)V;?T-7#QH%<
z_@l3{fJP@ge9-F$M0*sXAHBUuNIznI6GT6H{KL*if#nbM{ywGUEg}1tLjw?&KM?C}
zvF8uCdT9Q*3k?8Ren6~e!tP(#c?&TAqK{v|+AFa9MrwLTtoMT09|6r^F!Rv!FJk==
zL_K=^AlBDlmxt}IhxrG6yal#@5oSNB{y`s~K`-B+(FaezC(z0(^!5_Edi4B)=zl`|
z3o9>S?uSM<+&=XDf>;j((T~1fhmd|k;f+|&0x=&wzF_xzz~Tcv{Lt%rSo;s=KSKQ-
z*!df<{DN5j1IaJ2^C=h@AooAQ)kE_O2h@Qu`_R)PVtyC9{}A(^*yUm8dBFUSK7I$=
z?*y}-)bt1&?=yjp_aW9xLB{)_&1+El0FQs5rziCIM^BF)XyJpNe*@6ui_psZl~4m=
z;}vi|H2h)fE8*b-RsRQizpWgadFcK@uMg12H=xFW{12|r(fxA)tvpH~;Gb1c17ZGw
z^P&EM?N=h!KZyH3A?XLvKZo4EjPBo0&;c_B24=8-pw5B&uK>;c;6@Z!5oCXkI9hnF
z2FoG#$D!K?4Ia4p(CL1hd?fS0?(2mb2&<3QpxI{tbs%i~1}+aBzd<j*(A_f?&HS|p
z^TF*)r1}SJ6Kp>ntUQ3LhsIwqTKFK=+d|@}9&&9l-2YJJaQ;Cw_aN50L-eE1hm}AL
zfQ1h{{GjfE?T;WfJt6L6h1f?ZJ$)pQp2|r~PwNoj4-Ri=aDc)GT>hY!H-yp?l6hFu
z(|R=fEWoyc*DryOvVzM)(-UHU8zg+t-GiQ<5a$s<)T75gdU=B$|8Vz1;}dpX2(j@A
z8y|zMpCJ^V9|*)}Es607t3P4o9i{P!WFFS|+=v#Ru=|%`<pW$E8lQ;$ypZ@I6rYIm
z6(H(KjZe7yq49}69sqSd1FrfD{k|N;eK!#Ipt~P-z6)%9)<$Rqz{(56eKFYe!`3_D
z(vP@*1EL?AeL(pY96zx33oiYL`z)~Qhn<InOFz^*Ap5}O11$Vt`J)*vy>_DU5%(=X
z>_^-m1L4E^>#*?J1hoK`p5c6Ge4?Kp3RR9XJ`wjHK<q;gKiK&&xct8vY5}bMij*F}
zMxobNgu<%_Equ_!3*EhN`=S0v53el<_krC5=fl)P<zev!<KjyHi2D<;hZpQT4P4>1
z6>0%2ypYmA*nOmi*CYbrh3;Os{V@Nd)!*9??gP6A&WEZ;tjB<~$Dqn_rZ4pPeovr$
zpMmBd^!f?C{kI+J09bxNw-0gu10=qX)Pu{1yHE|V`~^E74`!YRI1~`|F<c&6ej}xK
zuz7^qM{xV0;fYw^KwfyhBM_eR(83eFy@DQ|I|+m*;(iH8cp|9>`yV|#ccGaVfEJ!`
zd1!bd<p;2Ngu)YUKQufM{YT=$b2q|$;Py6Be1cs{YJOaT7T&AS_=x)|AmInMAL@Se
z^om}d?LoK~>^?XjsvmZr8L{QrUW9pI|09Jz*nCp`zX8p^=>A9CUjgwy+<vJ0(bE^Y
z|Mwx>3w9rz57iGFPaxL+`w`}W{ZDE9Z$tC{9yC5|{1w(7hT9KyKcV=Co&N<ZpWu9`
ze%SqP#QOgrn*S+{|3hg0MfX4AehWza!|jK<pHTcCLbw+k|8PE3Kl=HvP~}9|PcZec
z@H>I#pL1w@^!6uu{zgyl=;`?|)IwN%!ue4D!0xMqxd*D8Z2w$FbN@{=K6-l?J-wpG
zAG&{zKphD451bG65A1$BV*P`-KLV0opw%^~y$!A(VC568_7>v)1?>7^=a1mhkGQ`8
zq958^g4+)}e*l+$#QFc&^~3fT<I;~fKOLeUy?+JUZ-`4jQh0&;CvOR~7w(~@2lV}Q
z=<S80Xz`71AG&+d+aKtBB=f-Wi$4B#49&g>a4CRjpTXs!?FmBRhdy3{RQ`kQNAF*w
z`v-lz1igQPt{;&eAmgKO|3dQz`gtQz@8Znwi1X#Krw`bEHe%Dq8v^O$30nF<-;ao%
zK8`~@04onDO&>_+f#U}~eZbC#gxQyXmOkL}(DXql{Ls?}QvC?FA3c5vr4RJ}7`lG+
z^a1xTG<~3-7YYqtoaqC7ecn^317ZCg^!04$@`&^IA?XXfKL*<mMQr*)*N-?qA7cJ1
zwDbk@AFRGWFE7yfi1Vf)`RgRq09bk;<RA3-M$!+CZ^V5j5ci_j2OrSF7hOMk`wp5s
z;q?i+esuMO(hIu#(AA^67rp#~yBC_?(9a)-1}Dz+hPbZ)5<ckh4V%9tH@zV48-SSq
zfy(LS6x4&T^g_r#=;;MXKRCT0?tg)}7d`yZ;~QN+dV82qdO=r@9{=d&3%dKz)uX!?
zJ-xu)3r#QR_YpvY6K8rs-0uJhA9Vl0#;b`<FRuyIU*FKu3v~HCJpZBBU#FoSfTaiY
z`U~CtNb14yk3Jr92F<(-sE1+m18{j9^%J4-5cKvAA@y+gLgNqp{w1h$amF9wz6$K|
z2OBRVHvVAt!OHtTXz|4ay&w#>-T>Wx^!68eeTyDn=>3<oPzS)`8_tJ@5Bhn4P~|wo
z2R*&O)WiJ8f#x4RG(O^f3`qDA@*lc?&Ot4N`3KI2`Um|!4XARm{UeO#e)RZ4+<yV_
z590nu2p@4jB7{#UzRp7(4D%nH5A`4V`AJaaWcyE&fd3Hf4~Y9Q`~yu8u<;*4{)3(O
z4f7wI5A`4VeVC;B?-haaQXb8}P%h5$@*>m$F!w^e3sMj6e<Jb0<s*9g4V{l<9#}nk
zd;b!eeFbRcDO?^}o+9q&fW!~Fd(i81^znmwM%WH1$oMk4{syQ3th`%>)*eJ3&md%8
z1_ATX(@#AkECeC$L$B}Q;R#JY;6YDt7(&#8nG6g>=Z}{J(vK=M!NAIE^!Z8j`t~x^
z0n|!ANakTpKUdJ~t3XRXaCvC@LELXbT>7DQd{a9;lA3<t;R#JY==YUEgOlj=^MXM7
z(MC%@i2eDH^opK-u0kDvEB&CiXJNSoRv)ADk<7!Iey*X}*MOFO;PTM)gTB8A-96~#
z4<i3U(i?htfv$f8f${=<Jd==lGYFVRYWjhPCp7)Q?i+@MKQuUrPCw5Hq#r}H^n*Ts
zjh=q4Lmfb^^n+v`*7O5APa9@m2U_}p%R|!-`hG9;_(xAa)Q)dzr$<uL4?H}f=?8Wn
zG_mOieLWod_ylY|4OV}nuUCR*2Y7qt8G-a?j+Xw==ljvq-%SGP58b`!<rO*~$vmv-
z?-rVU6VTEhTppVK2!$Vd`a>T-K`(F6{bK-4(6I1FZ$F`r-w`qo(I4Uf`ybsrQqvzi
zJfZ0i{r)0oaN;b#(AV#w`yVzw4f8*t^!JoN`m;q#f9UHY(9_>-s0U!>5qf$@cQ1N+
zL+2xz2M&Mq_WK<)`(~h}Ke#+J{SgX3^z=vV^g`|QOltarhbJ`s!PZw2TmB*Lr-HO6
zpxc{4<rz4BVEs#6=?{HBIr@A7`u=TndG!6i=<?|4?FoVO?TnVb(bvDAr|-LH=?&dJ
zBtAGi(9<(IAIUthdi3;t56!*>Xz3d+4^7{Q`;8#+gYF*m^o<^$(C#KYKGE0b!`%x_
zKj`NzLY<4V{6caM*8V*D{%TLO_<@-ZORwnRiOxrir$FX&?n4cLl~0KKaEJ>pB>mv{
zA{5@}<MZh1(Z|b?!Ut?V`gl3qerWi^?yH4`2h@8w!ykRWIePwq?XQE4FQMmeSbGvz
z{zl&qj&44Z|G@DN8x4WEA8~&PBtHD99RINUBVh55w7(P_-stW@&o2+50SsGzixghq
zoC#Y`0^47MWFOdkxPEB-q1%U^ULKKXA6z{S^U?D&+<j2>u=^rm@d33SXL>@9&&LGH
z+fcOlL|<=(Ufw>2Isg`5==PzPSLpd4osVQ5I6lzJ+b3xDtpLX=Vtoi)9$MZa?!$qk
zS9JHFryr#B0=6GL|D)$O^!yKZKQz8z_fHZVU+Cu@pyyA-{Vmx214}Qs@;~}{0qEwV
z?*~H<FZBCM2(@1y5lC+_Xz2}oJsf&^dx{qSl%_W%^T6?qp5C6J*|z~Ly}{+7>5WkM
zp{F<W_(V@{=;4o^-r(+srZ?Dqhs35gr2U6r|D&gG^!rNC;~V|_0rd2QzF!|*9)15J
zw0j6|-=N?3fo?zIz70rzKuQl_|DfNOfvz8Y|2?|<koIeX%|q{Bq2ITFZa(^ca&+^b
zBhnXms2<5aa7u%XSHS8kB>#cyXQ*~~cqOCdC-nU_i2D^F;RUxJntl-dX-InvmfvCJ
zJDd+y54%5v*!1=i>R?#?jP769dH`bmn?=CCi2D^F{)O8Qbw9d)Um@HF4u3cws-9H;
zzDAgbHGX01tBCb)F#-P~?pJ{L7j8e){pkLEgK!_%zi>WOJ*oahPoED7l>aqo{y{(g
z2fh4%i{@T*`w;gnK>UxS9-M#C*K52(Gj9ht6cFtRxIDCcM@p|?^U&`fL2tjo?I$xl
z5%(!T`~!6!Jinvghk#!Gpob6CyKw!jXyM<3#z(gwJwKtRH}vpFFK^J(-+QQoVC4&(
z4-GHa{XWE&FX;Y#Kp_3iLGv&AeO~D44^|(-+>34>dVP)FzD4IFnFmf!=<Sb>XyJ1J
zngC$yiQw|k^oh8S0+L?P-Gko#K#yPa_6K4<08$^o-3yH$*!@Vv#t-7Y2#EX8+Z*Wj
zJ)oy&^z%v3>r=#i3lQ_s{f~ZM0=oI=@p+#>`dN&Ye$em3Lr*`S(Bc!_K6Lk@rx$cS
zl6hFu&u29IPN1b9xI8rd5DGu^^z#K_KGymTy*`Jlho&EN|Du<l=;?)!di3@ndU{3*
zZ*X{_x2NIZ33VTA{{^w>8*$$S_VkB-Uj?D`caK2&TZNYX(DxUhr@ya=_yVT{r0@W@
zC(*+fJ-wmxk<0^!Cwlqy4b8p_U|SLOD_kC${s@I1diq08ujuiO?jQ8{MqgiuKHr31
z{=xkVO&_rPqKHi&=;3{rK>Th*i(mBp0qF7j9WA`k?L!X_^!P;QBbkRaeqsGHSbcZ{
zEq>wh(D<!@4yeJ#FVWqD9>1i<&rgK^!Q~NBdIGx){rpC_dT4wST2G6<e-1spp{E!0
z{ey(m|3Y&=(s%;c{pjPDaP?64qn{51k3Xn9?EWxv(<{_@@b=>lwDgJI--Mm-3yW{`
z^DxlcgTJ8`z|s?(4|P8{5rWk~)(3%^c-FJQ<cXyb`->sxll_C{PYyO_a98Lnl+VBl
zk^c+j^RP05j|u}v64)}xd2Z0;4hk=D`3gO555xz11$KWgZ2cV6IQV(<u>L=6KMx}`
zLpL!%4tj#~Ss3%c*1`#h{jl^R!N3f58WU81GXtX*NT~tTfw1#Uk=9><)q~W4Fzmbx
z^!<Y9`;p_I4LaEUC2;$o@dvwq3+CUIQ1`(6#|-7e+-E_e`_T8Rp}Q|0&3$nDpzedM
z2gKz*7Bu%ckmx?>@$T^WM|U53_`&Uix{n7ME4bWu7FwYG|1XT#ssV~X==p+B=Ys49
zr^hpJ0Z4u_K{Fqo-WeeB=;@Ia8XmCp2<Njf=7X(;6R7D?0Gb}*(l{8D`UkdN7#3b%
zpcxES{-OJa4b4ArJ^}ym(8)i`(c<Sb0spY0`3KG?;2#bm{R2-v3{2qk^b6`fSop%u
zXM%<QHv;a3?XQQWCpe!#`No3gURZgBKAw!8-_Xkg186#g&7YvVAHBSSDu>U%p|77I
zWFKt417=?i)Bsp`;j#~AFRXlr-Jb*#htcr*30i;sfrdXXj`|8N4^_{=zyNJBF@cZ9
zggOsYe!ycIVizQTLlwj2k<`PE4umMjERVqE?MJjHz<k(wl9EvOLN&w9N8*F8M(l-F
zQ2+l6gRe5wfH&xv!1C>A@+T1Xfve^}Py?G77{ODYu<?%n{~;s&e-ZL_ASPTrw0#S=
z4(h*uAVmxe3{4D-P9P>+KU6)~lOPGG`u|`ySUuQ&SbrI2KWsjuiGdMpKU_W3JaqdZ
ztpu=nVEf_vq3Y4?hr}XSy$i@GaQEX-4{23^)jNaK!}Y_|LoI;iXBGtC5u_fjAF3Ya
zAJ}*}NDTx-OlC#X5BDFD`q>cr!Ancw?t$uuUMB`mU+ie=;r8QD4@o89@Bqgjdivo+
zm<NtOxO%90=<&yeP!EnjxPGX5s5IQY+)x2n`bAGau=WEi{lL{j%|o}J7hxXQez<<9
zdZ;wqem<x`69XeSeBthgsz(|x2dm@<li=_ItB2c%Lp^M~yNQ7joZjH^2~`ibAIgWE
zvJ5sKtR5bpP<gohP`(htJ>d9*^<QE6PZ&)emj7Y$A_#e~f8gdp%}45AgH?)xNwE9C
z>fz?&P%nm15B3i{JfP~~_CxvNXzJnN0hNc_59PzgUt#G7Hs1&HpCrOOaC(5Nhw86^
zWL9{3kV2>jhbL@)31*%&LLO`$Ts;o+WDx4X`3LSEsCuOK2w0^om;{F}SUuc69O~r|
z>cQ~=w-2fwDh>CaJX8Rd-r@G)P!Ah_hNWM4d_vX3?T7Le5$1#A8y=rfdAR*hz7j$`
zIK0v0PZ=Q(4sW=6sD5a35$-<~gnF=f=<%nDkO!LwSC7LyHH3Pwe~{b*mO(NP%vT4K
z;P{IIB`vsqsQDl<2!_-Tu<_L<21aoG1vd|>9^HSM2=l@2hr0)=9&R3#uZ2(_39=Wi
zA1V(LgJ6hzv=QpT<|FwBECV+Ws$T~z3idBJeBkK?Di7BW<?EuUho={)JluXLA90>F
zWPBQKAGCi7HxJ6Mgf^gI{d0)lK;yRz;G>P;?K5b55#C>9gN%<L<ww}j*-#@O;X|l>
zh#p^85bZUv&*A+!=y(k5yl7nQ#Rmxe;P###*c5PhgVQ&nKM1Lr_0i;E=Uu_dFIafO
z@;}@>Xn3Q$-w<IQxO{<|k3&7;yl6;#!R>>_58ON`pHO_k!v`8)@bH7i7m|C)iZ5t#
zftMd|5aA8>IlR9LjW5`F(75932SPtMzKp=8AmR&YKLuFE7)&DMVdpKt;tMvu0gErV
zc{sw~6k#4XzToELP>(nd8WLY{`=IdyHxJ4u6kqW0fyNg+{GjoL6y8|l3#q+@wf_b6
z9z4ESpc{C9L;E|>%nFhRUyX>N9<BhApW$3+e+J&)hsO60X#Z1&l^HR$57q?H4;ydA
z)&3VixDT8jklX|A-=X*az(ykx259=tp$5R(J4pR;una8!H9_x#f~$wdKh!&*@mjEY
zOE8H@f3Wo|u=HnzkO%jF;OcRhhj2P1e5?`r!Sw^&eNg>K=7Uw*fJv}>!1W=*e~^|V
zl6hd2wqO#W9^rn7dUW&c5bDA1f!hal58ON`AFdw9cn)m-95$YVa4%$k7u>zj`~!Cn
zln<N#gv~eDLNf@g{(_m0YrMn(Eq&Od`42HZ1PKq=`5OQKL-=s@IQ*v#jZm2X5avPr
z2bBipH}L!bI^O~+fXja{^I`sjl}EVJw<E%T;Q9{{-;nwbF3-Y<7-|B$0g@hJ=WmFh
zjlVH4FqMOp!R?2}KW_CP)tDGD-wA0?psNT#i!UcM{~)Cwu#7X91gCGT{&hjfgZm3`
z^PujBN`vAD%yb2lVDrH0;pXE|?}kv1H9sQR4_4_8Cc)-|)g$5ulHQQ)2djjgXASFL
z!0m&&2W}pe4_A*Py~5HbEWILyCpdk=-3!fsaQ8s@gz_WId{}x-K#NaLu&==W2geso
zJ*+(SLdb*Xd*SM#{)0-x<I5W=0Lu@E{0m9%J_vbm`3zSNH4nYKN2Etce(*);2bYI%
z_d)d|nGaU!2PVP(1II7Ie~|b^G7qfMA50?DBis*Bk8VEfJZ@NefZGRk58ON`AFdup
zdVrme1WOMH_d?1qxO<`T4|flgPpJHYnGZ`3fe8PC;~y#gfn|cgBse_4@`(HaiBGsZ
zf$|IHAEfv!1F_-uL&FEDJObMcE6-r=1?j<u3((RxygkImJQ1YtJ2ZjG6WKmOI^PWJ
z9@zQ&fB$oUx1N9nK?XzHW5G~?W(G#^(mhyvtC@ij>@v7K)W0E6^~})uV#NM%$jAu#
zct6zn|6%5Vt!7|g_y@f|GZbMSI4YH(3jY6xjC{e`yMO<4fbYjavLCDy7G5xUB=f-X
zNalg{L#IRG_9M9;oORIWy9udB_b=4>aPwjOKmUcn?NMm+162Ni`Q>Qy58yz6gb&vG
z6?Xm;EPcY%!{&?7=dWP?`S%~v|3H^VFORLE9X?q52$nxt7?`s_eu3E!OW&m04^t0w
z54!!bi1~Q%{o^qEVfHnEQzZk#@BbX&_C75B85o$sO9^1*&%ghISl2&*D+LAy23Yuo
zfl~?iJ~6P<VEe0K=@ZF3aQy~z56t~Ad0gQSHy>Kwp@%OhOp!6neK7x`r(g8+O(^}s
z)kE_yx_b2V3(sFr_3-isS{}jsZ_xfG!tG28L2PJng3>pbiIm>Ke2^LlhSZM;K_;yI
zRap6jYdipA7Knt*=b`uaCZMI4aHxf_`W_bFu=WG&d}-MH99%s#JnBJKficAWk!a?D
zD{ThQco?L<i9*PO$NS*wahMm4P!Db|Ah`!DgJd3<9|I=A^&NOT6s{j?KG>;X0^;6S
zgnF=3;pRcrqx&xop&smhxO<@L;pRd4@d)+c@ld#as65yyU;^SE*m=#c@J8|v*d(}l
zQ2ns>DXhN(R}al!aPy%2^=Rc8*lKY6K*lTK=?glZ0=r)YS9)83mYx#9t^|iaxV?dN
ze-&6J2~2|J!Qls25A_dvcqb#&gU$1SIvAEdQxNiC^Wf@nn3sxB4^B@=?g7gnnFr>l
zfl07?!RZOEA8J0-Iq>|Qj!+LyPjK^~>e2lNJO30G-*ERp)x*t$@-q?UgVPgSKU5yw
zJy{6#;P6564_F3n9#lW9eG5xZaP`pi12+%KCzPJx=?9vgVCV1SN>3Zm(o;6rmEiCP
z$3J@cl!K55SH*DkQ2*p2<iY-jtB1;?&!^`j)PwB<M<O_XK+3N?ggm(a16Pm3JoNa0
zt+#^pH{kAr>PIpkY*QhaMED=+J~FUO5tszagZ&Rz4>hkCArJOHTs>4C-Tx(M>XF<F
zmO(NP%r6C#VEe)0g&sd;2zl_;+(_!dGU(=&gGIsSf%7NaJy7?;&4cn`=M}>84|@2a
zn_r1AAM9TA_^m?7gWZdy9xMYl4{CokSQO!2xO<@TaPy%28iabV{VC7{0xQpI5%S>p
zgsX?@uS3X#;}fnPDvutY^$7J~ufpRKsvaI6P(JMZK3MvMhbL4X9v)DBBf@-ee8KI5
z%EQfr@|zIq!S09ahswkK2jw>-)Pv23rw^z+l6hd2EnpH6AMp4=R}baG>Tg(i2e%Je
zzQN6d@(ImHz{@vic?Y{s0#|u=0Ij@hMYtcFKG5sWHiSGleZbX2{nL(+2Zt|QJyae&
zeRLw!gY84FUt#C*H8C)P%R9Jw9OgljGrT_RLYNPZKe+p#`jN~BtLz4o;P?Z(2kAaR
zuuKn_1j~c{4_6O0uNNT?_CH)bR36>`eQ4^D+zXaLG7rq}2a{m?!R|wkAK3YEO$?0S
z@&iddSSPx96TzZj^T6Q)cMsIPaPy%2NeJ~||DlH;y7`k4>cQ?skKZW>d9Ztt)PrT<
z=0WYB3Km7U7w#UYJls4ee;PtP*!~=70)drx(-HFE_=Ky6>W7_&1}op;>Y?)J@i`M=
z9=N=N$0t-hJU*cOSqSyu@P~&dR3084Q2uN*^>F*3@^JH@{5c5q;P`^;hswkK2j$O2
zs0X_To<5-RNalf6&I6N(_<+X`x_T%d)*pbCcX0cl<r~~QD4$Sy2QS~C<sIz)0bJ$X
z1+?;RKEnOr^a0yX2umNZ^TJ^94_6QM4|;ogA)0xx{bw-q79r%p@c~zl!@R`^^<eiQ
z-6sT=Spp`(;SV+st{#VZOA+e9=79%kklL5a5b|L2;OcRh2V3tBtFPhygQ|y{2j#=g
z18QPm1ovm)`l0gB><KTwS0da4zWN#-A5ish|3mq!5bD9{7p@;Fk7PesC9MAfOOJ5<
z(DVma59Jf;Z@|+ZG(E!3cgK|;AE2ej)nHeF;}aY|u>HBP^s@#b4=%6Z>Y@Hyi;xG$
zA6z|D9)11jI)r+#eXv1Jn0@OJ@?i6j)PrS^>;v;*=kLJAbCB+L1Iup&lVJaW%X=jC
zU>S7tHi1RK>cQ~|cMsG*aPy%2%?R~i|CB%z6wE(c5b|LEAgKq-pxeI{EDE+CY#wa=
zB+R^R2zju7kko@^;O0Tyw;e1BHV^C{xO<@TaPy#i*m)PQ@Pyr;46}bH!aQ(#fUAe<
z--VC|`xmYrDvzEXb|ch->o0hGL)F9M3(DVvP!IMGJUpTD@bG~0_oAtX+Xt10n+N6Z
zL#PM4AFdxN5BDFGzaOC<>>haffyyJ92UZC?uK`wm!Q%&AJ(LgYzre~1xP8#_0d5|Y
zPpJNamk-eL0(PE1uJYmoT6u90><e&u0;f;(^5PIe9-Kbl>Y@HQjF1P1FI+uT9zA^=
zL8u4Yhn~NWBILp5A*lz;AlV1z9|MyJ_oJ6T#}V@2{Dq_*EQ4+y?EC~+e8SxW^$*-U
zDE}nb6omcg`TG<?9_$|^^<Wuv`%i;K5$2(nKW7l~VE-Vg2g|_CgSzi5SQKm?I6cGN
z1C@uH2j!nbs0YUndU<gkArDRuaP?6Au>JqA_6}S<R31G&Ttt`$E-&Em4OI`1FDU;K
zLOs|&@bHAn!@~p0zl^3HZXZ-0ZXT3>1)&}sUvT|UdAR?e{HqA{VE4e&4^$q>Jg~}Z
zU=k4@@c2Pj59PzgV_@Y4+&*ae05=cHCsba*%LizA0lU8eS9!qzop6NB&s|5jADlkW
z%L~}~08I>x;Pe4k5B1MYgnn@N!qr3Nk@nAmRo()V;P3+5hjd>nSmrjE1j~cXLsAcx
zL9!3bzXK-0>cQrL7da!fU+*I1!TAeGJy-_aynA3#uzBG4hr0*rAGmo?{(Xdcu>CD)
z?TZHpd9Z(w)PrTv?SBXs1=|lc4?O9Q<ex_fd9Z(w)PrT<=0V-}7%U1l59}Yfd!X`g
z^Pv1E2=!q5(aVdc2zhXNfUAe<e}<3;`xmYrDvzEXo+H$Q%L{mXL)F9M3(9|iP!IMG
zJUpTD@bG~0U!tjp+Xt10n+N5;LZ}D3AFdxN5BDFG{~Dnl>>haffyyJ92Uht8Od{d~
z9zW>np?uhQC9J%F+XpQl;O0U3gvtwe`2a01VE1+4DlY`k%8R!M_k+_1dU^2<ArDR;
zaP?6Cyhq4`!xyd|DvzE%J|NVC?L*Js9})6k^N`eoWsvLx^FM(}aQK7GLoa_mBjmyP
z3rRg#2Hm_bU{SDn;P{8T2kIZVc~JgWgnF?3==u8_LLTfNB=uk!bo;-9MZxxi%|kDL
zejwz*{y|a?mVuiGb>C00DA+u(f8g$c%EQfr@?rbOarH-jBg_M*2e^8u{yzwLuz%s|
zq4MbI;V(ixxV(VJH&i`5zM%Yn2=!q9z{3+N4-XG0|38{~xP4H0xOq@M19Za-tbGL6
z50!`e56XvKR|ad3!qX2_9?3kgOPCPmgWV60A9VFlK5V=YR$jpEgO(3)^Pqe}<psQa
zfR-1q`&Dq27Yb<Q1vA3^;Pi=JUa%nK!RZ689_k-fggiKXz|}+L!G?nCT*!I_HiUYx
z6zsfCSpS$EArCeWNj*5ck?aHWIS}f><{{me3zp|Z$b<72l6tT_x_Mj(_2Bq~y9eqY
zxOq@MH$pwwe(<Iar1k(0LLTfNB=uPB=S8Ron+IFe0}CHMggn?kNa|6|gSw9&p&slX
zxO<@TaPy#i0fc(6{pjrrL4-UwJ;2pN^$Q{7!TyD-hsuKuMM@9C2=(Cd0v_K`_3-$D
z@<kBp!Ty1VCsZCD9#Fn0ntHf>P<gm{P`(&KJ=pzl{ZM(h|Db$vgnF=h;OPe{k7OP=
zek9P;!{Y~CJ(Lfd&w-T}aQmR;1Kd0)pHO)LFCU=g1?)Z?T;+uUT6rOfa6dRI(aQ@d
zggiKXz|}+jBaM&;hc8?`R32<7Qu>fVs0T}-=WkhrJlH%W_2BSEvJcFcL#PLvhhF~3
zBjmyP3rRg#Ke~Ae2=(Cjhr0*nADBFpuL$Eq&%;2^-%1F1uz!%$W3^uyp&slX^zugq
zArJNsl6qA0pzc#es0aH8?jEQ-+&m~>4WS-vKYDqgj*thZ2e^8uehq{?*uQY~P<gPS
zNa;Znp&ndbz~dXL9v)v%z7|3~*gx>_gv!Ii1IpJ%QxCTfDi1dg%GW`t2fH7xA1V*`
zAC#|)P!DzwJpDlBk<0_fj~<$Oc>JKNhw@?bgRt@fZXdLKfSU*96Dlv@<pZ?5fZZ>I
ztGsYPD=+jB?gvLD(tSx_|G~~ngSF4#>Y@IDofiSyzi5bN9_)NESp8^(kO#*HTs;o+
zj1lU=`;Fl4fvSfte}vC(nV_kM+lNEFDMCFszLD$$`ya_XFy9QJ9z5R$*AF!xoE5+X
zq&;PhP!G-zaPy$*!GVsX9(Mj4EWF|FfvSg_2jyEL%m=R@gzJaOgN+6g5cgOi)Putx
z$v<HK!Oer}w??Q3I~6^B*dXM==E2oN^`nn(+9K40%|lNgb_jW}d2sbO%(F+R2bW)P
z|3KBl&Z~okCtN*L9^HM82=l=9Bk2c+54w6MgnDrKjT9bW_2~MY5$eI|4Q?OQJ#h1&
zd>4dzu=z;ggK9ohy(>aJI6UC-4V8C8$b<a{R}Ym(_n$jLJvjZs{f9%n2SPpAe{lU!
z^>Ft?`JM>%VE4fFL*?P_h4Q@+>cQs2!xJixWF9ztywTLd!xLRSln<K^hn3H8`=I49
z+&n0sQ27imkD=u=>^>q~<#Pa9`Rs#mKRCZ2-FF4{pD#ilTt33pL;VBW-vcY3{SfNG
z;SJXhRSz~4sl4z<s0Z5*yT1@to(CZ0!S=(|L(N0CKM<iFY(HE-R6V-=K?wC=`_cU$
zjF1Q04_6O04?R7HAk>5HhwF!`N4Gx|p&o2My8puv@?iVn>Y?VL+aHcl54In!AF3YR
z{s@G6H&C=7=?5!C@(-9FiBJzVAFdy2K0N%P{3wKaaQOl^A1aTOUclx@Bh-WQAKX5u
zdboK|ehiv=xOq@{xci~}STyx;{ZM%%`@!ysL#PLzR{_@#RgWJ2@o4Jd=HXDEfKU&P
zfAsX1h>!<|H(Wi`JoNQUNeJ~|^U%{%GD03~9$Y;R^HLD%!SMkP52$*$`=I<(H1+WC
zfXc(|hw@?TbztQo+&*ae2R9GOCsZE7%Rgv&2)i!}S9zF#RvxAy+z$>vr2D_X=^-5<
z56+Kp^-%wyr-uxLdT@Bd^+VNz4FzR9=zh{ngnF?3u>03w<zW^=9&A5cJ=8pO`?C@1
z!S=)TL)D|(pMy{jwjbU9xd?f%d2sbm^U%{{9zs3XJaqr$Bjmy6!PVn1uK=MQoFC!t
zfvQLMPa&FmxP3U(7a`Px+c)s|gQ_n^$b;PrR}Ym(Utd~+P!IM$dU%#1<iX}4sRw%n
z$v!Z@451!eo+5<@SRP${IYK=+|HAEqx)*L9ln*;k9yVWq9$%FR^T6&!QjgXCDujBl
zdy(P;RXx=HYJ_^Qd*Sv$<>BT*`85dj;P`^4cc^?VLLTg1xO%8OdVJL()Pvij@c4kL
zhle+mUyo1^b}u|Upz?74Lir77>f!c5<>BT*`HcwmVE4oIL*?QAgYugY>cQ@T$3Ija
z$vklQH>0VChd;V{C?B?-3|3yl?Sq!jaPy#iLgh8Qe1?|Su=}lWmDdGm<#h|f{owos
z*>#23KiZ0r2ZtYAJ=8z{;T?QP|FsRF9&8@$d`?*T+m4V2n+I2q!#vo11+e)fB=>;B
zAIUs0zY}3Txc?8=4>ccLRe%YId%F<o!RZxl9#lQL|GE+C!S08<2dW-!9+cmMP!I0^
z!}UYu!A=1a5cl*V)Pv1O@(<X5aPy%0Ve21Z=?ShLnttHsLHUH%^TN{)G(ExYtHPC@
z8qm^HAHx0M_=lVVf=EyOX!5Z0L1F0www@5?AGmo?_rvu=`GoQZ-2G7h!0u1N<(~;?
z{+WR0Uf6lXF!xSGlZTz(3zMIOkO!wnxO!;(fukNwK;nNgLVYYq7;ZmQJyaS#o;C$4
z0Lve6{ZREt_Jh;URD^nP{J_pjg}HYcLLQv{;Oe2~p_lj55$eI_K~CX7#5e4I64>|-
zTs;o+W}=ygULMUt$b;PnR}VFh;Rmb*2MN#F2=!q1p_fN<5b|L2;OcRhHy5EE+<t_+
z2dW<Zyykgm>f!d`P(L4`9$X*8;}@!a0YV<^UbuRwJbL^rL{pCxzu@$MWFDBm2%#R_
z-azs%SRP&dVuX5deF?V@>K?dxQ2r8xda(PE(g#-amm<`I-GdaKsOq8SFGHvYy9aI`
zR32^~l)oII9vt5A`~sDS-4_E(zi{<XdGzpJi7*dbpTNT#svaJmQ2r`}da!%p;Q^J0
z`xnYzjiw%MA5<Q09+bZZp&lIGaQ#quxc{L1wFvcK_rT*9Dvx9yIQ-Y4sfUL@x_T%d
zw%!z0zQgT<mgjKupnO8*JG?xHmhZ6p$#9kL3((5<^$7Qa%Lmx`wy^SW14160KH%!1
z{@I9-2Zt|QJyae&eQZXk2ipfbpBQG}CWJhA|0i5M4)Z{D1O!9MyDbR);P`{P52_!@
zd~p12MW_e6X9vVE2AF%cA>_gShpUI0w;dr5_CH)bR36>`u=|!^`3cFrVD})I2j=fY
zm=AUzdi?A{$b-uVB=unZ=;rN4s0W7++&xhD!p(#7_aM}R{f8cY=;oWSxC?+V0|RX3
z3nV}pp?pIKmw^e&2Ro91fq@yyhe|WBpz&FueCYAB3~W$7Z2dkvln=Ylfdk5io!`TW
z#^-|a!Iv&EFfedK`7rzCp?sJ;50nqP#D^Ek2M;1JFfi~z`SYOt0e&dI1zH^oK>32u
z0cSxpz7UlE1X_U#L-{cGh@tVt(fAT*d`T!D7QTv5KJ2_rB`6<ep9qu>vrh_*FO9~R
zLF3D!@#Ua=nE9emKFodw2FOiR9#;Ck#mNc<91LvWql$|1vr>~w^b=wHlob7f{Nl_K
z7(YHSB_-Y>DHFyoi7!dgD`UuHhw6v9pMimCKY|TnLHJ1LRq=pC;pZtq&&!0Jx5U7}
zj8z_XJ{toAGdQM@%mee0^n>~E^S7bu;paO;`LOeEVCKQjFM;u4`}<*h*#1`-AAbHY
z)I8XJM3_A6Ja8BvcHT6M54(>B#)sWE1LJQ1`+|W%0UCdxFau!@23BxNhMf<>0OjZ8
zryGIfSsB>C^3d$S0F#HU4`qU?N9Tj|f-nnI9>fOWKmUcn=7AFl`1~-4{jmM|zyEW9
z<w0se7^dH?G%vX%Ge3_5>K+BC1KFT_aN>$jOo7RR6IV$*D7}HrONs{{JIKHSRSzu=
z;r@Xhm&U+|=3Y|yF#qu~Ku(B;x!(`u8BlKrhyAeed02Qtl{298VdlZ)5%xhuVDft)
zT+sP$VE;q8IQ<7JUts=)X+r12%!io=<HO<;mOiK*o_uKG=~N7H0W&l_VeW_7Pic6<
z?z@Be4|d-RdisQg55zSL@bHDX2PTgmp0NA`GasfO#;0|7@}q@kDviSvwmux@KiK^U
z)Cx~nc)`pk6rQm2hG6Lhl3N)t@*6DvVCQeb_^|kd*#|36VC5+%1H?rzKD7D308@|7
zM|UqeA6-2<A6-2zKFmF(rH~ztu<{V%T97VCc?>=j5?o$E_>VwRNPH+4?jIN*Rvy9b
zXM_0{w%-grzG3n({pfs{dojv)s0Joz{!dFR273rrU%}3kgvledPry-!)II_8Q&RNH
z^NX?>VD$$qd|~N>+U1!71GrfN4?pzqr?!2HbhgixfPJv^0juB8`OxTvhYySoOJA_?
zf~6N+?FU$Xh3O}jUzV6tn##by0Bc_$?w5k(2XyzM^I`VE+y~>s+z-2-1tt%hkAUS5
z^!fv)pIG;2=0SRBu>6hgK6F0Je3*M+e3*M-=OM%7VdEz-_rlZ@>ppb#nR(#mCcJ%y
z?ml!rOh3#$Fg`B#!tP_GmV1j!5{p0u4z1FM5Y$63|Gj}$Fw}B?erZWOsLIFXeo3hP
zu>1*|4}kHZ(m3;{FjN7|{UXo?56t~g@4(wjP~{kWn0>JP4l9qL-5H|mYpC^b_ks(3
z1_lOL{leJ*u>r=1xew-kbUw_zFnM%7x_Wdzx_VrEn0r9+RfJR?!OVk&2c_`^tM6g{
zX@CaUK*blV{)M@RP<+A4XPA0)KCbY^rJhv%F!Pb)3%UNFc6^CKErhxM#Q?__EPi0_
zf$?GCfu6t6;}@L|^&ULGqVv(!qw~?#<Kn~I2g+Z$G|XSH@lIIy1VD-#c>f%hJ_yyH
zu<|e;t^H1E{zrFT35D)U$<G5Ne+F2492P&+DzBi&>A=&+6bjv&oRbYsBGhUx!QulJ
z-+R#92eym>F<w9_KfkmjEi)$--d}+l4<FBh-QNjIFX()jeK7aI_^|eq6jT5ve+Oy-
ztUiEBW7OA#(l1OtGY@=?2E4ro3on>^No{Yy+J`XrvOpWyF!z$0zp7G;@<D#2Rr*DD
zuL_0kO-U?C1hx2awdd48o<Zur!|aEJH&hy)pJ04gdH`SQfHYp~gXUhCdRY9D%13uU
zh!5%!!2Cn0`xT)Mf~Ait8n_?Xy(&eii7C0Mxv=qsB&hwc@d#*kg6CIK$Dd&LO~CvE
zGY?jup^rbIkH4Ywq0YmIf0+5`>e2ax^uy#~?#qM<z`_I8-h$N+=;;%k57mz0URZin
zg(`r#{{xldTO}<yC%+g`{;H&9=4BRVz{_KmwETk9JO&1K==fAoYH?{!34)LAUrN(A
zc+wate`-T5fR%sH;2^uaMt6ToW*WEvhn0`$@q^BX*$;~k7#|j2?oa`kd^DBauaZ_?
zlv#p^FIawor8jDiw>dLFdhjs+pxcL@-_gf=(8rr$=j*}DgO$gy{Dhw0!Ic+MdO+tx
zgBv6Nz|2QikIpBgA0`iTUuscNeo?$d60AJTEYT~eK*|p=|HI;g)bK$s4>9^5Xyftd
z<rg|1=6+asp!3nyqw~?#<Kn}@ryN>Az~-}*Gjj`aGLu2`<6y6p=$2;|r79c2!VhL1
zBeZ-$PhZq-PiaFN@UZfPP<sj{4{KkbyAM5n5&nUUucD`aa3CP1XBZzAe(32R>RfpG
zM(4xq!xg{i>e2ax^uy#~?!ytEhPdJrW*;m+P&+;sKrJ9QK5_WR0GEHD!xQlK4z>Mr
zg;M{ND{FwpbYbo<(Ns1D%^|_spUUOR8ku=UnlO2YEa?6-$odF~ydiG+Ol4ye9b;3N
zejPJ&9diRjdmtb)&k(vE2As5^#Up(FAs{o)2%o%irm`{U&NG;M(aTfVeIdBgJ9_>^
zPrvBngD`n?^I++{2W@_tkb30;WmC|?Jed1o<p(VNLz{2#{7j5_$l(zH8sLGfH-dz3
zylb$DUP%QkK0ssnATwd@QRM<<bL93sqP&8{w`vMR1U6m(U5<ityaVQbT>T@6X@tiE
zVC^MX{}>kjgx1GEn^W-oN2t963x8bh1%(v|!`#Ep2uX1;_n@aIYTL)b4Dk`Y?BisF
z_<&yaad1OMxNzAA@jdSJLTdbRGeS}zF8d(9r=ET2>6g~&MTrTr1A|`vQ)YskSVk}V
zoS8VlRV=;ib7SHFM;R{rz=bTH@l8_GrxFL`hErVjQ9FHVLJwr5mwj4vwojYR_UX{s
zJ_CB&#|&wJ(ks0f(%FB8bgplW7$H4+dWEMEGh{;zz3ellbNrdo**-G@_EEcjFlPi;
zfAIbRxN-tDP@(I&sa-!<GC_Pmuk>Qc0$K5b%RY!}sMkKT<bbT;!DSz{!_%4xVj{il
zvmuZ^A+Dibc-qpre`H5z`|Ro5KXPD#^nh@s7i#ARM>>};9!&IYPk7Qfy?8O=k3VYX
zKOX}2Q9Hf(p_MO0tUm`YafgjZA?=R?I}N%&4nCg<8&86bk9~j+m@_ay8lokT>H@yL
z2wW8+t#^d&f8$_fZUf0dMsGkY$o^Z%>=l>~UKj&4j)8-L8GIF|EJ%uhf#C;~&kN<N
zK>6tM=zK`)j)4KD9=5(%1F9cp{{$!>QX4Ta!1(Cq5#mEeQ$UV{xF6EmLzo8}kA|5K
zTmLczYQHo{D+2?=1}Gn9-xnx<FQoaw@c+LwXc-w)HG+bL9|Hq3c<LK=9tHyg(=?DO
zbUsK7f+6w{Hi(4qOF>NV`SQZEKumV11^@p;_%Qdw%x8f%h+y)N+y~MLF%Rl|&<YW-
zdq6S}43UShK_qqfu=z`v``)i~l>wO!<3rdW5@O$dr~_f{M@sKt_rlJvhsi^ggZwiI
zWC|?3!OVw^Kfw5~`PJY5Il$(j%Y)2-V2FE=_J@MiBkdmr^W&if*#G~+U_L}Ih=k||
zu^<@2N6LR#)&GHd2<AVS`ep`5!HuvVVjk?i5}1F$iG+axR33oMPeMyC9#8}S|L4#L
zxeLl=Xol_|hi$@z*$+GK9d<tz)OqmmMwCww_Z$Ejiex{O3sMhuPaIl!!S?UL+}{Yd
z0AgM$T6%`X7c4vw_tirD1DA*T=LOUOxZDpl9_~Ka`O`4>K()i=(c>F-9uQ1DA@{?)
z2XjB-ep871;qp-T!_E)D<$h@N!rf;8jZm0-(A|$7{yu2x3ArB@UNH9~?puVoA1)7d
zKkWW=n0pvu3ZUg7)Oxu4K`n8Jn<4QJJKr3pA0h`LA@Yl%0R~I2;7Sx+UUI;;{(}^Q
z%m-TqRSx2V%L`b204pD0_i@4OgN*JX@)OiBkbZD_M(4xsi-75e-QNJ?!|q3b@%KR;
z1am(uKf%Hmc3wOzzry9A;R~yu?l3TCA>1-_Sg`g4tiD9}R}g#nLN&m`3+DeDMEW05
zKS1hdSp5h)j|!1~ASZ!=6Ad^xA?+oEJS2U=^+V$Wc3wIxztTJX!_Mc0*$)e!D>%Xj
z)*gd}KcaqshCejF!onMxTtVp{oPUtQ2OM9p^D|-T8|i*9usnMCiFDr=SRNeIU;>iA
zmqRUt*$?S0F)+aLM*!3Tu>1j+hsFm~JKVjn`T>^TVeK)Pdy(!-1-l2`y|DJfe`xyw
zmL6c{p}Q9*4|6XpJ;2-xmxsC+RzAY~i|&4C@(1|`>^|7}Juvqp-9HYNM=w8-?oS8H
zgM%7OU=MF-bqx=1#C@5N@*XY^bw9d$Vf*W0;d22R0WkL>-NyoU54wAi?q>(fgPjT{
zu)7yByNO62i2M2=?uE<aa4)RC0CVpTH1{IiM+0_`0wRBa`QS_eseizHuv5VVcK5>S
zZ&><3+!qFMFI*mnd!fl6o*q1*5dcd+kln|K@Ic%z1Ti079;zK=9@s0e{YS9yP=G3c
z#UETh^!zKZSHT3tzln(O0XI!y=@;f-SbW3s16&@5f1%cc+y@R{bpOKA8_ayf`TG$6
zqRS(h2lg*){R_;$9Z&@@|HAd-@UH`!`Xn^}!`2VN+=n<18{$5=JP!ZE$~Tz%(ESgq
z-(cn=&Toa7k1h{&E+{;~{)hF)Vdj5;DuDSPt{;d0SD>j!_dl$@fw>QH9w@|paCsd5
zhn1Hw_o4eA=6;y@i1Rri=A+9)oeTFrZ2SRcegriBVE%{e$KihgH1+8IhnWv^AL4vD
zi2LC3WcnYLeqrWcfEEz2{Dm%$?tfVL!~9=?<{!9zsQ+R8Ls)%C$p5hN1m-^2c@Z%8
z!R2xIAL<=Yd|}Q1u>EZ?^I`3InEB}P=>CV*4>12PK=VIbKh*!=q7F=8FYjREQ84$w
z?ze@x2QH7pzp(Zt%smIt+=F!f9XLGD>!ZnFw}H<$1<OOZ@bE)#ufXa*n0sOOdBWTa
zm&f5=Sopx)`vT3qC!h|1r58o0JZ$^~Hhut;hbqVEUReJP=3dx+s4(}!<#D)|P<n!m
zuffcR-3JOYA6*_jJ;BOzSa@(iJ8-b_1g@XR^aLB9gt-USKZUsmE>EU^p@Vbq_8#oM
zN0|BO^637B`3L4-12q4_^%Ln|SpNm)U)cSJF!#Xaq5ehhKXyYa3|M;z-Mz5(I4nL4
zq3(sLhwX2H*^hKz6?ps%E)TUI-F)==Is$4w%s!<1Kfw7D-8~5Vp#3p;hJche==m3X
z=p+N8y+_DAB=unX<Dd;rnE%o3M>h}VoIlX<PxSHL5;XtnLK}E6^I`Yp!QvC{9vtC`
zZa;c_!R&{{7t;L;;P`^e<1inZT;SzR1Dg9`<B72H3Ee#i`-qD#*nUNrdkL9`q#kR0
zq1%sc9(sJi%!B3EIcWYxk1yDLd9e6`>4%LUqo@BxXy!q?Q}FPGxd&!Hv3yv1gPj)&
z(~n-BpMp9Fmfm3MVc`uMuYvgoE{`L9(Aq!B@Bo11Z$kcosfYOoc0U}<KX7>*{(<%1
zVBz-zExusm;jr|+0Zsk`)V(lyC>I{T=;f0NQ~}Jru>09y?uE<aaIXloK?Ey5(ZdI7
zJluX_`LOh43fDkf_`~*}!u$ife+=dyxI7O3$e{TLZas8-oLoN4KW1<Pi1QC@e=5vB
zu<=Bgf8g>1<R5zy{R2C{7Umz=eOfU8z~yoHM+Gf@NG-o`rB7J=fDd&B`-!;tfvk>3
z)bFtIR+xX_@;Lm1ULT;>pPFE|Ak0U)KLT8T!sT(859`ms$`cE;@Pn+*NAyR~-Gi`?
zxcU=z-ZLzI37Lna9&7!HZa;>3(C~r9A8bE1%)cRM{zb1pVfP8a;tTE`9N|gp`UZRn
zAlOgDr9W-3T15JQ-G2o04_qFHe_-Rqu<%Mi3opp53nG2M#s^{LJ?wl|V(S|nH21>p
zQ-Zk{E|0^#HE8aGHYZ4~Uts=)I)?$4etcj8(DEL=yg+Zi=t9-Q(mU+FAeevP@=*W4
z`bRm?`5)N$6>PqTP=5)gKLe^CHa>+*zYH{jVeKt+{jl)^n0}~t;Nf{38o;>p!}{~M
z^arEakM2JqwEhjc`w;zC$owE7_o2%Z;@3kv7%=}qmvj9`PaiP;Nwn}ls(--awKJgu
zb};i`lip3x`0qfZU+~Z!qP~EXujuIw-Tj2*(bXgP%;54B!H1MDr_uaF$UQd@?#F80
z83N`Zg%?)+^!6XRdo-W}1hDcAJ-i6{57wW>RUTeI3m+&Kl;6PV2Yvhv-8^*nqPq{q
zhm~jO?U_!fg|PGqZbTrBPr%Njhm~J&d1(5DYKOZQp&pWcmmtOu!ShR?z1$!-!OBP2
zd9g6_;qo}lM^6vv^9!J}mypdzx{nI%baZ)i_apJa>Cpi#{^9zG93KPiT}E~fY`qZ7
zzi@dR{)HAtpzsB|2i?EMAj6U3O9L7}F!Rynk^BcvU+C#)2bzE3`f>P|(0qakn)_hu
zpJ48T%aiGUSbq?fo-EM(k1mhyf2eYJ_`X2%KU_bV{)hGNVD58(S_tz$TpsFwgghkw
zAi@j6N2DJJA2B}z;UmVIA$-JmEQF7k-+=I;(F6B?JX(H5)aQ`;0lmJ4*#|2h(brd@
zucwMeGapvJdqCSSu<>zN`vE<^5cwJ6e#Cq{gbz;CNb~#X^DQjU3<Yy<A)0$YXCHvV
z1QuUNd~p6lUtiFSOFuNdz{a0p?FEq8APg&y<<Rt_`xlX)A^w9pA0A(b^%D?z^z|6%
z?Q<ch0Wkj;q1kT%avV~5g2V^=AALOty8q$ospNk|eunrD>U_BW5$jzb^62Yl(ETq5
zH2|0YL1$Hff&do(NPMvW(bw;w`yZ~JO#dV1gCYKbIv4I=^!eP4&;$dkztQvOQ>Xzj
zc`Ya(=6|I7bin=t`5l5G`5nD};6zU^PzA92*Pz84+`SO9KqSOGLh>M$5DZZdVS`BG
z+N+51Vo3O*+h>X9e~`%#46zTrJ%?@{)VnzShdw`zm_LEI2Vxh9gxCjSK`?RtLyR9o
z%tQAd#4HdAF`tk;NF@YA)I-=Hk~;2jCo#Oy{YPqeBj!IK;REss1e52#P&D@=-8Y3b
zzd*AGJbj?&mkc!XV$k@o_C0!i0pmlvTX6HC*&oh_t?z;5_X;%g3()w~wyy)t{01~W
zwe3TU=R@KfJ-ws%pP<7F@bE&cM}X+Zy}p6C{D2f6;HC)DePZDBg1GMnk{&0Zg%5Q4
z2JXI{Py=D{X$48l@ckeQ(Dcth<5N4lq0Rxh59~jr`_RDtLmzKlf#x3c^o#C4Yc&6%
zub<(CEy#k7$4!Ge2o^q2?QjaczOKIrOCgZ(C!`;}y+f*g^z{Rz>PMf?CRIQB{2;0N
z5#z^@`~eF+Sa}2wXlQ&8l1EpM;1id>2)Sn+T6#m64~cK|`W@XoQtKPUd;uhU(CtI;
ziSr*cIm7c8(*1&1^Vb11|3ZgXLHfb!(esxL)IqTI0O<M4h{XJb-X9`0eWA}ck*c54
z^o`!$Mz<dtyzuZm2#p|Ed4s-Q4qZQ?@&z$J07*aS`G?;17rJ{0<p*^4LX#Ifym0f0
z%MXOya{?Mcu>K6Xedzfe-8^V?!rhDBUqo*&Am*na@r8T7i#Y!w+)Eq_HeLc7AA#>5
zhu&8Wzkih#GCu;lkCX?;{&J-F1=r``NeHl0Amt@^&=zUGDEfQ|qP+y!@B9<2mw}-P
zx*rzKXJO2PN<k^eeywUKPXT&g>@O(4nSl{J^@`X(DhN($i1{gqeyDQzd>wT88azJH
z$6wI*SHkUs_Scc_>jamdE1?MlmfwFvErhubu|JeJ_rdlj!0JzQ_o44+g4+jmA1uAW
z(mT5Q{-C)JvHz1e_o1(cMRy;1_`&Uix)13-Nvz=qbsjvvpwnYG;|o1MqL1$&-G4?C
z`_RYJknS%d%09$=E+jvphbQ{@Bsdcyjb9?&H%63w=;O77>_cCFj&wf?QT8F`V<G;7
z-ERU*-{|!hqP&3Y??<}Nged#a`!lfhPB8n>*Mp$1k43sKgDCr8>nCC66S5C|eJ9fW
z7DU+xTaN~_4?X?}t?xs+Ux6t5(EDqI{D;2&2I+nSqU?j+FM!K`==mL1-ofTik?teF
zY9D%i2D=X%W*=<65mtV}>q}_=1(7}>_1Rx&fd#A2;Cur084I*NgKCGDcj)6o=<$nQ
z{-f`|MRz}X`HyZN`gj5%`_T8#BHf3HHN0W=!Dv{04r`yl#9=hNJpyg7!0t!q#nC>2
z%R|*OFfc&3xG}8+nTa&s3T_{O#2^^bK7c9))h}RqB=xHy>R=>9Jy;P~2*N*uXkQ|v
zAo5E<6haW99w~kiQXqv45P8`7ATq4X-C$uj0g;Eb=NO>s;nGn44TO8ZO*MoiOmjhM
zd!Y@W|Nn*Of%vff(+ms{`F1pUkQ!wC1I>IpsALlZBY5dQQu+W}4O{Qo#J~ui`hcs4
z&SxUE7s2Xb<6kiIVCQ}R{}1U2IwI@?GvVrSm<Lr3k6$OK0PMT~xci{`!G<y*+NZGf
z5KRn>E+DN4|3Ox|A(;nuKUfh&KbVPdKSVvc`LOa8<{r3xQ1^fh0htHo!`0&`UtsI^
zVC4(Ky^#78?p|nn4DKE%AF+QP(tojqCRkYe7G^%K{L29yV1S)p09&63^B<zTf`ms4
zC{V%e83-S)9*6(Hi!{LZOE81O8(|*Ae^BE<@eMAI(D{h-46yqTW<Jb+u=)s=9+AQm
zY`+K80+>7^z9H!qF3-XU(+brA$<MIyS`oDRfPsOj9HbC#KQ#Vvs|TsZ#IW^*F#n*d
zkU)zsPpATze_)P=<v%Ypd367JBjmwZ9c~`f{op_YtAY3*wtljSff1}8Zaxn6zF@uJ
z{0Yt)2=_tK50d?0tNhT^Bisv7k7Pesy+1-dI4i>KgSrQ99+VGPk0ZUp*012ok8t-w
z^B>$jP(Gpj2s0lRzZz)q8G!H)xO_&+FJS)$BILp4Gh98?e_%ymHIVoU0<*#44>k{x
ze<A5T7$FZXTHxxT=AoDOQ01Wf3brZ)DgY}l;O>L!M=~F*J`|xIoE{MVgTybAd0_Qn
zXzCH}hp0z4KOCVRoF3rzLEQs456XwD$B`aj>qTJc0pVUq`2}|`H2&f4f$|BJUoi7w
z=^+B)esFvt@(U!sBGKd#`2$U!K=}pp57anNe3pUOaQmU*gH#@Y;{#Tn!Q2bdgAZGv
zrEhq9iH&(8NFVIHH+dr4Pe|hnVE4R-CeXkCIl%X(fdxSZL)%wTU^aODJoxA~SbMCQ
zff2lQ0xl2rZ!}arGjzP_2E0C%0UM3p{)AfpA7&m@JHx;Kknx!qr~oqqBe<&z+wb=O
zzcAQ5*m-+@q36RP*$<Y7g%?a7$vm(;l6l~&5Zc^>+mGaaaM6Q4-bP40x__bGftwHG
z|M@QrZm&Xv3zVL~{BpGRDL4=q7(n`ANgHYeq`ZR77sApfOcAWVh~A%q`RCt%Nc#X?
z9=$xaMjQWw&7ZJ9*Q>$ohox^)?MLz-xIKezzbrIAz}jap`(gHZK;>cWF<AUFFfh*n
z1r%&O?Z5wmSjS&&L5h&tTd`1nGc^6d+TXDBiDVwwy|DFOu<%4L@6f{+Za%cULl0l5
z^>7O2KA3;e(=U4ZCX{~R>Y@1;T|IjGh37A*dU*K*Esx;i2W*h>cDTbCm==QA;7kW%
zK+6}T^p221l1B(KtpN+e2}pW}t=AHR_Lt$(Q1c_u(gXVXrE_TMIRi~S`uZDm^$7bQ
ztO_*!P~$=Q89bDUzJCDSym*K)@be5{;~TK`Wa#V3;p(C32bO<e`5kH;$bNA8L{DF^
z@(VWpgFZe<$bLfR!`usNUnAKEj@m@10Wf*k{@&lv{x9_ULD2jOxV?iIkAk#ckjw|0
zmy9qEto{g;|NlQkJ(7K3^|1TLVC^rsebDp`HxJ5(tH;sa0XOQA$`6ElA?+Qwd!g|K
zcMp`0m_LP-$LRf0nE9~$-+`9i(C6>b)7K0%^|PP}6jq+X^AmJ@3AVlqSN?(qFPvI|
zW<I!40qV~~+Y5;K3NCQ?!{u>=C#<}M)n^F(knltwAB8&vI(~^*?*a)=ba`&*geJ`Y
zF#BNPi%<_??LhNiIz$+hKEdrF#QX@ve{gvm{)3HA!2E~MPn`b{>v4$lAIv^n{yTx@
zKUn^6g2o?WeuNVoe{gvm{sU)1r2K%;5Ah!~_~7LeV*Len|13wehrm;@F!OQw=LVX8
zz=vETrAKg~3?7ex_y;bJ!#}X`8d!WG^kerAVm%0P{(+ef^Un)3_aoLz3Qh-w9jyJ%
zzyO(F??%hdAT=QT|33q`D}|)K9VCv9f1ue1JERpB|A_MwxWVxcm&Xxau<;;Rcp>yd
z!V7)=2H_sa{25|B3-<6s&%ZGHVByCB9pHww2NCN(A>sE9+5sUp{E*aR4L=Dq`@o0P
z<4JGeL+c^(;G_&!53O&|+h1_?IKmq?o&*bT^z?~j9=JU~YWjqk4+~!nH2)&jyF$YE
zEs5caq#kSdTA<knJJcSQJ`w(f<Y%}%j_`twKj8{5^z=w-c)`qvg_j4K`_c3B8xq3{
zNj*5cBGAl7Uk{Al9>_pbk2pUAlHbtPSD>j!Z$H4>3()ohY`w1pj`lfhd=VBt9cbnw
z*7HKr(`ypL2T46Rd=T*oVa-6Z4|bn8EIlFWD@b~R^=Dz@w@B*2<so{1rVwHcsC@vg
zdg1D!`5S3{30Qv-LOr-VfZGRE4^{+L1L^-1gW2HmN$_|bTt8GjlKo(<u<@=Y21amK
z60RSr9^L)0`y62HW4L~(dbs&eK6nreQl5d^L+IfL9^{9}gZ&3r57m!kAK1Nc^*Hh`
zQu+a_N7x6cU*P6J+t;MFFQCB-r;zM}Ref+d5Cfjxq3IvC9voNt-+`9?)N$0`AhSXE
z1e*FvkRT*H!QqXlUm^8#6+#}I{*csz!|VTlr~+tz88%-HOW$zy(D*^BKfwBHpxR;c
zopAf0>Y>>cbY1{heJw&g*gZ)4!SbM!G(dV_^SyNl_2Bev!s0Ff!VC=Th@}nS`#d3h
zSpSg;Di1Z3ff>q&8pptb#%G1{Ve8S@pnTYP7CV#=8$aiO@?qmIoM?P5C?9tI3^$Yy
zvtJ&{hspCm`LOX~UML?t=*Ympzz5|gLVe8-<qJa>GzdWXQBb}h8ea&?e+1odAPnWh
z+#`m@7f0hupz$T4d|3D@Liw=siIkvxn0+EpKFmHTG`=(%Uj~gYi^i9O@?qwSLiyl9
zf3PJ?;GBeXzY(}-E6UGGO)k+-<X~U}^HWmvVfPz>`Jnra^vW1Y*rD=Zqre0c?5Ytk
zkAVTgM;c!QCq4N17j%9THa^C{z>HNMHlEJFzzpt*BAEx~Bk2e8Vf}NMdRTo6<HOdI
z!1%EBA~3!JbO1&H8eUM(Fu?9dhmB{#?r%cBKO7vWU`?!GlVIzKn4tF=qVvH@!Tmpo
zdKe#eKR0+#7D*m9e){`A2iSa=df0txgzghhNi0c>Ppv3{-B(qVm|PNHX2<~JLz6$z
z_l?8sC-%ParD*rd!|Dsz{q4}~3%4J3pA0PgVD_Q&Vg857!@_SVQ~)Lqo}_`SPeutp
zT<(YEUzj{h6FMJeKGZt0@3VyIhnbIy5A)wLwD5F-HdvS$AotzF+z(5yl!oUrsDohs
zg^eGgr$=1whq(tPj~<?|`~x!|Y8~Ceb2(agrqVb(mqR@W^Dk_DG_}GLTxcVeA5iPy
z;aQQHS6mXG4bT5D^|15;UNi<8eS(%>kDvw+oBy^#)x-R!0!;wu{)5RwmBZZ!<HO2d
zAAgs4P?^C7P2XvW#o#74E0hl_pJC+*QvC)_YDo1Pn4glOU!Grt@P7_kd{gTGT~H6e
z{8vk%|6PKE;&W2d;N^F`Up#n80L=fe`~!<W*!oRae4+E9&cg_ASouP1{R7L-F!PAz
zmnG(urZO-vz~U3V{y}#yIv-{qEPP>nnESz##7OD?3R?I>xeUa*7iK<8J$iltH$sr|
z3%Ytx+Z}{q`oW11$$c<BEWL9!Kx}~Vp~ua@;|rY+vkyHyV0?7-=zK!@Ve&BdWI|_&
zVf78Pc@3|>VfzbU>5l~(;4nVSJed1nd|3Q}7wsa24{W{>R(`<R3$XBn8jlg5F#C$2
z6IL+y!qmg^3#IN|1@!>TKPgZL;&Lxk8mD_9u@5p7(mo_LeZk5Dn0pANFPM8^>e2Zi
zmw+%#9>fM=7$03dIv=DKgkkDIY!F80!_rq#YI<gFDg*rfRBFc;Y*9DN{u59K4^(`?
z;v423Lh*$jzvz5W*n%+3JP;d%VSIG;=zNe`5QeD-u|XJ}4~wtV3do2Y3$#9l`G;Eh
z3%1AsX1@W{!Q{qQZekuv`vF!SQp>$-L4k!-euYrz-U?`e3kzRL%d7Qh?yDi-KB#h>
z<yA#yUOZ@w6<2*use3m;9RQ2}ITX4VHlGA*FG90F)#|S;Xzo2ip?g8$TasIVv%OAf
z`0hk=?-L5$i=O{s=hwsPW7z&MI3LY^C>OLJ3S1P<h6=#qAH6*Qm4>&ECP6C<nE6mH
z1B{RE9@zd~m^`|9Fg~n(g6<yl`W|K<%)L{f0_f($_~`DTG<<N?X9MLP^zs^-yx{Rg
z@9;q{k74O`pu%S~JwtLBuKYEcp7DndrRf<ueFiTdA+~{Tafb9iKz&ybhV{2#^(8F7
zfD35`2Kab6Cj%r$!P<w=;t!tR(fKg@VCJFo(bc2#(bePP!`wq^e~O@g(faG??nCF}
zav#k9=<3n=g!IGYVg6Ol%q_^tOwNRj_m}9FXBMR@8^ZW7^^DN=JGI-x=;L7*paTZD
z+V2SWK++#9{NeQ_cK;aQ@(--Op;r4IeSDJzI)Fv4e;~O9<ZFn3Nll-y{0B=vFg`5)
z2m5#uspStWed6>lTKNNw9(ehK&Ig4&2*b<+u|XKdM^}%|2dM>Nn0gQ!gwgr1_#oB4
z==Nd6FPeX$*$eJpbUw&!AdK!l7$03dIv=DLgkkDIY!F80!~6@917R3nxm;PpKoe2^
zSU?ZBhK<jG<n@Y@HDUQ#+0X)fq&jT8+t2`VLjtTntemK9Xl{xaF9P$;5PU=E1OeQ9
zka`P*JV?ETF&ZCT-Vz~?KK~0VpJ3$+EWBX#CCKj}4CBM%AI1mCfiOBB)*hMztpH%*
zOK83qmR?})fi7Rg=)c44gSj8Z2e}1=(fKg<%x8o+2<9I2@{8K`EntTDh+g(BWQ6#D
zUiK~E1}{^B=U4Rbg!mrO9s|V<2*cus)c9M>2uXqT@*jHoMfV>hw5jL6O`MPg@$~ZF
zb|y%T1hbEb`A69L8gNk#U0(wq?}n8hu>1>KKgYnp47TVEm}FppwKqU%0fb@YD{TD?
z2XuWYB)5W8K-Nbhoi_y@3WK#bI2f40OQ~V!$E|_#A)(2@paSKi%cJvQ>)|w@>S6n9
zVSJeVAy9ePeMB%mx_N~7klX|EAH@Bz{hKiJVB=#EQ2Su#QN=*{u=@lmpnRBpE1>+n
z&<5oH|I)Cf(;&;>7#4o8^}4Y0rC{d?q4VJyq5E;r`LOkwu>CjePzzvuSa`zBXMtuY
zm^`e!fXPFh51OF_uWv`UkJkM6D_x;i21E8QpxbvJ>Oh$Lk<vTZy?oFD4kiy(4)PCp
zX*;a^fcY1y93&6c58J;4+s}tCkM16%^<`lFNbAqQeDwXQ==#z5Ncj(}A6@<r)I%`;
z!PLX<7eLq#2|w8WRG5EYi*8~3B((Gb-sA$_&jY!i9&SE#Jt}MwAIyH({#@Ap5UBG&
z;Rz0JXmSJb!Ty2mKZDti?mzVN0AcevF!haa3n2bWg<1e}4=lc5;epuS1@RAD9_k<1
zdS+bihg%2Df3W?9F!!LlA3eUGKo!8$6LLSyKA8JgK=s4!cY(`8-49!@jLZFq`T-JN
zu<>G;d!WX{;|D$bA*T+2>kn}J5pq8?I^g?nk?xlOpAP_+hq@nJsX@{kq@fOX5TyQr
z`Imu#37nqL%QM)0HZcFd%1;;{ZX7iJ(D`xD1P)W5jK)tz<L`qy2$tSp;R}l|#Qh?W
z^ahuQ`WLDl6y9L}Kw4KIS3%+jcK-^@JuA@c2dRW$h<XScL_+wK`Uh4Y!2A;cwI7!L
z;PO!a!0Nvq==lX8mkcEgYp=lSV?_Kx_U|D40tsIss0LX4Bf^I`^|0{jATqpQ?IW1`
zpv^hZei-n2enkF(?9YZq7bty!nFx6faQ=epM~lA}9PUBnH^_Mei24EQUucGgg$H{2
z20H>Ah>-Bx0TqCyZ`gWe*nWHT@)Oz}XMo9rjRF%8^U&{`hWQtkeqr?uEd9deq5emA
zFRXrmwGSSkxfgcdF3dgX?nOU80A?S|JaqTM+9NRcBKBKD$`80a)V+)Z!W-Q_^!wdl
z<qga}Sp5eJA9Q*2@PVZtn15jRdBfrpt{=Kz2pm;l0uo;g&;W+1PeSt#v^fH=KalqS
zgX<5tJTyGe-3N^>Q2GbgSLpr+Zz4dtj|x_w!~Bmfk8VCp9_D}8c@!}Jz~q~u{)e?E
zVd*6i&A-s%1nytN`LdAshs)#eFOvJP`WLnz2NvH*_eX%k6I~w3JaGL4vmfT)3uy5N
z*AMkCII6(}B)(ziqr<`nJ-%W4_h9ZroOcWHKU^M%|DnN&GrnQvC(L}L`!B%$N0&$U
zKdiok`QHMn0OlXKejNV)fEHfp{)d&XF!#aQbFlOXmnYNzu>Ld5e8hfmNcf`5L%jzI
zPjLLh@*B+m2~Y(v|HJi@>3>*%9_Bt+`xNGXxI7O36Dsdu>$73zLry6J?UIA|A6*{m
zJ-Gj2=^N(%2B-p<|Ka+f{)g?Khvj!d<(()vuYk`70~cM8S{&g%xICHu7eg~2ao-`t
z|LF2i@4@{K%YQKc!|sEE`5&$y>VK$pApPL-h>-tb<1w)KLEJ|PaUWbBhyRh>3$_ov
zyoZhNz|2S7e+V%jT^`9iaQa72UnignVE%{ehx#9O9wIFM36+1a@g|u2VEq|b`iILy
z{g2*0?S?uKSNjVp4UZ4>@PUnQ!R$xe7YYd<ba|-vLFR$O2Uh;U(#Hp=0$BLK^+Usl
z)bs%xZ-cqd1Ds0``2#Kw4IlLOIC}WN+TRh-_Aadag0-&+g)gb*!^$sQ=A+-Aj&44>
zJi7Z~=?`W;tiK715A^%h(eKwq&!4=|0w0#144@S(EI#F-{Qv)j!TAkceE?J*rXD^0
zqpL4KQ;%L>qN|@kqIy_(g+SvQmY-nZ1x-$%^bGb7`hD`~=>h#ddGz=~mxtLO0JR^M
zA7J(qiZ6`(0vCX^ui#wh_y+oY^XUHDfEIp;_BFIWht^(6hB^?I9?;FZfMy<|{R%M;
zJ-rYz?*p27i1r}FJoNNL$UFh4`(f!3(Y}J1ho0UDnP-4z9-_TMoOz(NQ6LP<pXlLv
z5?X%3!W$YLp!5kYZ)ZXqgfMyNU^zn*wETl=hpR`@4^H3c<v%Qa!pc|Jc~r3a2VI_!
z`7hAI2d*DS`9A?oJ$m~Xwx0{;KFIC?MEMVw$KikU@J07OY`;6qe8hQ~kopf@9^L=w
z=1V|346yVD*N?;h=>0+1_yNp4u<><Re8A<Q{zWgJ{GbgsSo%OOA1u(^cNFR&T<YVX
z`4J|M2p>p#PlGlvVDgCeB}Bdg%|57dQ2GR?$75*rBicU@{V{0vLz6#TKf3?X$A8hs
zL(#``(eDpOFVATmo{0Pg@h^IQAQWDR{tQI_4l0K?qP+z%A3Z)`<2|tQ3_bkN$FE6^
z4_NtO0<Aw`?LAoi2~9qr^Z+g&(ZdtH{6o(VKhVM}0IfV?fDU-U@-zDV=CJ#GVEGl!
zhvs+KdPZ3I!0adXzIK>;n0{_F_eh}e(eo!_d<znP5@`7ecK!;?{ct|i{jl|mF#BQd
z!R3B*`_Rir^zktC`^M4JGkW?*?_Z<qM^6vv`VswWNO;Jgg&)j+F#kf62Pl1k%PVw#
z2U>W-_GiGtlaPO=LLCe%Kauo<?L&;uLfnh)pAS$0n0|Ei_0aWKF#kZ6!~KJP|0LXg
zX#B(Wf5G&_!UtFUt3VYrL+@`v@;^8!z|L=lolgYyKFB@b{0r3%;)DIKj21syXngea
z2DcyTe)RMXyAKN%KX5)&J#4;`SpTBCAL)D<u=~;dql@N#X!3#kR~#w;i%;17Suppa
z+lL;X=;;%kk7OR$eZ5cvVfMk!AA;EjJC7gM{(#Fv+aKulJ-U0)(>GFj0NYP$`b3ZK
zdPZ0Y59tr>K=TjWztH%F?GGe2ei7qEko*TV9v)xl<2~r*5qkUNDKvm!;f-V-IQ=7?
zM+6QZ^z>tbmVThs72G}O=?6An1&dE~`;hox`_R)1Iv>eAuzK|L13QlkW*;oS!_p62
z9-4j-^AXs?7d`!;#|L`)LH7@O`9^B`f%_MlezwCS1d`rhTwLWLdV7da{6n)pJU-F;
ztJY}o53?VZp3&nAosV8$fiFcxnh!(Fk3ixB-96~>0lVJ`R^B7V4<YwY!0LNgd5>ft
zIQ_u&L&F!{KJ@xc2kK#B?SrewVSWkJLRfx;yAP@!c7H1@e4*BZ_M3v;FNdZdDgA--
zGgKNLpXlQSPE?Lh*!g#`{D+wDAuc|V^n?9}6rW%|x_{8)hmd;o@P^w54e!0M0EUid
z!MM2c7fha58rGkH^&jBtU!mts!q1<h(tXG1>+L{eKOhWCPl)k1$o-J8{yyw}NH`zI
z`JJ%)a0H;|<uyVB0(PG;V*MK=KO)A9A?vH4%Hio5b{-nceX#Kr7$1Fo7~DQ+{2`s6
z22L;N_enNEErhubvA&Hs_rcB=gSiLYedy~k;Pye?hjbnpR`)fdxewMJ{13fPhfw&z
z&g;VEKJ@T|+Xr<Y()nIk-3M#!z}CaT&I^Ru2U|}A>#xGoGc-S;r#IMnoUrr;=MzY8
zu=Adw!39r0u=Ai`?jaOE=<9pX-H)Dr(Cver-$bl^u<<k4{2bDGPguhnW*>}(<u_RQ
z0TYMOu=68%pydhd{77=|BSngDaQ_Kr53D{#ntub!BaO$vj;?`ff#i3vBCrUA?}?~?
z5K<6%toM;3#V@*gFdw%5nB4nF0}$>3Pp!ko^N77~v;a*%c##L>d|&WTEK>Y~-3v>9
zu=97}>Y?pZP+1Mau<!<NE<-X8*58EPcW49-JMekZ;QQ?0>T#F{RSs$&fZbyZ6@Z-=
z4R;?@Ka%-i^|148VfT$9{0AB7Kr#=k-V|Xz`21*u`yuMl&4+HcgReJ)+Xr<I+&m~B
zt{zAE0dpU${DARc`4R43Xn6>C50npUkHhZsLBHPxW*)Bm*?^WlVE5mlhd1oL8<>A#
ze7JfX{)2@l%zv=>gZU2{{Gj*-mpABqSow#`e=zf5{)4H9-TwpgKP)|hFKNV+Ug7dM
z?(2i)2VD1!!tIB~KW_C1pCYqh<Bc%?Aj^T+6VT!dR{rAhuQf;$9{<AbJA<9i4L1+!
zesCrMn*k{w!H2v<%mZH?1UDatdaxphdT{<i#0MmQA=wYM3Vxq3^uAzN{KC>3lKo)y
zu>KS*y~6E-x(99^ln+;rBfY}<<FNFKa4+Qices0@`48?MD4$S%gqaVE-vwy#2`(fd
z;RP<Ak@5@Jzu-g8A@bm)3Re&HA6OAme1R85L)3%KL*!q`$P+kHAo5_N;Oe2~fy#Oi
zhL!hVqrn6uJ;3i%W?%$sg}V=`AIW^M(_r_}z{+QY{~+lB$vm)n`2D@m^nh?bL_NCs
zu<>wMdVt#pbr0M;C?BpKM|yzumtpAv;a*63fV&qO|8Vy}`LOZ;SNR1qAC?~A_x(ce
z14l}K;P8OmmjcW0i2MPGPq;jR@(bo4a8!Z`Ch*k>aQmU*gH#@Y?T3|TF!zGB;vqJm
zrEhq92ztLCY`++-?i+>O58TYa2=0o(`nRzAK|yE#gD|f1|6%=m*!ll3uM&IyKWzOo
z?EHV&cron!f7pE&v^xJER^GtQ|A)mN?EHThaI7*gz|Q}F0BvBy&i`kD4&cDf|A+Bm
z=l=^q1BhPd|HJH~)%pK0^9Snue^~m2ov#neFEBnVzrgs2{w(CYe^`GDCJ*ax!T8D0
z_`-EwKdiih?VpD2w}9=Jhoyg9d}wmQI4=Qq{sA8&<iK#)erxpe!V%;5kn>z9J%61K
z>LA$ua@hO?`u=ZX{R5q@fcp;`obdApVBrI^4<=8m@Z?7ePxSNbsU4pDXyFOlPeiTo
zgcdhA!?U!sBtAJmuMBp+NxV@qxJwB;-vnCSz|W%ucbY-H3o_4R7f16iZ2TMDzp(g5
zkAD~+mfk^bk2gw&pGQgU^Vp%zh5H}6e3j_)$zbUl<{uaz=KjHc9#U#WK|JVAEZBLf
z(CQ2x9<cSUu=B7;JzpEr>STbY&je_I!_ouHK3I7Im4=rWu=D`8A0_}zpQOeYtb8Fh
zzR>d*Iv?g7n0e@YboJ<bboIFSu=JD)jxA7lLhj#y`3F{BQW{^7QFM^`kox2VG(vF2
z7kd1`+)u6eLJu!O`3vSASbU)KVeW&;qw~?#qw~?#<Kn~OtBA(&B?OHhn12kQ4kkCg
zz~zBoJpBHLT<C&X*m+h^>!?;fh(Qg6xj%$}`(fb?D=%PtSbBrFA8~#bdVNl5{(!Al
zfw{MaLieKQU)cI7n15jNy>LF%!!Y}yT+sXpIBW2tg(rG>3sVo%kA8kJOde(*wcUeW
zo<N-ouODcAJ}-Ls;L0zs@S(SRM&k>T0%83hYR4CBehZeqA+{mT0|SlSfiSFm0cR?t
z^YvkTSow~A{w%CL4NE`he3*SOd2~LydUQUzdR%;%`;<vMzZ7O4wb~Qt{Q;!?pWvW`
z7M~dHJy`g|<YDmzI<K)VwW0vtpUKx$HZr$__Yag4m5t1i&SM1eEesI#0hn)y;G_GO
zQ2!m~9+>-J<rj<(iw{`(m0;uq@2G&q2er+YWP}_D2Q#12^Wb3qfyF=4dQ@;wX+SG1
zSo;FzUzq(xsp;{cIv5r|`DpD2*mw=G=f%PDE9|^D*nXu9=y_?d@j?kGA6*`u4~`55
z1_qdV*!;H)R6lHghyj!j+usS}!^}&8$`j(l_BS&?)x-89!_0%N2Qh)l!`de>K5W0A
z3sfH6K0@ck!NLzV{tVk+2V3ue&WFV(Odg$2=)5>s`iAKrZs)}z<p=QmEBbkHu=z4v
z=V?K+4`_r1tRJ>M8n)gBJ-pG^`+zT#f!r?%)=%g>Em(ert>;5uPYaia&R@XRi{WxV
zto(z;FYLS-T<%9-PX)WL1g4&l`(gIM+>h9w1KD2(mxsC^T&a+79vFIhh2;mB`(W!I
zVSM!X!Qev!6sDdPjn9t8M?Vh?*58Hs7qK4$;$OHt)W5Lu0Jc9F;hv$vf|cK}@(JNz
z$a+(RFCgos(a+C<`5(4F8lfIL3s(Na?1SY;*!(9V{Xj;ppwR`&uV5xZ9&#QQTt8ZT
z_(1oYp}PWB-@xpL#V2e%9?X1L{zBhx3hU3p(g$L{79@S3%cGkQlZTm~0rnN*d_I^w
z?EE35`BZRu13O;>mcG&V+rrk1!`ufePhji!;quV%LU$i5JYnub_dmE1g0$Woah@2&
z|LF4Q<|FaJ{<(nWf4F|=d^95dAnC6IO+C8*Vetp^KWw}o=6|?64*#RO58eN;@(N}?
zto((Uk1mg79(X-D%zjw-T0jd>SbW0u<M96n0{(}!H(>5VtRIHN4_qFH|Iyut?tj>L
zFU)+z`d^6o=<?|Phs7_<{|RXRhwF#>AF)0KvVMV3`iGTgF!v$W|3cgcmxuZvy}Uy|
zZv@@_gu(}Q-WJS$#Clzb{pj-O=EL$QEPT+<OMvT#h7bDs4npArI}ZuwKE!?+i2LC3
z(C~qk?-tPV6xKe6m7j#d4_!a3J&#L2`gtiZ{jmMRu=YDlKT`OC%M<kTQdXd)FZA$1
zKc58Y{voh==;aN%`WI;Cqvua__2~YA^*><#fo5+|eFwH5{X7%&@J2tc1ZKVgG`?W{
z8JPKm!VA5;CB!FmUI_=(LRk5RsE;7+3-tIPbbf~hnt6!w9bz7Od=WCw1I;`{c?mHO
zJwDOR1C3RIFf6~K#~-QZfxy~FxXuG1RQ|x)H?aH-TR#A+pU~x@-Up>OaQ%et{sT}2
zu=s=Phn7F+=>t7J(d%be`2lkutp5dbA6y<<{vhNb@qsAMA$)ZE<Dm^0*nTireF}>o
z^!$U-zkmh+%)jXU1@!YKVEIi2n%`jK1z7SMIDC=T$AI%Uy8G{-g*U7{2y;LB{!mzX
z3JXuDc6fZErx*141)Yy%9@u^8`$J*pVZrQ!t-pcAA6y<9e~9!4i7#~bpzlXSw5K8J
z(f0?V>xT|+!Oxdn22}uyf4G03=@m8}3JXtI_~Y82hVCAy@u2VphYx!D9DV;VdVD~W
zCtN>z`Sk{>0haz??t{4(J-*QS==(!q>q%hog=nus!WZ2==<$K1AM9WB@Icp(zMqhg
zdi4E-aQmR~B?8TlF#p5C16O<@+M^Kvp~n|`dlEgq(8~w({l19y9Cq{3+hgeFL!%cI
z|KRcfX?+|xd}02B`S&wa11vp2xgh;u_2}gR{Jcp9$iWdv>cRG*hX;E4LFXfx2euEr
zJb;a_!qOLP{0&wfz~!Ok0ip0iPk-p~iN0SP-9PC2#nICrrR51cJfP_lHXjX(FIfEH
zN}uTQfwUeH9KJC9F!O#vHNfH@J-?yHKdgNWiw~%FP<jE!KN25oA9{SF^O4L0t4EK2
zSo;-bA8dU(EdJs0(D+C6mm&EF-96~>kDgx8`;X}52dupd+h2|p|KO4YR$svO2f)=s
z<FgnVz_9uQ?tiGfB-j*CEJ4O2Kr9f(72hB^QZTGN0c$_N=WC$*5n=0Pd2rnK1MQB$
z;~Q3<!qQU&)PJz_1Zum2Fiaj1-jMywptWfr_rvy=!udG%AHdG*;DGLDhJ`n5zc6C_
z8?w>~mOfzd0kR8(Vc`i|4-0c2`hHaO@p-s?(D;J2KVbev-;WAg&kl1RVtku8_rcbe
z;&LDQ_&eM_sQX~`KQ8yd!V{Jr;PDSl53u#p<nB*}Cuau8{uof$LokF7YwyG22iAU-
zgr+};S`Z0Q4{QIz_In_;kHA*J&i{h#r-7@7mJk0yMu9QJJXn5!nTNiA1a=+(Z2TB*
zKGZxU{b2hM?Nvzm1J@6Y54d_LzY>~XVC4bWY2f({25?gf9v;y6fYs-?!Us0Lfonf3
z2h={;{0}U>!~BmPp5RSVko{2Ltz>ZZQ2!&9mtg<H^fxgug10`w^+VNz6@fho34hpm
zXfXTH!ymTa2DTp$t{!S0y8W>AOfdW5`l0I4?T6Xd#J~vNx(RnbR6XqcCzyF~^-y{A
z^aRUKF#jO62f<du_PfB$LsAcxN3svhhv|oz2Xh~6|0ZldOA`Ylc<U9Cda!<U^I+$f
zz|u3^Jy8F^&4cn`=dZx*hwaaT*$?Bx{DY((tNpO^JYeR*!V_j5_!1IGdIS3hNj<80
zQ1`+1@4);6cMnt^ZXT2mYd^!{8=hXE^5Dhu5c|RD7p@*Ej~?If{m0P#c<}gys)xr1
zln>v(4Ba0H4=<=Z+`mvhY=0lje{lPt@^JH@eE5EAX#RuihswkK2j#=}V?*5oPajZu
zB=f-G56&cz@B!~{goi)6dMF>!UWb&2aQmR;AKW}BpHO)SFaMzBA*_9it2~6A?*=RH
zVC6F`{h{Y4@Fr4-|G?!PTs_o3=;aN3e=sz>;rgNK!GVTU-of_=L-#YHr+@f<S_Ve2
z{c!bA^U&>w@3)2857!S>k8VHMP>BD)TQlMA$Dtm+Ul^J`;QFEJk?aRs1&eQ3_`>oJ
zEPsPH)k5qC=P$T=sClsQNmzRTtO%kWT$RAmGt4}2B813;m4XQnABTBhPlM#4{y}mN
z*!@W6f%)J<2%<g;6pe8GQ1d}z5Dcl$;QMQ#<pJD0sCsn&!S~-n;}7m0sCu}0P(G~x
z)5O3S39=WiA1V(LgJ6hz;QLph<|FwB>_519Q2p@zvJ8x1tC94B)uZc&?I(qm|8V_K
z^Wf<N%J+dd4s>1w*eJO9P<f>E2DZ-^p&lHRaQmR@;pRd4erW39=0WAbmV)9F%J)Z8
z57!TsN3tL69$0%HSNaY_m<LXZaP?60VEqSJ`VK;<$C|!_5%OU3;OcRh7lKd^&Z=<t
zK-EK=f1v&w*gv6Y>f!d`P#=a+4=(E9_CeKy4F#)#q`z=58*$zV+&-v!P~Q-QVc`K)
z4tF1Hd>&T+!R?3YM=~F5H8@j%;ul)JqL)A5Obn3+hbLS;)I9X~iH14|7T@UQ5A6O-
zSo(*n$6;P9!aQ*Nz}*8?j~+j9XzJni;ZPrsP!FzJ;prQy9@amGxfdyX!QqFbAIwig
zm<P@}NbU#AqpMFss0Zg)xP4Ij;pRd4$q4n}_&|?Obn{aX>cQ?o3LjMWK+R7@s0X_T
zZXZ-0ZXT4MhENX<KY0F!%BLgb!Bqh~{Gjsi@PYDS{e4*ah5HXG4|hM5pNTLZ>|VHi
zP<gm{P(JMZI9Pnb^+V<1{(<trmo!7tKiGVDd_m<wd;dWg*ZzOl`VQFse{i5PFfb6i
z{~tF058M9_Yk$D@|HHzAR{Q^9?N!+Re^~n#w*P-G)I+fS|FHY`VEg|+LI)IK`~P8l
z*#3Vz=!6=*_W#4|qt*U@nE3;>{~zhRTkz5vr1iPrn1YRuFfcHK<zf8+2I%-8tiOOu
z9yb07I}ZTKKJZc@B=f<1B=f+0*mxOCKWw}V#=ikg54g@3fb|by>+y5))4^Rl*#2#3
za)a;3gPrFG+b@C6hZZ03{Z=qOY`+5Re0|vd6j=R;zTO`u?^c?ZT#}ie2V3v20Cga2
zKe3NLxEN$$VSwycMT`$a_Lsuu$I<ss;NpWLl>xC|2i^S{X#4wN?KLK-{fPMmi2anF
zmjGKo2@4O{ct3i05$hk=d=gAOj1My(=3f{e(H?;KhuYzpi58x)_8+anGZPxYF#o~k
zL#Y*>u>1luA3Z$NQ}dE?vJK(;iO|FM3^W15@)tTEyj2WLK;i>tJ}iG#q4^K#es6G8
zD;pV@TUaO?!}624MSMKSO)x&lZ6GsY`6&m)0kwvq=^N@iczFPue}TF85nMg0`_RJ!
z=H41K_afcr4R$ZoIE?ZH-8|U-Akg^@@$rc8g_SR`^hCt|rSkluY<T>@+B-1)=<!9V
z|7+3wp9STkr$==EqVr+?2UiN<_(BbTSbhifbwC)#hs7t%JXoN^%tJq40_r??e4z6Q
z#TUAIbUq>dFnO5!@=HtNL1`3LAHe(rtIuHbpRn}F0<{pvhnWZSKa3AcpXmAN22?*R
zeqi>&!V{(+oe#4Q<X%vkg1HyHzM!^y|4_lbWjTobjL_x;JpWVb-fF1(VBxO<4NywL
zw=9Ex?)9P2z3Al$Y<>%tUeNil^aTqq7$25iiEUq@r%z(}Wr;bZpgt|G^o#CZbUw_z
zxZEF)mL8^{g)dYZ=YC$8`MCUp9^Ryum$32?=6)C-Rvw|3m$312n0j<R%)KyqbUwOz
zbUwOzTzr`OGV>rRVCQX6J3nVbBM9yvXoCn>eugT?h)-Dhr!>Bx*2C*7Lh%JnZgBPJ
zd`Rda&aXk|qpL^fqpQcohs9TZDP%_`tUZPv9+Z~9InW4z#a9M2z;MME%s=S)3l?8x
zIgk-8n0qO8UoM*adMI=sdicTSUt#Vi^*odqH1{!}xeq;l(a%GHYKNZ(Ms4??$0y7_
zSbU?OKLV47g$KHOenCA1lZSHQ`31&@)ko;zgDd`Uxd&z*%szDYjK&uvgm8t=K*twi
zyq38B2rPfX(gQ|$%>YpWD<7fR4W9nc`H;}TU0$QBN9PmL50i(vht~c@um92ckkCQ+
z7oCr;9-WV_9-WWwU*$w)V+$ihd)vssum+?CHhu_}H%7>V#u`9l46yMA^!5j#@g?;7
z2UcF8*GI7Or=F1soP=QU106nOfVmGA-?087%suGl!T7NFh4Bg5AIAu}01jq9q47+Z
z|6uN+c6cN*GJ?CDF!xZ~{3J%mxwo`3KbaA-?w?lXCoyt^mq^0Q2L~3G@nBf|!{Q6Z
zCzKvi85zOz1Tgcd9scO)jfnBCg8br4_<4Nsi76?1Wr+L=^A9XNeg+L5{r~@;fq@xZ
zbgY187+8A|l=ndx=0Di{2C?Vy!1`mb^LSwES8Jf>)4=uzt3dha@-Th{R35gzR|Cq2
z?Z1QZVf&|ipz^T&T>(%&x_N~7u=Vt?^LSwEzhUOV+QT7G^I_|QVSL#7UfB6R==Kph
zj|Uchu=UjF`!~?}ptyoy)b;+b_1=We;{hiEumKSL!|gmCr1TEnAA)`!52F5H0`EV8
zW(Uv+ELc6Pe1L@~Y&{=rJv_QR0zkqOX}%9^9@2aun2)~x9$h~=ACaFS=A+C1fqDqG
z9vr3~w*LiTKSV!l{SC}Nu=#vAADSRx<{|c9K=$Lq&4<qa?}s`FrXDm_3KD{?pM*LO
z6u#i_hUtfeFKquc%zltw2!^;9eLn^4z9^V_QqK!Q+z$%z4=g^Lq5gsG@51GNn0lD|
zVc`RF55(;t65@XJ_=e3d!qgLTKP>!U@%aE`G<dx~#Qku2sQbZ<Cx|?3DKk_DWPKG(
zJ?wlLBtAHO!s<_$|6uop!rTkFB?ECj40?D#Ed#|j*gQvQg$YX^u=Xj;Kd|%y+n)uO
zhx!M}ec<$iZXd?}Zm0ub?uByU?m_3n?xTmPhuyCV;|D<#ER2tSJ`=3`fcXcp9~=@t
zaCsd5ff^5YUjbAAW*>U~M0Y<rpHlz8;veQ8*nBH2e&F&@|Dfl8Sp63R-G7d7-_T&e
z+AFa77?D09>(QazSq4~oM#MK%9_~#@{zpHb3K5>fsb_>{2$+4a@Fn(qFIamD<{w!7
z4_jY`C@+XR-wLiD8vlgc18cv*>?ahSgz`K3`9CoC!_q5kJti!@qRXS34-H;;{(FFy
z|KR$e`wfuR|AWi78EER!&zFD}e+)49!PbMo+y|G3h9|oF(91h?|HJyXF!N#cDa?Fy
zd35uU_+bBVKrMuoKXCm}|HIZF!S0_U<bP;$0`7nCCN)U?1YTMPm&f6MXz;@02i^bR
z&Kc7AU$Fg)F#n^=Bl#CxpTNp9Sbc|d{xdi{;QFEdhpkV5`9BewfMEF(R(`<Z1F^pt
zQXjzO$@DL5JtWM0#Q87~^U>we{R^v)VE&Cji*LAosDEMYUtIo$?N5NY2iAUqg+E*#
z>R<Ho68(HD^zsp19@gH1-3NwV9;5pgJ^fUm`4_ex7v?|o_8M$GK1?27yhHE5g{}95
z#XqdPg@p(Dc~)?FXn3Hz7wSBCdS3t)faOQn{tK9U(A^8$9|Mzzm0z&<Mt3i)e1^Ff
zwjUH0e{gxId(rD7boZm%hn`<y{dbssi2LCo=@(rd-F#?vgNG0Lc}{Ts(DaKQUkA{_
z6TQ5KjVHj|2W$Vr!UHZ34G(npA@RZG2fF`Z>yKdO!_NDGnU5}yWFFS?ssO40mOkM6
zarhtoyef46!_Sj|p4S1JuYtJ_E|0_i==lxZ|M2rSpytE+6EO49<)PjK#W&dhu=WQm
zJx_otfcYP;ABX?Z%WK&94=g?)tsn-_`90wJ2`&%yFRZ--JI@T3-iU4AP+I<>=RcTx
zbfE2RSo$Q_J?Q7%p_j+#@`T(28&89|2O3?V_yp$<^z-pHKo!8^6FtA8pI>(YO+9-0
zMpyp<O}#v{K>7b)80;Q&^|0{OfQBz@JP_s|^z@E?J|3a=E_!-EKR*vW{L$rcg+CGJ
ze}Vmr9{%X%B_Tec^Y#Rw;R}mTM0pD7|DeY&`gwNf;cI|q9-@4Ln1>$!gv<*-GY^sf
zA?Bf{4|MaO!|VTH<q^7jNj+~5mS14!?GZ{pi24)a-U2lL+=o`ku=WX}{{c}S162=`
zN3>V5%R{SAc>YCCzv$x?=;IOS<0<Ip*TM1^?7SQ#|AB)R*1m!5|AVWCmiHT={(+@8
zbpIpzAK3keXuo2Y2Rj0sDIooO^!!Pw|8eDK5oq}Xn{R-XKZMc;qCX7r4|@Ee_h-@1
z2SfBHvFk_g52EWw^v59j(ZdhDKZULz(Z9j2AH9Eqt{**pqMx6J9)IZa(CPw|-ofn;
zaMXhdNc?|5OK;!N_=xrm#Qo^uf$l%p`2(>02%B$$wNK!DXnL=M2PninV5c!KfbM?+
zm+x{=_rT0U_YczkC9ry!epq?-2dW>IpU~3}`gvcl^a*n>x_wA|uzl$LZ*)GAd0_SE
z=Y4@Ef06qCu>GU3_9R>$+I~Z{w;}O??jH2>zR=?f{k*pwPy=A$i=N-m)uV?W`uT9^
z`HfWl=;;YvKe~J2;R{Vq^(3Yz^zsUkeu!hi+E=jh3_kx1J+A}4e~*<Jyj2ype~#Sq
z#?Z@W^!ghyz5qFI48GqQ+Fyb5ahx{>-sH}}z#stKPYB!J4Li>ZG5<u|d0w#nWU%}Q
zTb~W%qtB1Q?SsZAtiFfkU-a|FVEe;i?nBHk5$8VG{w$b#(A|eVJ^{B6>ONTci_3jy
z5$zYO^I1sw30ywI_Fuu=gS4LtTz<gQ6LkCrJ-*@lv7zw|=d&=vj+%oeY{+~XEWTmq
z%Rwb^Qt0l5?Z<?<7gis_!UM5C6;i&VyBD_q8Fn5XoKGNqqTgQ+JKqkr9|7hbLh*?{
zK8Ego^z;S`7?^#q{R70>2W$Vq#<!668-U{%mwhmMVethkZ(!mu8eYCY%OBYOTyoE|
zgH{iq_5-|Rh1dm2Kd|vYSbG*}e0DWN9gKviM@m0n^O&I;e*cHGG+^~P&hw4Hx<F<!
z%>|L|&;jZH|Aps)_yP#?z(Yx}@)>rX1uTAH=jnj<{)6!7{(p9G)GUQYIBfs_dng~a
z{~yL5-T%)9Zdn%PXQd{W=qGY8K=>&s`mptFV0q~Jwi0%zJSdGqFcWO*8Ny|N@L}m6
zwtpW{-ZO(!K4Lx0=>C6bz=0{)`fV@=(tiNgys-KJCJ)R1=<5ez@`TpQj_&`5gfMLV
zDTIeOzW|&_k=Cz*BLRsI&J<Yolf%LvCJ$Tx37cQWWgj8?Ve+u`*~4xBKe*7w6Q1bn
z-_gSt*4~8e=RoI!jRq5_`zc`IiN0SMsl5u0`jN2zAC$&m>-%Bl3vB;B5$mDx?*B*3
z7eT@w+^7My#uy-cQqMm?-~XQu&9J!2Ly+G<7?wXlY!HU6w}!2MfTbUh90<emE7W-S
zeoGi1W*#_^FfcH{%tPPL3Nj0XVd_C_5T-XD=04DV`qBOW;PE+d)S>79(f$9R)Ct0n
z{0ST1hvg4a&x1feuV8flKPYTL7!tm){yi*w(fJ^iAdFsK!0Inp{~ace)E@_@Z&-N+
zE6+e?fiSUr^!k=ic?lcOgP9N04|5;bQFzKrXm@SU>`xqN`~T6~V}#-hmcC%|i_Qn7
zH4ui$gV-Pp<D;ub=Y!OOFibs&4Z`Srr1}jc4L{!umi}PvaZ1ax(f$A6;DztMht2=P
z$}fm4Vm~d64=b<G_qUJk|A&MSuJ9S%|BpX>hTC}!u=U>P`3Y8^qSvnk%WLQYU0C^u
zUcRF9A)$?#e_`b<x_WdzA^k9Un0sjLUqbB(^zcLHQ>q``zu^7-qx=8i{b^YEz{)@L
z{n&)YGhy)stKSKYx53oI@)Iom!uaU!8QuR62@zc3GrIqOXzu@q?e~YxFT(h+`B2z?
zf7t#57$3IYdUXFkWIX^RcYsI;e{}ypq=mx3zzkjwFuMOA79NoFF}nXBlvfb@J7D$k
z=>C65`aoZQ30toZTaN@;WiovC|NBB4WHA5wqw$B@{(n;a1B-vy`d!%iJlJ|#xIA<{
zEo>16Z2c>&J|Erx56MrX`~M;3Eo{9Vq5TT5{x0l%5@_}V&DetLbM*a$u<>D7dPS_i
zhU_Ormq#}rF+K~4ufewepOF7y;}<ahBi4^Y{Ese=?tdgcIDAL<|3lI%to$F{{|^Zd
z*m_&^{j>1>{m}K&i2YNL^aq!R))(meanSeoBkCK-{tR?^#QJB5Jal*wejW=_dIqlt
zN6$Z_`~P9_3EAHO>tDml4@&p{qnD@X<u$DT4zmxjzYmgL(B;wN6W#p5w*Md2pMb4r
zNB2K${SnOni2X<q|D(&J`ybZ+f|)<M{~wZ`VdeGc{(ne#5Zm5DFOSjp_oJ7`=<?|M
zzhLcu*m(r7{md};Af;z;djoy{`RM+CNc_S2Q|RG8y8j;%KCt`_oBxNM2Q<3>A2oc?
z_rJsT1H!_K-uwU2$5Tk%{}0=*4%;sUTTjyr-A_2!_x}^}Kd$nWTKoUe+rzN%gthO{
z_s=8xlaTf{y8hAq|ET$GbpJmjJYelxr1~D*A46{+qqk?#`SAVe42<CR0=4)5qq~RJ
z`~T7R-=pU*Li_bc_xD567i@eG)?b44her4JL&68veuVG0XJFI<$&Bvr2ZaIy18l$i
z=>C34c);=x`gskb`}-mD=e*GK0Ki9kfP;)_C5S?*-w;hBkOTu{zdmd|3#`2g-Chrx
z&!EZvez4VG0<yoq7j1t((tQ$i+5Zn)568p+*&h!JBxWcd>{RgnNQgX)&kB`?I-h|J
z$~S{5V2AQy=Ra{k`ASfEPBcCjl&=Yu=Z5m3Tn2e4A12QO<?BKf@Iv|E%hnkf82F%k
z2dIbmq5Ldpg95hye+!fk+y4*a!}kBLf+iqgsD7w*3}R?}aWuXJ8ebC1hdPHr5z1GG
z8mI*2!|W4*@}bfUQfPc>G`<WPUlxro2j#=e7lrcWVHPkjfqja!pA$TkOYQyt)ZX8Z
zWFC0y5z_r0U_R`;5C#UwJxZ|iLSXy{U|%yZC_uvl796nk$B6MU$bKwB_g%rxi-E1r
zM(4xKhpm@}@e%7=AtEq&SpN^#{#8QjUq{-0e%SmqdU&C)PlfSe`4Ps4%}2xd(Bc8U
z-wYBvi1qK_Oac~!qz_nm3eyjkLOLG+osVumOdggV26{g~Y`&0M;R*9EEPccL3**yz
zKYtO_0WkZnKpg<{AI5%ugZOxmn_&0rf$rJ^X@T9xiXQ*y`!mt`AiW?Avme9;VOV^l
zpXY%q{}Y?OKxTt5Og+8to7RDbH!OUiT#WVoF!zDn3c|Sf=-~}6<Uy@9=y?Gky&w$J
z4{06#{}1D%=Vw@chn4r}`}1M$g~b;-A7mE@!{kA15Qg#5)uZ!4YC#yL9>fM=bUw^|
z^xkiZbbkams9@m-3s0DTV0@6<K^Rv5ks4p<=E3-|@Bljk&;DSL-5?B84`PEbz4@^C
zqW68%4A2OI<u@o7p5M^<ps)d9SbWj?zG)Sx1ITqBdU~Sv{l)0%9TYYo3`;-szHb^m
zKGE|7z3-dG6@ResL3a-*>_8ZnzDDB<6dDZh{n4=a#1%f2-ZxEgd?hLyn;RhRFU58r
zvAH3#e4U{h0|Nsa0~@%g2DP0)Z6<j8DL)<DMTeay1T792VEgl6<1gs-35*Y0FA3wr
z!VkuWgf8OzmeKu!kPtx+9~d7N{xCkF@EpA_7<+gk+V80MQzMP1fb#>=cnX-0be<xZ
z4?RzjfgPHEVDSOVA4umXf{)HZT8{(P4{;shyeas3&8*CAAUTA2knvC0dOijQrfiTr
ztUZl>UodPxA8h@Q2lT#OLVVcxssdC!Y&|24Pe?zh^0510(C-U2fZ7jRk6;4j!_I4m
z@nQ3sHc)wV`$@eo7&adW8^1^AgTfqwA?w>AY!C_IkM#Y5Na-Cszf9?VLD+f-*!m<`
z`3H+1P{>0tB>a)a6T$W)jW>e%=<_iUy&w{zAH;%S2p=i`f%QY=KqN$-)cb;6Kw23P
z`@MqD_({+V4V!O7x}OYue-g|+u>E!D`-Nclui?6H7p5N;ez5%=u<(UC2h>9c`xpH@
z^d6`Jn0i9@?ZV;<wtfNqJ^{EqbUi!lz7$;UhpC6TAGY2S<{qf?;O<9{?=w&ZF!hAo
z4;^lX-?s=GuY~R2g3CkQ4=dFF|L4GZzB)`jY`z_d4-Rjr^Wg4>%`d~;3tQg|<D-WM
zx_(0U1v@}B!2AR2ui;8xu<{jlUl&ySh@oKhBW(RGto=*w{jYHS(DVZfU)XwI^niuc
z$1wX5<pX3r8L9g>VD~e@(i3d{54L^{J^#SY6NSkm+y^NS(eFcqtv`b0f28?uaCruo
zhx#8WKVV(oWC1O}VD5$04>0$jyB9Vd3zLWCPgwbZ?p|;s4e9<9Sa}M|A8>i7d(qby
z5^_KK{sowOVBrHRk74$q%cGkQ%das1EI<n%xPIt*PW1SSKvR!?e<5ss1m-@(dRNH)
z3b;HpJkZ?-H6C7`q5B`!KZKc&Sic1^A6*{Zd|3K{`Jd2!J9PgafI1MCp3wadt&ZX4
zKjOYCi2LC3WcnYz9}c?T3v~8B2;(~cAC~@L^Zzja5Ig@5yN?z&{|{co!~hx(fUFnj
zh8jq(`F~@meX#j|BWQfU=Kr5VD>&Hvza=yQz~=v9eAxVdA2dVIYyKZ*AFby9Vdf9i
z{6Erp|KOyG)Sd>%6jFO1ERWRw2Ft_xv#|Ml#QiIf`F$kw!C48(JTRZodH`8yeB)XV
z0IMHh{c%`%1sgwsCO`OiJ31fi2`ux$u<-*qMh0+~95#R82Q>h8-Zr9qg3LGHfy+bq
zP%eCY5ypp&&%*df_JM_9^04+BY<wOpiN(Dz|DyW`RzJYxVSJeRF!Nx1XmG>F|EL|F
z@@U~140Qml!c!g^ATa;I>K|%_C$zXCdOZRxK4Iw<oC!d+Cz11|=<x^R!_p`Ed?~Cx
zf%zYu4-I~t?nhUT&L^ZFCJ%F8PJVttd{Ry}Z2TD}&j=k~gP9KtpR>^V0X80w&WGuT
z`47g2<tGia_&0#^Vezk=o|>1IUz7xMPku_JvXQBo0gP{KVq##dY-9=Jn<+zD$hg)U
zP&>b9FfxFP7+Cm$FLeW_7sz-%SQ6}MNO?nP`GX!_9cbYNwu*xC2WlM7^ahP?obt3T
zpHvtbz)L7$;hhNeFs||mHhu|9pQN6*t^f@XSo*yH<-^h^EIeT4H&hy<K7-p2buTRc
zkXpW=muG~^7nu8C?nmdtoCA|b=cB7f=cB8~#fQZgY(E37;!6=40WkkqKpjkOd?iCY
z1amLcIC%X_Y5Gz^b8igP0$lEeO5;plCAp9uEUf&a)O{*w?yG@10GIoq!G+U(=;Z^Y
z^V_m$?nBR?F!#XH1NwRdm^>_hQrkV~=@05$c=<_h_uxuju<)VvdW6yVf}}WDctd!I
z`FHg2p*X%0m5nToLE{UM_J}fwZ;sAaHiWgGVD5pn_dw?>8z8M`fM$Pq`A4Wd1yfI`
zeuT9LRT-JUO-xvQLGSh-sqWQeWC8~PwcJbT{5Nbo8`i$~3|-L4z`zU+*E`S#1g!jq
z#W&3TF2O<ZIjLzSu=Eh`7Z2_N!q%U_#uGS*oF9jcAHwFxVfR<(K<A4|<-^WjQi1A+
z%?H5F(<RkBLh51XufXQVVe{n{Q2Sx~9bog{u=*Cphs~F}K-I(S%YgC;&5y&v4>sQb
zJ3j+9-ipqL#V1T2oj=m&$C2^_c)kyPejL(!1kVqHx8g#x3#dm2o)3Z5->~q7&Hup0
zuhGLBef~BDssN^*(EKONKG^s?`uq)C9y<OFY5n1LKdgL%xgR#34s#FGc_9CS!v}pn
zX9-jROg$m@!|a2(AALRtE)R7-`g}5D3)DjB{njw`F!#e`VSM!SUD4$iLn9P6zYps_
z5j$Uu=+8su+hF_UVBrCa514z<-HXmw1lJy*^LruZmqDEepYMRJe}T<Mq01xj!SRJ|
zKf3?X=bO;w(fLYf?n5`91=^s8g=Ym+0j~7}P-%GjngA7mg`XnAJg|Sz`D@VB&xQp6
zG<~EZ^v?qM1<HkoCv1NXEIi@z(DaACo&#n)>^v6q_ygGi!I1Po>+prS2ey6z8XRPY
z=N6~|u<$1op0M@>EI-5YD=a+W@;Jg1R{!}hFlRx+ZDf(K_6w{&hm|Mfu3v!bhlV%O
zd@8v9g2fLkera8v!s6EhNBqLtYcT(#r)OAs3@bm;=c~buSfup<=<Au#<<ZSY;)BbV
z8))ett{*yIkG_5Zy?#NTuZFoFmOs$fE5YTV@rC3baQgy@4|X5A|DnY(ygY-|_ptnj
zE{|j$*nC)d2Mb>ZXn_VxA8`Fp|HJ0*VCy%2poJH@|6%P_nE%n&E5PM(_#YNNF!!PR
zA2wbJGaoiz1oJ<-Ji7m3<rB>O1T_D{^+WxSD4ihXJE8aoPr@RlPgwg3=03PQ4*$dY
z6EOFo`yV{`jb#1=r~_f<qsycFAC|sh<~N}EAFdzje^`4M7XRqw33~j));GZ72ezIN
z=03PQ)c>&Z!URWo0reiy6s&)SrF;SBC-n0f7ohncJ$`wi2?Ex>Ie?}fJwKqUe}JZ*
zkomCifUWOCqz7j3)DkrN!Rz~AXoiKk4_!a3KT2$PAOaea-U;#Rp&1rtKf3#n?jr<;
zKcYN@td~O%k7Q^D#burXG(p44D@6GMF%LaH2$|=AW*#EHLd+xNKIrhue^`D(_y0+#
z`7r-LlLILJz~%8w=z>C+Jgj}tgqA-vp!owfo&bwaMEwVGFElzp=7Yl<z5YT!4-Zj)
zK=ebM3)hcc{-f(hls^#ti1Z3cKj`HLx_%`4z~O<kJ_YQ4^z@ei)c}isr0@i*7l&4G
zu<(SnH(=!vR6EFjVEfR+3)Y^3#V0x+$vm)q=;yaVqZ3{~BdzBIueXHDL*o~`cm-0P
zTY~(G6rPZz1R+801+(Dlq2)8W`_a#PLr)**=dq#dKL`yVSooo*k78Wm3w4hw*p(ok
zK;j?70^vXZg~8z^ho&DS2f+~aNb~<-^>E{${kth>`k~GRg%3DBk=8ST^+U`Ckr4CK
zp&DT62i<)1^dk(?3W*=EQmA&gedytbo?g)TNalgvhn{}GjdmpaVCzv}^(R~&ntntP
z?!%gXVC^H=c};Nj(D*`kKYIFsjej;XFk&?yc3v&ae7Jh3`SsvX133~B{^<6hr#D#r
z4YLm^{eew_<v*BxaP?68(9<V8yrJ@%U{et80kJ?BSNcR>Ukp+U!4UtTr&sjyh){Y(
zT5ktV4-m6KB*gqYXo4d)y~4_0YL$0L=7HUZo?c<?3z&Vd@laTLh08<JD^mFeHV-{L
zpr=1reFsYqNczDsiJrdU>Y?#XYWjoiXM@>?p8jC<DJ=cL)kEz=Pk-?6gUVBy{-DVL
zXZeHPekq4)fTbT$%7S1>`a&<C(D@x`<t?mz35#!7_`}X$CKR7Y`oZy!6rW%|y8aJP
z0a$&6uAbERh1(B}57>Dtu=*YnHsJYKuzPUVS1^_MX_$Sm_8NTt40`?^Y<(>cj`=jC
z@&sJJ!ODM_dj+8J1B+ku_9)_h5y<#*E7ZBL^CIDV7REfN6qJJOcZHoFC&IuC&TrsN
zv5@)+ymTEgA0Y^qhoyH|{(u_B054y`sz9QU^anfd2j(C2`4YH&(D;JYhcN%Hgr;v;
z`e+9kjpRPWd;@XrgRMt}xd+{S=<^|P`=IWF<p*5uJBvuqSof!)$M+ey03^K7$CnZ7
z!6EYK@eL~vVDSy-<A`rqd!Gdw-<@dTi5Nd8E<9oD6=C5ii5CCp<NI*?py7$Mz7d=s
z(c>GIJ~^QMBbfVP`HkA)jaXlgJ-oZ1;ROqCI3GuN!`u%GZ`l5iX6X60u<@4v|7jE6
zaQlc1Z>aa+6wLjw@P<o38SwHATHe9V6XeBF-ofRe>M1>+6xN@VgxUvn7O4J&r$mSt
z_VY!N=GU>FFWLYte_-=nu>FHg42<BTB4O$A|9{9$ld$rniGk4xWG`Gjw0(qd6r}tG
z8^QoS-xti(0cm0Y%@;z<gSAIs=7AM~)Z;Ku5vm{NKA3)(`(Wpd!sJz;>S6M*^>IxM
zjJ6;txOq72gXxE<hntT>y(-91ko%$G1Gf*V{y$V6R=<GGP5}wQ{13MehkDrgp-l{o
z<{*9W_<*X1#TU#xxO%8Oy8W>94^xliesEMGnFr>BD@}<1z)^)B{@Nf%LF8RQOeFPS
z{pjYw(gSS%2<{%Jd*S9m`LOd}VeUl=Kdk1%Dk)s)0X(RI<X$B8sOCZKhm8lp+zWRP
zR32^~ly3+M1c>?I_=1HOY<vuSI1WS}oS)$8q59F|3%1{_iGdM(6aYNFpz7h_4dt64
z>~{t+;o$|9hx-@GHw7tzxCg8rZXZ-0ZXT2mJO39JA8`FpdAR?ed~hHjnGcVDs63K+
z;P8iyKf%Hq9{%X+p?sKnSbH9BAGCge$u~jymC*Jlto;S`KEwb24B(~)y!?fh=Sckv
ztmj389St@FQvOXql&@eZczcHxG9C^a@4;2RBc1mGZaRYpF(Baw&VR7{1S{XHz;=Vn
zTRRXFt{xgbU`K!?pyy#*gV|v9;PeOAk3+o;LOs}iSp3242N$Xk_krz)tH)s;SP?`$
z*nYTv9O~`S?1!ZXnEkN!H7q^C)kDo=V1VT)Nc=dWnFmYXF!R8ULUJEmJr480nFgXB
z>@~Q1pz6`bf54d-Nj=;?9O}V^DnvavsNnWN)x(?H(D-#j_z$ceZXXWy?g;f@r@-xl
zs)vOhtp0+Hm%zdsZXXWyo(S{7=?6Xjyb$tW`{C-L=Ap-*H$pwwJoNbULCAy6gR943
zo-aZ@IR4=7fvQK3KiGcFCI&{ZdboW!)cYgM2NzB7{0CJJ>yN_16RsXAj~@Pk2=l=H
zLyA9eR!1@q%!i#9)5O3Cj#?!Dg5}ZGgBQ<2(i=Fb!R>>(2W}pe54+DE=6<B~fz|v_
zg!{qnK?+Y)^-%M}5bDA1f!ha_hnolGha=R3!y6n)Apbz+Vf|~Ed*SM#^623mi7*eG
zmEhqGRSyqOC_f6J9_(ItctGXh{)O_R(bU83gUZ9rgYsebiNM?s+WQZ}1GWDjHXbun
z_y1Qx+XM94{|~c|R{Q^9=8x|GhnyDx>z~8U6M&764%GgCW3>J1u<`)5Uk}mVq|W|Z
zV{oa8IL{u|K0)740o(5aEso&(;h@^#eCTi>1|NNY5R4DaUPSM|A!I*H9=89+1T8#a
z^%t$e(*znIF#jQqpTdF$>_l?I6WV=%@3#XR2ELyKk{-~*6BeJa{WH+zKN#mjz}y2H
zZ-?<=j)wUM#)s{%8QuR6i2+!8vqg)4r1?~E&|%yEWp0Al|8H(#YN>2&Xb#)21l|76
zzzjXF0J@xrX8FaI&int-!wYGA92{Pd&}D#^C+K`=aKq(cnqcV{CJ#%$F#Rw-tbYXK
zqsyb`C+PAtxP377F#FK?F#FNvVd=$^&insOp$>xi7kz&*EIeTDg(}DJFKmA?diao9
zzMz+9u=vAOzQEiEb3ZyC7XC1KbUwOzbUwOzTzr`OXubd63>pD2|Df-`hPj{E_@edx
ze{(eV;@a;Eb1%C4Vc`u+U)0|JZ;9r<!M6V&c3v4QzR~k1EPP<{wBB!z?jH2?2Mtbm
zdxYNZ!Ii#X;X~{F|LEZ}8efnU3aj7H!-wMdN>nyBG(p+#Z)|9R&d0z1-w5fx0$BM2
zYabJ;-(l)u<rggd!}t*2gV)=Dt1>Gh_W#qe{YR>M?TFa_Pl|gf-T#l?-$mN*4Bq;2
z8`^+C@2|q@XVCutqRjLRSbMqzdH?|IehT>dCFp!QEIwi5*|7D=u=DX@`+Y|D|1;-+
z(mHHC*y#R$$od&jm_RUj{P!zep+SmW|9xnBhs{qS<p=P3qS5{TkkE&%SA+0CBqTi1
z*Sn4G{|AK)2t&eWbpJmnEI{j#AnQv<_y0q}16O{6$bv{n`XTjv1!!>wTE7Nf4~$g*
zg3B{f&r=xP{||}_`eE4qKG=FmSbGh&J_p<g2Cwgf+zfyezhEXp9&*10Tt9R^b9Dbd
zBrak56VUSu5+9sjM)&_i!V6Yj!TNKs^G=Y~M}fl&eSa<b{%=@&9~S<I{kf3y`r-1>
z^okT8;PMQXzG3Ud(f7Z@#!F%5!{&=%`;E}$(alH92SCc-(f$9Z@jtr%A1yqf2@2MK
z7~TI52_IPg9Nqs9nE{4{2e^_32N9%v8QuR64h#m^`5~kG{~_T4yWa~oUIyE5inPBG
zl9V7MsJ#zn!PP_8U!&KT==*2sz5m||>`IUyA?Y8)0%7?6Kj;J~tULwDK`=x;()>TT
zy#Y6FbpJniYXo=^J7|9+wEYC%&yQn&KCFEN8=r-%Co=uO_w(a0f2i&Mw}U4rNP2^$
zJ@EVkIK9Evv%%8m=>C652sJY>g2QKY|34%=VEKvE{r{AvKlJ_#dif9DbORngfSkuO
zy8j;%U$FcPoh)F0^=}<XEDs>25l_PGgN^sV=g*+~q2T*tam=T|<|AR}eZtCrSbLMy
z{r~k)r^EIq!}$dEC&Tvt!|D^*{$$vG2V(ap!`9Ej+z;D-3FD*h|A*TLt*>C~GhyQu
z==;GNq5gxp54JynSogu!qr%*S?mqPS5V(C%_rctY%YD?|j}G4t2W=mr@BeRth6gOZ
z;d~tN4IA%)?MH8h>W76Vsr&z7>lI<)NofB++&*Y{BCT%(*FWg-4cqTJSoZ(Jj;n@+
zH=K_nykYK#g*R;f2Q0iv-TzN&c*E@@GQ6S50Zzf(4=dl`5>N)be1n#Eu>AvrW&i)^
z{(eYA!S-vy_uE7F<B_nxAG|e;g#G>C{baE66}F!nzP}o}{~vvSHu`=t`2KvTdL;LQ
zYjz~_z<gNw2HVdL+fNSjKP)}N_V*#F2kS>S558ZVff2kv4elOj{|s&(ln>vJ4|Om6
zyaMR`v*_l-_6Nh<3sVnsFMR(zbUz}JdQ|s9?T7DIhq@Q;9;iIrJSZRB=>+ZfgPxZF
z3olrF!S<iR_Rqo9L-nJ_7kqy{bpJg(zM$&i;SJ@(_rF8k3-dqBez<?3>f!tCq3YrG
zLFM7*LHV%#t}yq*^+V<1{)6)2`}3jZ!{Z++k7OP={E_zKgVP5*{L$4z`LO-<u>JRN
z`=I4B+&n0MsO`T8XL?9_0`E75#WyTH!~7514-8ii4Iglzf{QH3{&V>Ld}w}x>&KxU
zzCRy&J_{`U!0d<bCx(`PaP>IMgYVCW+7H){Lp^vCIg<Zj=?i8*xDbWNgZCrD)kDog
z-@gstpAYp9Ed9aEgSD4o=^L&dhk5Y*`B4AE-2+vRzJD9+NQisEO5yh5P!G-oNb2GC
zLDeJmcfe5%-Xx5q9&R5F_25m=5cS~ng&w}}{q@lJf~$v`haSH0{mD4O7rq}EY93rY
z4)fsq@uA@hcMnuOdicWk&qLM2?ZcrSzCRyYUc>VTR6TruJ=DE$^-y{A@*2J$8>${D
z{=o5rWFD9g+kXkGKau<kmPc0)-=7aHPvQ1K-2*od%7^tgVd)tuePA^ozTX~NKO%)E
zs(PsT@csBu_rUFg%EQfr@?rZUVdn|K%LAx9e1APO{NU=L^623W-+vFSAK~E*RSyqO
zC?9=4KRi63@^Jq``SAVuQ2)X0gUZ9rgYsehXIOZ{^+V<1{)6)2`|Y9T!{Zk!k7OP=
z{NelYq3Yq`kFFldhm|j|`Vnp)v_6EJ2jvs0AK~Z6K>H`4z5gIQQ2YPEhm0{WFbviG
z|GS{`JM`NB53`R}`~P9)kM92;-Tx12cEZLlVf&?E<q`UR3iSOgFh01@L%N?EHhvG2
zhs`Iz%m>*C!Z1EGd(mt^^XUG6P-ufN<a_|=@*kr2|D(qrEPi48YtZ-q!_KRQ#V<M^
z<Ps2u$%EJ+4CAA#N9Tjof-p=yhz-K%e3<)k^79MglX9|Q`+q=cK^S%)EO-+UNPqzn
zK4+l~cG&(?bUs)q(s>IoK5V}mY`hZY9;EqyaL|qJ|A&MauJA${9|wmQMD^(Yf7t#+
z*!lCY^vMD(fMI-Cc)`*ij1LR%(f$9B5P^jcG`qw1FGFMz=i%Vu!^)S@{r`{<f~Bv~
z{r`~gfagaN^C$X#>Cye<knn`1FZA%Cct1JBctm?>G`_$g0!zQJ`3x8zwmuHd2dl;`
zFKHHEqx=7%Ar5J;!uGeo`dd&*_<23B`VA%zP2O;Mn0i=zjqd-4g$QIm2DUzVbpJnO
zzdx)$=E4Bs!`6e5yZ`?LEV!ZTebD)EX{bCpzZ5C}TMx$$?cl=sP<hyT9rXLXVfzhX
z@<{6u!RvG2+Hu%VZTXS5{~xiQAF`hgRzAV@6Tt4Pg55`f6hGkob+Gac7JsnyqOkR9
z=<$QT-i^}z|A_lPA?}B{2j+g*dMB8BXnkKbtkeAeKV&~GlK;U$iynUH>7Um7|6$<)
z+usOt4{ZM<5+9uZz>Wrc0(E^mEWXk24+1L%6WG<0x<7re@BfFb_kx8dY&;c~{`P~z
z0&$)JJba<^NwD+^3s0zW(Ec27_|rOkVeWyg2S$%?dhh>7tT%<^XW0H)T;U0;|9qhL
zH6g-pWUxl}|HI-La(@SUdWMak!}1sU{%_d$GpziB)pxM`j4qFEJ`x|CUunJnAASEj
ztiFNe4<z@1>t`fB*nQ~!hxK1z{)eqMhxs2}9?3kg`LOa17QPP90u5H*!u3PTJEZkl
zVE2%^{~y-AfVq#*{(nO84{ff(?^j0bmxPo*=<?|Phm}t-|I>Q^{|snyhxwle%EuM|
zu=OP{_o2r>e1AT4z32j{c`)<Q<<b2Q%O5cF8_?n(t{)ozNb9G-@egZ{z|sr)`44<h
z_rTI8V!r?+e&F)Z^&GJJz=TTm0qj0t*m`s%|AXCs94Y|o?<_#`Kl**|ywC&%Q$M=@
z9~!~X{vE0N{}JUOWPciZcqc<MC@j3u+cyf(_=BZCMEL?S550UMWS#?>d5HW9F^`b@
zAiMwn|A*x#bobJF|39MsgSZ#H{DiI7#<l+*QGY=6LxTg}o<%SJ(f98o${&b+^zsY6
z{6N=_WFNSGg54L!z`zX7udvhx3*Q8&23Y(<voGAe==Zn7)>FdDBdB(eda!-y;f3D6
zL+2xz2X-I&epo@MgJJf;)@#DbXSh7H{DX~`!S??ng(o;E!Tbx`ZwglrEuYcdkG}sO
zJ$=+Of{g|FAMAc~{pk0xqo)t_`>o;O0d>zv+y9Rqe(321oe#f{9>;!a*#1sf`hl%K
zg{2?3JT(2l_wz&d=cA{e4Y25j-e(3^4~;K$_oJsD^!@+n=A)-ixO%Ah==XD@+lQXs
zVD&dFKOm()uuov=4VE9^>Y?_br%!lzL**&me+|vv@ce_cUIm<=(95GK&;~cGK0_~m
zzCbGwT;*LJR0FKM0|x@w5s>j3^zsgN|28cBK(&M1OICS@WFFXm=;a-3eFe-u*!o^r
zdWFkF(<@T?0h@=O9?;VtZ2TOS9+32dg91H$!_`CMo7D6Na}Ufu^z;X-Phsf~t{!S1
zdisNhA5@;w^hYQ@(c3Sy-v5v2e?jVhSa`tdYxMYpwfAA?Ng%~1*7WcJ+F^#}A9VGk
z#xLA{Xnc&c{r}U!We51aQgHr(^9k%vhVB1{r7zh2WW;;~arcwM*3ZJy2W<Z*jE}zm
zA8sEszL3`2g3}B7e(;%4|H0gcm~SA?eWdRHhua5rAFRB9mDlL*qxb&*S<vu+?MH|6
zal|*Qy${=uJ{zhZ7M_UlbK=4iw!RY<zl8Sx!|j8HC(`;xtnm%oUprX#|IdMj7c9Kt
zd>r8ob3ZJ+Vf#N|;f)wyr%ian?ISY0q27a2F!#g8Ti_B<#$ez7k2HS{4vKc@0NDTk
z!t+30Xn<C@u=!M3kRa0jLRfx*?YD%LXR!TJaP`pk54g|;n*mub0p6sDWFGo{R9Jfh
zW*%HU4)fsq^`ZL}Vftb2gYVCW%ER_k!{lM>mtgyE;pXA652hcc9&SDk_3-`t(EW+<
z@PevG+W!vT>Iqj5mH+?$KXeEa5`OUg_R#%xNbUy*HIjK?J}kaq`{~ic2bP{;`xlYa
zgY~1E2jBk<-M<ER57fPI^PqhAetW2Uk-`tF`LOf=b1zIiEWY6T^`ZM4k<_D_2elu*
z{~YRGxO<@TaPy#i_<nw9e8IvCw*MYBz5`32aP?6A=<x;Lj}P772#+tQdU$w4`SAVv
zQ1`<853?WcU#NQc{(Y!=xP4H0xOq@MY`-+j{c!zIdAR?eeE5ERsQK{thsq<F2M&Mu
zetoEVc=)5Mhw>5QMUeJ1+&*ae3^xzTC$zs2Hl8L4-QS2b9<dr+48aLVegZohEC%6E
zK$L%ADfoFqtdRLT`2I=g`Bh-8U;?6l142J|=mNf<A6ovv;v1Hp;rsU)Aoqd8)kDJv
z><A?D;rpAR`3bHchkE#aeyIJh^aHaWzJDKTKU_Ty^Wgh^q4vY|<4_OZ&kwa9=3bcn
z;7#;M^#RO$m^^&{KGc11^Pu*@#$RClQ&@W$RzAbc$Dtm+A0O&In0}c3;6fSVJ}?um
z9%|nI|F9T>#6NuhGSocS{$!YWFg`5*!PVn1558ZYff4L=up>b3fvShiFT(r}-(L^S
ze{lU!_3-e7^5OgUq2|Ng2bD)RAC_NX=l8?)L)F8=4_02m_isbv5AHswdZh6qa6rQM
zZ$s6??ZcrSzMmf&-stHIY5zUADS@88;QQ~P_QB1A+J~OL;QRBT>fz?&P!Hb^4s{<a
zd|>GTHr@$K4{-HR^U%{5Y`y_jKf>Y*W*&S$IW&L5)#ES^zCRxt|8Vy})uYEheE&N%
zeZloZ)x*OR%7^c_hnf#}A5<RQeE5ERsQGaHQ1$Tehw|b3^P%-MynKYp!^Y=e;R{y}
zl}E3y;rr{M;fIud!RZspJTM=={~p>NK?)zRJi7X5a9Iu>j{s+VxP4Ifz|Dj5V-V`W
zSsy8XU^PD$p&p#|k-`&IJ=A>A-hU9rwf`TsK7xsX9h_CchA=QNFhluJ>lj$j_^ePq
zZ2q4O%7@kO>`*?e{Xwt&|FHHDAJl$5sE7EWd>61U85jhh{6;8W5RESc<rhQidwT8v
zhuKG~{r@oY2WtO6yuHQ13{E<*_8bEPGdQNcBjyjV%EQhZWnh5p-$ybJys`mFKbQ~e
zufx>C&g+BmXF%gu0UF=1^bXtK2OIB(?Z3>)PX{M4R_J})Q0?&j>%YLhV1Vx@Lg&NG
zhwVRv@nQQfJfZqw^0591`hI+vyjy8ra!F=>9&CTJ0@Q)9`we~kUE)DyDvS@y@38$K
zF#B=wq0Yg%UnGc;0bInx!V6><$V?_^_#oQnko_umK$4)@8|Z#(C>I`HFh0zGFg`4N
zf}jq9$-~A&(Zh#W|3HW1;r@g1Vdlf^hw-7)U-0;*c6bJ(g(s~3rB!$aqlG7IeG|39
z6P7<<;fEfcInWL*?0$QwbKv0%?qngQmq$<oh~0k%TfYpm{|Zz;y8mGEP-%?)z_9e~
z;}2<(u|U&zT4HfYe7q3@EdRm!*Rc2^;{Ma}{Gx2QerR<B_djer3FcpPJ}&pd@(Z!$
z39kGCGar|HSz=CUDgy%ptb9Sw@96GD=fliL4}X~Zy`TvKChr2}!{QIhh38+GdAQt*
zE{~p{!IcJ5dP7$at)BkF%ttRTV0>75K|db@I@kwKU+8?8eK7x`^U>9#^U>Ag;=|mR
znFmn;%YV@2YVh<2o9~9DKNe_!!}t*0xc7gCK-I(i1DoH5#UCua!@?7)93wtq_E8#N
z=;aZi_=33)=6-ZOF8||FPpW>H`LOuPFD(HJ!O9=>@SrrlLZJ}=bH4=C!MNfJDvdL~
z%5qXr+)JtZ!qMDk19bo{_kk@(I-dx}$CaL7<F_z*QujN%qqz?~y~FH>h3_P&dYFAs
zF1$TNZTFzZC(J&Wd!|4I=;fZ_7CzACHv_Kz0jzw5m3Of80ONxj(@5zR8XXw<3sygR
zGBSd50IYl<)E@vV23rbgj}vOY!qmguN60-sjEvwW0L(qqHs6;K((j{{`F@O$1*Nny
z-<OdaykrMvJ~*(D;u{<_NPJSmKY)=D+;4`NPwntWPj5uDZwvB^GfQCY<M_ms6umMA
z26kxs8J3=4{cG6#3j+f)xM`CCt*~L`J1l%){)3GNa6sp45avO~>tXAQVf*)C?K=+W
z{&m=VHf%pTbh?~D0f#)gdf56+n0nZHXc!-6zX{Yl*!oQvAKg4ceAxO>2B>+k@d=oD
zu=>>kDi2#f2;;-nvpGQJVfMlJd!ga||G#uDEUb}fSop!#N5Iyv!Pdi|^AUO>>pRi;
zBYpoqQhEok2jYWfc-Z<tXmo&jB;fU~u=)cQ-caQrd9Z%i{3C3=7P>ro_#=&%f%PMe
zr-AwC`{mK~qw|sSA6P%S{2!=?VE%)thpo3o*bhlBklr=}1I$0L`2`q137Q~b<{{0$
zfT!-^=0nHB(f32c#_wV4VW7?fg(o<?Vfta=4cmVJvmf1m=<EG@pbB8>8{rl}{Fe%C
zz{A`Ri!WGsz}yF0e+-w0`Uke25SRO5>S69j-|q%>9>~Ao_(G5GGf)M@x*z5}nEMgy
zDIxpi;PO!S!}?#uo>u@3F1UMdpt%p$UWd6CDh*eU&WH79Vd{CH5f0<?q4D=YJphX@
zn0sLUg~bOfzTomu|H8^!9V+b)MEHk3ELi;rEB_Jxg{<#DxB`;Cg`gT>;RW-*29f@U
z)vqx7VC5fdej1T}h`V1Nt{*KvRB*TlR-eP{N0cv6|3V`e=09li0Hrsq<%b4ZdP17d
z#+v_N<B72SZs_5=9BLuVepq`FmL6gA>#+0)mxuZvsvYiL^ztGA&AqVt1?C>~@&Yzr
z0h5Qt53Kw_cQ3e+2fF_gy8jn8KMiv)TpsFP^!qE(-H&b``hH`WJS==*^9L~d(B;w1
zN8*FyYX@5R!1Y7dGoi;<0h)UB{l>8NI?R2r`Ud7cxI8pGklX`KZ%BNw`_TOl>tDjm
zN9<pPl+Wn$Nalgfhov7_d@4W_46M9_>&M}L^z#YO{SU3q;rroX>(62Shs)#eKQwqj
z=^3m4!JQ+d^oH1P3-Ld?Ji7m3=@I6C^z#Pb`f>O_5v{y{7LRcMBKDs^+yj?~`WL;t
z?S>{eSpJ8VuOiU$3^ex-!no%Dq0z?xoBxNUS7PV?Vet){|A(!Yh0XuN)??CY{vWm;
zl@~gH3tR6AoBx-CCJ5O4e>c>_u=)R`P(Ez_AI68x|9^tY(`)`8W*@EQ|6%42)cilJ
zzYd#!N3{1L<2r=q>t{hTB(C{-M0<g_@hw<-hmCLH;={(<o<pX);qy1>^WD(o0-ryj
zbiVsJ)IqTES=f4E^zjf}?uRCS`1m2TxWM4U%!gVB_g|tRWP%np-$3bn>|3Y>F#BNZ
z>(KoJlZPsYy9dUHjsGO3q@b*C0L{mO)WF7HVeKb!=VOb}{EsOAA?b_K@OTGuEYf-M
z;7uD0;QlTws=z9U4Ugi|B#iKgk2i$RFXuxW6tMX|bpOD{k6`2fu>KK@4|WWadtrQ7
z{&)cufVuY`ln;wvSpI;eSM>A=RSuv3fL53A`~<82(cJ?xZ@A6RCPD)QmVOcKFG%{M
zG`?O!&4<O82Q)&+jW6``&|&cn8{eh2dvhpsFQw@V)*gq2A1u?t$|v;sf0#VFdKe!X
z9T?>uOrBExF!N#VONI*2Dt*0znh$e7`g!KC^a0Di=zOSlczKWvnn1v_-h|Th^%`m+
z%)MU-q%Wv)czp`v!^)@R_~KGfp1`#}hT86xfd(M1{0nm*EWBZSn0wLl1N!{)FQ^8X
zdMFnoy~65)XHW&O@I<c<pwe*t==0$)d6<3Z?tzW>!Q^4;VfMlJxZHzY-a(^#z}$nY
zJcId%-r<9uU!l!!c=({N7l4H~EI!cVYc%~pLI_v*P@0~HUHVB>HZr!vJzor~f6>b`
z7#~_3VwBG?c}Q$9Fu=-(_e_u$F)Y7PI=>AYZ-%vhkmlRK7R5j_6s)|4xd&E1gZek1
zHa%?q9KF8@>rWFq{|y_jfz5w|7b7q*FvLLTgJI)W5>P(6JUSnC{;LdBJ*@u?<HN?!
zVe`|l`Eb~LG|apRsD46x#C|Qv`SY;(aF}_p`EVPkd9eBw#)r*^`#|N<?ISe*4GTZm
zd;skH2-tWaIv*CFFnM%7q4{rE`iAKrZs*q{<p=P1E&BX7EIx6~Z^G(pSopx^Ct%~z
z=;4h%KZ`!UOlW=<W*=<)95EjR8Lx%QL&s}j?R8@3H=)G=eEb?V9|H3aY`h-ENB18(
zzYZz@Q{RlnZ$;yy&u_xYPndsU`vYL(@o;&lf05h|o-aU8zcBqU_gp};AIgRMADw><
zDge_@s()bagZT$G9}e>mTpsElSoz=q9Z!c^KV&Fac@8VDVBrtDZy4bb?DMsV@PW>E
z!Zl!54+}3BBEt(-f56-a>wl0tzYEuo7Jm*n+ykqxVD`h}AJ=*G=<{i?`W=>@(C1sx
z<<ZTDl@GA;3pRcROW$z)(D@|v`Bn7!B-r>6%ssI31D3ww^3d=h<Q{bYf*UPJ^JnPm
zQ_$tn&4-m2F#n>@FT?di{R>ZS(DQjYpam=}e9-3)Vc`k$Kl=I;xICHuhm~hA^U>#z
z(dE(o4@;jg|D(?b!}XKte^~nj=050fF9R(8;qo~A53?WUKJ@s9jlaUoht)?g^U>we
z{SQmeF!RypgW>vd_#gc~2=w@em3J`rq0b+~<;nCvd_ESsUIBgn7+oIS|FHZA^FISL
zL%`BATtC$Ru=WgWJq02E!_Om!x(|Im87@zz|6%1dEPN63(UA5Jx;(o7Vfh>8e+@MM
z!}UY`51YRs*8i~b9OgdQdQ@2Ygv&$yk6s_5&!?l;f9UeC`c4B{zrgxCu=<2r=EK$}
z!TL|Q%$I>?VAy&9(A+-=<C_16#Sgjj|FHZDoBxNMuLYa`hqd47HUAGgj|evZ{~KC?
zz~=v9`-Nch|6$Pd2b=$g@nQ4-6;OG4&Huyfqt*OB%>2PR|Buuk1Sc&*>jB<D;|JGz
z0BH0xz{XRc*@NJC5c>EKIv+M(be7KR0nS1_1RI}%l|Sg?N9gW_@uAHpxc_18A(%Xj
z4>KQX9elhu5waYB0XBaOGY>YtMd^I_C8&ik`;q$RFsFf4z~sRkEc4N@_y&!i`Ne0#
z&qsi%hu!~9?ezlSL<{P@qMdI53*XWCX-Evf#=jvv-1~>mK^4H_8)>~aI6XkKKRi9d
z(jzRqq1xf|hoHGQkQi*f6Q&=QeqrN<F!zzV{sG;+e?XQYt>=K*2Xh}G_agcWkokU6
z(-*9~gM}YB&=?pH<zWNF23UT9$)l@>@uA*<mj~#4O7+9cgSm&+^V8>{7Q+0O0F4k>
zd5Rui=zOSlc=}3&Zm5T?m!dR1!N$j6?rS07KA3x8=?lh(rRO9nyYC{@0+{=jQ0P8L
zY6KYyN$=?MhosJr!S=7f+=pI%fNTO`m^`iLSJB;rUOqsB51zm1?H*j|9~M5O&i9VS
z7btZh&V!<MdZswO5|xb%ED-an${;?{d@HQHgVm3)`UJgvf$?GLVSI@18Q}FZj1Ox+
zUw~fN3`<|=`JdYMT|~2w+Vi_e=b3?rI<`Oq1XiEG{12<&!0l6{^-R>7&kcaiZ^FjA
zM4)_Fe*q?s&WDY^z~+Bp<0UXYY<xopY94Gn!T`!gH;)h>*L*I_eAxH_%zRjV3Y$-c
z&F8}AcVYIy=66Y*&xMT_!N#Z1`LOtd$)ocL&F6v>1y~bg{$#k#=OU$ltn<0B^hE4@
zE^NL7Ha-dtIxqnVKlJ%r*!iz8^@D9b7dAfxb02Jc9>xcI4NO4%2WEl^2)`1{2CokX
zhcEj4E^NFTW*(vWT=el<XmP~=yU!DrpK#5eL7g*bDOmXj8!tupm$dmz8|e5hA&<h!
zPndnM@l|r?GvWH7<JGYEu)yISSpGt{A2y!}>z~2OFZB5xSp5qdKZm7P*!VfRJj{JC
z^I_=+X8r?cfWguuTt9StAC|vi<NL7qfvHEI-+|2^!Q6*FzX_Lzh8Mc~2>Bm2KLax#
zeSQ;N9^HJH{V@N-+P^UW!1Y7@k3PRc$p5hV1Li*T`AxVy4*$d2Q!w|T$3HB5VCJLG
zZ=%bi`yXaM%=`>!hX&?<xPGYrp~(X@Vg@eH6wuV8$3M*dF!#aAPgwed%aiGU`1~kz
z{tSJ76I~wN|FHB8^FR81KXCnI`X4s_1M@$uyodQ8E>EWaVdW*veDwKEba{0D!_qg*
z|0~eq6Rw|3|HJ1;q49%0zX_Lz`X82`VC!9Q&ELW1*I?~iSouk+epq`Lmwxp5IaqnF
z04>j9>ltDCk-`_8KhW=cK7kg#F!#dp8~T0EFGy6+0WHvB=Aq|Lbo0^u18cv-`~$Tf
zp5KF^85TBQ33ERzzJ#C&8YT}jUj`apu>Jtdd_v)cUfvMm6S@x?ef}CzA3@p|F#o{9
zkI?<i9%$i>DBsC5F9XdyM0p7@4?RB7-3ObmfaPcO_#^c`XxRD#*!>ZR@(bb~M1F?w
z(cOz4U-8fa7nVNI;~y6Ou=E5o4;CNj?P-{MVDiyu=EKq-Y=1qh{|QTfi1rf1{jmBD
z7JlgM9rXL75$z4^`qA49==zcT4_<!>n)?Ug(fNPK{RX4+|BxIsI{y!uUx0)L=J+JB
z<Cll&JpX?f>R{M-DXcs~A78}fepvYplZTa0=zN&@Q0w6H5ydo~|A*aQ2(zEk`G3&f
znbG-w#QZv}e+CO*bUu1~<Kn}|ub~QH^XZ88KO}#_+Gnu&M^fk0k3h|bxfgx@4%)nd
z&o7{-Z>V<o{4A~K|IyuxKEFq*d!fw_vd=$&Hm~9N7na{)@i)@<ryqqT5Lo)cHJ=76
zAJO9vsvVxbs6GFG3~B+)eS>ZO|2Ufa2HX7qAvE`)mmg4Rc>bXEd^@^((8~u{`2~w_
zdb<Z#`iHrP*7N`9;WHXvkQ54=FGmj_isLI$+0YVo{@>7&j`RP=(B|XO>pNKe1uK6c
zp@C?x<0_BQ)x*N?IGTL}J^v4GpN`J|gKiwm0mUtB{Bv~vA2Q#IG@b(<{{@8+1ViK@
zY!FEs{`-}#&@Lu+^Y23)2pfN+_xwNl`Lly<{vX!Af{p*d#s^{Ji|FH#pqPYU?BR_*
zUO77d4+$+?By7G3Ha?3!9*ocj32*fCs-cOWVRZf<vcC^jKf~q+q1hiap93CmhSkTg
z^ghz&|6$<+^Z!Vj|3{4fK=RW_oBv1358(QGq|N`MuYVbx|A*8tu=&T)`F}`wz{<zb
z`G3^#7@hxzga<6Yz}m+!K13EoLh2Xv`G55GHhOyxW*)5ljozLfo&N`gEdv9w=l?^O
z=P(S`{r|A>RoMQ2TWA9nw*NmI%7^X$hw)+i|0|*L^xFRqvyWE$|6%42m-+v1(D)gs
z{r{u$|B&(mwm*#0{r>|o|Brk=KJ2_1*nBn-<HIQTw<FrWkoj*|`3Rf;hJ_Yvd>XdC
z4#tPg&%)+gV0>KjwXpgQrXHOSvkxYZ&PP{|&PP{|iw|=jt<S4RpI=8BUjPRcv^W^F
z>j7ZvA7JZsNOdn_e1W|51)EQSr3Y}Jjh+VpKmQkfJpj`91vseC;|rY+%O9}(PV4mm
zJ<thbT<Hns9$5JT<HOuX?ehT8*8{Af(0%CXoznf&=<}!O<p(T$VEKdI^Y7^812p&s
zY<&W*^bZRkdanl<jW0+Fh0SN9r)P@eYjiz;Z*j6h0qnf>qWrAX<P!Zv7(XRNAMbtU
z=<PqG`A~4vY7ex+fR)#f*hHMiGrArC79yzUyN|91fQ)yc&o9723pSogYyMzg4=~uz
z0{|BS;7EeRC$-lDG=j}WoDYD0KKkf+0MGzpV9o@w(8q^CVh{|8pV9RIkkEk5_ruaF
zY`-zAeGZdHDxa{f2S7jH9IhX_9~gaq9PIoR*mynqesEZQ3$q`w{}QrZ0WJ^S4~*_U
zaHkIJMM!+3?}vxYXT!`#?9YRkk1mgHKFoix@)`a7a=3n||IzpN5%NE*Jb}3nv0oJ8
zKDay%|HH-uVD3ZrKP-G;=979J0L*_d^9!II8d!Y7&4>CQ92H;!5+CUIrK86`%>6L;
z!RB{h=@TwbrvKsl^`ZOCNj(n$DLsJK1E8PZ4L6@m|HH-?Vg85BU%~tjmnYNzu=P_g
z^GQ7q0G9t?@xK8r{^916>3{fse`x$5_76kSKU^N_fAsQxxSa=pbYB}dy`h&M===3Q
zpv4Cv^#X|g3)p=0{E2QpZ2cVUe1qYB9)JN__#o;d$bN40@I~Km4~-7cdOC3U2B4XT
zDBsC5uK>+FM0p7@4?RB7-3ObmfaPa&_YSu60ATeW%)RLOALf5peT2Rq0KNS@)XoC{
z?fnN~T>Jl_$$^2~^Z#M>7i|7t30!xB&Xa`fw^xVq>2?0UF4R8Q`Twx<ePQ$eo1h66
zHvfMH%7@MW!}zfIe`RO@(QE!6W*@EQ|6%42*7<)z=lv%`;{(@xKcaqt%#Xp^f9Ufc
zr1D|ot$*pf-~TT(J;TP^b)bCo@k3nxfhKSGd?>8F4O0(mpTf+C8V{duD1siqjqALB
zO80mFgIWl)KLpB$`41Z1aQCD82dW&t{}$2Tg2X?p{R^A#f~kl3A6B2i_~_vQ3oqFG
zHu`>PnEPPvN9V)rgUO@w(bc2#(bePP!`xStnx2`P3Mzluz)pvS7i@h7rSbJ2>HwI3
zVE0`PRD8kW8|EIcr}4~ZqsK2gAL>1L`bX!Zt4HUftH;Ghjjwn=*!%)aJ?wr8MEeDj
zo+*t#*nR|9cp;tt1P(e_`h(>MbUw^|u>1rIe^~lLpPwc5zGv8aJ(&B@%LADGFnL<f
z&!W2rJ-x&7*Fd=kSNy@;L+bqOXna9Z7%Y9Gr)OCHfyqNuBhFWW@nP)+^z=h<d?hLy
znIi4ihNVAP{v*`hLC?=H{jmIw?tUgl$c5Oj@`{M{aCqlOVfMk?i*(-;xTr%qPY+xk
z!Q2D0KQXB|9#qG{%1cmP2T}uTpHS=kbl82;u<;9G`LOeUVdt&G&f|sgNi~m<dR*tH
zD?ra<hqZ@QpnO>U0pr8YuY=96!t8^cuTJXu>9GDZtiO%U2gMZxL&kR@Y!C_I6FNT~
zQQtt+54ZEvk@5q0{0IF$Y}ot=vFE45*0aLKH$Wi`!I1Dn-#_gGkz|0WC-wYv3#fkB
z_%kfO!_E_jWolgaVZ+j&4)lCasBt5Pf|Vbz{mQWNn%wil;rgND->~q7op*~Ku(0w9
zW<RWb3p?K%7N4;EjXqxlQxBUjK))XyT^`1VnGbU>%zW5<8!SD-)Hg%t3t;&Hc78be
zeaNu#0_Gl^^Ow-@fte3;4-y}oAJP2_o8N$$j~MTT%s-&ZBbf)DA4TGW%|8H*5SV}A
z`l0?spC5$HN5Ryi&yT_8-(c>8g(ob3!sT)J9~OSF_(1nRxY9<t4;nE(4e>v^Jd%IG
z{zo_80GhyH{(<X<`X3rR@bPh^^?=~;L-#+dyn*>2=lmtq|FHZaL8bBscAg2A`~-F{
z`gzXi_hHLJ1L*&MVX%7i`4#l}RP^+Kt{#2<5k36S)x*LAcHS+lJpuC%G`isNfxe#;
zT|cb-0n?9^9>D%X4-fSCCd4OnUNidqBceQj%zvPVH~Rbsx_{B<+YtE+Vjg;Y5Hb&a
zJ`RyyA?BgS7rJ?{@hn(=MRzZ$^E0si1nj(KSbXw8^9QW`2#Zfdc@J?fy8Y<oHTwC*
zi1HJnA3c4bmw)K`k<^3BmmkmoVPIeer!VyMgFc^$UjK+A^4~0wUf6sGtUN)t52_rV
zA7JGxEI!crNalgv*9$cecAgGw{1Rp#tUU)Szv1%G@(WS^Lc$N-JyX%lM-T6MMp%qN
z+J{i(aQ_^H3c%cl9^dHtcc7_<`xjcCGr_E5fY=8LV-WrWE$`%@9)Q^gk|PDf(km=|
z!uyA8%v~UJVDk+;tjuj7KHNDB5SJmP2XK0V?Qes{X9QFMtiFOKxBoDCSbYw2zZ%FA
z1_p*E=z0Y>pM^0GDg~t=_QUSeW?^6kI}J9U*37`D1yYD;ZwrFu5#=*PKS&J-!@?6b
zp8#{897qz3A>ohSAAs8jjW4A62XJ~_i59;w_rk&(9=_1<{sRplUL4^KmxronU|@hY
zNtsrHoC)?MhyjfsFcVBb{0mhKO0Qt0u>3CxwGXTmOhD8l^-t%5gxjGDM*ja7o(JMf
zAmSGs^|19SO$?0kAbF(n2y7ozJ1Bn5LGp0*(EJHM|DJ)-2_z3!50yu>{~_*!`3Ghn
zEd2lfhpZ%nsc&LnbOf0PSC7LykQxYvm=C+}0@lBPyAMr2EWN_+w}80^sXPb!Ul?LI
z-2ZU(Q1ifn2uc57|HIWo<zfC|fX1&V!aS_u4IBSxf`%uOda!$t>;v;*?Xe~XMpuy0
zNd5=QqpOGICs_Ev?Sr}(ZXT2mYu~`a2R*)E^(D-`Nb0fLFAZ`eB)q`xg@q3+KH%<!
L+7B!5VD1F~_#P^X

diff --git a/posit_adder_verilog/work/@_opt1/_lib4_0.qtl b/posit_adder_verilog/work/@_opt1/_lib4_0.qtl
deleted file mode 100644
index 23e2bef13e028983996314732f63d6d6f93d75a6..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 105776
zcmdnX!~h0O42<$1h6*EyWMKIJp99R=hmdy#$snl*%WsFtvoM1B`(b<rMrV-vJup60
z9&R3#e*h*AwSNyt69dBjg9v$ZkQ7`!RR1A_yc0+st{y7?A0!CIOknpNMyPiI32%Y%
zq3U;{@sGgxQ2%U#@uBMB;Q{3zg~>zJ!|j90!_9;8k0I29-4E9fm52Ke%0G@!4-Vhm
zFg{cs$vm)sPr&4%?t#Y-x_T)80$3L)`~|0h!Ut|23nQ2hHxJ6MWC5uHsTZCFVL{0M
z{~0<!tb6|<K$4BQ3&g(*;r^FoWo`rU5f~Cb8zdkizyEWHfLJFH?gyvO7a&2T^l=Iy
zZwHcstB3lB9V7_G5c5wX)Puttt{<u%>U{<V28jAI2=!q5-+;{m`4^Hu&LZT&_QTaf
z%|o~U96~+Vez<<9dUX5GBh-V_E8P7!)L%fT2d59XeyDmR`@vRSM5qV*F96Mdm(b)x
z(Bv;8<lR70Nb1qe2lKBW)PwyG*N^T#DE}%#J-GaVn-7&o@-Nu@YY6pV|HJKrs)w5g
z<zGir4>u1g4-X$G{|1_RxPGWSlKo)!+(f7chi?QZkdV^vEj0NUH2K>Id2sk5sYf>-
z%)f(B4-Q|resuRi`F9cO!Ql%xA1aUJU$FW25bD9<3%3ud9&R3#e;-Xf+&ri}Jba-1
z2WaZy`l0ej_JiH?5TPC%zUb-q5t=-D`hAR$w*>_~+&rj#==t{vntHhTIMhEys0X`m
z2gnkn_<x2bk6!;hN63TS2R9FgeJ{||!_CK`{v|>^*nJw{P(_q)uMqO!^aNKAHSZtP
zKd|!hH9|euJRLOi-XP?`=E2qDFz+owJ-B>@y9cTsYCOEWeut(WZXXWy?-A<3=@}m0
zQ1x*8q5KbM>fzxHm5198<$pw|2e)6~=^HBl2_X*-54d`$JbL@(Gn#s&^a~COB=f-h
zF9`ME{Eg&auspi@uL$+v@POL~br0M;DE}KmJ=pz7`2(x@-x2D;?m-GqRP|8ve<0L@
z-2=A|Di1dg%KwQ_4-Rj5c>$IGg^&lk7p@*Ej~?E?5$eJ9FFd@V>fzxD<^Msb2fG&@
z9#DC>f1&)pXzJniLFM7*LHYj>>cQ@Z>xat2{Rid$N2mw82Ohsrc_j0|;m^Pf$<R&E
z_98s|(bYrwHz2wg{{M%x7vc6n+lO%TpnO8@MR@xV+FpdX21GKg0+9#|X`h*}xEp{l
z0|PtMrw|p4P(HZP%D})N3gzoV{igusLsT;`a4@iPfY=53#hE4Xi76ZmY+!y0NDx%I
zK>5n$${N)c)dn#6+FDIzLt_&L7+*Ovw;(4oITOY&(Jjv`N>w(5@u9{sFe000ie{c6
zLG$4DW0+@XW?^D%Y6-K?z(U#3$Rs`<#!pYpOUlVMMz}Xo+0e+8fq@<B9+%*t_?*<V
z5}5k<{L&JT9js9K)cAZ5mw^S!&w&cS<V$i3z$)0F^2(U*u{4a2PluTgc8(Fke&s}E
zOCtsb2AKYwT(ETv%uw?ZQ&Qq{<1_PM`VAq{3`|gYEcTgOpxbANVxPGsl6}Q!_JP8q
zC^J0+W?xBsW**2&n16Hf(?QN<fbq)`b4pW@%u`NOHZn%y7ndX!fdUlfKQP|_#XUwQ
zNa{25AU=eJcQJ$u4-b&}rYP#c&Vk2IF@%ev-V8~7RccXwd`WHr%zseh5#gDrYy>Ju
zVeUm&4>cY|y#<o_nR#hoBVpkKaxZdtXXd4WjX;t&HbBx3vJYec%zouWWn*MMNFHPW
zvOF?BGcOGkUGVS#=|_$akbaN?B>PQ}?XQ4F0J1!?{S}#cAnV}qubilCf*c>YiFqZ+
z>T^LH1_tE#FhPzFkb01TF#qI&IB4oo!_P22y(Au9-auS~V!kQJN|<>$#US6J%7d(c
z$>$ef$|I-8qGXV14Dj$QN(Sjh@N<fi!3Mz6t8${UDROueW06NrUqz|unYpQk@bU@5
zH-htXionJrho32OdMn11H$#rkREYE8`com!hx2nlnHM#@%|KdU;Zux79yvWJCn}pE
zhnI4qvN^JRN@f~3LBi5gYDGajNDP+$AiiTj)DMZu7RccTDvwg*Q{eFpF%2a?Es@g)
zxV%KlPoVS_pI?@S$38;?r1IFu-vwOa!RiO)L}fz*OGJ4Eau0fa5nqCk2dOu<K=4a)
z3k*RO1k5}isE6U@ccQYPu?6n>(jB{cBV7Io!mi#Jm-=8(;fxyphQ>(#EzN;s5Tx{N
z2r4jO{wc~!i3h2HnGf>6i3!60MIg^JAo2?&JmQOB>Y>`<^)aSA#6z(95Ntk1`1--~
z3s@e>{m|+ho_|5|rbzWqN`4+Vg~QUPg@U1pLbZaSk%DPTszS9wb*)0Rf{{_JLbZW{
zp|MG=Lal<aF|wSAF+|P~EN5z>V3eG!P_1B=tYDgw0y03M#N0UA(8?ed%rLVuid87F
zFi<G5Fo`w?F+mi_5(`U+K}M#v3bnNg7HLqE63q}MB_@MZCZ>T^S{i{BCRsuirWha;
zrkH^gra+7|w*)IpHB&H5O9VS9HAw-4%oI|SK<c1uDAz#2q_$Qe%@AQ)nmI^SS_;@&
zBV$k?7#f%$<P8lHqs?PMY77lhA&S7EVQ7eCh@qi5OpzhTRs#jFPZbOeQ&CMavoe5~
zWn=;|%M5Ix5wbEv^Jus-P^?rNC>WW8l^G)|Gcr*qL2{f4)IbZcN)t;YdyPRV5iT@=
z1hEk)<P;1|k^PEhrfDMBk;VpKGtH3Vz!1$$Gf<ouC>R?;R3ar1Lz6Ux5<{@-6b#KF
ziOm=ky9$QpNU?8dW~NYL2)0nc&>WN$3>1tZv0;H6&<3esUxNv7q*#FB&p^Qh;zkQ(
zn+=l`N(>Fn!30<>C{<M(D40N8X=#oWMwTfGC5GTgQ7}w|BzqH3VpT9iPNRm2$qFTg
z;7Cz0OoDjE1QLZwrbwn)K%!8g#L&_R5t&Jlq+tRH_+(_?CxM&__8U0;C>SO~g1{8w
z)Z{cI!@=GHr$q(B6jN{vnL+|I#R5qg*vJ%6aHWDAs$iH3i6c`;@=Z-dSDR|2P-2(@
zO5+NKX$BB;AwiIaoJPRrrX?zr7#V=gH8Oyt5>s#*H8My+GuOxfWNsQrk%EySBxp^+
zsnp02JqU~plNCyg3_%H0!3bJ1nSo>0$Ot)nL2fWIOarL}g_DAj5hQ5Mz`<pNlAS<m
z4HJzON+1!Y04`??6wJVh%g7kRYe^vIf|Gy(xTG;qFaw8}kug$;8JQSFn_C&jDwKd&
zM$lYs1WGd11`6g7vrUk)D@01c2o(C&1_~D7fHg8jidQ2u(`XATNKs>C2Jw$2IH?$!
zBRLYJ3{*TQlz>>EQWiv8fJ+r4bBH!WP|VaS7+HWydT2pyWMK&|lZ`Aa6-q!HkRhO4
z0dg#;0x*EsWr>uAj4acl4UHg1Cqhz>p)n-t648|zLX;&Lf)fr*SrVu|fw=%=8l?C$
zN&-b2sMdj)mV_KqmTA$JumDYl<ZhU8$;eTVY_3pZlmtpJNrnm~Mu}z$B}T|%AkP`4
zz}y4zT?$evg2*Wtr9j;RDME}=kpnw5Nuk6jH7(j4T*raK!@|lC>en<-UNKMr7jCr*
z#s<h`ZZgPSX{ib&MqpJ6#)gm_WoQESv9Tdi_!*jmN)>Y>a3u<gQ9}^h*btP&3}Y2a
zAccW~F*sj<>;wD7*a#_X!S$Ddu@NYj87LT<g7Qo)q;<i-kiZFH!33J1<2}_7VFnn#
z9>!;21dm76!1z%0|KS5_knx%ZsC+X6BX~R;t{<u%I-CR=aRD<M5$ZwBT80Zy{{R1i
zV0pNCQ2k9%d1eMiaS#)(A1Yr55@cWixgXq*hjQWe1w#c`7?{E4Lx+n%@{>SPGr*z@
z48Q+#fQObUpyBlYKV<&18Kj7TfuWfJG9Qla9=JTzJuv$i7??9bT3bN`$bAfqk{~8r
zKU94SNDv|q_8(k5R30{|+649g1gQG||GB^}Z-?@m7#P9hnQ-+`{Yd7cs%K%u8eXAL
z^I+kHun!VG=-~x7A37d51F9c3ehB4)!W$gE+}scmSop&1gM}AF7D3+Ng0Nxp$KXk5
zr11Ixk!JY+pBwDIPAI<#ntqYggXPiFFL)9gVjg%t0<In!egaVQVDll}P<|5wBRKuS
z?Sra^PKW=8sqaCk2j?dw{b2WiW@bQoVCs7j>cQi?aQ#s8;qHa<`w;3;&4<dP`?nve
z9+qF>`l0HfjsnFOm<fyjW~hH3K=s4&E8IM&{)td|Sbl};hswkKha>&L=2KzmkJS7M
z&eTZx72Q2>d8m6}_QBHM6sY@~p!pT9AF6&bLLQu7;p(CCQ*p?{@+&wKK++pHzrxi+
z^&^=N4u80M9N`rOwGS3vF!%h2mS^bs6>dH>zb=64CpN#r?1P2Z3$*+>9cmt|yh6{P
zu<`+xKatdf{fnMnXCll4hZkHwG`!H&!xpVHF))JjGu(Wrdi4A}8(}^;zrpQ;s)w5g
z<-^spFhT~-q55I@Hx9~&#Rrs&llp-ceh7t3;HWo5TaS|fofUz_*Ewhgft9C;Py=`v
zn6p4kn0sLH1rkHX9MJL@R=&=GO5%#Yxd?f1d4r@LYy8bas0YUfTs<^A(c@!2LOr;A
zh1&;J54HrX2Gah3rQaq7Mn{k^+&-v!P}vH?u=oL~fnbRKg%Az{Y<>)GKU6=G`CzLT
zA=HEY57!S>kDeYEqp63RheQ1mgnDp$3a%fj9zDF4BGiM^FI+!VJ>0!e{xXDmaQTIv
zKbIrq!TAlY9;zS7K5%%z)#E6iVCfN-9}xCI+7laCAr`{gUvTrG<<k#{G<-f1$_3R=
z;QWE!o`BhhE4}YP%Wt1RiQ_*g)|g>cKf($I1_qeC1a$uLBUHTvj`eODX!%JUEq_{|
z$*+Xk2Fq`-`sx3FPH_0bCP`uC8IpRiJhXTKg$J0w8etwdeZtj4<BuOI56cg0p!_BV
zM)3SS+&-v!xOq@MTs;e8Ayg}rg5)RgU?T$qg9o&|4V5H<!d~8?YxF=1zx7ZBObm>o
zAm#=T0jXcHrtggid2sk3sRxH2C?!CYK>V`_!U2^J;PMNu9vU9#`EfHsJ-GaW+Xqz-
zO+N7U;TAOYaQ!&cZ$+pFyB|qE*!}43$!!Sr;PL^kA8J0_e^CB*gnCr-q4MbA16%(D
zYfr-UL)Aka1y7$lq2|NdlMGM=u=XU}JgEL%Q1!6(BwRmK9_~LJ<xe(LKdk(LW=D{F
zz*!BweT3fs+YQwZ>;Iv<2QCkF56nJT`2$=31zV2|OFyvn*n6So!{lM{36tNCkO%i4
z;r2uA+lP<`r+2t|sQdwhJUBj))PqAF-kpc8k3EP`4^HoJ^-%M`izvWqAo=4En9Tsn
zAK>%_*AG<>_aBrGSI@!-nRtQfhm}XLPAM$D;Ni!@2%ZUq`v+=XI#fSQeFl^-0d4=l
z%!9=TQh6Z;QVP=#lRpd+#8ZA8LCAx{6G=TJJmCQf37?|~_2BS?tB1NDz5F<aP!BFY
z;Pye)qn97Y(bU8B<4}JBp&smhB>iCbqn95i5$eJ53)c@dAMQUW{}e(!s`*fP^z!30
zR6VTxfa`~<hdK(BU%|{X2=(CbK`%ex=0WwJg{p^@A8`FpdAR>@lpnA}3QJ$4mLKP!
z_QT2#boapJq3(g%2TPBz@iAC`6s{kt{yfxtSo;C49x8tkArFoZB=z9%geO;M{=bA!
z4^FRe^-%NB^Z#Xpdhq&C^z!WrLf#6*gu4f79$Y_^e-)$%lK;T&h3kjPqr3MSLOs}i
z^z!pMLLQuc;r@e~2iFhf-+-!Tg7zoi`l0gZ_CuA!)8|d7026e*9o#=q{Yd76!yl<U
zLPR~-3`qF_E6-r*Z!K7kfq@A+9*ATg*t~UU^62(~2PGL87-XR3Bg}kQdb<VH4@*yo
z_Bv#I?KVOl96w0v!S<u)?>h+f;PeDn4-F6W^6xG}Jve{E?SraEFaPeLsfX*wq5eKX
zJ=pz7`oZo;FaKcUU9kQkTtC!&xc{L1hq%m#%A<$RBdB^<`3KhzRS$I(JbgVzs0W7+
zdie)8532tOR6VTxgX@RN!~KV&{40g(howJK%fF{k{jl;6-92!5sC!`c!P4V%sD4=a
z2iFf({|q4yZvVj5L*-%ni(unTNb12si=LlfBFqD)SGanpdFbWeD};J*`Ga2my++7`
z%Rjh!sCjVrLHV%#NHF)p^+V;+-TM|{KG=Tr^6wo&9$fyx)kDpL`xnZ84^<B<|KR$e
z^62(MmBZ5~th|7ge{lam^&^=N4u5$0hoe1M4z&Q5{?N-mB>TYTp_hN?_QA?O4ruuY
zGar`TK0@t-&8MK3uW<XI{`rJZ4-Q{=c?*??+YjY`MpF;h50!`859NPBQ;(z{>|eP1
zpz6`X2d*C~j~+g7^Puvu@PUQLSA_lG@hG@{sCxAL@C~6J93F7}Q1x*4LHXYi>cROJ
z=3dx%Ic)p~79Vi+Q2j{ufx`o?9!Ggr0ksd7o)Gpy#-q^tgK+bq<52=&TR^o0WPLo;
zI8ge>IvxeH4;G#gXyX?h1jcg_=0jMp@fDbP5K#n)KK=w7uVP@pKE4H$hmCI`Od@Z6
zz82H~*!p}}eM$8C{CJC`%#wKcdiA9EGO&s8^?BvW8lW}Su=RB%n#w5ai$QXrC4aE>
zojBI-<6K_|+7FPKXQT-;A7VOUeIImrFk-zO8T$h=^9=Er4_cjyun&j(aqbr&xPCoT
z+1NzK*c9eJ9W!$sbL91ci6x037r??VC9xzCw4@fsFG?*g%|TiZnqOLymYI`^Sf7}w
z3|d|ZU+<rs0~ry4#UHGEfv*oOP&P$gFRWakYzk`F!0b~lP&P-lAAP+eXss<MfuOH%
z1g!xBuWtmc3IVMG0WDywHc$XjwF)Mn<z!H46VS3h1<)cZxU?B)%?wo9479*Q0k&uj
zw5CGA!Wh2L(859igp3s|EI^h(*-$QIp`k^pf@!J&cv+%libA5XLLz9@q5_1SWB}nB
zD1a7})hZ-ez!fKf7K<pDr7D=DC?usS7$qts8-YmZ`VM380+3|TLX|YI;YnbJf=L4f
z$U-deQW=PBNm8mp321>^vXMdwXeAVghG3AxA=V^=7IPUWn1YQ4FSkU}Y?P=_l4z+=
z0@9RXrceUHFg}b1i6t2*q=1&7Ku7~{(AC10oiHqbmUrHuNCVB&Ld$X3x?yGpMsN{w
z13Iw%|Gx~l#6|BX!1@8r(0&lqIEH`FbtJw}0cHk91rSpSM1c2c3WLr205#z6e-3?+
z6q5a5`5$QVNalg%k<0_@ht-2H`;puaT0YJI-3AY;C&2m%sYmxO$c-QjGatkT;XnU{
z!Bb?gc{K(GCNRI87bFX+r-Z>%V&FhvU;u>=)_x{vO+82ltX_nvhs`6$Bi6-$>p7Tz
z{z3PNq04K43<HNZq@J{fb{t^yl%O&Oq=W_94ujbbtJg@iAEqAW9(4O<5$*?1>B8)X
z*>?cCF&H)v3X6XR24-;3!rb@ozaU~r5##~rxCy9?0&!vC=Lh0|(l<2yIY9Za_(L)e
z9G;*(4<IEl_rv6Ig+JVUXuAYGd_iU*W0?D3{zXr}=;@nK`h}~9=3jL6=;;@pzo6>B
zL-UtB8#8!`#WyIQfeo^53gLDp@DwXJ(|`pb<09ZlLE?j%U;-kKq#ib91(t=4Gk=BJ
zhwI!5sA5ol1?Q(;wET1dExq_dHNeL4J)nHpI6iD2JFFcGR}T#j^nO(!R6oo-Sop!r
zgYAQWjZ47Ihnk0^9~>00b!jm3VCfNN9&8_d69XftI%a^Yhnfdlrv>Ym!qr3N(cK@0
za6fpQ1<Ac&_aK=E=7%HHgZr`Q;Rjo%1DmHrQV-UTZeAq9Ja9h*?jERn;pRd4uyrjk
z|DlH;y7|!v^TF;#k002%4>0#4sYi7$)c#n6d0_X#-2;_}n+N5?)^)(*6FgZDE^i^{
zn8YK@1IH&^Jyd@JLLMAHaP?4m^!S9$tHaVSJU*f7;qd|GCn3xS`v)GLP<eQGK>3he
zW{7ztxP4H0xOq@MY#%QyzTo<y@^Jq_`LKQyEWg0h2UH%(JaGJgH+4bAp~3El#}B%C
zC?A%dVC!<=_Cd>MxOq@Mp?%Zv@(H@`;0uBB>H%7L1e@1_r4Ly70L$;NabMUvM!0&Y
zf1uXE=c!@sc9?mv{0}n^yx0g5Uf^{&aP>IMgC-A9`3eqiNG|~q|8Vy~^&^=NR-Xek
z0OlU_@(jA|7c?&kPXBQAQ1f7wI4u1j*$*}!Nk5nmTkHk14?R3#jVf4vM^X>gk8U1p
z@dPYA!rcRPAKW}BA3XU9314u2MGp^j^P$^9LH-AqPw4Rl>LY`K5awPa^{DQJ+7BC-
zg}E2*9;iIrJSZR1YX`?C*naf#2{!Hqt8d`yq55ItCb04b9zRfdc=$s3l_1YR!UvpR
z;o$?7hx-r8uR>D~w+|{0HxJ5(uak$aD~9Wb%ESEw<-^y_L+63u@eP$nG7lWyunrO|
zeBt4Zt{%#VuX~5O2W}r!9$Fm0^A~(wK2$y2J{;;{<tMB>gWCr!zu@LU`Gm?dc=-h_
z&!E8%avyBT5mX4$egmBW0m8V>fq=DNVdG4&bvC%hnLy(h@u?N?aiyZf<dXO@#J*(E
zxQ~G*VxOu7XcIT`zELv9dGv~s5#v0D7T_)iY@7nRZ5qB$nd;*_iOPoNNasL6PB~zJ
z+gAY<fQ`$5_KljGA@;R``AGXvD>C!qL3tiNE|aKiXkm;{56hphaUIA$Z-hL^JPS1Q
zN^%R}<3_0!kP;8sJr+p&TtWMsK?wvFez1M4pe;1uks8n_259RhXd9CScsm|=TNhYP
zp#-$uuUY}T1JOXi&;q<g%+wS<jANRp079k;kj;lsHk1q6OJi1BtAM=s(G0XFNx=*>
z&S9WnXb#@VWrnnY$t*G247?c%Y%xd)*h%I{TaQc(6-vw!K^Ww8=ypd#bMV$6<V{;3
zb)fxP;Qg}-7SP>Y7U1n=mY{(u=+-Jr%V={e6R@R;&<#(ZL8)4WL?lZsEu)R0`>LRO
z;0!_LBF1MFpyM;}@)Nr61zvwb%QK|*4Y>S*tA~~+Nc9o8zJjF>*ghll@(Eu5LCYt&
zeNgkz)g$@`knsh$ebDj<ZXT3RsC<I;KVjz@g3=lUlQ#|yUW|xzP7SO*gsXfiN==Uk
zogDxhm&G`@p<G#`F0}%Fu19>nrm~T_C35}&??i{^PweFt&T(VVIUdOQA9@T7Vw^is
z*~kKEoEYTRd_TlEeJ&*X!pj$kJWBpI0+oR9ef411BF4F4`5CmI4Vq`cxmf|UMH#&N
z89XS7vj5T;o|d7x%*Y&^%S@0Gypb_@$D;{oD;{XyDR^WQWS#|hDAyDz&zhQp%~L3W
z>M;dv;5CU=D1kCS+0+cO*VYJJg23ufh5&FDU|^7gq!@Uk3q10N)F}hEgdmL+@MM}S
zOg%J_L-QV}QUsd_8`NroZmxryk3+p8%slAft1$hrMmnt0gf(JSpz2}r%1}P65dwEF
z)IPZRP(DmQOg+p#SSE+t2UV|%Fdu9*Y|sm4zZyaw+-QfZhw4{H$b$<NxO%8OdLaQD
z1cdn)#)sLbiDn*5z6o0BA=w9x5Aet|B>upQrIEr5ERU{U8(}`UQ3|&Y>Rz~cP`(aA
zJve+|e3*N65%OUF!{l+fR}Y~c>|Uh!LUk|He(*>(#D8G-!tH~~!_9;8VS|*g@U?;3
z2XimD#RD-99G`IYQ2nq$NmwTgt{y6n9-p9tS3p+4ItB3ff~tqd2b2%Xf3Wlk4=<=Z
zJUpO$(7`NF^TGay+Xt10n+N4X+lZk24vr7FeyBX$e^5R+5Fp_JHXoiopz=uOf#V03
zKVa^M#}B%CC_e$@cyM_GsTAS%K`TMHc~Cx~N)f%$4jYt!4bmc&S6ByGp?fVr<r!=r
z0n~+%Mn2e+U=avk0kIhbAq9~K?`uH_Le$5>1t5H|QZNDG!zy(KR>+_-Y*1f@l^M1V
z6Ra9GDEtpP>H*_JB|+{7+oXYTANVk1upn3wWYPtFkQgk5M0lX-2d@Z%qz7<*M<2wn
zhU$e4(!kY2;~VN+_#h1||H1MjdZ!y)=|JoQ57NNZ<1i0uJ>37`6;TlV;PeZ3A5=e*
z`QV_jhZ+FOuL%D^mQf&?2UZW0hp9)nAEF-Je6S~w+yl1{>K?dxP(EBej>RFc@&Go8
z1Je(ign_#knt$N#f%4HCrMB=%Ovq+(nE9|t7-;aosR*?60WMUK{D&BHf`kWRPzS<?
ztH<F#Sa}KaAHqDy;up9>7$BQ*(D~?toap|8nGf?HIFUfyk2QV6;ulwXh0Ehu90n_&
zMKCrSF@eWp;Pyk~AGdmhPmx)$_65v8$Z{Zd23mZ9D_K1L1)ungBoFf+tiFUDh6UT~
z1vd}sesCrMSqz<Ygrzr_dbs&G)O&*Uf(PZmRwL3kB>f=S54Oq+O+8o>xPF1CN3tKR
z9yX~DtFPepLEQs456XwD$B|xP?GIRbMYtD|Ug7RV%YRL1eAplsdU}PK4~yRlwD^Qg
z>cG+`QhovZ7d8nBE1%)&q5cCa0*4`_K7vi!!puYDU&y2ld{Pj)_#CbtY94xd4>lT1
zK+K1^4;KD#_d)d|nGbdvd{PE_cmz!U|NoHqh4EqSOZcP@R6WA|5cTNh!zU4;g9dQ>
zpzeX22j#=n<46y%_9HAkz}yEbpJ9Ah{KMS?O%H_1FPQnT^Z@f8EWVJ^A2|GwCI!Le
z8zO%|;u9`Up!|aQ2OO1Pf(bkx4!0i~K1k&e*nU`f26Hc1D;}Z)Eq%k=L(oZh_@q3M
z?IS_BU6Azm9-2V^{^tPCcYz%VRs)fTO~N)aFoMsufVH<^i+JGjQ2)Xv6{)o-2p0du
zE($`jAM6!ac)=D8A(;o3hxK>={}%=~)d?-~fi0qewKoZ=M_*I{4qC{f9<co|K5S7C
zQu`RZh=J5aL9qS~EPW!SfAFFe^hG}~|G*Zppv%MR3s`xKzGwy3e}XLvg4qvC-=x|P
z8;^jw2i<=3ML{t8VfM|ykza^i6a?$f!NLzV>D)}_q99oL1}pE-!xwHow7f$PUs(Ep
z(J=SH{EMD`(bG5jA|F_M!_qHYJv9HKt4B}2@cac;4=;b9<q^F9M&zO(r1XxGA7Ji7
z2r^;qufoEGfq}e1Uty>Lut_P{A_rW9zCQkt8UsG*VPs%#VWA8^%oKdmb!uJ`Y*08S
z8!|!ySD&bCY=LyRYkp}-d|3u!&=t(jLGa6RAj=$3^dn8;fYgH~-eH5tAU<dy9*Gb3
zAxuA^#m3M<-DKzio<>NAM;d_+ZUe7aHHHkS8e4$RFEmCvKn<kE#6Y3M1azt!Sgi@<
zm^NeZ>_@GFsR3dJ$5g@86eM5@G7iQzPyllc6pT#`Y7vth2GE26A5Vpjm%_>$SbH2?
zsYBZ9;I1C}crDZzc>fqy-ryRqMOP0WPlehK(+{&BmLFm54_N;ZCJ!I)g0`>V?uF(j
zxcN{%Oh2rB2(xdX#%rN#LgC>D>p#N$3**E53m-p)P7=Z7VeM1&@mKixD)ev*r0@bq
z1-g3pcpNl5;r2np4{jcm4<Ap2#vhCib1$ra0UO_h$>VY_e0&w^UZnU!buZL@a3cef
zKEYjGxP4H0xOq@MtbGd$U)cB$%)OA(1>8Rb4;{kQL-oVQGoj%RR}Ym(k55?p6gHj%
zk1wctczi(lu>1#0pYZU4%EQA0%7@k0F!gZzpz?6@pnO<;2a6B5eyBX$e^5R+5Rk$b
zo<5-RNalg#2R^<EO+WDXL01pukB--Zs}p$shu8O`<F(-Q1g>--=?^?~3Cpjr@*3LR
zf{*{gLKD{Bfsa>0)8puPEhL0s;{)jZ0rc@9Sa}0$A47B_$kFjyNLaw?4@hekd>AWq
zIRHc+mL6f{^XPaj<Z!~#@mfgwAT~e3+BdNLI67Vn3BS?tTFCq^e7qFe9!DB40@tVT
z@mQ!lth|DiUvT-+@mg?r!N+4q$7{h|3H0%<(eYYH`7=6R3t4Xi>;J*Vn@7iMA?bsG
z0sAJ-(ec{R@mlZzEs^83u*2m4LpSa7K$92ja4DqmC-C8(Nb3i|^Hmzq1#7UwhT;05
z^CeK@K;sc$^@(Wfcc6QK;g><c4kL%T2QCjC-+_%6!w#cFm`@%HQU5^NJ4pToyZ1O$
z0Jh2A1I@n?;94DV*#$53FdCTp3^erz2&ji0UIPnHc|>@D-GgpEEIdS@oBqKknu3D@
z;vcAW@bC{t+q93aUka=jL_qXIy${!q9v&c-5R83&6GRR~LgeeA6+A3_(A~EJExcfx
z$YArE=;56VolnGN-U&4GU?)<;%tMb4Lgv9PvxdbFBE3TDEA;q6HxFri2^^p3{yzx~
zaF~Cf&Ig4*IRDLrE)amp^MQgEG@gZ)KVXN|OGD*h{X0ba9pYXFMEU|B;s86$8g`g4
zY|sIAm@xW$Bh<NY_ra}$u6N^rDu9I-KN=rV{y^M=WFI(u;O>EzClYAxg}Db-UcvcL
z^+oUihNM53`~LhF2AeO3W*#(nLFEzX%p3+J_kc};nGYL(fqDn0|3#n*VE&gz<HIiJ
zfu%>d{ZRKK*$;Lvy8nfs9)kHF&WGwR2D=)hh&cZ<qJ;-YCn*?Kp1{%@Y&{AGbdw@{
zy)$$?8qSB#N28Bd|Al549_ZolaPL6Z>!Ifl@FHTcgCYKft%rhzKh!wT_%1j+VTT{X
z@)NjI0?JR&{R(hCj`dTp`3?c-dPx|+8G7gr(tHHi>4^FUvVI5bR0akHSbEF=s{)Ba
z+z*>iWq{_VI7Imkc0b%cXncd_nnAi@{#^-8Z!rJC=2u|ugQ<t*Z$j?NB;Y>u^%HRW
zpzd1%avTGqzC?H5Sw#H+n`(pVfP@!%e4l{}K*9@lxGl{8@bm=@KlJ!UnvVke49>@K
z83`=BIiT?kbsSC#?mPx2aQXcUYzs&^#J#ZjVwij3=^uxCVf`W4d?%bwAbrBt%YbYK
zVOV-Y>MwxP6MA|>k5AbAC2YM6y8F@N6J!?%!|aPk^FJZ`VCKW@(}C)Tg%>XSKz4#K
zEWTjn5l9Rf!^;<F`Sb@`K=9%yZ{YG!^$ZLQ&?<omd@lqvyMgKhs7qnCLDDZ&F<c(n
zd;#&X?&m;CKVb6|p%(o94_Ob1B#(9d4XOJ@G||k5xt@W6iLCu1u=Rc9?hi3QxCdOc
z!!CP)9m>@X?I8UB4>^<z;a&(U08KyG5J>qBUfO|_zQExN+hht`e+*X-ZC^o?2dMr4
zs|TNWk7OQfehW7K2|j@nA`hN#gsaD49>mok5|UnE<uPnN9_~J<ekAk3?t!)cVe11B
z{)4P1Mluhq9@gH6sYkdUq8{CRSbGxY9=Lr__rT4A^5N=nlut1C!OABXAC}+Y?uFJL
zaQ8s@u=NkH_7nPiBg{Np`9A?IeaL`<9ui;R^&g1x4iX-)_5f^t0InW~|6t(>^B=-I
z$a;IIb)ftYE`QPau<{+3|6u0B{0D1)!PcX|{0~cyu<{lr57Un!k7K+Zw*CUwd?Va`
zX#C?=kMJon3${KB<{xA^5W4^^zQCCn5?^2@x_@DOT>gcx=Yn2t1~vp_9@PEdOaihP
z+TMh@54Jx9Zaxn6@bx&*_5CpQu=ImuKiDc*eBjEDNcMx(!}s$-*CW8~gSrQ99+VGP
zk0ZUp)@Q-eE5f~y^a^(`H2=Zf1LYISk1+FL@!NnFpRo1#u=qmCFJS*7tuF)j&*18z
z{sSvQiZ7UZVCEt6FQop2xd(RH8C*ToJoNG&Y&4jFl+W<>YtZnAyAP@#$$YTW;Om{B
z=>g$CNcn<f9#}nmy%tnG!u=5S=;p)bb7AE(+&-v#;O0U3aP>IS157<EJs{i*Ne^)M
zLgOFq9w?ts`2{l{mL6b@epq}Vr9W_Zz{(StJS=^~$}hM)f$|IHA8=HH3CR8sxc$)Z
zfu(N-XnP-KKFqyft$2tDXz3f?9)hmNhOf`2(f$xveBs(30+)yS7q<S8TKhv_>;H(|
zAA)2*IKE-w1=}BjWFA-^7C*yfe+Vo-VCfSn{e#;F=>0KR`v^8ahAs~)&tUBb^!*F4
z@P^Gd!t95oZ&K}tsfW1--G21_Au#)4_AS7XUx?iw0!tsT@Pn<7B6oiXEIeTCQS|VI
zn-4AT(8CwKXn?s7=3n&m3zKJtjz6LA_khJWZ2S?f9-4pA)uZ<}Vd2dTRSz$Jpyd&K
z`~f;1hj9PkoNt8r54Jx9w*G|d{UHYN@#zHihaeyB4n6Y=en=0t{UGLsi2Wkyhpkhz
z-ve}f4(#l5bMWCiMo5S67@0>K#43~+nMWIfkFbL63NZ&A)m5utYzg1#VVtS}LY4}~
z;4L9gHk6CL(*t%r4s0h5Y~?I$rxJ`0Ki(7CGD6yk3NE?f$FD+DI{Y|QsCw8+8JKx+
z_0W`yK9d2r51JF<=0W*{PUe7(6vB?Hg4H~*lR3bS24@HCJDu3Tav*|<sF9olh>{L$
zG%P2=PS${*6apQI0+|fKke25LgnsZK1^l>K=t?X^$qQ-e!bYNDJr}roXm}!xB!S%n
zKQ0!!GXbt2svfKe>>!AH;K#{A?T5AOU@bHFak9{!7F<2lJaqdZ`>Mb@C%}g=!1Y7b
zquUQZJ`HL=QppWg3f?{o>e)g416L0<j{$x@FT_9a<6EKTAzI#$@Pv(=!*)Kv)#ES^
zc3c@O{Ne6_s)r7IgGK_t?u8%!1`RK`eK^#^kAs7rYz4OusvbJA12-SE3k?)~u$@(K
z`*5g-9|s2wKe&BR_3+?>_LSkr#X;4>?ZcrSe*7pjywT$iHWLO5Z@7A>dFb&6KOPin
z9(w$Nj$VZNADq76>T#F{KkgJ7A8_|T)uYEB{P<R=dboW!)WeS_g&r3U&wo($;O*;3
z=@+gZDvuui;Nv=w)FZ_oIDH|R2j;_O+HoCUi>@AioGY}vhT8{q58ON`AAY<mG(8}t
z53v2{<|7^F3O=+0DLhftL(PXD*9vtH+&-v0+&m~BcGMi~xLkO71C@s#&kA)fTs>4C
zJ-p$^w?cdB@bHGJho>JXA2#y~>#4)T11b;qFO=U1O01Ch1dmL@?Ssn0&4cor5bD9{
z8?GNJ5BDFG-;7WXHXk0pP<bTtz~SG5rXC*t=<1<-^qnDa`=IqR+&n0sP<;)rAE7fb
z@cI+laz(07u+EG?oewGx$vQ3<))I%cJYnezSIg5SI4C|RH4T1TZoFSSxJd*%eid|F
zq$SeMVOaeLJN^|`9>DmZqh3LNfbASsPEXBC%P)fOY|c-qR5mg-gC9?6Y+_<ytZZZn
z+xcv!Y!Gc2i)fjG?Kel_lVP3_hIxqNe?e{qVMYcva8aq8sBCP2bo?c(euw!Fy3^SZ
z>EubnWN?etFjb+%5ZqEV0$u21pkQPPKDyP&1iCBQ1a#yj^bA+S<Y+@sE7(Ax1gu&C
zOoH^7*DBQ3DwrffHJKr8lQv9_Ho~XL9O;l)uz3pRpc{xlcOikA;jp5Dp#hvz7#N0H
zTN2*3ht{3wZAo}r99p-*)kE_-Qr-iH0K9DuElc70q3XekK#2p|c7V6tq4uM<CE;yX
zsQqyDQ1j63hqqm!_QUl<)uY=F%WJSvM)bA_EN{ZvB5?Ik^U&KOu(S;`54|k{uX~{G
zgR9439=z=e^*`J_Q1##ph7_LgwkA|P+&&!Y;cZ!{dboX1_2_L$c-s`J9&R5F_3*YS
zG=9;;7v2_yh6h|d)I9X?g||ha=Anl#yzL1!53U}EdGNX$>L0j!pz6`X7hZQm)x+(>
zp&s6Lg|_wJ`2(sR-nN9g7p@*EkKXoxw_Ty?k>U@Ww2{mM^Wkk#=&pDq|AOVw)x+B^
z(6$xaKB#-(=0W-JwhPofNa+Ks`S7+Z)ICVyiK-rIK2lo|+*X0x2bG7L2j#=trqJ+)
zmj_UJcv}_fUbuRwJbHM;+eXl~9z49E>fzxD<-^;e(C~wY2UH&JUnn2emVmVl;r2o0
z;pRd4@U|D!{c!zIdAR?ed{_kqGanwmP<bTtz~K*XD?;554}Wy^P(FHF4{jf{Z3j0G
z${%WNJy`hTYwHzdrf0y~S|!lN9_-W?P}|4Q1ku)lmEW+o53D|c@rh~cnVTTmdgd0U
zmdeJ4<}mxAO+EM(l3@Fht`5M~b|hdPsHul$9{#qT5z;6qtbT*}57yQ*Q!q_|wcAo4
zZM`&w62mm`Kquq`eq%$ET7_B#BU5Oz4W+G@0%_|RDwG(3R4af<0|jG4&=mm4Z9UK(
z2MCM6Z9QC?%t04hLe+xJQvh8k0J_)`#6z5b18eKS+al1sg5K6aFYDoL5op<jej)+9
ztb*okxO!+_`~UwxbW;Xo+zOU<U@HjFPmF}`=!EKrr9D_#fPSJR`Zz2s?qKTS_Mw{x
z<-^+=Q1`?2L*?QAgYw~R4QTkGw=I#(1D8$kwgyx^Jp7^N!PP_gh#h2*73XmKpk)!<
zJSd+~*)-J0jp20{wC#l6)`!<s(6$X+Jv2Pg+uHE98Z^G)`l0H<k%rVZg}1Gs_M^A;
z;cYdj{c!bA^U&>wx4oeD!}UYequUR!tD*Lzw?*M~GgKbEZ3%CiLEQ&84{9H51srU~
z4ykPgK1>8|J`VNpwieWW#EJ^YI5fQN1@$jnJ=DDa|6yl1L&^tuT@N)6R^GtI>EUfD
zsCjVpILw2$wV>n9aQ8sfBdu@+2R*#)0L`y({ZRGr@PzW=Z850%aQ8vw(andqb)e?M
z^+VOe!yn3rx2>S@2X`M-9=)#vtNUT&_;CAhsE4=3py7?4zL45l;KgU?=?mU=g1QfG
z9@IYc^aXEQLDj>}$DtlRjtF%hdisKoD?-x)Ts_o0^z;QEXM~!Ep1zRA5wVU-qMHXF
zCxpg7+&xhH(c>RJjtGr^xPGX5cz8njt>Cf<JkJC^90=|{s64v)Z3y+?z6V@CR6RWW
zq5O7)dhq4~c=-sGhwVs%jU~X<L*>!y_fCX);P69Azu^3ZWFDB`g-{P3M@I@DusphY
z*fIXF`W<c`)ID(XpnUMLvygFVuzQg52UhcY(cFU+o~Y`f=Jz4ggTotcA5<Q09+cmY
zP!A4oczpnshwV6ng*RM1R31IN!FTCG{0ClK01t1ddU$w3`QU9H5cOd9!ove95BD#W
zKN;a(uzI+CP<gm{Q2rEzdT@Bd^+V<1{)6(TBGiM;hsQ5e9?3j#_=A@jA-NwO{^;tV
zeAv7{Y=sfrK4|+1ZXT3Rs692<#`R(GH`>=jjN2PpjP~_F*$TGX61JKPeK&A7bf6Hn
z`wq4`UI4mV8+N)aF8wly-G1QRx#;>~b5Jn-NNr(ownN{ood8t;+dWkP&hd!dc<8&!
z8_?9>AfO)RKG^PK*zORR`=HuEb8cYwq3=dV4?iKa-Sp_@!*-j%%!fJ`Za%vIL2(Ab
zkll9>Hi*Q|C$w9A0n|cxc!G=q-{t`6TcU?A`fhOa@Hl{G9;~ecD_haSAKg5p)#~8z
zMK_<)-Q}>hGt52c?t|4mFnMTjz~dtx+L(sr2PhXVj~@T9wPvvJWr2DK#^*!hM?(#S
znGZ`Zu+^=Iwld^&19bbIqUBeF`-x-0?1QC8^xe<!)s@iQ&+y%c&^2CA?|{Ms%!DQf
z5FgwO059|cI|Y(nN!{HH>wCa<kHYylR{z8LB0SL5@37UQu-(H*bwAj>i1G;1*91Ei
zX?JrFSQSVV;(pj}BwV|j;r2n>4zRHVSp1{!{)DZDg}DzlwnXgi=3oNuLtp(1w-4$*
zLc5#M<CD_ez_8WFu=s@Y3B)ICbu=g@Kp3_=*ONs5!_p6Ibu?`CH?H^r*#*Kd`@BfB
z4`x2hJ{PEdLiT~|1YuZuhUHI?7&3<EN9dXo_-<bu`4cV=Rgb<K7%9G?E``|!$se%Q
z%doK_Qg`b<fLZ|C4Gc3K*KS>;_{HiT*g6Grck3dZjsh;K5SC!S&5hLEyhx{sfX#=6
zA8cM7DSd#ghMlGX+szAC5AC}k)t6xPu=X6xJoMc~u=W~kw-{VK4)c)W18hFn(MWwO
zxci{`k<16Hhwt`<&NCwX2ig6FWFA;Oe0MQaJ;MDE_2}lqchf@0O5pZE-2*od%7?4R
zQNF<32P<D-d{};kyBAts!rcSqBgUQ~?I%)q^GcwtiH6lju(1q8c?Ag%Sa|^3jR{we
z!+)^wg!vC)9%MHhQhbBkU+8?q*cx{K!OVx1Pq6kgEIlHHCpf-g?O&KYOh0Ta7cNg=
zHyp0rym0%W@ekYmhN&LmQ)CuwcMHrv$Z{aI23mZ<+GjBTp!*lT+ZsByi0)rl`wKQU
z3pWqyesG|HEQYQ#g0(+j>fz?&P!ArUh2&rGSP;T}ko1IPKiDdmJZvrw;a-S(B>TbY
z;k#?0`4Mg()ID(XpnSM`9O)Id8xxjZVftbD5$;}S{)4**$|sZ`Vdlf)*8(j*Vet=(
zPo(?;_Ah+5G6N%cH!oa0)PG<_Nbv;=KbU!l{0o^2f$wgH-u4Dp4>b?HyayW%CLr}O
zd^a&P{Ne6{>PIpk>@@gpUub!Y@E;_8k<0_DhlM9BJs{i<QIBpuEPP?*7u-Imd*J3l
z`Ed0((gSR64VE4d?uC?JaQ8yvAMPF~pHTS)Gar^7;JbsN`4uVsfx`p7I~ghui!WID
z1(zpKe!=_$j!G~A+06^L9~wTe^hNG&UJtbN4R0?&chAArAj{KeH!m!FaqZ@X%R~JO
z+ucpA-Mp~f(ZufNMY10p->~q4?dC-?4=fLhpTV-57pc8W)@^UF`~^#&Na-KkK0xnJ
z!rC9O-LvTOu>1}iUqRn(3hOV!#yep4!}24k_QTY}+=FgEq20U@IPwd*yLn;l4_fW!
zg_{p8@6f{+T(lq&u>L<Re9_Y{diqA+oePU^SpN^M9-4pA)x-KPF!k{K1yv6(f1u?N
ze7p&|Cj#Mg?7Ml9(mOamBB=-S!30<k`yNQJ6qq3Iwm0bT8v|@l1+4vvYwpFz-z6S&
zqbqD(9g(|fQ{g*b4UH8HjTKTA42?lc%)m@2$3Vf@$P(#-GuTk)2C(-S7+_fteTW{`
zgj9hJ@x!`Iup|N<p#%--fr|k2A!_skX3-B6MIWL^KVTNp5W+pAkAA=`A@%47vQB`e
z0oafWx_e;$SAhB-k;EZGu2Ai8|D#U<z=qIZ;fp>6fFAzn@-X{lp!UO(5V7G8jb6C>
z2=NI`5uhJHi@y8}J$})L^wGl$X@~`!evpPZ!F=@iBxD}akP28o`Vc><<{@?Iz~;g7
z4J<y<(+|4)kecXV{pkKDbqWK0hy^{o(EUs56b7`0gQpkt^b1=a1j{e5<smRWZ21U`
zk3NM#$i1*3G+6ker&shT7wC*4+`Z`e=>pUMn0v0F@d>#Xmj7T=oUkDXSpGv_8jc>n
zuqFqri@FgSz_2MD*m4z^f6@JeXre&6H0b)#r+m=$!<tOE>_?AZ^eH3s@I;q~dIuE#
z;3*wglbC^l8Jyms!3~lJ^O3q7U_Nxj7cMUjtx#a$0~?Zr9SDqWAG&{$jx7M2kIqLj
z4=mpcH4tVWYzPl#AEJxM0d7sf<)KX!Sbo42e^b%SM~|<1Mp%l0Od+BB=OCJX^z=fi
ze)RN;u73xbeem#tHX+}`BNXCZ7#B7Lf_?xsOrBU8mfv9c3w_EFKBa+U3Ii!Ufzu;w
zh!!>_hjf4yIR4SgXY>Pg;azU%u^w<fjv)!y5EiV91ncs{rtpxC`2a^eqCEi_vVnyY
zEIgmX1fU1#!iKb9{y{%L8EzjmzF<QTu<{RmiV@~sSa`$37diz5pAy0m-f(%Sdh{tH
zuqVNOK=mK2$&cOzfR!JTQ2W4I!32hWa2K{0?ZDI>V6`9uGDU-aplSd#egFQ?0ZtlV
z0x|>&9-Txgufa}-Pa#0JGr-kD^FMq_0lJ(Nt{y7?A8ZQPJzy5B{R|uOLn`0F^04#(
zTh5219xRV!AD9no55mktD(}Jau=)yi3<8pRusphX@F8aCkRjYXQ2)TqgYsed6=pwd
z$Obm#1s{@yh7Xc@toFmwH_SZ5kRqhz296|1e1VsLBB@6;59&Txe8Pqt;qHOT!_9;8
zVfg`OKP>;i`~&L}!;V>itB2}`53xf13s(=7M^6th^I=1%@c4$RhsPI`4<EvW#t%F^
zq4MzXfb!u(piuR2`=Ii0^PqhAkSx^waQ#quxc{JhSo(#9FFgG~<&n$-#}9l+7wR5(
z{Gh9c@)7kfWQqxHAGCafn+N3+T8;@XUl8RLD070^A7mYy0J|Ut*2Ra_54gJci76@Z
z#idE{u&r#M?mox?u&raDV+)Ke5nJi<p$&Rw=rIGy@rfy*EkLj#f@F|s3=HtCYq0G|
zF!Nwr(U5ld8(J!q7$z%}7#V>E35+ai6{-ysjEpTo=LdkC0>ZFr5EfjxnseygZ=~uM
zT)m<<=iv8WK&w+|X$%T}aCME|{6TNNq4SZ<1KWq*oP$*_u;v_6@d$3t!R4XJjnw8G
zq2?591PE4Lqc`W^?uRB{Sa$`c9uyZK3~LUcH?Ppc4>n?kE57=n5d>=vAn6B(A9{Sj
zx|^`@LsCyxd?A?!whukN;LS~F`aooVNb?&m4~;MM<}iBtL2rH|t?&ZdkKX(x6kl-n
zL*wfrD00A<xaKf=^B=uC1vZKV0#^LPns3x@E~5|65Na;Nt1oE$!TC6v%dp}f)?9`)
zH^^-+pM{4VBtBr(AuK<kH<#h|LE{q^p0NCZ-du*c7Z%=wy}1k<PQi7*zzjrr0B+gB
zy0@_A56r)?<_}mAr1=GIIl-C}FnL7z3aPH)=0U?3t{=)LwYr9tZ@9V#NW;5etKiLX
z=!`6^`2ce-Qu7z=AN1xcqWppQ2W}qJ{c!zIJ|X|W-A|+D2h4x?njfGh2dw!3YHk=H
z?VN}0eugz45}^lz!cS#NR5mg|YM#J$Tf@{Rp{a*9Kah^`G&BXZIt<MrtqudwPId*u
zB*aE}Xsg5oDnHsPVPIew=vK)wv{Dtu9c`5i&Q{5BSOh@_jbL0{@ip2ifrSuckO@}n
zQL9yQ7-l>)KG0hw=<6azTP2VHgALNbTM^J&92P&YS{z)}kG4t}nxL(aq1Gw^4Tzw%
zN(?PYYn2#UQm<8FXo<g7VrYrARf66(CNy?30nzpb_eG&x&{znVkKPxDj}<`sR_OMj
z_kGdFO3?X8=7H@)?~B97Mxgc~+V+rI4=xYwix2l!%5-Q1!TN?s;SUZ!^!S306+pue
zN&V>92?GPeaBro2Kua%!ee8r#D`j--1kx&j-OY%!TN>N|8S1T+4T$s#_78ll1KR3>
zjV-`hUGP>0RDQURoeYmw3i<>t`h*>Pf)pC7=p%fvz6)#u6s{iH3IGqILE7Tr^IYKS
zq4Ka+2W$ZVtSt|l0EMSIsCrl}3cEK2KH&<TKt!J)g_#di51)XAs)ySLH6Lysln<Za
zgie6M^+V<1{)6&i;RBlhh4tNF_9K}Go&beUxI*0n8*#=^4>cdr>Vk|w!tH~$_2K40
z`GiIwVXacwy)ck64MdW+#u<GA6t;#N=Llp{aePr~dM0cFG#7ecA#8#ZG$Lt=)b=le
z&S=8qL3%-Y*r5}m5PrNL%sh}!Kp1u|J9Grn5cv%CM1>N=6c7dtMH)ee8cjise%NVR
z46q4M6Bc&?5N2RthZc_@!x<PDp?sJi6O<2;Wnf@N<FlagS)qK`7HKvpAC?^1p?p{&
z%K_!Xk|QS?p9{)|&4+VC`7rm$L-{ay9yC5Lln;wOJ}7@5)Bt`ce<3&#gKmk2gvV|u
zUl1w};|oFgPoVO`P(IW+1~D|gI2vC9jV}r1Lycolgz{nQ3zVRIn0+EpKFmHTG`=(%
zUj~gYi^i9O@?qw~=KEpA0RsaQxP9+orSDsutWdzgzy@B)Sd^cYnp~ov2;--u=ojP{
zXM(Ox0Lz1>^YzLYO4y<5VeVyMVA_vhgIEwgY=V!0ff;<53@kgt_^=5n7$3Gi3&!Vz
zCRkkA6V|C?U|<E$g~B?Oj8HzvB_K>H|IdG6uz6n?ASs>&Y96TD4>AunfdF+L1I+$A
zAW2Y{7^)u1Wne<%!_0%pBkY5Sz~o`Y=kNa<VD~^|LHQZtUvxe!y}|qo(}d24nGe$s
z<AWm^EC?|l9BEkm12YfSNr3TT;SVzpW<Lki|C|jF8(@5xJi2-qAM6wc1_oSwO7+9c
zhq(uRLJ2Vl0SOOS^$m+p*pWCeKFmCH_rl`$D^vkY9=6^c7Qe9c1Pf2}_=IYQr>ES+
zyps6*vNT-P2{@C3HBra?-@s-e;$sVxPp<o+wIu_t{6uMZe}}pc7T&P@iOap{;Sbdg
z4{u271&Kn!6V_aU$3HZ};Czr$Ea?}P9zLVVqo)U`G(7!J!eKtlK6Ll|f*JsmhjQWO
z!T7k`gC4(7>*4xIbr0-FDRSL|EB;{dI|b@Mbn{_+^zfn7J)`jjNkO>M55@77sBC0{
z)Vzj9FTB2m)i<#665<*}{fH|+qpOFx{|6J~G<R5fLTVp@s~V*C5txtEJ_7Th?IQ+Q
zb02YhEu_4FEvR5%U<O-s1?nMKc?5Gmygo`vi3e>fhAn`AwWm0s>&>CsK{Yg(30rW+
zz`z7Pc^lGtVPN23U<RMW3hTaEkjaO2S4E)uVeKy%pHlPD^~3rT3{d-E3$zrVd|3BY
z1<Hrj7cf3-_&^6L4>R8c%HIpEApifDhMgP^ivlnW3qRNbD(Lc7P<Vp}(b4%}O<)4D
zpbN|d6A(V^xNX?}9d>8~3&uy(FA()CPyv`cqCEnUhdLkb9<Wtl0wND)f(hF2->-Cq
z1}S#)??W93b3ao02ZtZ5eGij|#V2ek6{;QNKky_3IFTdy2R6tDo8$mXfeDCv(5I@w
z=f*G~_UAUjEr6&`MGJ43eXs?khy_-VNhP>Eboc{Y2!M~E<bdra2fGF~d;|?F1|8`B
zSg7QPp<v|)EI+}@XV`EQBK#mnvcSR@7JdkMNch6_L&F~yzOen@=m85WuVD7Wqo08Z
zoW5Z732XtTCsY9}eL}emu=Ie~9|cJd=<+Z=1Jrz&dtv6oma@RoFHC(iba)Il{0G|)
z3ERI6Q=f#EUZGsL`w$DhA?}0AL&FPZAIyD7;SJ88=>CV*XE6W6-1GlG#C&vlbn{{M
z!~72$w}SZxt{>`uSpJ6jKM`sGEIwf6A<R991=A4sz~yoHmr#6zD`^G>cz7cA14GP5
zmq+(65+59%51<JW=3lsesDGi-pz;o^9u~eZ_2}^p%MURB!;a{L#Rps->VH`Nkf2ie
z1FMg*<R`HE(a%?awYOpZLH8f}c?Js51PN1*zF!|*y#tzhLgvH5Lj)Qgu=)q)AE<Hg
z_&`4|0bM_={Q=XD9{(8O0grG<{R8JhmtLXs37vP40Cg}dyb$>xG8~T{9_ai3q1xg8
zg<Y!zGY^r!Am*XR2O;woKrMiohe)pw^9Z>QHr@m)KcL3J-An5E1hD)Mo6dm6C+rAq
zSo;wcpNR4v;$E13n0wI6YxMo^F#o~!U!%Jpy}U!$kCdLk^%c^1DmcHPr<Vgz3t-`m
zUjLvk0)e%6VdV+Bedzv$m9H@Wqw|r>1G^7>(GIlv3ol<0(?^i<6)q1gzYygw_V7pF
zK!YCM=o@Iz_m88;FS>ek|D$h^g8LU*p8tSH2x|VuwX_wkoJa;Ny~5HbynhH?un!ww
z=fTlmL`o0f^aP$s2ipyCF9%csEd8M8KUn<@tB>K+q0mJ{a6XY6YGD06*oGQJds`5k
zk`d)IWa%r|sYpj$e}M@=%TL&JE-Zbb_XptiLE{T)yd9ighx&#Zr2Z**|2g{p@)O`t
z1^0)*Q4gCQg>9%oDv!V_p=(MQVDd=i9ax?Z8vd{&f8pw(`4?_Jln?6<!1j;B^+V;+
z_aDRB?=bt(7jeL+-*GGlfQ^s9`WtZdQ2XHKL-|OHWE??WgX@RNqq`5J27)2!6C9|J
z<ptpDU*P_Q>PIpk9Da!M4^qCt^+VGqTs@Re@9A?`c?_FRgw5~bnx6yhA4VR(0!^1A
zkLMAZzd)alL7y*xPM4!>*g?OZ+yrH&9CktsXqFs=M`y|*GvkZF=?^hez8A`e&6LCV
zu$l5_P<eXIl*8<!)l50ed|1jJYBS}W(3%=oPK1?gu$gLDO9p);oK!w+<or6FXUeZb
zidpzf6jIF%>-2(E;PMYN`7*%dVVcnSu$cg`VMsH1(18f}Oa!cCgv~U;)Wgh!wVYsl
zSop)thuIGs`5);s<u{-K2n!$dnKo#0hsPg!d_uLuXKvBAe!}#_@&l~r4vRlhXZF$E
zi#~G-vkw;kgxrf>)4}vp%e^<D0R#)*D^NacCJJUB%)RJ*sB(DtqUQ%nXIfz=-@)96
z9{(`=Ve+(|iAHx1di=uTbD-RVEB;{aq4mr-diadS7bJzk>PPhOp*X%0m5mJPI5U2W
z&NJg@pcx8Q9zjAAG?NXPNe3@)kI&4*wekr*5`tso1J;upohgT`41kSvj?R=rM!sO_
z4K{K_DSvdP91?=f(2);Vd-wl;$V@Io7DPfuPGBROqci1@le=N<FWATxBK#<uDc69`
ze1Sq986*0O5c>(u1fb6p!_>oO5)dQnkdb|Kc^Dry6Akk(EdLF*nQ~bF4dy;{|HEgp
zp(EjlnFxsg(dE(okHiO$M8i%Bg^k3+^+QJjkw$94>S6Z5)T7TF!)9t=@q?JzgSZba
z56!Pg?g7sf5b{5KW*h2%#LNxEd~|tq^AY_CNO=tFU%~tX*AMkSBJD!d6Y@VS|G@l@
zn2ChA4=xY&Kdd|&sF`!vOcKoh=>9{W370^$pRlF}boCY_s)vQgaG5!eK=VJPGv^g(
z<{|PIWMm&b{s_&S&p<N|kzR>24>tY;E3cr&4cVD<^zsM2{G)W{d<QguVEGNbJ{svW
z=jh>&J`;|p?;+`v(wX#I@B$uEzQedfWhR{gnt)*G2|Yg!wwZL;_&Th-7@bLnr8w*-
zwIi*x0Z-b(XS$&?(MaVJxMYFPj6>z|%%od^Y=*0cwnyORL;3KTW#~rm(V28ms3C5O
z0!IpHCLY>e9Beb`u>6H<{*KUi7ieZ3c{~t(zJth_bVEbL*_MVD3MGb#piSq7$&m9c
z4M(Ta85kJQH=V<FKEpPhF9Bz8#8mn|C?7VJ4&%e7(w{@+={1!OvyWC&=`iz$>QuTQ
zw5rCH6%j)tkfCT;QwTOy1}S(Do6v~m!=?&Gr_v!I2Ahh7@DSk%YdXQg8y5bssV-R8
zeRL`va)<$J=mZu%=u>mh<UTr;4n3rR)T#f`sdPvVMbEFW^ahb-V1Six=u@GiQ|XWp
z!WBN~`4yrXaR|fc(g#S0z@~~}<rysf5nTEJo=R6X#5I)+8)}9vy@Ay?u=)pLJEDGq
z*^f&erXCjG^qyKLwCS8sS7UT)9TJ11o6sRcaY$1);Hd^k=zvIwJctFswBZl-sde;|
zNJlrJLsG*)k+7jM*rq91c|AI{4!N`!mY)Y|6FRJZg$+HAPOU?73T%@dtUUsox*nZc
zhlCG|Bz05z=+rtS<Y4Iw!UK`S)z^eJrH@XngTfLt6(Kwe#Ddi~u%%8jp}P=a;SVeS
z25M6}%zjw;i!^lwZr_bgt%G8bfdRIZ5mEj^rn=F?kJL@+==w*e)*;~y%P+90JXrc1
zYMayvO|7H%7e+U!L*gHHC>VU|8#;B4R6c=c(%@6&Q2EiRbx8QZ4$*?~V0>79g7tr3
z{UccY1D`5~F6A8To77?H12+E%i+^16d4$HhAXDqO#|Nn~)ebu29W=?VV3>++svWjE
z2()7zghzL+kM3FrW#iFZ>!46T4822mg!!<c_xp6-wSFJ!K-kp8=&p50fWX27!b41L
z!KU(I^5}eY^|<)3r2y!wpkTWkU{gDk?gEFM?+0@)`qUk)>j#?}0=HKfFw-k+DiytI
zf$67}d(o$2VfGR0URv*3e+Z3WSoq@FMGJE;y8EHpX|`+q9@GMu`_SVbDh)rig4R>F
z=<Y#}Us(EsxtHGV!4-cn_Yj=Ag$5`rzrfCyg!7^DF!P{X_)-M)@EMISNC?5|NA&Qa
zIKC2<jf~6~;OC>m(jTlmgAIMa@-M_Si1HCvenwXhbN{13wrd@99+uzeu5||3`SY-$
zjnVVnAw$E6_6TI?9X1sQ8|s4P2YT^Gr`91M4jbx)wLeF9twX{EorDb?jZUpY^6%)b
zbw~<<?Rp#CwGIgn*wP9J4@5%J3;M2L^j-U~@&`8ckJtq~x@#R2is<2ozRP`d*E%Sa
z5L3~J_BSN`jqX~9gb%F#Lf^#<>z}~dU$ChK*!>jfQ{%Ao2s`f`T|d&))#%hZ0|Nu>
z6irxrYp74HKY}GE=y~KY?&z*{$SF^-{KLY)3@(ab{Xufie}|2)!}2F=S1pV`I<*c-
zf&c#t&jYb&xNF@KWIoh72G~?GY-$$9hxHdm&wpnGr~kn|wGJzvVN>g{{Do_3ozQp}
zXlfmKJP>`p1AV>*eSQIY{yY9%>qcg^3bpX7(g*4Qcvw*fyDA;J=#OEbu1a43%AX7j
z46t46so(^N*tK2{<->NZ!}zdW>#(cU>9uPeW*@C~t;5WRCGDYh0Q?4MeBsK9l<r!G
z8V}zk3{5`psT6ib$Xq&XsulgJbi~jmWY;|GYFPBC8gxFcsT+2vgJANorZj99Ak2N}
zQv)zQR6E>1u&y;s9=6IJW<Jb37$0#!9mGGdq7mKwF!RuNt;6htnFr&;!Vmobcy#xm
z^U>Xh&PP{|&PP{|iw|=jdeMv?zm!g;b3h{q7CuNrY2ctjp9+F1hsP(({jm5zuR37*
zVN*|(x|b8`0GNCCKpg-}k1+dS=>eS&RZg?1X*M+Xp@%2Tewh1dJr#`Z9`x{nIu|}w
zPV1>)boUIq@JUoQGNa<uD=dCs=^2)OU{kMLjF7okSb0I|RoXE7VEGm4Dq(O@hBR~q
zE+1g-f!Uv&pH~)dl*|Cz<xQ<!%m&b_q+vsg#PVTXAK2B|u=*LsC)GSc>S0}L^r==E
zsQY18tHE|P!^%S#A9g@E>}qY8eXs+=Nxe!Nb|5CKYmUx`#UD%_olod0ZS<+r(W|s!
zAqctZ7?GbKL%*=DCv2()rWzLh=vU|YKo!8$lX{gl%s$vvwJ`U<hW=ogn9x)!uB)D*
z&KtHAto(otRm0j7uvPqs@T2U2a0N0C2#47ZOF!tlcwzYyHkF0A>Jc)Pg)R@{!*&6|
z{0lQ5)}Mu?N0@rpR2!`S4I8S5l{YZ;=u>U5_C3sfh^YXG`{44>0~lfU!Q4m4|M00$
z=&lOHfx{5<(dE(2N8*F04q#gZVE%#YhaPx{$Ow@9PRReT_B_o0h^Y*S`{43W|HJA7
z*n!Xp`^aOV@8U&|Z}h8_VM}je{zvy8`cxonsUWfHVg3_<<_B1P3Cn-5@(Y$8aa|P+
z(+{g(VfqRA4-txx_#?z8v?~|=YHdXNhU{WQ4?jW&RO7mO8#eVt$UIp87#4o$;YsS%
z&frEN<iJm`QLy;Kb+t31{DJr%J^!MYALvtkNcj<bKt7?XnbEK6Mvs5=1Eyi_f#pYZ
z`(X00@Pp+~Tzr`NW(G!Z`GI~lGi<67W*=;P1y*0f<)Qf>QGQ_$KlEL&=;4iiKs3yK
zF!!VHf`!S$%6BesEQ4|dYW^51Q*A4t?uV5}==lLwzQcBj!}<$N(E0<;$8ps%Y&;V-
z)dm}XhE260+GE6BwG117gT)VQDgeeuZ!f~_gVsl|^aS%S`c=y?_rl6Y_*K@>tF8Y)
z1Be&L1y68!sCov_&^9P}FfgqIQPAK99e|Huf+QFqQ<Jdpgzb`q<!4E#ePE?v0-_#P
zzA-RhKOi~-Y71-^E9|Op*j2_z<qKFPY&;Qml`>pCG{1orA=wX~T7w<{4c8A<{~xRt
zL_pjNpPGa2l7($W`u`s?@&-RZnt>5~^)XyM4)Z`JLomdASo;*#9)-IPsvpUGuvcK|
z0ajnY^+VGOTs@Re@2iht<sEE(KpNs%23+Iq=;IBbsV?O47xeK{^zlj9)RqC#fz0OM
R!<a20J4DS;rnO)@7XYYZJ*)r#

diff --git a/posit_adder_verilog/work/_info b/posit_adder_verilog/work/_info
deleted file mode 100644
index 95229cf..0000000
--- a/posit_adder_verilog/work/_info
+++ /dev/null
@@ -1,408 +0,0 @@
-m255
-K4
-z2
-!s11f MIXED_VERSIONS
-13
-!s112 1.1
-!i10d 8192
-!i10e 25
-!i10f 100
-cModel Technology
-Z0 dC:/MentorGraphics/modeltech64_2020.1/examples
-T_opt
-!s110 1661267951
-V?_9lRh=gUIN^7:L6_WN3k2
-Z1 04 19 4 work posit_add_8bit_tb_v fast 0
-=1-00d8619f0e0d-6304efed-39c-22a4
-Z2 o-quiet -auto_acc_if_foreign -work work +acc
-Z3 tCvgOpt 0
-n@_opt
-Z4 OL;O;2020.1;71
-R0
-T_opt1
-!s110 1662217356
-V1:a`YW2z6JY>2E4SOJ]m]2
-R1
-=1-00d8616e78b0-63136c8a-306-56ac
-R2
-R3
-n@_opt1
-R4
-R0
-vabs_regime
-Z5 !s110 1675798738
-!i10b 1
-!s100 HckKoNe?FH5C?65b`DDW20
-Z6 !s11b Dg1SIo80bB@j0V0VzS_@n1
-I[2Vh;7LDmN7ZEE2a>l:Mf3
-Z7 VDg1SIo80bB@j0V0VzS_@n1
-Z8 dH:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog
-Z9 w1675621212
-Z10 8H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add.v
-Z11 FH:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add.v
-!i122 16
-L0 252 8
-Z12 OV;L;2020.1;71
-r1
-!s85 0
-31
-Z13 !s108 1675798738.000000
-Z14 !s107 H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add.v|
-Z15 !s90 -reportprogress|300|-work|work|-stats=none|H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add.v|
-!i113 1
-Z16 o-work work
-R3
-vadd_1
-R5
-!i10b 1
-!s100 J95g[nRN4kBOKGE[O4UlF1
-R6
-IX[zz10TFQ_G]k=bgQXKcI1
-R7
-R8
-R9
-R10
-R11
-!i122 16
-L0 243 7
-R12
-r1
-!s85 0
-31
-R13
-R14
-R15
-!i113 1
-R16
-R3
-vadd_N
-R5
-!i10b 1
-!s100 7EKE_E@]MIH:FSoT?enL@2
-R6
-IXF;Ql3f11i=HbS0ISO6>N2
-R7
-R8
-R9
-R10
-R11
-!i122 16
-L0 204 8
-R12
-r1
-!s85 0
-31
-R13
-R14
-R15
-!i113 1
-R16
-R3
-nadd_@n
-vadd_N_in
-R5
-!i10b 1
-!s100 @XH@[F0oaECB1n5AmWS_j3
-R6
-IYlbePRZzk;KaODnf`ChCB1
-R7
-R8
-R9
-R10
-R11
-!i122 16
-L0 222 6
-R12
-r1
-!s85 0
-31
-R13
-R14
-R15
-!i113 1
-R16
-R3
-nadd_@n_in
-vadd_sub_N
-R5
-!i10b 1
-!s100 l0:`jg=V=UFKMiM7b_MDh3
-R6
-I0l@5oUceze6YD]^G[]SPg3
-R7
-R8
-R9
-R10
-R11
-!i122 16
-L0 230 11
-R12
-r1
-!s85 0
-31
-R13
-R14
-R15
-!i113 1
-R16
-R3
-nadd_sub_@n
-vconv_2c
-R5
-!i10b 1
-!s100 4=hgLHB:TdlG7JDah=joP0
-R6
-IcZ:FOFemG8FVFX^IAk9VU0
-R7
-R8
-R9
-R10
-R11
-!i122 16
-L0 262 6
-R12
-r1
-!s85 0
-31
-R13
-R14
-R15
-!i113 1
-R16
-R3
-vdata_extract_v1
-R5
-!i10b 1
-!s100 `l3b6]JWVSSQ59N@OjeO43
-R6
-IKn<dQbI^LmjWUnbH4AM;g3
-R7
-R8
-R9
-R10
-R11
-!i122 16
-L0 155 37
-R12
-r1
-!s85 0
-31
-R13
-R14
-R15
-!i113 1
-R16
-R3
-vDSR_left_N_S
-R5
-!i10b 1
-!s100 VYhlXlgDeTRFnHj;^ahXj2
-R6
-ITBnF?4^JX?>e9E9CMA^_F1
-R7
-R8
-R9
-R10
-R11
-!i122 16
-L0 285 18
-R12
-r1
-!s85 0
-31
-R13
-R14
-R15
-!i113 1
-R16
-R3
-n@d@s@r_left_@n_@s
-vDSR_right_N_S
-R5
-!i10b 1
-!s100 =K8LPo8hIninXnOhAADL_0
-R6
-IMU;Q:f6bjnQD6c0KK0lfW2
-R7
-R8
-R9
-R10
-R11
-!i122 16
-L0 306 18
-R12
-r1
-!s85 0
-31
-R13
-R14
-R15
-!i113 1
-R16
-R3
-n@d@s@r_right_@n_@s
-vLOD
-R5
-!i10b 1
-!s100 ]Bj1Y2MMWN:B2o6`bj>nS0
-R6
-IOG:fAZBkD_iQVTjY[WEV62
-R7
-R8
-R9
-R10
-R11
-!i122 16
-L0 348 39
-R12
-r1
-!s85 0
-31
-R13
-R14
-R15
-!i113 1
-R16
-R3
-n@l@o@d
-vLOD_N
-R5
-!i10b 1
-!s100 l<LRU7E=Y3G@OEn4@]`i63
-R6
-IZUXCl:6KJkXSQlVZ_^_>N1
-R7
-R8
-R9
-R10
-R11
-!i122 16
-L0 327 19
-R12
-r1
-!s85 0
-31
-R13
-R14
-R15
-!i113 1
-R16
-R3
-n@l@o@d_@n
-vposit_add
-R5
-!i10b 1
-!s100 :;adb<]a>lHa9`ZSE[lbm3
-R6
-ISmA6Nf@0@3S]=gSncK^4?1
-R7
-R8
-R9
-R10
-R11
-!i122 16
-L0 2 151
-R12
-r1
-!s85 0
-31
-R13
-R14
-R15
-!i113 1
-R16
-R3
-vposit_add_8bit_tb_v
-!s110 1675816299
-!i10b 1
-!s100 B[J3ZenOW?^bY^EVl:^d51
-R6
-IQfX1SG9GC>RIQk0acb=dA1
-R7
-R8
-w1675798714
-8H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add_8bit_tb.v
-FH:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add_8bit_tb.v
-!i122 18
-L0 2 78
-R12
-r1
-!s85 0
-31
-!s108 1675816299.000000
-!s107 H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add_8bit_tb.v|
-!s90 -reportprogress|300|-work|work|-stats=none|H:/INDIVIDUAL PROJECT/Posit/posit_adder_verilog/posit_add_8bit_tb.v|
-!i113 1
-R16
-R3
-vreg_exp_op
-R5
-!i10b 1
-!s100 N`]6c7=ZIASb9Q?Z4]8_51
-R6
-I`BX5J3bFTAS^ejaLE40fQ3
-R7
-R8
-R9
-R10
-R11
-!i122 16
-L0 269 14
-R12
-r1
-!s85 0
-31
-R13
-R14
-R15
-!i113 1
-R16
-R3
-vsub_N
-R5
-!i10b 1
-!s100 JWMz^HAi8h2HX?2n@`zJI0
-R6
-IhTaQfLm=hT9UC6aV1oVTc0
-R7
-R8
-R9
-R10
-R11
-!i122 16
-L0 194 8
-R12
-r1
-!s85 0
-31
-R13
-R14
-R15
-!i113 1
-R16
-R3
-nsub_@n
-vsub_N_in
-R5
-!i10b 1
-!s100 fNZjW`g0Bn2a3U1B>@zKo0
-R6
-I7f3R>U7RC4?Mf7^@fbFio0
-R7
-R8
-R9
-R10
-R11
-!i122 16
-L0 214 6
-R12
-r1
-!s85 0
-31
-R13
-R14
-R15
-!i113 1
-R16
-R3
-nsub_@n_in
diff --git a/posit_adder_verilog/work/_lib.qdb b/posit_adder_verilog/work/_lib.qdb
deleted file mode 100644
index fc8c32d3657635c2efa5dd41f15d1c57153df45e..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 49152
zcmeaxPf5)w&dgOvNlI5RRA5kGU}R))P*7lCU{GXWU|?fF044?o1{MUDff0#~i>b(<
zXTiZM|AT>5&XPesUH-hBrM!teF*<RXI4V9G0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsK-~~%bY*52
zmzQU3iY!S?%1O;l%*;#6%t<YVGgzF1TpdGP6+#@Hd|Vaa!U`IRr4^Ye3Z8x;uI{cu
zItn0N$o!Pjyu{p8g%H<>5FG_1W^ra!DneIDW-byhEhjO(7$F(z=NTC43b6=gfu@cE
zR2X6b#B5DXLsm9%S9wN;%)FG;isHhY%#zgj#L|*{Fh3sd?06$Mlg*HYI4y>7Ev#vb
z?Bb%LjE(w`z)CGi%uOvxWI_$1)RII6jqKD)sNc&Hb4pVU5xxTRjSzgOcd}C}brisI
zhMJn{Ol;!TqBwn%T9O!V2(p=(fq{VolpuH+7#P^)OBm!o%RiPsA-`I_U4Djq2}ouX
zkA}c#2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mk
zz-S1JhQMeDjE2By2#kinXb6mkz-S1-LO^~7g9s;6L1KDpd}dy8YEels!!1E!7FAA0
zuvkiJPHIVNF&{raiy|XKdTL&3QDRAEejcl!5L^vZUyU>iizOpNNk&m>aYlYlN<6Xw
zDU!@Ari=^)sYS`Dc_k=Pl6*`ovP=vh)!-!*4BU(?(wv-SsYS(^`FUL6`TueT`A+$A
z`C0N$<WI`4k^cg7{U|*e0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*O
zqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*A%GMD@?8u<$TR+e@Y#Ln
z3IJgN7DYxzqy+%{2qn-3075b>mW+%z=KoowSWFoivCjW9^Rvh@fh~v3|1)tT&HwXC
zIWjnj%;1!glUgtRK=P~PX~~6>MUu|)7vvX6%1V5f>X6<kJz3(SbdI#E#0rUeX=$mC
z5@8a$@@4Wq63pT^<rU?=iEk0_m%AXhN<3BETCQF$L|jDdt(=Y=i`ZeYnX)%!*U8q1
zWr&%HvB-LgUJ{)zD<<<+v`o}T=CI5hQ8kf&QgI@uMP|rk$e73oAcfc{b2J1-Ltr!n
zMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLtu!7fRrPH7AKRuGBXPk
z!_k8L;>?ox#FUh>)S}Fs{B*s7;#{Vjg5-yVGK|ay&2Ai=OvcJgEKH2Y;40%Sk}^x;
zOOoQt;ChP6S;Uhcz0C$`afNF^7>-3tac<oKh!&M*dk#(}NmY<7`yFx|@*Ps*3yLyx
z6N@VKQj&^UIFlc(xWvdUrQB=>QU`X;K8QLb2QXa$D`Qq~w&vhuk_Vf%8=@-SArIL=
zCNr=~Znb7BkV>$5yWlF3jAZBoD`YlkHs|1EG6WmB-66%H*r6!CC^J2y1Z1#7F|ygr
za$qgo`psq_Enu^^VQN9Lgs~B<gIT}XgoBewA8g4MsE(Y}Gz>cuOPGtnI=J<ljo~^r
z<I#~+40DxUvk^!K*j1Y_bYO9mdb1t}CzB#r!@8o>^!U_@g82LbB$Z4;V8<}4H|xSx
zuEnCVI5&C%M5Riz76&JjG}z2l$@zI@@kYr=1~Rn4lxf11twdK=oGbhTqD;M64Q}tU
z#H8Z*qSW-v+*D)(x4~4Z!c{KCqOv$wt^%S`sac7GlSv%x!bOQGDe;EL#w~&=QiLm7
zh^nYKS9AtMk$STn+|GF*Ma88_@eX;&#=%`D3s*T8i^}3$wFZcpYRyvcWIGF_(jhNC
z6UE3`FolwEg)=b~7UzmW{j1h223I%@;zC>|ioz96#Z-teQMp+No>eD7tVZ_pF^B_|
zoB80X`XI((RmBTe)r+R8I9GWG#4Md=E)Gs6ZE&1*r6iUl#-~=46eT8?#Frt*9<w$~
zIVW6sCobj1xfK==<?78GAdiDHX9uDngqKo@B{J<`mE7vh>~NLsSX3qz3l~6Csx`BL
zRDxaH23Ls`0D_ai3YirenL#N{o{5Etp*g-JHMbzXEWe-x<Z6b7WZ|4XMkc8{46@K7
zl%WAyl))XR((C{VK(ONvpi3r}uqK18;g-6?pwi3_SJ@8<YUIL=5t3H9RU272IGJQs
z8CjSZTEGs<Elvl!DYrNs<SZG8tK`EO7#L)@7(^Mx6`5F=>cL9Oa`MwF)te<ieg`{g
z2GpWVq(VBegb`9&aH}_q!&OelqB5zNaW2IDD$OEr$4`NzIfUaAOPIo7%7o#{CZj7$
zDrVdYQKsB12vP=)orw@-@TftgI+bPtkSef0CO};W4|jx}>dmYmPk?G%##YRzhSa#A
zN)B`)xE3dqVzUP*#zBF`cmf`3MrC=qX2~4O!L=s0Ay|zu*y<B-GZ7UnvfmMU+(DHy
zSkH01dXkD+zeDU%X|@G<5$yK8kko?|5De=gz~RNL-fRQ%BG~9X5M`j+5jij*6*RY6
zvn5C+IAnLiRU(<G2`$<Unk_(eDA>py(Ao-XwVPPNTmp6lw?VThNDJ7pTQRjDS;ElD
z0oKB--)so36Pa0<7&k(-AnIKd9Sjg18qEfvq8jY34T!1^Il!6Uft7M=H0#5auE(Y{
zshD{oM5$`C4ye8Zo4N*C-ys{x*bh^u4Oh1sLtRoaV<AMHMzaRo>J^CU4#mhXFs15n
zrOUA?O)6$Q2vMrstO81zU{@{yWeY<T182fiDZ^DQMpKnk%(xt)N~2i;ZtVh)Dl8cY
z(m3MQXqJa7osUgvQZbVx#8mZW8Bp>9yKoLjDY$w>aV5NHk%p_BjYVZrG2<MFnYzt9
zpxOd#W)G-<L#kYmO=b&#spp2P@5ZS<shBk#qMl1$o54wT9+$kF+!wjma*yQx$`{Dp
zl)E6GE*~d%TyCFysJxHdR=G9uLh_t)i{)m?O_X<*>yWFLE0ed9%au!!H<bS_7cCbg
z=PCbA&Oy#xPEY=+oT{9R{2lqra>8<4a*Xn)WPixMmwhIGQ1-6u75N?V>t#>N9+KTD
zzf5+6>~h(8@^j@Y<)_GZ%Qwk44YBUbsB=d{U^E0qLtr!nMnhmU1V%$(Gz3ONU^E0q
zLtr!nMnhmU1V%$(Gz3ONU^E0qLtr!nMnhmU1V%$(Gz3ONU^E0qLjW8C^3e>OObmw^
z4$EsZ2r)4-F*3<(GjK97GBPqk7)Kb7aIkPPF){9E+z(;wW84Q}>}A{wVeDqy4PoqJ
z+y!CmWZVg1Y-8L8VQgjG3Sn$!+zerCV%!8_Y-HRBVXS9d4`HlhTnAySWn2qktYTaR
zVXS0a31KW}Tn=F@V_XJdEM;5@VJv1`3}Gx{Tm)e(WLyYg%wwDfVa#Qm3t`M=oDE^j
zVw?qG%w(JiVN7S74q;4VoCaY`Wt<9OOk$h_VN7J42x0Uw_CXlEjJ*&>7h@NM(aG2e
zVYD%}K^U!!tzZU23quQ-!Pw5&&cMI`VNYS40%1&MoGfn&5oBm)Xl7twkY`|EU}J&^
zG0tb44^cOVaSntrgK-9gF@bRcgwfB~4`K8$_COfjjNK4M2V)0>ag^~WgmHrL1cY&%
z@i>HWjPV$Rae(mvgt3Ql4}`IUaR-F4opC#av4wF9gt38f1B9`LaSepAnsGIRv4U|0
zgt3Hi352nLaRHaiL<T2`G;Y}gvQH$-C1=T&$<CJ4mkgFsm)Ib2QsSYkootlEPe~D(
z8krcmGP%i8>Qc^f8{|$%#Y>gRcS##cd&w-5my$P=Ix6)*?t$E2sa~m-a!ztFl3%6x
z<oC$mlb$TSMf$3YgY-uk9+~She<ji+y5%_JR3-OH-jq&~*(Y5szeGMrK2Pemw3xIQ
zIAe?wqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?8UmvsFd71*Aut*OqaiRF0;3@?
z8UmvsFd71bFa%^KGMF==?D17$F;+$u!8pZ(@fblx#kq_}C0Ha?nV?&D;X8bjiW&Ed
zvq&mKCEz<}i^>`IVG}RTW!x*pA`erKdMpCtE*w(Dxr{rNSqzn#pj&l|5a)0p+qjL8
zn&Mo>tx7EVaC5M1`7J7E+(bZ0aW3OVX%<CgCdA%eWLK=iC0CrwxK@HiTA2yDffrfR
zDy$O4xr{5prXlv{B5PWPORhMVaj6)KxH1!HgDdh$2#kxc2p8uvE`*qrk`fQuj*D!|
zJX~_cxr}qc5eBjayx|sE+bkSX#kq_#!BP;LP|uuToQ6ZHIG1rMH~~WKLQa5_!3hl_
zf$V}wU=2`f;2J=u41hI2B#<@qDX?fOGofwWMOM&-S3z+uW2ZEWyed+5L$<X8>@|4i
zL^ih#hg5McV=LGb=-Do@gz*3_tCETt+of1!RhhtN2%sI(z|bP!&A`AQ!XhpYkq4jP
z0P-?Jv#bV#C?h0P5c`|KL4_8!#kq_V!OlWgoK(!%ugYSK90KrTgAhh0mN1?mtSYIP
z@i?r66@$1HbczJzJOsuQVBbT71^J|(#1h6mxa5+G8F#C&7^-3^Mo?V0gP@|MV#e*t
zEc$Sh5CsW}L0br^Nh)UCEW@Ixid2-K7_b4SWKuEXdPx>(RajwyqHPU!nWSRI)nEe=
z#R-bG6*wh9`}f6J#8n{$3W}yB*u;~H85ctggBK|%nik-cOe$ua4~{=bnu|v}AY=|M
zxujyo*<d+nnncq#1D9M<G2?V_T87$(oMWdjfKxxjM0kEJ&SmUXWYJbdFDOtv*n?k1
IQZZvU0O%?rZvX%Q

diff --git a/posit_adder_verilog/work/_lib1_5.qdb b/posit_adder_verilog/work/_lib1_5.qdb
deleted file mode 100644
index 71b586f80b1814ae1407eaf6d278b5e65346f7bb..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 32768
zcmeaxPf5)w&dgOvNlI5RRA5kGU}R))P*7lCU|?lnU|?ZD046j(BSH!%i<Lpof`eCn
zCIhqFBL?|}a*yOQaH<`Z7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c
z4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC70X!kl$jrnpE-TB}7+I2-
zl#`lRT9K5KpPUV&nVo}N9Yb6dLL8lZToquV3L0sdIjNZ`3Z8x;uI{cuIts<9g-D#t
zs#F9oKP{~|wFJQr_45o2b%mM$)~Ttf&&($7EX&A{nU|7UQCyglS&|x`SXz<~=EuXV
zjW>j`KojpAAYa8YvWrVgGd2psTn}<sVrd14VnTO2ND$rW3IRc$zK%hW3f``fItr;3
zsksFud5O8H3L&l$A)37M_ZXbybXeqn%72nSFMmS*p!_cR&GKvHm&(tRpDsT^zEi$Q
zzFNLSK36_XK3+aTK2Y9E-dWy8-c(*sUR_>6UQ%92o?D(p?yuZ8x%YA}<Q~f1lDjN-
zM((KGKDq638{}5XEs~opH$|>ju1&69u0pO*E=w+1E=Dd?&QH!=&Oy#n&Pe{c{2Tda
zayoK4AP<k?(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7
zfzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4FOt*0CTekGXn!lvjZ~&zX40LJ%qA@P__`t
z20~dwC@TnM385??lsSYlgHWar$^=3gLntE%WeA}RAe26Y(t}XC5K0F^X+tP22&D<3
zG$52Zgi?c0st`&ALMcNiB?zSmp%fsLJcN>iP_ht820}?gC@Baf385q)lsJSEgHWOn
zN(4d)Lnt8#B?zGeAQV4@;)77U5Q+yvaYHCB2*nAZI3N@|gkpnGtRPCBx!IK&k{sAT
z6mzpXGXn#2vl}S>8d*R}SQ?o@6tDa`hCHc8R{5{;FXemWo8-&obL11{!{oi?9pp{r
zwdCdHMdUf<{>goodm(pM?vmVbxt(%r<mSswlIxJGlPi`>kqeXakh79AkW-Qqkz<qn
zA^T4Df$SyOBeL6MSIEwi?UAjMEs#x;4Uu(|wUO16Rge{uWs&(N^Frp9%o&+|G8<$T
z$xM-Hlc|u&l8KQClJStSl+l)vmEo6RmHsXLLHeomHR%)5yQJ4hFOr@i-7DQBT`Zj{
z9WL!DZ7*#ott>4n%`Wv<>a)}fsk>5_qz+4Mm0B(}Q>tHniTq6YTk>b*_enKMHG;xm
z6px0$Xb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1J
zhQMeDjE2By2+$z}ggJO>SeZDOm>ExSEN9{~;3#8d;^1IpJgUo+2N6HOn#{Pai6<Ar
z+t0$uxT=yT2g2LObcJ#GQr>J<CPpS^#=We35sWi^IkQ-q7&#aj_p<14W<r_F+MF3s
zCX*INIx7<=NP#9t8pO7}8a$~G6ZbG(VVqXUlLF!GW-?=(;>?o_;q7ARW1PH|CyAAb
zlZlyeC+82w&b=Io5EFK)^CUo2?O-lpZ1d!ahw!#D%P}@<^Ta`T+ZY=e8&~nhLfpHR
zg_VP`W)Wu$#AREV)i|S}OeR&1D2OAss&GU?tk|l|69F-13v)4Jr9MwMgtwVlj<HOT
zCk(>d#MsDKGJ!V~V#P)lRtCoW37jDib2l<8aRx(~Oo|*q5Gyt+a0EiE*eK5v05N6*
z^E<}uMLhlx-g@SRj2VnPeh}U|CLzYu_q@IkE7meePhj+l=kS3TwpNbA8)Dd6SspKl
zhBb`+j2;(wJR!W*jD?Ku@jM<7-YSMRMz@<h?hxKe;UA1f4|&`mycLXJ7!BU?xI%c#
z84oh*>+rZhc*_{JG3u4^I74_#<tiBY|M56McuN>(GV-PJI6`=f8J9Ei?Bj8O@D?#F
zV&swGv4`*$iq2s8*1}^4;VoclWcb3&V+-NUXOd+2_=?8{!kfpijp3sSk2NIn=dv|0
zyxPKH1@Y-z86HcBsyU1k7+$LISU`BQ8RsxO@8B_q@Mba0VtDqB#|*-oDO$mBv5dzQ
z!kfW3f#Li!9uo*}I^$f1bDliL5Z*L~Sqx{_^B6&RQ$;Hnc9`=RLU>b{!Wgzb<S~Ho
zCNpkj*rLOu58+K>IL5F!jYkjS;)%>V7}l@j(1o~oqBM^VMAZbQFov}eJlYUmKjSur
z)r)wvAiO??V+^bA@MuCz=w;r)uv~>h17bq26puPYRS#PL!-6Y3Y7kyGYdXX1Tpm>j
zuZvlmVTKd03M8p?GD}!6G;nb$Ly}-8lO%@{B-M0Ea415|?G)!$0O@67?2u_sZswMU
zaN30n0))BcAe=VANp_7qvLHj57+ZyT3XB9fWgup@3W;$_Lz#l2oKjGxfC#4~l*up5
zDFJ2j32}-;nY@CWVo)ZJ0H-LF$<5Cx0%daXaSB73oV=VuP$mZtry!Kc&dn(RWwLQ`
z@<W-doSb}6CJP5AFO<p5&dCF1GO=-RL&Bhym4gcs2CXderx-XZAS#YB>2s7rR2<b~
zU|`?_6_!UhmNVYj&A`A@4GIxv#^bEtnK&$Ysvx{$EaFToO1zbz0Agl5$}D$)@o5ql
z6Dt!3BNIc53_}AaBS;|!Lo-7Im;8Q)Jedpz`JeJ1<=4wEm!Bs;RlY~QS-wiXP(DLG
zUOr6TSKdY5THZ)rQ(i${T%J#!Rqn6cSGl)xPv!2)U6DI2cUW$>+-AAea*O3=%T1Q+
zmTQ))mMfOamP?k4mJ62imUEV~mNS;qmQ$9KmJ^oamSdLvE&Eyawd`Zr+p?EsPs<*b
z-7ULWcD3wc+1av_WxHjYWUFM0WV2+GWTRvQWj$o=WzA*vWz}WnWyNLrWLahY$b6A`
zBlAS&j?5LAGcreH_Q-6JStGMpW|quEnGTr-nF^T#`R(#A<sZmjmp?CmTqZ+i^v(e~
zROO>qjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kinXb6mkz-S1JhQMeDjE2By2#kin
zXb6mkz-S1JhQMeDjHD1?XJO)CWIRy=p%3Ii=>546dS4C)3lk$F<6hQmD1#*n%3#if
zGMF;hS(rE(8TY0`^z2Q8(0fuL^zIZ0y(<}_Zf6pNzcUd+??`~q+v6ehwm68vTUlbE
z4CWXpgDDzf#MUT?(yfsYdP@X^-W(30H-$koY-9<AGMGc445na+5gUUbN;d{V=nVl7
zdc8k{UgrnVu$IXeqG7EML}INsgkIwXp;voC=v5vNdZjyrUf~9zm%BpfWiAkUsWXIL
z;sl`=J3{D14iI{wJ%nCh2chTNLg;xm5O>VAhVbWFLFhS_5PG%+gq~#%p=X*w=ozLE
zdb$aOo@NZ8ry4=%DTWYwvH^siqz|!Yq8@}lQ5Ql_(1FnX+7P-=3!<)96T<J+fY3ea
z5V~6pLU*Y`0<x1?1<GJjhUn~6f~e?JgwP!d5V~C+Lbu65G_(rKLK#9bP==s1lp!Dm
zW$;Tv8GI5@2Cq1j!6OD`aEn42Tp~~gr!bVkAp~Wx3ql!e0#F7kKa|132W2qxLK#dv
z5TCSiLtNa-1)-0YF)%Q2Fftw~h47D8L+E2w5RFHfE1?Xg3Wy0u%fTubTA0{am^e5Y
FngQbPqo@D?

diff --git a/posit_adder_verilog/work/_lib1_5.qpg b/posit_adder_verilog/work/_lib1_5.qpg
deleted file mode 100644
index c50310dd86f9164277bf96878b13ae948d888da3..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 385024
zcmXqEad%)~U}s`rU|?WmU}y4VU|?WkU}pjeFhj*zpfoE3JCig60|OfaJ5wzK0|Pq)
zJ5vZF0|N&GJChOv0|O_N=3-!HGGkz1;D+jxhw^zC*qN*t7#MgN*qQto7#R2%*qP2V
zGcfQourt+hGcX7+urqz<VPFu1(n1XEOgH!$7=)qbh(T#_C@le{B^lV67#SEC6dBl=
zTo@P_l%V=Vpz=~sS{h2rKxtVhEeBOE3f0fRz{KofrSHkYz{cX|;u!{_JzYW_L9~xT
zKoE%b_i}Xx(INT)`5?MDvqT?67vvXbg6NX?#1s&nl3ElGqRUc?z~VXi>Dbl9TO@(h
zWtPO3faoN>G6oP0iWde3ru{Jb$N&HT85o#(zCmcl?+_Z!{|Di7zlG9opfpq;H&i|M
zSE%?GDE%2qzk<>)q4WzV{Txbvg3`~R^hYTD0ZPAz((j=3Unu<tO6zbkFepI7orQsw
zg9Yp{Rt^g&os*w##KOSF0pc<;uyHVh7!0f&zZgO35<!E6LHa@TpZ~&Ob3l9t1_p-T
z|2e?=L43E;ykwAhC7JnoAX*_OKOICHfzvq~2Q<Dopz+0#0*MC>XgqKv#e?I41C$>a
z7+5*v!1@q0$bOJLAR3oD7#Uc>?!iWvCFYcZ+>;76myKw9SQ!`?K=#go`WKY`v6&BY
z7f75FoWF6q1Edb*eqIJHu(_c8$;5zg&mFM)Ao`i0{sGyK&<AnP38;HO;vjorG|YXV
zfB=buXqbKwO|1L)pzd=jW?*1uVB-Lp3yK#)?&E`o*AF7yN347Jq3%f~(LMZ7_b4zT
z(km!jaD^8rpMb&%BoCrXOG`lI1BVDSULHYdm^_FE#V07eg4DYp*#qK(<dJENd;m5N
z5&y)7ha3YxIQ<Z-9@$^W{s-kZWIo8fAph{O@Pf?;#XGV*ZuP=o^~AbIkbwuR9%Mdp
z_<+(YD4h{&jtB!E*c@Wji!un1q+W~x5)Q<guK@KIvFa5`RPRcndUvS$%sfMIItHav
zP`Lu5LGcX>e^9&&Li39V6ZZT9QjeS-GV_c`GS`4ebBjw7i$LXd30nSuxf@1<+=J|X
zA*lO(h_olav;@>{;3!73M-t3u<zRu<hv@bQL+#0d+Jh}$fWjGOei7I_L_Q~EKB&9_
z`KyOW^U>=yLgtG?-M@xN^K(gbzZlf~Glb0tryoM@g_-w;fO#qTd8wdu1Bx%?b`2r(
z#i8y6HH1Lz8eH+2oRbZ9FD(7RXpnuN_yW<Od<3!&#MfXZE+6HWmZX8)otcvgHeV8&
z?qD>?T#$Jn8f3l{)V(f5nxC1M1~C^LkGRt{$Uh)^QlRGI3WuuHB2arATwIe9&M@;E
z2$-LeSds_|4@0nf5&1?9%*Wj>RDg!d0;u_*@Io%H(D~^0!1%CsD<S(7q4pgk(LR{@
zDn+Saf2QQ7=7Rj21oj^r2N#%zv;#rz|NsC0e-JGIwGURGb26}i>oZt82S$VZ35rJ;
z4HJjaFmYUTCfI&NxP#&iRGz_TWPPCYs0wwL4hwPVT_r6!2jt)UVn}*dNy`NH8#0SC
z!0A*aEx!OHpPC10e-@<{gWK;pB@jL+U4q&PgyP2)>aKVq-Gy#XN@f~3UxNG#O6M^D
z!)TB=ESy2%;10E~ok;ss(#pa0TxJO*9Efc<2r{sO<DFRbatvxDsn=xSAW6M5gAiCf
zEZu_Ib)fVLOSc8kaDnAdSh~%Jio<B+@C1b`OdLj|%cJvCiy-Av5xjq?2kPf@R6x@W
z$X%dxgUg+;`~@o5K=#Aj3ClMy8r@!)IE+S@N9UI(LDDhIJ;cVN7lSf5oRu?k!TBR6
zGZ{4A!BL`H4yKDzm9h5gLG=+R|Ke)TfYLL_zd5Xs{0quop!fs18$^TnApLmkH3a2X
zh<e0$0<reaAm3gCO6)yC$lh{g4UqZp_Nb<^F^F#fEgzMk@|k%?njmpyV*_ycg%CGH
z70-m|H-YL8$jk$WTf9XQIQ~lXN-99+z}mgaQ1zw=_2Bp=q}~#$J_VY;K=A^KUr@aP
zO8=nr4WeP~Oqe`Oya(FfMi*BuP&Ng*&m3w#C|!WefsK>kQV(;Fa;CBwvVP@EWpfZ8
z7M=n5rQrMu_g}ngFi746JzNSP`e5}aBtNrpsHT9%RoFN{?g6D+kb6KhNFGGP_#hfo
zUW4)jC|n4QU%~u|o=-vI*vw^TWCZ6^V%2jnvyr5plMz%hv2qY?KDRj7d^WW4DO~R7
zW(0*J@#e$Aky!UAF+u7VP<lfSKV0rn;?MxQpIGyiNi<)XMDsNnnMiVv7K!S$NmQ>x
zqIv^T)ibk`<X=M)%{L@5{Tnf2AIHI!evFtUNOHe1iS9QgQN0;dJ+bNEoROI%_gg~K
zKe746l7$;=KC$kx<iI}Ohb#Q8nMf<IY@p#otov<AEN|^dRBumWdF#LgDbI-wPe&5t
z*BKhW#G3ES1!|WNU!J>>XucbVE;#)V8($twq?IS0B*vE)BP9HZb&n5JJ+a~G2Th;Q
z`AZJy{3Qo;{*nVaf5`!zzvO_<Uvfa_FEQuCAoG_T(D_Ra==>$7I(WYZJb%dnoxkLG
z4Vm|2f4~80zk}L0pmq&to|1!=xee0KU}6M~k1{YYWpgut=98IoWEmJ3-Y_!f@G>wk
z*f1dY@=!jgKa{}0oC6vc-oU_|1JW<S$eaTj{{+!6{UG`UBSb%l292jNFfivxFfcGE
zFf!+W`T-ysG;XEB$eaV3-(G^GelIfv!~g%%pkYRk(-}bSV_;y;yaJw>WSRz}!IBJ2
z(_nNd14y6nEOu@P4KkmBfoU2G3xp49?|}GVW03U0^b?{%{Z)|u_bXX>Kr~GKeXx6l
zXF<b#8XptHK2Z6`z`!&K6mB5(Q2sOz$b8r|7$0U1be?z`bl!McJSW&3xc^}4(CI%=
z`$6&A#K6c1(a$t30cuYklum+%ivd_YhaOlx3nL@c9g{$OkULwT>UV(s!2x&21}Gn9
z|8lT7!m~j7LH3$}`5by+K9ad0KFHi9P;-|+&4KxE1(XlUZy<Frb3yS0GS>oXE||~4
z$OxL4|Nmcj)*gs?py>%v4`dRkoe$D~1|kj*w>W4xK7ooef<4MKEftzBK>Z+)JvLB#
zz<d@)#y1f4aPvXpAoKq~#Np<{!UImDnGbRo$b1K=`CvW^Bcljpeh_XxB%L!&Q-O%X
z&4;<)1}cv3eo(pwnGYKO{r{gs56ov_WCXbv#D}^I9?wwzH0ZqXH0XRN+&+6&aJrkt
z&cMI`GIt3hh|i%1<|D-`C?A8u!vpHB3@8mVAEHkHZZ1q5M(+cMhwv;=yo2nS1Lkw+
zf%z<qjG%Jl1S4}6L_PIM(0DDVTq%LLTLe@;G%+x0LehZ%BQ)Q_!woFY!Z-~yjs@~J
zj4tH>g^%zoP`?u7j~GaL)&uid7#aC_!SZ?#aREk9JR{q~1NIsd+#aa9Y0cp95S|5+
z2br4yH5bMQmD9-Pz}yR!p9V7rY#$5bG_ZOW#%b)(bT0sP7g#+sy!Rl5H)wqkD11Qk
z_#k^A=?gPl!18#)1vCx@a(@cc{a`*)xIn}q<|5kzau)*wB3z*A;Nb$Qhd}0LK+T2m
zLG=={Ik0en%1?ut0}^jyVVnk5k0o5d>Y?GX1u0xW^&u!+pygUNG#%wY!z)J+9IlA^
z3mm=RHCP}Pb7liHy+P9%Jbws-^$E`c)u&Aij7m^>M$mX1C>)^TC7^N;#8(E7=P)yZ
z#%-7w7{x*P9V8A`$HJHgbswV&syZ<cALM?h`O{$K3%q;;Ig^2D8rWPG#%Twj=@4We
zNFQjv5EQ;p{TTTKR<489u`puPb0FjY{1=`EN;jZ<)Cn~oG_MDW&o$tBoI?-HXJKT7
zl$!$6z{M&9(==E(f!Y<IaDvLi!wD)54=2!kga`vOBdGm>98O?$NZ|yUKVU(q69e%<
z{sonD$o@r7mk{>~z|$qD-2w8)2B<$^d{8?F*&I;%1H~6qej3aiuzf6y)4=MH(<QXt
zfrT$v9}6Q~A1q(XK+0`-komv=bAZdyCI&`%P<(>;p!C$lz~}<0cLf-s>KQ@l8YB*y
z2mb$G0H%)-)b43wU~~rSV_}4-V_}4dvoM017ohr=Q5~AjAm(yR1DngjI1N;egYp@q
z-WQl=3oU;@>OtY#1I-VRb_?^I8BjhbUxC~WZFew&@)wA|1(M$xLG{G%{~UT?bu5gG
zpm+iC!RnCG4J^E%>f!kfoF0(UHz=Ke+ygD=;OQ2Y-azRel-{87@bm^1ho?6!XgGlK
z8FG39t3yg}+NkQpKzwBTVfhkX?}IW91Jg9Hxk&B?*$2`GsXqm#!RlQhXn9fyPKUy?
zK=IInRE~j-V*srI1+kbjLH-BDGpHN^#XmH^!P^mFc@{=Skomv=bBKWQ2e$MKEq5k?
z<yjcv<qOCbP<}_ILFE9boCS%)$^lTi0OcQ0dIhy#z<d@)Mh!^1hS$%a_y*-4n0*lS
zh<vCCQO^h(_Xe2*<AcHro4cX%;BxQ(e}QRW`&byKfz>1BLtOTO;t%BR3~+dJ=)w3<
z8gA|eNcx6{3oPH_GFJ!c4^Vp^<PR7hO2f?syPt(|8rVJ-#%Y_N?Ko&T0JjgUkA)Gg
z4`x4TUhe;Yj%lEF3n)Hd@r15_2b%j~>OkQIYL_2?$cyMg+^q>KpF!pz#}`<hi1Y!9
zSCD&P=|c}<F5?zxID`2tjEwpaakzcx=>wu3kv>54450V|^&>#x3gd&;8GzCUy1SwB
z(_rR+?PFn_23C(HeZbVg+Hs)y36OmUpzen8p)}lFLg@qDTw{p88FxU<h4G;@++48x
zSs15*?L$f*uyPSr-hlP7Fv9i0(g&!&07@UA_9Q4iVDXNwpHTWZ15F>Gc`t0?44U5p
ziNnfS^mGH($HF)btd4~dUY^6;1EN9Y3aI@7awja^fY!%@!XLDN6%^lKK2m=MA}#>W
zCm?e``jKgD=7Q$GK<4s5`$=Fv3nL?FeKp7*uyll;9wF)x=@B$91~NwiY7UGKTECBM
z4lF#N^3!1EfbC;poCa2plpd`i=|dA1o`l?C15wW?19b<CZwnEJn*(zPR37dQuzf6y
z)4=MH+yN`!VD5$G3p<Fp;QlZuUSNDE4L29;Ulzt`VEb4Yr)`9mZ@A30hnfrO--67A
z@u4)_TtfK`-Mygo?x1)QfQAo@52fMeg5A%;I1Owcp8N*Z$HEBL2g`375c8)|n%{0P
zg2w?x93kOBbp7cBQ4g;_VSHzZINTgqI)KW<>lLtlER55@>anB)P`M7OXJP38w2uT7
zuCRIz#)r~ya|y*4y1AfrKOl2q^)iePrQzm+-Os`}4QwBt_yX(0R)10{zMeqiYX#Ii
za085i3Euw!?HU4=OR)MHRz59;_M<=>8bIwc<aVD8Qo9e*-eS&#wc}v<2WFljG+((v
z;+b&|q`j>N<|CDtpz#EdJ0u|MFsB`WsG9~e2ci!#{sSA=1Fee!#fJyjy&QUAK9adG
z{V;cc#!Er!k3h`V1M`v8!}^gXP<NbwsDrx$q7Ty@pnX>$bA6!h0P~T|1@#X={tJPc
zdjV>$0;K;k4YZyWR3E~^ALc(VXt)JH%?0z3%!RhAr+I?qg=cv~)rWxj9C|Q5EM8#s
zSP9e}V0ol^FA?INSw2v6BB17g`7Df#u=W_tJka<kDBr>C_l4?<f$D?tVd_Eo2^0=f
zp!S31k?e=H3zDGj0PSM|g=+%TJTRYykr7t!!_3tIr*8pxIQT=|0a|YgDz9ODn0nAS
z4agm!{@?Ha9Miz^NbZ1@moRq(K+Vg5x&zE-VPu4rhcI(N<A2EE5eU_n1JwuP!_<Su
zS3vH#0u2waJd!&K!2aTx26IOc)Vu<yd0;+LdVr~irT1W{dXV{`Z~*g>)T6sM1gaj?
z0R^dt@nP<T<aefNAE539%d;>}gP1Qc4Q4;gy`fO^DxmHK^O5XFPrqSM^)*oSFh0zF
zSbstQvMzQSSRN_7VCgp;Y7S^UFvuTZK9aqlacxkz>p;!9ftDZ7Liy<VF#>9C3)FpJ
zK9aehaVC(tpmG3|uI@n1QG}%DX%C=$baNx2=5|2M1@n>2MGvPasQMnLdKe!TPM~p7
zkUK!>732=EJeF{ZhMF@0Y7Ur>$KDvI`YBNLFh0y)&^QvvUXVXP_JZZH*c%HqX9m<9
zFrS5y5jO774IKxE)n734Vf7d+eaAt~c>xJmJun~1{h)aUkb71@;~BKhA5_l5%z@~`
zEYIVi=Ax^EicgD!&VRx5!_<M|71XW=jdOwQ1&w!t!a)~0egaxQ4oZ(;b66M|KS1ke
zT>b;KUqIy_D8GU9f%!=O1NE0c_MCzG?+YXxror3;(TC~3L^S`w)Ir7J{)36b%!Bz4
zG)@Mx_X*TqnE#eQ;}dKSk~?2P)j|D<wVwqt4`x1S+zrHsrTbH0bA@Mt*0F=a0~DX2
z@Bs5Eum?1bhRvR2X!xuF+smN`<|Eky?e|PGU;(#>r~QG3`xmG`e?a*l|A5Sg)#sq`
zBar(yK+Og7k<9ggng{bYOg}anl+Hl?R*?BH^{{XP?b`v_vju7on2%&n0Ms6A=7P*a
z76;h_>feII(d|ithSLtHJzzcs_JH~~*z8G%+Or30515Z+PXyFIxY8HQ-5`H~`~&Jo
zV6z9*t_Ou1DBpt87nsk&$oPjqJ_YS-0O@0Zx)01pavx}Z0AybV)SWC4b?|%&(TAB&
zK@*lBbK&_9tWRJXR2-g9Vfx|f7#J8p{si?eK=w93?S<vjBVcnl^uXpIxs%j<3TnrL
z%!h?9Ed6Ce<Ld;}9x$JUkrCFPfX34#^!9lUR3B)+6e#?`d?fdQ=1oEN^+5f@12G@o
zK8NVT3`fuc5KuUR%1My9U_O$$OQ7aK=fen=PoVZN$Q|hZ22Egq?70AOmmZi8o%g%K
zz?=nXcQR+9*K?rpbdWrxJ;)47KA?G!X$8=7L<+L*U=}F-g6zd5E)Q*IV5o<-Bhkfi
zsV7z(<_}Wm>!qRof$5il@<HKnhJiT?(qBS^!*OtULgpP#FfeC<=Cwfc6tM6JhU$lz
zCj_mZLFR$fL)s;n=E3x%)Ai8y2W(y*CVvv@4p{n~DF~jAp9R`S(!|2ZxCWArL_p~p
zG|mQ_*M!y=@OgPqKM2%MfQ?sx`q!Xz1DfXorB4_iw7(PC9N72>R31Lw0Je{XaT-`X
z5#uAEeW@V(K<yNeeK0<hhMP<3_y}l!0mxiX{DI7c@u4)_T(J9D7^i{l!!tet)`w+$
z1TsH>IllmE4}scSu<>W~@ncwih3FTawF4Sou=s?CbHK|Js5nY_04i5O^OvA}2pbOu
ztrr9N8?-J7<Zm#ag^}?Hn)#r30<}M3?!#q1X#NG+{4!{{_yCfR^}u`<M#c+J^C9&W
zVqP1TUSa-)@lk1JxW7(A(>1y|B9MJ7aCMOKQ+O6k9lHC;wGU=a3<3LIK>QDP52T!h
ztQ!NZkAwBIVCrDzBKsQ@f3W%=7Juc?@Rfm-w|Zbc3nOC!#69qJRj~Mj^>dNU1;rPL
z4>BJ#P6Oh@%&&l&uL3n6%txw!VCKQh2blxXk4$4Tw-Rcu2Gm?IAIV&ZeFD=U@|fuZ
zWIi@?tDxrUK+Og7k<5j;6Cy7FpWg<V2Xi+zbE~1|g7)i!@(Gxa#avi;faZ^3=D}!e
z=GH*XwSc-8%x7U_1f6q)t^9<hi%DR4JnN0>pyoo>KXK@R`7Df#^$>B4`3so2V0k>|
zHbC?<Le>v)=z;kxjEt~)9aav(Xdh^O1~VVFz5~>50nL*(Ld}D%69My)!WCBEz|=QE
z)q~o%pm+fD@u+Wxst5H~K<dGKJnBK~K0)OnsJ{wQ59Z@h-wHJ!Rv&@+c+|H+)x+vb
zFdvWlcBpz-y#(eXsfW#j!osHmsvfle2;_b+ACLM@sCouS`J@Nt<5AxQRS#PK2{Iqd
z$D_U*svb7q3+Cfd-vd<-n~w(b@u=^Gs)x<{g84}5Vfh^vK7CO2ccA_S^O4lU=DA?%
z`=RP{pz6VVJnAPv)q~oVpzs0n@u;5&Ri6SiAI!(2eiBrD0#rSik4ODvsQMVFdN3c4
z`YBNLu=zqTACLN}Q1!5RQZOHndQb%j%3rYgT`(Vy`sq;fLF<G;;R)vBQ9lE!9yTut
z<|C<xv~vWe!Qyu&RQ(F*x&bgBNj<C_gQ*8~WI*l*jSqs{1Lh;ChqQAL=Ff(j4;l{y
zsR#3s)I-W=gnH1qS|Iab>s-NnB=wMT7omPG)O^_bPcR=zJ)~WLP(Kf<UIAL)fcZ%3
zA@vYK{d}l;2dH{5ACLM4Q1v!Y^<X}ddPx0=Fn=LbeFRiJn2$&OBB*-Md=w}=!F)XG
z7emztK+Om9@u&x-4^a4n&dULr59Z@hzZ7b|2h@BpACLNFQ1vcQ^<X|8^~<5^LGyYb
z_kj6G>S66x*gO^}9fRC20d5y_=)w4~`WH4%&;V&4P6Nwh8RuRJwO0me4w%ov$cWzW
z0L{mN`hzh0S3&hDK=r}+F#DnOv^7xs!SYD<!`czBd6?Bu^HiYbf%!=ON4Fo;z5w|j
zbj}PYykLB&z3}}VH=y=|<?-0R7HU6ez6E3+n9st<2;mD%gSbmz8YG+qra|V51g1ge
zB?P8H<_QF*LFRK1<2#`9H$d$l$anzLG+6&1RBwR#fw1-{Xnq<LzA!$FhRp-P=>6dE
z5uOF=pMuN<jUTu$FlT|*&w|DqVE%`s3xR2nd07GY{4H!g_X9Ls3L)b$v(`cV52dHU
z=6{=!<yjb~!TOaT_kr5Ea!`4gz0h+ZLB&0IeCQw49@x4L(86tyyVpbQgXx3CFU+4X
z8mewuFVx?l_9H0XLGx>%cmnf5>vO^K0@GmTOa=3WXTj#j>%kjIn5IpGii6w_!eH}>
z@E7!)OSr$d*dXKTu=zfizcv!-FQ_`WzcxYjiGbb5p$F!J)`5cgnEryTKfvWLu=#lW
z1(`n;m<F3)h0Tv{1luP(3zklwLdTiJp#FuP>j@7BX#7p%gr)<?ya#4K4px4F!W`C5
zLeQA~d~|bUp!UP`!}|F!buf3p<YDmwna@JxM~FP8eOgfSq34yt{Rb;YVg7^6w@~gs
zbaM=#_CwsqG!5oIm^xhkgUn|U_MaWpeCRo>aR0&juQ2}wK-IZGX;6O$5l>9hVEGaj
zE|BmSo|OPq9|5I_)mH#jp8=(b)d!h3Lc{}XTm@FnfaZ5W<tgfXHfFp-!*vq$+}UZM
z^=TmgRzTeY+P?<k?}X-y&7geB!pPVGm2ZI3#JU@1AM{+?X|VZ^2~cxj;R~}5)b9h0
z+rsAmc|i+Y7%<B*P{e@h6X-d)@c4zzFT>(@4%8m#Il6Flpm9l%KVj-1^CF1wg{4bU
z^D(+Pi=g(y%!8$Km^x_qz}*GQ$B_9T!s#5Ue-iY3V0id$f!Yh3pMiz%R%m>}!q*5#
z_(I0<5aB^?dV`q*btl}Pq=qZHIeVb~Ll0M&I#_;%^^;-w1QPxN(@^K7F!Kq-Tuc(w
z{s6T*!27M)n7csxTKHL+LEV2){m%#z2d#sL=wxDq?q{Cc4q0yi>Xv}!0p~)?p}FNy
zamc=1fw`dh^Z);a=N*9f4>U{!HtG(Pza12R42<&7eK_EC;Y|#TmSAxfMn>rT2;)wu
zI>>$>4#r&&z9U#1Ngdd51}4Vc5P4_NcmpW^LDe&Y#w$Skh9LF{z|=ABg{XIdm@fb`
zpK%{V+!?Hog%P5Tg%Ki-w4VsH4jr@~3t}$EG_d_FjMKpOvM^3V-%kWm4+?jPdl?vB
zK*IyHUl_En1+tGwfDyEQ479%mERJL!Xx%o*K8QLFcshib$21MH9~!ZL38WrmA833B
zv@Z$jPR4@}|H(uA%>m)FFoKd9IQ~KFOhNn6pyrl?^|LTCqKZRgK_q0o0BjzNf#D0(
zUx%Rf!0bH?<-_bf0^!?%)v+*wTYI2%cN8iPR*xip3?dHS7Y5bOcpNGYF;{>Qs-F?G
z?hh2MV0|o%5Opk!5OJh%1+CWxg)7vZ)4=AkFir!TgC!n8>OtYkzz%LtikyVlFAt3u
z##0c!C0HEE{ih+~@{suDU<9pmY+_(^1dC%y&u1a(ox$dT)+a*vjOQS<3&cJFMyNW*
z^H6b!`2sNW881M@ox%E87$NFF^E@DNq;LSOlK_PS`uYE$aSm+f|AXo&(E0zM{UxCD
z|3UjkLFfNZVh5eqfO-BuXkP^K`Trn3==^`sdS=l1|DbVW(E0zZoDAUe|93cm_I|N5
z89PDF{|C{a^Z)yNNjv`^6knk8|3UglIsYG|9(4XcNdJJG|4-(5|Mwy1Yw$qZ{fzgZ
zd}uqF@eY&^ZC5hhhVr59DaPwiKD52Vcn!*jwo{<>12Z?YJ;DvGx4A*}2m=E%{5}C_
zzmgl;595ZOKfz7tJ^|Q00#70KazBC6kD>G<DE$yhKY-Fue{)0q%Y7Fr4)rfL)Suk9
zpyD^7^bIHt^*1-vzuZ@$;#Z*bWhi|KN?(N1==T{kNMOIu05op_I)9GR`wT$&1a$5%
zsO$xun?mS3I9%trgT@6w=On^tn0X)?eBK;*|0gR4vVF+spMlgN^UEl_w*XXcgZu?*
zS0S5=ZV#wEh)*7*9^`&X?=67tHwQPfk?n)I4<rE+2d$p~#RJG*5KXN6D808p1hRe%
z<O~LoJ3#h>Xms}x>mEw)Er9L=Cg>heI~o*DAoqgkQs}wnDXEZi-$4yVP`bo*e*tK}
zD@Y$`o&}U%VCJCHu=A1QQ!BvdG!`W$gX#D(h&>2<A?LyqvKO>(6=W}T|2Np5AU?Xi
zFmn@=ioxf#7p10y&&$ju(tn`!Zy<9)-5PxU!!#dqPAhKvA^rrV4^TNo$iJX{FCcgP
zMoMQOJ}&>JreuQ89|o=0M7}44kUgONG9Yt7^Zxk!TU?q14o}d24UqYueFq>qrML)u
zE-A=epmUEQ{U%m|_h5k5`Gf2Q&FA2=*CjXzY;RF!dIsox&ysjQFdt?=JiJp<z~boc
zB;<cke+lFc(1Hhi?nuO8evT0&-ih@$s5}SRcMh`O0%tn*@pl3H2NvEb#W^V9Ol&#=
zt+xc(_ltmiuyD>vO@ri9zj*L@s<3!1E=>ZTXA9$l$`NAHF=+i7$bMbOI%=H$MUTha
z#5}M&^2;FS(G!YC(7H2_J)nJ3#Ka@0-3&^<Fnfzq)4}0gluufGg4Pv*?Cm1pKbZNc
z6$M~>@(UpLq!br{&*_DQD<OY^)<uBqJ50bnn7?4@wlt>zeBLl2^Fj0YAoE`kFdt?v
zEPcY#1F`j;7K11Q$R1+VYm=znjl}y~+(}gL!2mf26O<1@{s6@vh$hxQVGP*M#RQoH
zYDXZ`p!QS}iTC(qK;1{Idvc)XvJ<Pmh(z;?NmO6XAV^aBXoH3)s2m2x11S7JG_n5a
zC((VN@i&n9AbXJG3zQF#`5<{v{PD3s?qLF@7i4+d>PgEVg3$Yozy&M==J}l<cOcsf
z;)C2JOk%oHh1x@GxT=w;UY*2zs6pbrOPVCA*Co;YdJNdl^9F@Cw(z$k(R?cs)!UG$
z-j+o5_9UuzAW^+DiRFL`^d2i>(<iC-WO<V4ejjLhOHa)M-6zSBlMU(rfcA%j`dKg<
z)ZK@bC!l-@+P@6qL)RT}C>xqtfZD$%#-^4a+Q0&GuDxY^Jox^^)Vw6{Jt^6SkamS~
zdMf0elr&{<yV1<T1l)czwKM>mqim9@3^oTe>Wp-+Bg{Q88r@x>`kokfS>SLNsQd%9
z8;Omd5NP-jt3H&(_=$v?kBA>gKMm%87>yndpmlDbaOi=Iw_}SRa6B2IhXb^~Krntx
zlfdx<Yo~(hHBh+0+ykT0-38k3ON_e=akz`r_(>$O-b{mrH?i@VPGWp!lW2Y}iRueU
zERRY_bblF%>Z_pcL8M<}a62ECK43I@IsvVd2c?q&$UF+R^b0OGj7;Of{S|cc38r83
zWN`WgohJqAXMx%|#H3%)I)9?vWrE#Z#HOoOXt)upzK6tg(nn&xm_VZWQ=sZ$_cemn
z%Yo_>(E4@|4Qg+I!VyG+>J!j84<Nn@^xSVye1O^`p!^P^LFz#IVD~f<Yn}rE^WgX4
zK<gQhy`XeJ$b8VgN|1YF2$-J_y?2$6d7yomAoFU_%mcXx)&G$3214e6`q3csW)Lus
z-23lf=5HZjKDqZ8g3gTrx&I0Q^WpJl0BKi%(hD*12ihMEGXD#j`Ji-$9Nxvy_6o7)
zg7$lW%mp3D3>xP_jz1K0q4g}W=7QoIWUc`xcwQN_tr=xpALMRO{(!}2d<o=UEm-{w
zatATt0@}9<vd4!+d(g{Kkb6Py!Ij@Z>svwg6cDf{GcOHX&V&4iJbp{ae9%4}koi*x
zn~yTS1#8C;G9R=~24wyg0_MZwgVcBc&AWljze1$>q=rA}yj+m^UkI3Alnm()5DE{_
zxvn7dM7Z#$&!S{V`;}Pp3<#NrHh)6MT+lhNAb0u@Z7y-{g_)Z}z}!?4%M;K!v>^BP
z5Hgp<@&sn?3IgWB#<@vNzo2t(LGC_6r1_+#Uzqt%2$)|1y_b&E@&mMg2;_biZv5%F
zA~TPy@&mNr8f3l-0rPW7OfR5wX+h??5Hgp<^a47k7G!P$0dq6+3?c3Dl6dgEW*&+5
zfYKSro*Dx7<P?)u--FiIfy`Y%z+Bk82eI`sXq^(s+&u)$%_&L-_j?GHPoQ&eK<3>b
zU|uni=7HAvfz10tz`UFy(!vvTo+-#&0UrGMqnJc<LFd1M%+(=aZcZv`?gg#W0-5VU
zz}#XI%>|tk3o<u_fVnxjq`4Qe-j0={fq=QiB$^9a4-azh90KN|-xo}5y9jj70m%Fv
z1kBGViuVJJ?~z(AgVqCr%)ddv{9-cA2d&=+ng4@;`LKCXa>EI9UMI*N310m92R84G
zSYH6SUz*f#1DziPvd@NO`|`o}RfEn&K^`X|RE~kpX$0AqLZp4E@hRZ?A}x)yd;&V(
z5oAvf0efKc!MX8}@B`H|r1%GPJ|f7T4aC|*;&=k+{5p{NR|uF7TIUbyS3%;Rl>@XM
z9@MV{_3uFXLGx=MnzI3NpE{@>1My)rNFRs~qhaDO8YYg5hP4Od^UJ{Nc|ht&>6d}d
zwFB9&!-qfJ!`7E%LhnrnxsRCsD`<TY$ov2T=EK*K6lXxv9ooIxgwi+YJUEa&1qAGY
z<zKjcuzP_?v=4Ni8OXjVWZFk+Jb}(D1KGESfPFcs@%f-~95&uSsy(26uONG#5NQv&
z=5z4lPj9L5`9!tPK<6xh+^a#rydoma1D&e`GS7v8c_q2fbvY!KE1+|9K;|b9HXl6B
z5)WNpL~MQootpwOzkz`Hp!$cD@)mSH3CP?91k44kLnO!CJp{}JopVf%xi<)y8%$!p
zhlRrz0_MWjPZ27&KpVM0;VK}2KR*=ZLDnl0YcA-#7m&F+1k5eTEr6!8_##lbk(Uw=
zZeQd;*P9WW?m*|ifb8=j**?<R7oc-sK=zanZI2&O<p}6}7Ld6!2$&12|B8|!?nbN^
zhVWA>AnWE}^)jq{1hpqX=@+zq6hwpST@VdAe-_++U}Rtb)1Zkr23AnB0km%qJgy9K
z7i=B|WFCkQI)DMD9z+vs4s8Af6tDQq`2{Z5AnpLE1KA6j7lO5Gkmp%I^5nV)HopSu
z&w$LC0u2X{`;h%b$UU%m71%l}m^mPKlVT2R{sgoy8QDFgngg3B0qr-&XAbDRD3JR=
z=7ZFMXykAnjd%R{VyMMCvGd1*4A}3n2Bi;B{{oo?rI$bk4wBYC`ZLIoq+XW+`@Pr5
z?tr-q<eoSZ-4nwgNs@c2NOVs<gDXkqtC47aE!2F_etKAb289QDe-Kozf$}-%)_M>P
z<HKlBc!I=XG)x>u!^CmX#HO!WhB%VKqm99YB=toM8YHQgVh|%qy#|8_N$S-}j89b(
z)mt)<wm#d2MD_M0s`q5jBPo15Nz8xA(DH*&dIvRRK<Rm)(|a0&4N2jV%iu_o`Yvex
zCpQ1}kQm<m49X<AX99y1N$RIEfZEB#uLJiWF@L*|n4fYObVzc45rZ8`>dP4nNK)TM
zV)|)gum+p2oC#U?k&~GW9@i?-EeF#@sgQNHpmLm)@jBRko(>W0{Y6mw98~`hn+}T@
zK=bLW9C+Mm0O}W#>Q2}`t^)+zsa&qC0W!bZuNrJmZLKDF9iX8xsJ>z2fXk;AYlGAo
zRvXlU=vqxk_!*i&`d!M2%HVll(7Yn3Uu6hge*_Z;%?pCWVSLaz7|49kJRdS2G*5@j
z2i=DV;u{$l)`9E?&DVqYF!Mn3Z%{sX-8ATaOc3A5z_13S9&~Onh_9Te43>wDcf<Iw
z`F5CkSa`$aVg52QFjNDXha_(T;=}AyPE-c-k<174k^BqhBl#E1M{>U@$UK;SV*^8Q
zxPs=tpza6DBe_=>q#nsVV0onQ2J?~Z2lJ7_7tDu+uX3WY8OS^&|A6^0_rT;~;Q`|#
z*=G*Yk0cLXzmB9IERW=0uso9c!0WJ)%medb{#8y?2J?~hgU3${p!4d=iI8+@U<pr`
zV0j~RG`=Y+-`E1ehxK1U;R&iYVdfcIK+FY+gUSmead7-#5eKI;3~{KtOrY^vqh<`w
zcWQ=4kaVh610FAdnQwx~M__-LAo3f84|O+OJ=A;{-xR7J)V~MK7l8WJpms6DU923S
z_5(5>Bo697fYzyj{7>k-1W<VgG8etP1BqiZmz@#&ej8lsIhe8cdvK}eWCXQzFzZoV
z>N&W@!Sw`aoB-LqxYTnqa)H$o>t9$n602T{iIpVtrAbt;!O2UK`I;oE*X86R$$UK$
z(}N+2{<S30Jys;Dw;@rzEs5&wNmTDZqIypf)%!DHALqc8Ujj)~A4;NoVn{SUjzsmz
z?2vuK#OB{L67x$giRx>aNGsp!NmSn@Ye7=}?IF?q6G&7)g+%pJnIPkK9#;CEpmvC#
zi)R>!_H+q#1kpYU0YM<z-^<k*M2F}HfahzAGfVVAe2nvdQj5Ur_sUX>!2O1t{B-Q<
zAm>kUT!5T&#BL)2=^udVQ&2q$Iwz2WmAMTxjs!Zl4YXf^fq^L-w0?nufjI}Xe)<eA
za}MYp-V{azAG9txfsr`}bZ*WRM&=yQzK{(}%sC+aE-cJBpnVb<EC}^5b6_-RT|WZ@
za}H=7{sk819MF8&6&B_k(7N_NEX+Beb>~aCAo@@6GUx0Cp93lln(hWUodM)N(D`i_
zKpPwwn5MyKup|Q${9HZIJTU0oV|M608K8SPLFa9<K+nwu)vq8vq#c6Lhi(ob{`-}z
zJRoym>h6QxD?AGt?$h|d=aD1sqXDgp1*r#>Paqm}a3AO#Q5YX)&UZ+>O@p4xH7y=;
zpB3DHFm>qkAE^DHcm<u?4AIXt4Rl`x$R3aZAUX*eE*?Ul`>Htf!0M6C{|50v?gO1O
z4^qzozSoKa;SMMtW<TscLy$i}_WD501@n>21@S@Vg3cubnfpZmY(L!GA5cDMoCu^2
zW-ch6K;{NO%?0yW7#Tt5EP~?s1_L;K!|&yR-mf+71w<U=ZO{zXG}t{$pm`RM{g8tT
znWm*e(*>x#4YDT$Y7dwXx~C3ujt<;>ko_R{f$m!XnF9+43>qAe2=hVhU6A<^Q1iij
z7Dh$`H1k3E0%X1eL>$BY5m0e-_k+?k$ov?n`CvW^Blwzc(D~g^_rdQSgYu_A&&!$y
zJqHSIpFQ-xZqRvwAai-Z>5xMY%twk>(7s-fdtv9ScR<|-GasT4{XAJjzS{>)x1e|j
z*<&CKP8WJ$K9W7K^h3zKp!yMHP6pJyU_O#Lp#9Mx_b!0C6WzTKeT3Z$>aT$8F@d@l
z%tx{Zlzu?=z}yQ94_LT@$}^Du9H=|Nd?fv#b%-GS7ohHh-VY0pABaA}?gZrrkUcw~
z?gaCZ>;a`ikUhBE391J``a%1FK<Nm~N74_w---uvPS7-TcS7_Lb|>h*b&x%KpzZ|o
zk?aAbXMFAi-E$1mUjhwJFds=j=$>wne$ag!pnMCu9|J_g;sv6QuscEZAIP2qP<Mj)
zNcMp82gn{+c*a4)1!fN{{z3I8$Q&0gNO}kJk<0;Ca|}$=K=+V<+}VL<4n!Ye_k!wY
zkUejp?gjIa>;dHuWcQ-G6I8E*^yh%<4GujpA4xxG{SZh$=-gb8JCC5b6QYl>J3;;h
z*#kNN43y5md?b57`3qzZEL_pu3EGzk((k|n2~RK|Nxvdk0~7dua*#Vg_alR7urLGD
zG>AUJ?gZWI4YEf?1Y!@Ek7N%hA0xXHSNwz8Lm+cppza0pk<0;wGsqm!eXbyPg6{tS
z(J=Qy^bvM1sJ#WU#{lYHFdxYtP<{s4gDYG?^$|!vXuUfqUch`L{h;%OLHbP~=RQqC
z&sPwAgxv{h2ZHSJfw~jSN3sW09)Rq@<xWuh5v0Eb8lGT2l74S+z%flrfw~iPZ#!~$
zLi7=KCusj7$esYGJHdP;dqCw5$epnI6nfqxyxfN6e^9#^WX>L_d%=7pa{{661>JW7
zawq6sWe^P(W?-5I(MQ<5p#6#<ds3k81@n>Y0hK!-_rk&zS9%4t|3T(}*5`rJ0ho_u
z4k*8Y%mJM*4{|4Zc?Ho&*u9|h{XzCLK-~-GBiRGX7clq2!UGnrpnML}4_bc)awnLN
zq~8~;foU4-er^%Sy_xX%f#@UbPSCyNAba*e-3jJH@ApmNWd>cR47Q2d1js+2evk~r
z-6Ej%UZ8soA?;QHMo@hO>eoTrOOwFzc<vtr?GFX{19UD4D7?UY7Dh&X$ocSk5OD!U
z&^}6JdqC|7WP70Mrory91<i|q%mtkz2r?JO2la!H&4IZWDnAWo4%j{x#%W;nNcY$Z
zK-~pa4-M}Kr0@pC11Nkz`vgJuK-$BY;R2S&6E2{AfFSoLK-&{wK2o?q#03~Z=b9qh
zgB~tWb?|Tjokt2XHw9`gjIRSa*PDS+6WzT~`Drk7!1l2)P6MmQ5-wo%&~OPr3Kvj1
z0EY`S-GKHZg4~TO-GJrsgb!%nA;=$)_~Ot5^O3>_A}+wF5A_$!9`x{ms)L6QXubzz
zE-c-^_@H?kZ0?21!_y7eJ{HDlVD(tS2do|%J}yY%14{Rx@Bz*5fb2n!2Uvar%i{?b
z(0mif{gC+L&;#?4!UZBOzzCXGLbeAzT%hXU;R2eM0+|a-H!wbE{tnq3Sbl=a!_y7e
zJ{HDlVD(tS1*{$#E;dNv0?LP=a4~_V8_;|m$UaCv7BgRg<?)0MXnqgmj}PE}H-{dW
zj}$%-aRElqyc)7S=-~rZ2M-_6{zQ<upnJ$b<qeE)4NZUO?uE)vgP8-ikA-m>SUr~T
z0jr0Gj|o!vfXX3I_&7kr2eh9N+1;@CfTbU>Jf83Y?OO!-1L7_YJun|j_}HQOi%|H0
z=50ad!oml}2kkq==3b~gJbb|Ru`q(~?P<aiK4A6G@X<jEA5i%X3LhV6_&7q{jh??i
z?MQ6yfQ1`a9}D9&usWps<skJdWIW-|f8lAMeU6}Z2<*OP5Fh049%y<4^O4#E5OD#-
z_z>7Yh<PA$KwNC*g7!Ot%$)!=7tBYBCy2NJBWT|u$X_u3qQ?_d9XuU_&XES0`vht(
zj1SsRfou*eT|woi!OQ{M$HF)btR5+zK<71s^uzK2A$NerA3*MS0Cfk959;qDn*(zP
zR37dQuzf6y)4=MH+yRSEn0sOE1yK7OWZw&@eK0<hhMNoaFAL)|uzf6y(>6lKsc@MK
z>Yswly#qBD#)r~yb0Z+>6P^y(!Ris?x9IK#wIf01z5%P_(1Y=zG~8UU`&k&Lf$c+b
zFRUE{Ru9cL0!aA=)II^_n*@lvL_p(gpm2xPqp)y?`5P>cr(6Mzdx6{wsc$*-z<i|i
z3K17z1dWp*+k>7?pz7f171U1wnG370VSLa&9b|K0?uE+3>ua!mER55@>XFh3EPTM~
zq2a@U6h5H#7$|%|=Xrzdfu(DhJ+N>A%i{?b(0*8u`(foRn2!`L5OD!U(0*2Ad(guL
zstz75pmWMW=EBNb7(X0Z{-L`UDi1Gj!S=B*P6MmQ5-wo%&~RZu3KvlO5EL#s&~yWu
z{{XogSGobq;|U+o{#uYfAo0$j2j(M%4@6vmF$(H0m_6v>162nPAJBP&Aah~q2F3@e
z!{%P7JUrci?PFmC^Rd-OVD-@O`N4zekAd39pzx`HhEFV-yV27RSRPOK#6k2kg4_*i
zcYyg=!Y3Z0PLtU10o^--96m5UX#Wtl@PW#M!vl05AJ{$?#%W;nSkezzJv4mYAcYU8
zUjYiA7HIf@_9<br50<~c@_51rw7&`D4_Nqs`B=gSbe}x3J%rLvDkMA^Vd)3P2kkRP
zHU}1;P<eRxfbC;poCa2pC49i@q2cocDSSZvB2f5%_8EihLC?pqZ~@EX2^Y|L{-AJy
zlp7p+U_Mf~K*R+YGa>%egxP~0E>Lywd<7bK0GSIbcVK+bK4om~h04Rr9k6{YjMKpC
zv4jg)Jv3bIAcYI4{|5>eP(AtkKZgh?UO@K2+C8v%08QwE#9{t{iNnGTtdE6p8dx0(
z?YullI59%na~yhLJ{8(|`A~B~{sQH1Fdr$NAmRexi`YQ^g83Ico}lXB@dVmm4T>jN
zI}gSOt(QeM2Nph1d3d`5G=B^#_rU6r;t6!00Z2bAd<nS&bbbWL9k6yDj1QVeM>YrM
z4yZib9bo%d7^i{NBe??>pXmNBgN7%pod@GXX}Gyy|FSSn1KWqxZo*|Q=spFIKVa=V
z7#~W*%_X&+R{?b|tepqrLut6VVE3~yP6OMA<X%`i2CN>MZ;l}48_;+KDBsM1mbaA<
z`!L3VK>Nk9#Rn`r!1`Dir-9XxkZ-CX_QCTFm`{a#13Hft6d#cI=g<T5vE&=jd9omX
z5u0y7>!(5H!txD_Uk~vIMz}%c;qe5vkA-m>SUpmDZGh@0Hs3Tt)HA~J4UFFe5r>!8
zuzUlRhr0u89}D9&uzDnSz~U1UZUXS~q8VZ?BP`#*_)r>dF4(^;jMKpOA*DlH=C(l1
zh2<L<A4<c`B{koG&L0596D;4r_)r>dF4+AnjMKpOA-NZpZ@}uI`DO)Dz5$Knf%44?
zXubjMhd@q8uyBX@8!V5fK5mDG17zHdLl4YHO0N)c0Y=bz8f1IW%U7s6c)WE&&4rEI
z!T6x_1CY&uxfd!AAGZVB$HF)btR5+yz`_Tt9vVIikirKv{s{^n(76I2dtm7rW)Cb}
z!18#)1$2G@C>|m03=TaoA1PcQ;sT7l5P!ncJ$kr6)xpCBbWQ-sTv$5=#s}RagU!8A
zd3ZYoY#$5bG_ZOs;R03<4VM{6;Q|`J1%=BNXu1KNBLK1w)-Fd6AK1DfusS^DDyZBA
zjTgbjohCxUgVBK<GEWTVBZV78TmZfv2g-+s&m^e+B~bk^K9rsYQ-1*B4~%h($q@ZG
z`x`L*u<;krxd)*5SOB#T#-EC24lI12@^E*6?PFn_23C(0AFy<WZXamE4P@U6sC_U#
zl!luN_Ad+LG_ZXvjMH|bjrX9NJ00R)#yL=PVSFeJHy2m9;d1W`sJUyP=EC?;8g4Gw
z{Va^r!1iG&&%o-T>9PSSU4rHbK<RQO#2$D)f`vECU0``U`E?dVKO-c+ap-~hNa+qD
zF2Fb&q7H5kq5KNEPZktku>1<+&qXr_=3b~gJimhNV_}>IR*#fEVC5KCJv3Y@kirEt
zZvhII6OeQ+0=mc=Uw#G4;|ZVn5ck6S>tH@o_(1xp0*s*bkI43*hYwU8Jiji4np*>%
z&xi3BLB!$t5EedAd3b*mY#$5bG_ZOs;R99=4W9y}@Bz)kgTe>2UIw&|7dk(j1DyxW
zfzJEoK<Bq|pz}F7(0Pj-==gjNbi6SKI<A%j9Y@N6j+f*>#|3hr{ofpDe=i5xe$9c_
z$2rh?2Xwt118BW3G+pOF<1t4NJb#N=*9#h72VuxM6z0q;(0GQH$Dr&4UcV^})+an`
zF(f>dpz@5M^$ehSXsCDzbbTfxXg&*cFY*$QZU#ni=z2UxusRmTJZOGm1kHybsS^Y7
zLE#BCA6~A)*NuW4%fK`ZY%UAqv;)xfiy-?z`j$f6hY{aU`zFEG^Mci}FoLcR1i29I
zPmuAT`3Bf}7g&9=3}P-Ls2l|KL&1C&Mn-78J`KFwl!0j)ES#1@)GI;d;o$@ohldkr
zz7BM6_zI9KkirS94k?^K^K_taf~pe(@j?Dw39$$6U-WzeaWA4By9%P75mXL>`~l;y
zhKR$>f#nmZJh=P^jcbAJV_}>IR*#%dpzD2M;S1IWtv5jWVB`5~Aoj?E%>VtLgK;gC
z56V9v{yGTX<p+2@vH&AgJ>z<)_#db^R3GC8h`2LY9}6Qy9Sb8w9O<5J(EK7O{2=CX
zOaq(C!Z;0V4h!Qn$a-kRJ@6p)pzsCtFF@ldkoDZmb3pBB5Pu`YUU>${dTho`P(BNk
z4_ZI_`#*;sSRD%^BPd=#e6TvCbOQ@7sCsxh1jjQ{`Ua&Fkb9v0G<dp&rMJxx`<0;b
z@bm^1ho?8t{3j?JwxFdqusWpl2AcOoQYQxDBij#am%-OVgE9^S(=@QTNbUyN2hs;w
zS1d3MwjNmsTAo1G?+Q$Vt^0+EL)Oa*OoOeng^5GfrwUAit!sseL)L=|OoOc>g^5Gf
zT?$Ntt=EK!L)JM8OoOeDgo#7e6$-%DBf`WX>-Pkv!Pfo3#3AeD1g62(*}=pi>(d0L
z!Pd3G#3Adz1mNq(VB(PVRsz#t>$G6vko8Rh(_rhOVB(<h8PNKB(7H7nr1}UP4Gf?=
z;6W_r%&m}g;S4H&|Nj?&EL&$_g0D*l%d;>t{(zSI+aT&O$^lsU36^JJM3l=QYmoMT
zfViOY6Sl4mR(@`Wm<!GapmGPyXJKRn-CvEbo(JU{Q27CCC+~!s11c{-=D_&7AmSMA
zhRRQanFF?ug>f2KJyQ7v^B+td%)Z?aa~VPFHbM5m_)r>dE}{N8y19Fx=7P#Wkhw5E
zl!luNc0Z`y4N}j-IBgTO{S7NGVEGWNkA)Gg4_4lS*6;oQ&oK?QE*2C&pnej%eil~n
zJ`Rj{1+_~+=}ZD5FR~Zp4hBYeyA|YqQ2h@JKd?Lz=>yUZVwwg^ANwHYGQMGe^w+?A
z=spur`heA=pm0RC529WG-VOxqZvnNFzd+4_@ee}G$8a}Pej3ai(7qPXxGq>dmh=Hr
z2TLD^Am%dufZ7M+Lut6VgwhAPxrd?Vet?<_<3nk<xnTFRFir#8hbMi2^|3I*^}*5y
zs9i;k^q~SxA4eeW#ux{Lr4O(?5$OZcK1QUEqY!h!<NTof0_L+YG9H77!|g*)AE0r1
z<nrS<L_K2<G+bf)6A*E@Ik0pMm7fMP2W%e;<20~(Ea?NL4wgPnLd*q^TY=mS<3nk<
zxrEXOy1A#I=7PpWK<2{uP#SJ7*!?Vw)4=xONgrT+ER1k{u=D}i2SttaVE|1Zry=gf
zD5uXr#bNCiSop)z4Okxw<20~3JmWx+{uCnJoQ0UnSi%S?SHOIv@pXte;q^!8=AMI^
zTLCo}%x7U_JP#3v`wu-mLewM5s|yhIj4e=eVEl^^akx3K@PNusgP8-ikA-m>SUpmD
zyad$`3r|AsxC~Lx2-=SYN>4EU6^J-SzJSWZ-2t|bg>f2KJ(4?M@dtA+EMHuOn9JAz
z^*4+UrQzm+{ma5Q4QwA$`o(4LHK@5gP;+5?C=EB4P<}&q?{%oTHBfV5d?*b!7wmo(
z#%W;tklc%2--7kAFv9i0^4kQ6`4rdJA<+B=+MfxkKcW3;aQh3n{)DA}SiIbX`qKcs
zf0jcJ#=iv-$4HM*`Drk7!1l2)P6Mk)N(Z;0`f;U4n0}Z$?m*Ntnn2wF<KKmdW28r@
zJlq{%`&byKfz>0q1D0;k?Yjptmr)05AB+#B;pT$<%fdJfY#*NZ1?vONpMv94U>Yob
zLHpmRQJ!vr#_xTIyD`G!0aP5;Zi1B`F#m(~u`o^ptHV>Cg4Sz-+KaGu+CzxB@cBwG
zAE`Wr%>NTEPtnbN1T_~j@5Z4A=Cd#|K8A?H{fAzTLDVD4Q_#K?Q2P%wp9?C-VEm_O
z=D@-ODi5Cz1KY>KI1Q{GDcwAS>W76VA$L57sApUNU7rZ!gZ4#(@*~U~m^+~IaCd<1
zV_^jEqXxA{Vd)1Jf9U>x3AJwq)IJy=O2f?s`<I1r8rVLh@o`+{zJi*&1Zpmf52fMe
z5-Ly8-TNA9?i8rGFg}!qn+tY73*$7feMs&_FHgbxSQz2@VBrOtpQJ{9I{?jZpmsX8
z_=ANXSRT*#_gkpHA@cwndSE^aBjY=WIJ|#?p1vUJ5$XIrL_OmdX!ybSp#B0j_d(_1
z^8sM{SQw{))gz^I^l%011E~YsgDA&9;|0_R*C)_${Rr_7JfFkz1uR^_@<{y#(0B%@
zT!QsyK{ROG0kjSmBn~UDK0(Z7d;;C?1Lm_ZGJb}L!|g*4Z-{zCc!T<rpmOB})EpT9
zE1EelcSGf;!OQ{M$HF)btR5-6Vg7@ugVlfEAm%c@f!YV-Lut6Vu<<w8H~=i&!_0-n
zC)hnKjMKpSSs3B^VfMoIcXLC>7rsO72ZbvG15**0&%($E+K0fwzzm-^h04RnEq_4b
za~coie(h<{`2e`QBiKK}vq1f2P<Y;e?u&-;!88lwG-arM=soIi{jhO%bbBi}K<#_s
zS)l$qXuJZnAA*B{ISb@o(D)-PJka&oK<x>D(ol79`(W<<2@Q`cQ1`<4V44W`qPrWL
zdqM7OfVe{hbj~^`ALD8-!Qu^;UVcN}2TLz7{vU`qJe|YRCsZDu4#4)YFir!jCnA0R
zg_z3-%MUO<l!lv2Z2APdhlOz(SU(Zz^B>fHXnYod`7Df#p#3!X(&rRN_)c2^rD5kU
zK+Ty3i|_wX^Z!8O8^#CIER56kL-j-Nk%y-j^mvAuiyq&g{WPHT334we-l6FZG~Esw
znTMNq18UD3D2-n3!Q2ZP#{uQ1A5izg_+Xj{_oBNSn|nd-WP#i}E&>`z2Bl9}zXF!7
zVEzEh<EaOk7#SEq?uE?ba_E8iER2lI5OH`v1HD{^s7I8`pmX&==783%g4%m9J}a6z
zF!w>_;q$&=`&byKfz>0Wdsw*%D_6n#SRm`&LE}lVa`pwdyrg`5H3b^3Y&hHv3s;zX
z!0Pa{+tJs<utUs+uZIEik=pI(>o_=|>I0zbEns{o4PS48D}QrB^y7?2bo;m<>KQ|z
z_QCkv5OKIUuy};Z!`%V4kA-m>SUpla!om&RJ|2j<j6P8NV0<VIHy7+*7RG5{`#|IH
z;P^)DOF}o77iw+<)La-JO2f@1)Luq6mk(;L2h>~`A4<c`1-qYxaT?e@r2Z+caNviU
z8v`{L#)r~yb4gvVBLFqm1!^vg52fMeg5A%;I1Owcp7uRhA87w0*gQl#Gy%+~JpTzo
z+zYQK(aRn5`c?>{9^O8K@r5DcaC2bk6)F#}7s2+iFir!j$C6&r?E{@-0V?NU^(~AK
zrQzn{%8#)4hm~t!_pmTd1MA09-@@t{SbI<u;x9-w!N61m=Cd#|g7$;rt8XhH<=V6!
zC=ENG2Uah_>Mt><`6bZv9AJDf&B8bhv<?q+u0;XV9GHHHgHZR2g4+M+^%rQo0aV|D
z+zYD5VBrBX2S)FKntuUG!`j6#d6;{}q3$h!x);U=(?qxz-QC#S3v%ZRh&$ltpP`ov
zpmWeb=>oQH5XOhn@O*|V|4Ksq0WV+C(>=O<QV{j<^?fkDG(;S34lLb6<>BrC+sDE<
z4XhqZx<|K924XHFDE>k9JB$yd;pT$<%fdJfY#$Njv@F!z8IbZr55|YmaC1p5r{$pL
z!q!#7_)r>dF4+AnjMKpO5m8RdL(QE6buWw$rQzn{if34QM-N{GsJXCpl`uY(hMNm^
zKMUhDuzh&SX|O&l<@5%KyD1*mmf!%-Q;R4<+zl^hVd+*0O&pdEA?2n3yqpB<XJMQM
zR*#epA^j(WI%3OHnEB}XVdXfi9D$Xm$`E%#iU9@&1`wZ#1$ul8q_6>#pndJ2asbR>
zU|>*z=)>^0Dw;UV-{|fE>t|t{23C*cZ<zaG?j_dWF!Ry%!^}bVw;IHqaDRjN*!&IJ
zXO8S|b%;I;e`}zL<MKCHKMUhDuzEW98@>M2gt!y#ZxA1wzd`%<k^POl&PRqDv5ywA
zzJ@syG!6$^j|R)%u=O5>&~~a8)LtIQx->m7AF16BT9*py&v-)DQEEfgCqU1!gz;hK
zgU<B=)vp?md^im(4_bEuHeX;G%>E?sc%kqt9jJLJQ1ifiB>Q3NVeZw1suuv8$Ds%2
zBdJGsFX#YOQ2mzyH6O-@xfirP3gliFsC&WkER542=3}~7A8J47U|mps1Lh;y4~amg
zX|V7DogWIazW{1Kj1RLP(!Xb#mIAdOERWQ0ON54#A=KUys5xLhXx$)WJPls|qx;_o
zs;>g755|Yt57}?QG_3(@KUf~gepvX!;>#FnUJcYdFdvWqO`z%<pz2|KnElZ8!PDkI
z?FY-VFiw+z#P2ki|4pIhv_Q=P^YPeg236kyRS)CC>;;`80E+iLP<z4hER53>(Cjsb
zn$rU{2h7J~uLV^71gLr#A7(G;d`FPIccAuy<&nZ0=3h&wIa8qKfcbdrwSuaj0aXv<
z!|Vl(CxPt!1GN_{kHubVs5x_>=79Nl>;;{p2TI=ypz2|Kn7xp7vP{z?IKlNY_#9i%
ze3b@TINL()T>>=+%*SJ|9aQ}asCpP5W-sVGAdr7ep!S00Ss14opxJ8=HD?Xf955e`
zy`c3jApdTFs)zAm_JYoX0NEP>wHGYU!Z^(W&0a^Sy<4E>fcbdrb%Ltj0aXv<!|Vl}
z69KZf25K)@9@Nf4v)37F&K{^aU_KsuU7+d@K-I(eFnb~U8<?ief!Yg}$C9pGq2?Tc
zngizJvDXc%{sdG#j1RLHR4#%1y9a77SRRYL?oe~iK+OU3@!0DDReu4h9>#~+3p)1$
zWbYlQy<mAP_Ig6ixdJr@%*SJ|7gYTXsCpP5W-sVG5s<xqp!S00vDoVkHRlf0955e`
zy*^O&51{H{e3-qEeIZQKB)A~?7c7s(USFs=PoU<2`FQO0gQ|Z4RS)CC?1k*tV47wE
zwHGXp#a@4?Id7omfcY$pjIi~nF!Mm;+@SeLSUVyBs!s%xKlH$SB=>^GbCKIUfl&1y
zp!UG{F!Le%QkbTNK-~+L2aTVA%@>#kvmaKE20_jH0yPiJN3tKL9_HR)sCo~uc^rCR
zK9YKL_l7{#|A3kg<HOtw*)PB}tpw^`usjRnG>G|_?hS>S_Xlbon9st<2wT?)GZ$3e
zA%}AqRG$yj-C#bFyFujzayW-W)iXfnDPVk<`JnTBLHS?;)ZJit(D_YZ^982C?1zOj
zsGkBVuUVkxf%!=G!_>pVITC7r0N6YZJun|hJ-U0Npz1lG=EL|f_kzw12Dx_))V*MN
z7RG51^D*5U4K<GkY95#mI)@&uod;V726J}|R9^_x-C#bFyFvFXBZqS=RJ{Py9vC0y
zZpi*IrfFxO?gq=VFiwM*FE9;eKP;T%pyr7{%>(n1?1!m`xi=oFJ_71qFds=hx_c9#
z>LsA&!}u`wLiV{aO?v}%FIb+1aT>&YO!p>2&69ze2j;UdGTNYpGpL?HPR~hDeKAmX
zgZW7AMsI&7L)9xl?Sb)O?gpK!1xg=0+>r7WEDxGT0-KN7|44zFrvfz(%x7U_^dR8w
zRH(iLu(=$1U_Ksqr$N<gK<$C?VeST<+XZsB4%FRXc^1ZL5c4tJoenim2WlRe4@%cy
zzQD9@==?lvzYmNLTc-%K7q&hQmX1L88i4Y53e;a<K9av|!0Yt{;Qg^os5>mc_HyXK
z_%QP!`zV>Fc|iRImS<s{1~Fe?8q9uJy3c}|X9G14%x7U_OhAhdm_C@hv!VJjpza3q
z@wht&s@?%=4~!3UH)P)@)3g+*yTS4-jME_IW4b#RYMu+!JTM>0e)N899#p*tR6UFj
zvmbQMHYh!GK<x+1gVu{f{0HyH<wMQ!ftmy6<FU5@sy+a!9>#~+3)%n5G;ImgUa&l9
z-7K2Dg-~-spyq)2c<e2Ls*ixGhw)+dLiW)zO*;U!7c7sZeNqfHCkAQ`n2*O^(7IDl
zdQO0<hw)+dLiYVKO}hiN7c7s(-cqQ&DNu93d_4A+LDgqK)x-EOdqL}EK=JznYA;wG
zi@oJgb3hjkfyPI`d=^H=9JKs^-d+K%rv~}E0BS#s53?V%&j@6{2oI#31j{4Y4{I;M
z@?|B|9VJlnz<d@)#tH)NsDkRNfa-(sVeWwJb7Y!k0Cfjg9*;Y!q2|><%>(mU7#Um8
z+=1S%0Ieejg+~KaAB+!k2W0;^(=;EbJHYZt?tryxVBt{<bw>--JTRYyk#Pb6cho`k
zbwKsO_%L^X&f^8eO9s>(V0k?5sE3-@12qrKXJKTVgXRwO@)EQU02Cgei>*NE3d~1J
z&*<fRBh;P=P<vo}n7d*7hd}!;LGy-Sc^1ZL5c4t1%O<FKQ=sO7`7Df#D+su|8LF=V
zQXc7n`FPw7+UEiC=M1PlFh0!Pko~eu(-uI(8!XSlI1OSxrn_6A_RoQu2j;UdGQ!q}
z!_0-PgN4;kpbN-A?r4F!8_Y*?_ZslHB%)qzhuX6MY7dMLGaoV@%{1)*)ZJit(78fj
z^982C?1!aS&^{B8{Y#+cf%z<qjIedKFmwN)xuX;6julXSFg{E@<opDtX%C?80Lvq#
zSI~GlsJ#bsM;Fw*HBj@wd=^H=GidRS?v8G#z70@)Fh0y3kadSl(-?Rm<r!EWk2^s7
zRY2jf1!^9c5859K<|Eoqu>H@l_7iO1GmH;99|U<m09J0o;=LE@?hbIgaOi>gNb!zd
zZudde9|60ALl4G>`4e<c2&jBef%+3H&%!tjVm@X+vma{S38;BsJ_{owY#lJnT=aG}
zsDA+ppEFQ>Fg{E@Y+Zp5)E!`Xr0|Bd^I`Em5$cW$Q1ifi7DmQN5OIuoCYU|2c`eww
z%gGS+@O6+dKB#{KS|0~nzX_X{g380^A;I>6&Pf27gETLN%f6`~bqtK~btf=Bl!luN
z>IZ|$J=l6N*m^aXxv+I0VE2H|4FZ{qWgQ6m{2l0^3s5}3<|9FTZ1Y&4bElE#u`(d*
z7je#GOb6M|z{q$98Xqt|vU@@4tOOdrV0k?8I|FLo1E_gmJ_{pb37R|5)B8-Qz9&$9
zFh0y3uz9^1P<Mdkk=y~xZ?JSW3u@jAsCi&M3nL@!Tmo3Wg{}LA*@K?`XG6{T05u23
zhpC6AuW5Ur?gGmrxeGo0&w-lv1!^9c&%($!7a~q{`kx0;4^NLU{(Oiy+#IO;7@_j;
zbPBeQg>f2KJrU_2bZ;Ce{XyoNIrLzBC=EB4*z^x}4-4Zouzn)a|3ZkrAl)Yh1_lrx
zTlxo`H;$bCVe4jL@daBq3M-cvLCx)fmdjv1Qn~yFJfDlGj~7GLGeFlL!T2!qVfP^2
zfu;wrJPYGAi1`B3VD`h(=@O`UEKu{ne9--iU_PS$fte4b;r;HVQ2jh$^&EOIK2+T#
z$oWJ}(=7NP^&wauDSdu{x)bJ}Wl-}3pyq-3ER2i-XzQWS^T~3kJ`t!s7$4>i*f|Ih
zP<Mdkk=%ivPgX$9lYp8B=Cd#|%AmOey&PEy)h7eh2jj!s0XzSo2I>y5Jd!)m%aK)3
z^Aw=wf%%~ESuh_FKN@K6g82uQ&Q?RsnE*{^U_MehLl4h2Q1u#MdpPu9e3(CA^}`aV
zKfv;!eOO@gF~^D4Le0~Gng`~C#)-gugg;CO_+uT^oGD;?IP}1LJpNb@Rc`{eheHp>
zhxr4x58w>cA7FV<y$m)V(;pk4=2<|^1M@-q5769=-j3b~)n^0M2jj!s0SbRmJ@*0X
z4zN5@x<GG7Z-ScV05uQHM+yg+dRY8#hN_<d_7{g9n2)3$-Mw3&>Rq7b!}u`wLe4j1
znkK*x$=6_c7RG51^D*1~TcPH8K+Oa5Sr{2%=jg!dL)iH_Fg|FVHgdZb*8he1Ya7(u
zIZ%Ir`AGgk?|*NHst<v>1ICB>3v}KKC?0g6{sPOhFiwM*k2$Wt18QCb)I2aBbj}l6
zdVu9?n7emE^(}z98_Y*?H+s3U3#vW_Y7dMLb2sF?H>PPGP<MmnSs155%*Skx?}nO}
z05uQHXJKT7ol^u0f7t#6m^~mq@_fS{s5u!>b6|X!deD6+pzzFqx(h6il&)dxxMAi0
zUZ{CFQ1ifi7DmQ>5OH|B0=DiCW)FJ%d_P1zynO=WAApF%&4IN~pz`o`1lT^%c~&6x
zM6^#1g48iE!uta-K9q)=OKkfD>>d`zX<+?W+9$B~C~RHfA*lVZ_Bn`;t$hO7C&>&t
zZXT=@eVs9Ey(TQ39)_B`1e#94e57=`1-!l!(LOl>RbK&(KNuetPoVYKp!CoIO%Gss
z(7I```2y2m_QTS{QK)$}Q1ifi7Dh(cxmmDu3Ns(lKtrt4ItJC>0@V-W!_>p>H(dgC
z4_F>4eZto59EY0I0W}BAM+ygYdrv^s_dwOd_%M56_rD&1+6$J)V(&?)ITN7ffcbdr
zJq1-i1*#s#huI4`?~!TR9jLuvc`WvxhMF@2Y7Ur>$KEqg^>d)=VSJdquyYlEK<x#~
zW3l%v)SLxSbHIE&_MU^PUjkJR<HPKQtgB?2CL#c-r@-<ojMG+t(;EkT{^C5;oE1=W
zz<d@)#xH2)IH;aRF8@IHM1%SzYoPjIe3<=^^BS3^nLzCa%Om+4)=q<^_lr<>Y=D{v
z=Cd#|GC<aM!TZs$c04SfUV`a^_)8DWM+!Iee#B*{`Ylj<V0@UnA?t9Nro}+r4VGtN
zoVEdMK4w4S3e>zEQ1ifi7Dh(cId-so%7f+)nEzq^xC%9A3)mhGJun~1AL!wK4XXYC
z)Ls}L<`2-lT%hu%0qPI1JPYGAi20c9yX#Q%jzG-=^FixQ2)O$ORNoG$yTN=s?!F0C
ze*$U`j1O}+Wc@eOv;|OigXLKmr$NleboVW&d1s*Jf%z<qjJF};@cIr`Z{9%@ht-3S
z{xxD9JXk;I+!K&`q<Rpx{u@^B5?imq%tzM`GY8hMfYobvLH06$c9nvwD+UlBTfGLl
z&kDKUeGj4!!{7JO#9{tMcMn)U3*$7fdL)0t+z)dvvHpgckFFnP4!XY|K->wbRu~u<
zKzwZehO9F{)aMT&`Y`<c2u&Q9zrp%h7^i{N)4|{9?U=_9cf$P*;$!nSWSuX<-%lX=
zF#P=#O&sQLjCcU41D*c@rD5Zyuy}*T6S46PGap?)%pCOieg?7^DV>A(*!&GyFNpB>
zbBI0+f4@Kzhxr@bJz)Ky^JYNm=@8%O{(cFv7s=lsJ~n?t*1;nD{R*NF!{4vb#Buo>
zte=H(8dyCY{EeQ@-$2|6Pv;;$Hh+WeTSG3_koSf3a585>)}1qF!p_-+)wAg9ZD8l>
z!sH3fC%uKZ4<meG^%E=|!{$TYLDa+hKQR7#h&bFFSbRX`;r$}8eJqUA!0M6W1DAat
zAm+mRcQ8JbhMP-leg(S+blwffTrB-9^mO|Xqz)<Fg810t4|Hw;a{Qr>|9^s*0}mfq
z{fx`spCRhu{)X|tK*ZtZz`_|S4-XHpeV}u%LF$PJ=dU1jNc~zEA4<c`B{rPF?g5>L
z12UJ0aQ+5ThZN2rKDKZM-T#Li&aiWyVd))qzB7yuJJ%V;Co~R)J}&Yd;x2f26N<MV
z5cTkQgYkbt#Np<^;teVf4_~l-ER55@>WPTAUl4QQ`4Ps4(r|N$jW@7+SQw{)^<#-Q
z^z!XD)P6{-je!Bg#};p(`w)@i4ZUBF-hV(Y|57-avxLDGFlX+7wv%D~2`T7#L%X5k
z^5FFZ!qZ^l`=H{maXFayQK&d<oDn8|5-JXwUk0rsfQiG(UC=rP(7Y?yISfqj^Uh&>
zbXpqfKA3(PD1V^*0jn?2{Q*0l9o_vfKFl95{V;#P<k7<&CXOENFmc#A4OsZX>Mc;Y
zjvT(Q^WkCo&}o>vVEU=;E?E7E?k?E*^yuz^@nP<Q=|^{01vnjWz|$#AA1-m&I6o}B
zVCzC6m>}aFF#WLe@nL*)8s;vTewe%dK=LuXd?HlN{e`H9mpd^2KZrQo99TIAm4}x{
zVEb4Yr-9WIQO^B`m<umgVSFeJH<#FQ4(uM#`9&aev6OS@?EnTQ$azMP@B|&<#K3^9
zoCDq0id@c}gO(@g<<$kKIC}oL1QkbD4{J|!pv5=r-UEocFwe<=#WzepEWSYpydke&
zAr#+CQ2#^v6&!jnJ~KodBfg>X@OS~+$HF)bte%MYW`URsPhT)Tl!lv2Y<z>=!@@WX
zte=SZW`)`h30DRN5FcB7gYLOSj&F#1rfIm!L-crssmCP_8~=mldsutt2wFOT-E#pm
z51odk1DJkTI>1#A)j-C<;QO9n_QTp&U(oD#0N=|b06*6Zm;EsPF#BQo!vm}zQ67?-
zKVa=V1!mOp5O$vjx_e-JSh&FS!`uav$5kG8pt%>O9=)7~wKvhrY1n-tFn#DW%v~`3
z=<Y(d2d4iY7x<h+jCuuKJ#7B91ueWl>uEvb-Z1mfX_&iU`qAA5S|5tsZi4AYZ)d^8
z(aS$r`+EnPyY_&?n{fJq>4&+C4U&)H<rATDjvb;NUeCbz91wB1Ik0*SDi1G@!1l2)
zP6MkaqMYM|m<uoGV0<VIH<#FQ4(uKl#%W;vSjsu{_B$8Uen@;UFo5{j$~n+I-pJ(~
zEZ<6i?`tAH-@@koVC4y{AAnx3!S2a{xC?i^2Gb9VZ<zW5@O~tOyI|tPmb0+_hzR7~
z9C*0~yQc?c9y$%nA29tecOmy@{%|m7LDnBIXTsLC9*3UKaRsgZ=j8_H=UE?ch=b1k
z0JWE4^$kotXn#IP9Ohq`xQig9d_-3d+V=%gk1k$=Lp|u+jUOD$S(4xYU<Pfo0yT=J
z1%unQ!n0ug9U-XuVf_XeAJ$%n@nP*`7$4R?h4Hx|=@g#-2<2lQh<bRwg7JAF;&5|d
z`4}n>&u?J+K<Dg%)Dw}9`9SKB*6qUhP#SJ7vH2M69u~%FVEtI~F?#!yA8J21Iv5xj
zKzwZZI0LKzF}@0G7sA3>0Adb2d<ccJAVfX9yoB+EAmVUyVBrjvhldB)J{HDlVD&_V
zvoOS5c)Y^+P#SJ7vEdAM59pjekhw&JGiYKKG!G08Mg|535FcAOXMq(U!Wq`?f`v1z
z9R%aU+8;1Jtlo$5Vf8JH53A>3d{L1585rT=O(@>PAnM`q2IGrE#Np<^;teVf4_~l-
zER55@>WPRq35dDyc!TkwG~8Tb;|=T{&^a?8bFsu5dOarzQin9Y2;yUlH_$n=$n_ko
zeu0HEtXzljk<0Z99L!me{SuhvIygC?-J=GYudWBzQ^KHS<KXfWw5|?R4nxjULd^dr
zgVzTm)Pd%;LFypq7~xiT0a|`T&K(kf_e;>tdjnMmITr}Gc^pEJ`U7%~4{q~Rpz0v!
z?%-DE096M$CkIpA|NsC0gTe_ifx<NHB($D{jhoK|&%+DPk^;pq3nQa6h$bN)$UxM?
z(<O{A3lYc22T*x<dIj4DI_D3ho``%P2U3SrPr&$48g4GJ`2g%57RG5{{aEq=dOaZz
zwI7-ui@<yqM#el)S;oN32sy7^U>XBt9Ca3Ge+y_`rUFDC{QO7s@&&{P^&4RGM2Zmg
zj27T^V;p)gz7j+nZVs$`fy%@0w*%V;I=>I39!t2Q+oudt$H2%4T2~C(UkKwvX}GzB
z&U-{RR|RUW4b&enK9q)=3wA%~oOqCWr1Ks@{sZMx*ghSwKG1n;;QPrC=iJ@^_uDYf
zxkcBHevcn4Ux4=4g3iSUos$NNFIfJ7wf|uIF`?q{_ADqJfbLZY1Th#8_iCwv{K3G;
zC;)E1ap-~hER2kxizPw!<TEfZm@qJBfzl1Ayo8*akC|@NAm+g18x+3C_Y;Bm$mvEM
zq8^@ZV0;aTINTgixPZ)o%ERLUY#-<xK#+PO(v2oa9a6f1@u4)_TvF4G7Svo=x`FYb
zG~8UU`&k&Lf$hVSZovAmq?;e$_BQ3|22?+Q(v3F6-5BK_G~G-B%d-%^AJiW$J%R3B
z0=1hAAn9BW%x7U_)J3xolpa9w3bPNQ9&sML9z;E(1=JiEUmqfl;clq>G?+PH`&byK
zfz@M4A24;Watw4&7RcQ;Q2StfC=EB4Q2IbO*AU`fM$q|4pz;dFhthC!!R`m0dj?XE
zls;hL16B``2fI&T8f^Rr9Q+JSpzV9$b_J}Q0EvV0J1l-&!0AVLmJ!H&21Z5)usIxh
zU_R(v5(pn&Uckx`h&sf0%mTD}8CLFiK=ni23pWSd9kBacjG^wRf#}l%^Fix?A?*Ya
z(0yT`ackJU1nB7nbe;lod14ARuK;|G2!|ewZw3*^C{LjB@cY2P_OUQd1FJ_$FXmAF
zp!yMX?=B&CfWi%wj&h*xfblKS%z>3_P<gmJ!1l2)P6Mk)atAbAC!zb>3Sur}3DiCq
zA4<c`1^X9tz8y$CQu+doPaxM@V0~EbJL`bN6UMo}==urOTgdeas6Md<xrc;y9O(Q2
zQ24^;Ct!SAG;?6#3zdhrx4`zXFir!j#}dBi>tO64=EB>JFg}!qn@emv4(uKl#%W;v
zM6~1Vq4tCF5$OINFrS4He19tFzIn*KCYbfH14JJ@-J_>l5Fa`JI6~CJ>th(-2_g<R
z2NteSd3gN>wvUBz8dyD+a7DMz8DcKH9S7q>X}GzB%3E}EU7+T|>SGumO2f?syPt(|
z8rVLh`~dPFa()2o!%`nJfXAsRua80fCQyA0%ipl_6jUyN#@C_Z809HseGH-<=L+?=
z1;kx?U_Mei4%B}Iwc|kd&w}zD<bD~<bmImw2Oi&`@I|htL44$N;|@^|Pd6~W2Sglh
z4k%nf=0N4)@c_0Dbe<hZJrU`~6QmBQ9S7q>X}GzhrW-G)xv+Et<3nk<xnTFRFir#8
zhbP^D^<haj3Xpa-MmY)!ALMjLs2l~I9}7x1-Vk?Vw1=SSW)fJQh;|%gT^k}j`9REN
zjDWaT56ov_Wb}oI!|em52T;7i?1QLBwB!6B>KPND=D_&=5OKIUApe8Rfyz&VnFF?u
zg>f2KJ(lzVQwM9u1whPY1f8!1YHz{#P#SJ7q4a@nZXnd$7^pvBd?*b!7wmq}xq%?{
zNa+I>K4A5r^X|ar5Z{i2#Sg3<7X&h&fsru-Yz~JWm=CHKAbfav0V_u!>Ik>v3ZVL-
z?uDBJs((Q3Ntioe?YLm5J3!}pfx;WiM{37~K;+@&0D5{sZ_k86)HA}`aWH-uL>z7o
zEWJSG;q4r-eJqUA!0M6GOE^?NvF$j}JT9o60c*#>_>pMlz}x|qhr0u89}D9&uzDnS
zK*JTI9Tx>L7v7G8@u4)_T(EyZ=?A19DSe^0!@&BmwBt5F;}^Dn9u%*jaW{1RgvuXS
zI}TK@fa;TIkb6jI$HhR@!^fpz{8)%MM!5i$hqt%D_OUQd1FOdpzUb|^IEcCMb{vck
zrQzlh+l~Xf2UM<t%*E1<Lm!up2dRUPOEVRL`7Df#MGOoK2@K4Pko$5F^)cvtZBY3R
zOE2j6eI!85gWVSc<3nlqeIK~|lL*ld&rj(265YNeh<f;aF))5IL>z7oEI&Zy;qCz2
z$HF)btR72zq1%@NF&BPc42%z@;pT$<%fdJfY#$N##iT;bh20ke<3nk<xrFKmboZt~
z&4t|;1LH$!xVd2WvoKBr+lQ2Yam8~w)LhtoF)%)qhMP<3eK8qOb7A+z!1z!aZZ6pU
zER55@_95LD1Bz$l_6k@Z=p1wK_$gw%a|a)2UYqiEGUyz4P=3gSxEmwA!NLzL56YL2
z@eY*w3sla5$~#a!Ru5tzje}-E%w+_f*8r-=z<d@)#%zc<+&=Vt0#T1>?||wHP`&X3
z;%_||KNrm$Q22q`J5c#)Fmu56u`o^ptH+X0VCrD)oji!SjDMi^!T3-bZZ58J02XfO
z=H^4q{Q@-?#)r~ybHVOsVVnlG4=FvP*C$|op#2zN^APESfgh4SVEeYg(Zawq4P8H>
z`UuosKproGg)hv1V0q9wQ0P1pY`&=!IxklU^{)xUJ$hh1sC<Tq<BWfZdPMveL)0@`
zK+S>iLE|&n+y|AP1~aD!q#smoLuuH)HJCrZ>RA}!>WLi(gr#>_`>_;cJ_9484b+`r
zJ_{pb8AKeT{)O2Oo8JJdL)!NYi#HIBEq|3m%w=?d+6U$%?Wcu^Bf_x)svdOy1t>ql
z_)vNpOg*l0uM(mk?ho{Q3)2tFM^zB@j6P8J!T8k>akx3KbOn`%y8~<=3*$7fdMx=C
z-M$)#xr{DQ`(S)14L29;Ulzt`VEd5r7c4%&>Oti$*c{^f6X@xo7V4e=usIxhU_R*F
z69^w(j>6I#Y@Hu0|3cD{z%+<{-2DvL`5+NcbD{o#n~y8~)Ir_X1JS1k=Cd#|)<eWG
z;->*B9sxcFUjTX`7ZYfCGH9H95?CMTJWh~064G%aNIe51;{vEVz<kiYc<8uw6GR^F
z9`tkv8h=3^KWT=jXY2vb6LRRm_$?4|xH+(N2bG@&GY4!R=zLC)dMxP<rVf_QTS4j=
z7#TaD_QCj28g4G3_7A$bZBTP3K+T2mp)}lFu=`mUr-AK5O3$EqP2~0jSRd$IYOr~T
z`T}&m254Liz5jx)pHO`P>%SB;Ffe2=FlT|<;h=sD<Q_=k+u`j{f5Y10Fg}!qx1(^?
zzo7My$n^qxdO>ezc0%o&18!$==)w415OH`t1xqhbdAK{k_OUQd1FOf9UeN99hL{U)
zhr{?#8g4GwzbuT?!1fW*4)1}Q3u}kN_)r>dE}?XY?%rOgxv+LPj1Q&Z=7QZ1I!6zr
z9w{9{%cDu?=JtWqF)%X1+Tk!hl!lv&t3JYIZa>sqSUViXhthC!!R}{aoCdZJPdgl}
z4^$t3(+48ofX=VQmTy4m1UcUk$~T~Uen9Q;2@rQ<q&Jv9!SY13!)rkWK2ke;BE(!q
z8(wg`P!G%po#zS>$0!eB_DurGlVRUvkUj=RM$mb)pmqkB&%($!1tJdjA9}uoxDU}@
znF>+Q=mK>&j6V$`4mSrB-k^3rRDK%F9I$;XjMKpCvE)mbI#@YA9bzt{2h=_oA4<c`
z#Z~UW!VTTr8BlW_pytB(P#SJ7*!?Vw)4=v2<sbBRJ6InJBU~S>Jv$R(4?I56!xs`C
zi13{SQO_6xbr+038zK%j2Nu3i`Drk7!1l2)P6MmQ6235Xu<)G&F_$p{Y9EXbrQzlh
z3SV?{=R(a5fSL>ALut6VVE2R0sROA;3SZFt5ORJ6>jT}Z12zwl-xI)mj%kGYRp{oy
z)(?Q{Q_%XKc_8~47%|c>Ed7Ax@${?aL-aFFfw)Hx%x7U_TmTV=n~NU*5cP=oUkFjp
z2s*D9luu#&MG$egIk5PL%1?ut1GbNaaT-`XmiPy&2b~iMb|>-eXju6L>sKv?xQB5L
z*c=W$FdyV!2p`_g1>H9Wibq&G8Wvv=eT3W33!vsf;{k3is5k}nzhLfyjnglIy6Xx=
zpB|Xc!pOK3B94)NmO;gFwV%QISQw{))!}JBqq}1{#9YP~P<Md&NbTnp5P7(J(9;Ea
zyK^N(J-q!4<FA5<!_9%E3#dH2Jqosug>f2KJ(hHVZr^H%x$yQgj1Q&Z<`QbRpqsk}
zYA&q(4C6y-xVd2WvoKBr+lN#xfX?Lwr6*Yb9IOvZ`<Vkg{(?CkhpwMcI{<X=6{sDs
z7UFJrIHSiGdil2wqMk7U;to9+e?3GTZVoKIpz`o?5o{j|<20~(Eb)bI-v)@ej44q2
zV0<VIH<wVm4c*+0P;+CT=EC?;8g4Gw{h)K!K<bg=3v{0>a(sdHVJXKmAm(F~<LLSc
z#TRma2h`62-OmMzzfB-_A(ijw@dujkL+-C`hNx!*o$~-Hhhh9J5OIuh7%D#vW)9ds
z(0Oej^;qH$te%Att{yht04mo&<F~N-2}Xm&LFHW=h{3=#4OR|s1)0yl$OziE3vwrz
z4?1UA7`(1sWE(^tp5D;?jh;WZL)0@?fcv8ydNBSDh&bFFSh|JE!}BfJKG1n@AoW=M
zjc(sgkU9oN#u})7Fg}!qn@egrvkPi&3DjH|A4<c`1-qYxaT?e@r2Yo9zL^A8k5s-8
z8&8maHWNIac0=9M0Cf+T4?0I08c%y5^6+$p9#0@Xa{Am0QO~#lY95Tg4<ZgX2Nq9I
zdHDJcuzf6y)4=Mn#1p!G`yu8s&Vkwo<3nk<xrEw@=;j`Pn!5yQE{qSQ;pT$f&%!tj
zY#&nj0`ebn`2yC5Wj)FYi1`@h3%Y(n<qK$D9aNqigt!|X&LDq*`a7_61L7mc*CB{{
z#wQSW=)w4hA>wdzK<)vV1C@vSA8a2B<20~(BH|0QpB^+n^8jiej1Q&Z<`T+R=>9kg
zaWCTwsJSpcl!luNc0UW_G_ZY0@ddlb16F^7^<jxG&^eUY`tj)c3B?!a9z0Nd!QusG
zE~q~MG9S5o1(my?;uTcRg8Bs@KCE4N4C-&t{y0!M3+5w@>%+{0$P0kFx1jM?jP@$J
zxyPaAg7%Gp%mwq2)|H%q$iveIC_X^x1Lhy}btNYu>f!53VEj`Makx3KbOx1&ue$)-
z$HF)btR5+yordZMrGH}92cLncX9Ufgf&2yIpM{8H)FV)NxI4i1u`o^pt4DGNE`Ohc
zm<wN50^>tzxVd2evM^2q+lMDzg7tysrx-!wGl>2sXr2O;ZqUbNNllla`V?diD7}Hw
znF3^g68s)hm^k`6bl5s4n0{z@V5BqH{fn^ldmb7-Z=ma^!F<qqcxe4|0U{4iAL!`}
zbiW;Pd3F(^o)L5&GAMpw{7VpVxH+(N29=)%GY4!R3*$7fdZhTh4AqY-ox$|O#xJfw
z)H8z4p$54F#=i;?$4F;TdAK{k_OUQd1FJ`J2Q*wKq1$&2VlE@-JY<l4Fg}!qn+x_Y
z3*$7feMspHm$}!W=7P=@2bl}wLut6Vgvx7l_uhb-3p&>rWG;*krQzm+-Os`}4QwBh
zdqMGsT)%?#f$p<J%NIW&>5Ag@o}l|MLFM&Lh`Tjm{c`m5_y%16AjVB@LDVx^fZfHR
z2jky{h{MeR`3F>fLglBy%mLfS!Z;1A9!olase`4vI}mdjO`!I{_)r>dE}{5BH}@{o
zTpOslFg}!qn+tY7=zL?4dZhS5FNeVTSQz2@VB?Y&;QcL_{bO|fgzDFOAp02@;o(at
zp6)}`GkyWbABP@{{{SKmHwP9^P<gof!S=B*P6MkaBAy;X%w_xlwGYOJ(r|N0ji*OY
zbALe1h4G;@++48xSs15*?L&$ukpGbL6<8mZc&dQL6Z-fjx_<O{g4G|O_6BHt6Lfzo
zC||+KAJ{oHF!Q0}81;xH*dWAu>BmriuYtHr56njz*LnhxhnKtP=>fg}_Y|TYKCT7h
zKZA(F%>nrrly9N(@ctXvJ{HDlVD(ti1G;_BA?CuzwP1WG4L6ridO$b#1=L*FxE72L
zrQzm+-Os`}4QwA$dO**2V0}paPFTJ@010=Dau!`bdU}Ahb7AS>CB(h(@Ff&auORB-
z;{q`LYlt}999TR-<>CGY+sDE<4XmDscmlOkK>Zh3{~gAM(r|N0ji<K|_rk{;V0<VI
zHy7-FP<{ldM~Wwq|B&-7SRa;ng6ucPoR>h?j~-9p?8d+}4Hi$JdyA3tEo>YeW<IWb
z3%i#U*8Y13@&{-?3Amlfp$Fz8^)uc><l*f$^z?w<&-egQ5ASEd_#YwSaC1QZ1?5|)
zJiHwawvUBz8dyD2diVs@kE{KM?vBq8_3(ZMj1M}`4Yb|>W)939P<gmJ!1jU86$Y7u
z<PK=KVys{P3R1_w2=8aW_)r>dF4(^;jMKpOA*CPmd<xcwrJvyei6@Nl3UvL1(hum~
zXyo(*>p#HEhx!wv+=tz-4NE`Yp#H9bxJwVr2i+SD?LT~n$iwSz^z`!qtPjy%1GN`H
z`CA8k4hV-HjQ<nO99X<T<)^{S0ow;UR~V!oOT2;AgVtd}?Im{H&J&ygnWilSm$SmN
zeu2zqU}Q9ax)aQ2VPu50Q-4F`arzs*efI~V9^Ouc@&7`^;pV{n4V8ztC&2cxFir!j
z$Kr2v`~E@9g||~-d?*b!mryy1Ztj1mxv+LBj1Q&Z=7QZ1Iu{kB9#1|1>jRay;Pw=v
zzij~tcark~dVL4FcN;k$K;lzi8q9o{dT{hZ&$R)K1HsY*EFUm1L(WYF-5(F?Pl5SJ
z<3O->6+|BQI1swIj8Jn6ApX?@^Fii9#NqWTdcNv`rf*okgc+iqu?A`mjL!lQhnoXS
zUr_mJFmsqd>Okj;LTOmLgB8Tb)6R$Khq;3dqMoq<>JAv69U_jA&Y|*fcYy5!rEidW
z5DoUHFle~|Xk2X)x_ulVbqtJ*6;S(Nd?*b!7wlga#%W;tkjDGb*K>gNf!0ld?M0+V
zPKZ5l_oK%LtbBrn0~bU+;}@_w9C|Q5H$)t64lF*P^6+vFY#$5bG_ZQ4Z~%=rBDX`p
z`jE;ySiE&W!UH4!p!*lyJXn5!wL?JnwS)2x57gbb&MSb;f5H6E3sKJqI)@6BUtoMb
zh&V<&0V)rl7X#Y|IyVla9?Acp@Iv-KSRc}S8qELvAboh|{Xp@DJgy}GQ4b%dhw%j=
z;u!9Q%EQOK!S=B*P6Mk)axb)=orG?m5X4+Y*f>3m52fMe5-Ok3%@u~43md10@u4)_
zT(J8==hcDKBbCqS=>x0}%Q(FP8)$r#a61>>JoNMh3!fE`_QW)pxlnP8_DM3hphomd
zML_OnU}Ow|xJM7nXJKRn-KPyow+Rq&xH+))0Bl|eY#amAH1zRSP`&_-)57%Qau4J@
zQG|O$q3)Riu~!ewhu)(Na*r599-f{+@ds)rL(RuXC*lzG@N@~|OF+co=791A$Q-CV
zJf6Y!fzFo$sV5>`N`lleFv8O%j1Q&Z=8~E&rJ&}*(j|-!rQzm+-Os`}4QwA$x<qgP
zg7slZmkS`_j#2KR>ql=tqo>OoP;+4E12#@iDBZy9hv|oz3o{2qgW4ClAO_O9P-$rR
z@POB~a_E8ipmqR6-6U9ggvjG=U!a>S12q?PA2p~P2J=}M8A0bGfYPHZL>``w2&G3k
zh<f;W2r#}pL>z7oEImTy;qeQ$kA-m>SUnNx5p)hVsJ{R^4-LkL(r|N0O^=EY_cFrH
zLxAz2G~8UU`&k&Lf$c+TH-P+yTn~ZuVM&jm`$|FO2&v<Euyg~fhe7w9gW^jG;%<02
z6N)coh<bQ@!T2f=akx1k|A5L5s65>NVEb4Yr-9WI5nrkhbK&Qn!1z!aZZ4_ur3N(@
z7GE$vl!luNc0UW_G_ZY0<p->M1*=DjCs=&|&SrSdnF8%!0nOLJ*59Z@-J<~BU&o;b
z=Cd#|YC!n#`UX~RK-A%0_Xx}1F#S;X!p#A>4^(cz+yU#CXh7W&1F=^R%m>{+F9KNy
z1T_a^y}u?@A8cO-j1Q&Z>+*4}>(GMeho>L(bcnvcKpUbSzP}pA*MW$`&4Hyus65;q
zVEb4Yr-9XDNr&k6=|aqf@9Ti^p)}lFuzy(?r-AJwVqb?I)LhuU4j3Ow!_6g>zR}&Q
z4>cFIuLH)1(r|OZ?q^|~2DT4N`bIa`0BSC5Uk8j2rQzlhS|5mRt|8Q1*uD-JA4<c`
z1-l<~t{X@_Qu&EqAA|K_+1FtN(nmr&-WZ}DUf#j@CJ=FqbOx1&mmgsJK>JTY>anCV
zP&!BMH<*IdfyP&%^)`$TrQzlh3SV?{&7kJO$~zbzO2f?syPt(|8rVLh@CD@~<oXG$
z52@UP^^;)d%cIv%=<$PY9(w&GgI3<a+Ceb$Vd_EU7^oZt(V+4SG;adp!^$&rsK1v$
z%QG+^OFIZ6kGma&ZZ2rv6V#4a0W}xQM=}>Segm6Fhn;T$awo`rAR3!{Eurq+05uoP
z2h{`6@UVi&!_yroo<a32tXu~1k<*hkL_IwH!uU22akx34_yCy$m4~MXuzjHYC?NGj
zq+eT*I;8Xq<3nk<xrE9ybbr`E&4s037#~W*%>}z3bY2}uJyLmwp8ml4u%zD`kZ>p1
z-bZ&oEIq>7R|4Sk6gc4ZEv(*#nGcG8P&z>GC&KD$n0}bKF!dlBly0Vi7)b4Xdysz_
z7#Sh$2@X9lA1OV;+5-@I-02+MTnDJR9uWWNf%z<qjE)d-jB!9%It0t(+2`T}(a)Fw
zH5bfhVPrIcrgPZ+GGK2qFinHqiw2*!0!twJ*$z;B&Jc6q<6)q51WMO1ccG7~xj@u2
z-hhm6>B0D}5OKIUu>1j)hmWg)?PFn_23C)hKhV<~SRa;gwG9yeQ#=m~Iu{?*o_B+~
zo7izRcZhoU`g9oI10s%5K0xK+<7#00K<Ckc)Z_6#SRa;gHQ4<|gz5`I`7Ht(|FHXq
zz{vwN{tj9HG7Yj`8ne9&x-S3}Zk{0b<2jG12R!bIn0NAmsAoI^O*b&UH$)sGUqI#I
z<Hun8SQw{))gy(Q4^%&{^-AdO@P(*nJOFhEjPC~#$4I|WdAK{k_OUQd1FJ`J2Q0s!
z+vg84m+=JDJ{TWL!_5WzmxXZ}*gm9o1bV&z>%%fW4!bXjP`V&A?gHAM4vJsceLS#q
z0XtU#vflwST@X4?DgYV|5zuxTj1Q&Z?Nwa;oj{0wc>Y2!r_kHSK@jzfAyE5Z{9uSU
z+#Far1(k=p18g4)<20~(Eb)wPUkJop#u%u5Fg}!qn+x_Y3*$7feMIzkLZRjcK+T2m
zp)}lF^!yHMU&7KQx_iT*=EC|VFg}!qn+tY73*$7feMs#CT;_&D&Gmu07siLuaB~T@
z$I;yz0W}xa?}PE7G~8UU`&k&Lf$hVSkHGq{^mhWF_fS!~&bR|=4lKXGXdm$Tc*3&|
zK;>cOER2T9M?(AyFBf6yJqk@6mVROP$HUI01M6pDoCa2plzt)S8Vito9v#elbp0^<
z(C>$chPV^b9AjW$0P(TilLA_21llhKDQ6fMVj%i3{2hxX4)Zs<d%*fZ=k|frBl#QV
zewcf4l{2t(4J&tH=A-L}nS<`{IFP;YbNCn-KzwZeE(3cQaj#E2L?4E~6VSwQ`5UaC
zg>f2KJstcF>z{Fh>owt7i4b?f;~T`s=5NscHstt*h4&Qb`hz9lc7yP&B&c~az~UTw
zU_Mg&9F!kG{Wh5TWT<*re+SIRqdo<y9(Jz?n2$$&DpWme930HYqdpC)UISVVg86vV
zr$g1NK-Gi!c+_V=)hj^NgZX&WXF}D(=6}F^JnFNc>S6n)z<fOFv!Uu?^QmAy9`!j;
z^|1YCU_Ku8xlr}6eRp6!9`$)p^{{<&U_Ku8`B3$s`BKn44VaHdeF0Rx2sC|y`FPY9
zLe+!vFUWi_A4xrIoewNM7eUp-*7<<>c+?j|)x*}|gZX&Wmq69S*3pCcc+{6d)x*|Z
zgZX&WmqFFT)|-R*c+{6e)x*|{gZW76Vf}Gf_<+_=gT|9U_uGNO6U;|a4;xQ{sjq~Z
z4@zes^<X}ddf2*RnEEQHdeAr)NIjU3M}0L^J!pOaq#n%2qrL{J9(GO^n2$$&EmS>h
zzb=@MM|~YsJ*d0|xd+V0qrM)h9#kKL)Pwm*>S60YVd2vNQxDmHq6g;VQQrtv4>~6i
zWImXWM|~4iJ!~Hvn2$$2Xx$4aJ%H}n0GSWw<53T~uNI^pbpHxSJ(!P2eJj*Gp!;S(
z>cM;@^{{akSopL-)qer6XXMZW^O4lU#yMf?+o9@V`-Q-KJnB23>S6n#z<fOFLF2n1
z|HAf-f%$mUcR|gE?e7Bf@u=^Hs)y~P0`u{x?}4iCfs8lkf%$mU_d?ZoK-Gi!c+~en
z)we*^gZX&W_e0e;K-Gi!c+^jTs;_~n2lJ8C!^Qz%@jDT!9ySgD=HpR639248UIOOh
zQ9l`~9yYE4=HpR61*#slP7ut;qkbw>J#2j=n2$&OG^l#mx<D`=Nj+>mG%S3kL)C-M
z6#?ZhFds?%1aP}hU>Z#Q45<1yU~vvTFdvWlnNamFpz6VVJnCmb)jxr%2lMf$pAA+2
z0ID9$$D@7@RQ(;OdN3c4`ngc`5dU-Nf%$mU&x5L805u=X$D@8eRQ(*NdN3c4deAsL
zs63egRS)LlQ4d<z4N^Y^svgWoQV;9zL;NQ^YZ25vu=!0eACLOQQ1!6+TQDDw`Xx~H
zOQ7v%FdvWlrBL;-`Fb!PkNRa$^{{gk!F)XGmqXRV#y7xxJnC0K)x*Ybz<fOFS3=dp
z#;3r1JnC0L)x*Z?z<fOFS3}jq#@oPrJnBLF*g)w4Hm(Kc<59mBYCddS3Czc%ejQZ3
z2Q+@cd_3ycL)E)L)r0v+>S6OBu=KeBsvb5E0_NjUzY(hb47k6{p$F#UQNIbQ9=1;d
z%*UgCGgLiny)&4Pq#m|D80P*hQ1vy?`+UHBJnFYX)x*{kgZX&WZ-c6bo#O-MBdLeX
zV<F1(?NIe8kog@wFdtbxBwpa<{|=~n6X-c#U_O$1*!T?0zdNDoA>%n5dSE^t^}C?z
zA>%$AdSE`1df0d#%>3O@^`LvPLG>4ykE9+pz6Vpk2dcgW+J6M|k<`QXNx;<ag{r>*
zO+R2hl6u&>NSOM4Q1zg7CLs5K`FPathpN8=bq|=2q#kyD2h4oXen?RM1>L(1G9S!G
zQV%&-0};Omq2`0`-vp@#^YN%Z1XT~Z=M<zK%*UhtFjPJ0zE_ZXFdvWlBT)6Adv8JN
z!F(k3pnXiBb%QYf9)+q0t$zZk2lJ8CgZ4)vk4GJYst4_d1E~k|k<`P^4TPD09IE~a
zG(Cg)c+{VOs)wCd2<9WHhn-UaGaq!{F(|%3`{O|F0rQd6!_L=$sXqlZA9RixNIjU3
zq#jgWBm4I>R6Qskg4Bcgc+{VPst1)jAoXBA9`$FT>Oti!NIjU3q#m@M1UbIWLDhrC
zWkBk|d?fWI;C2WieV&J^cL0lX=z;lo)L($Aw}Gk$^YN&^2vu(ZRS)JPsR!jBWcOc!
zs{aA)KZ5y4>LL395$?YXRo?+MAI!(2{t8rm3sgOrk4ODgsQLz|dN3bJJ#0T0Ed5-A
zs=os*ufTjH^|1XhF!k4=>i0m^gZW76A^Wos{=ETJ{{X5U%*UhtCRF_usCqCTkNR6s
z^(9dCU_O$1$bJWedu~J3$3WJt>w)=5>S6mTVE(-WRUZLW59TAOhwNWKn12_lehySU
zn2)3$wod|P{ynJr8Bq0LK9YLa`Y@RK`%v`~ka|`R%tulWTTc&D{{X692dW;-M^X=2
z?~ZW)L#TQKsCqCTkNQVY^)gWPU_Ku8kD=;Cpz6VVB=wN>xd`_>fvQh|tY6Xt^O4lU
z){Vpb`xL5P2C5#+M^X=4Ukp?K45~f@svgWoQV(0V1XKSUsy+d#9?VBl4_V)aaQ_Ra
z`V&y~U_Ku8FQMv>K-Gi!c+|gwsy_f#59Z@h{~D@(4^%yvk4OC*sQMjH^<X|8^>3l-
zw?Nf{`AF(v>l|U>`3|c70#rSikE9;54iOQa@1g3iK-Gi!c+`J@sy_o&59Z@h{}HNw
z4OBgtkE9-U4>HXCpP=dwK<>rW1M`v8!`8*Y)PIJmkAb$I!F(k3u=Q~;^`LP{P<tQ*
zsvgWoQV&_bh6tapQ1c_8>cM<G>c2tN2SC+>`FPZShpP91st5Ct)WhxvhPfY9FM`~^
z2O2(LK9YLaJ=rkzKcVKIfvN}d@u>d=Reu7i9?Zw1{x?+p5vY1FACLM!Q1!6;z`=Yx
z>i<I3!|p2w^YN(v2UQQd7aYt-QV+XN8x}tQq3Ro;?g#Uc)I;vkMx+POIT)buZ-J@@
z^O4lU?vsa^4>}(fq+SQA9?VBlF92Q_fiRzm1+w2;1T4;>2j(NGzX34^-XCO!s^@{K
z2lJ8C!|vOJxrYU+{tHw+n2$$2D^&dlsCqCTk9sz!`ZrMZU_Ku8p!GVS@PXYc3g+Wc
z&jB?bcJC>ek4HTxR6Xo|Q7|7#J?#EYSom;3)xUtcAIwKm54-0Prk)$B{s~k)n2)3$
zcJC)lJr7j<3aEN8A4xsrzE4DY;DxGR162>^BdLeodkZt452`){svgWoQV+Su7-2p?
zRJ{#!JOs=~QV+Y28D_o!RJ{pQJ(!QA9(JBHOuZmf{R+r=%X(lw9`!;{^-G}Y!F)XG
zg`w&fK-Gi!c+`tP)x*xM2J`W#7lo>aovRJz<54dLRS!GA8qCL|UL2}E0_uJ+ACGzo
zsQM77dN3c4dP%7I0H}H}ACGz|sCwA_3}8MU_0mxFu=^vxd_3x9pz2}wG=TX?>S6b0
zz|xN_RJ{+>{a`*G^>R@49#HjQJ|6Y*Q1vcQ^<X|8^`Q0npz;cKKM0tQN4+A<eCYTF
zn2$%j5>!3xo)9n}Nj>b`a#;8%L)FiLx*yC(QV+Qg0FmESpz0Z*>cM<G>Q$lY|3KXj
z=HpSX237w9svgY8qh1}V{tHw+n2$%j22}kAsCqCTk9tk0`ZrMZU_O$1*!>W&@YI5;
zcYuZun2)3$cFsRcy*5<64OBgtkE9-QF9ag|b)f3+K-~l8BdLeoR|qp-7pi^^^j<VD
zACG!HsCw8viC{jGde}V}F!S}H>K{Pe1Lh;Chuwz(Q*QuOe*>x>%tulWyAKJb-VmzZ
z0;(R&M^X>FzYnI~2&!HLvQAVF%*UhN7^+?XsvgY8qaHN=1<JoXQ1xIw9`&YB^I`WF
zg86vVn?cpX?pFl!@u)Y4s)yZI2<9WHhu!-J3m?!p8p!<|Q1^rRNa{a;(;*_gSwhWc
zfvN}d@u;_gs%L<z2lMf$w}z_!15KY`J|6X;aYK;%VfU(n`FPaZLd}QW`wHgcQEvxT
z54&F#%tulWxnB?wKK4-cJD~0d^YN$$jgx}hzXhru%*UhN5o-PhsCqCTk9sGl`ZZAX
zU_Ku8&QSF$pz6VVJnCJb>X$&(gZW76VfRkL(wi$({ST;mFds=h?A}0_dN-*0FHrSh
zK9YLKJ(Gy=cZaH<0#y&@BdLeoe+e@mbdDS-{AWPbgZW76VfSCc)O$kBp8!=4<|C<x
z-J=Xs?*&!=2Aq#L^uT-;M#dD#eV5ZzIKcbcr@_|cftNEgFinHaLxK39eRonI1_KlP
zTy{`99CR*f4%8e6BF&M;VUCJ0=o}n@X)N5txyKNPIXX~tR46oO3Dle&JP`M+fQ*;J
z_cg-Cy+O_Zg%9jJGUz(!X|V7iWDab+osc=+ka)ycSMGx*4qBHA+V=;U??mhm0P6?c
z8v|00w5}X7kBU%7?0R~b`RMv#^R?*f>3u==BCV$f@v*HduL1=G17bbBA4DI9zx~m~
zVg5#U4_H47<20~(B!9!)4|6ZE{)U;4t{-L&y1xS;?u4(W2l27_8?+u2c|ASooD$Hy
z9H_ko+JF86GJgS2f3SH7P;i0LAM89Q^z=u_9Q1q+JBNu>b71=!VeSE~F97ZHMK?!B
z1d`9E@ImqwdbtCe&w!Z&JBNo<bI|>T%N!4=dpP(Z?m^Gzu>E*2_mqPeSjxdbNIYYt
z(;zf)T<H|7AGDtgq@E7x6n)=EFvwnb{$wfw^H~@f>lhdqSQwZYOQ7Kd8Xp9e`xa3C
z9vtxqt9L=c1xlZ=d!*6h5j1`XG7lur!N8mavJaFGK>HU#>7Q8pVErDLeX#rF(Cs7S
zZdiYmkU7Zi2D!He8XgVMbhH67e+AF4uz4t$xm_RzmUKkO9N7E_NINK8`#}sW=InsF
z$43B?uF=a6^n3<dACE1+lIk8@=A41LX9m<g==mNt4+8QI$X~Gi_~`Kmat~-<D^z?^
z54c?~Fb%Y?7?h4epy}8G+%DnJgYjYNq4cylkbTqB!174<62kWTC4uXE;aQ<j^K78z
zf%z<qj9Vc3LD$uQ&(l1D=8iC^J_o2i7$0i?BuIt8H0=t+oM~WrBzM5}6~f#R4mHmO
zY95#mx_2ESj#2JJqKU)G9rSt&wBNLeg>f2KJyN*?tLI?#8nN{w%zSkHFmurB$0&$9
zA;H1GzyRW7s~<t@u0Z(=ls-T>8ln%w-!W+7Fn^=F2dp2oFCU~H$=@*d!`w@(zhUO1
z>xY?x?(bNTz3}pufdRzF=I<tuXOY@NaS(kN{*Ffz$K`LZeip`QVD)tHH+nsj0C6Wg
zzCnC!{syh5Lyqr6h&~K|gYpNqbIQ@<0j!^eaT-`XlD}c`28$<R;~Qo^x_+2B=<%Hl
zaX;MOAU-yKL+&R;w0~0|`Y`;RiYAULzQOug7^i{NBl#QVewcfS^*7AF==x#ip!+)w
z;!e1~L40if2JN3hj_-7cJ`8_npo!!1H&{Ol<20~(I`|tsoo7Pa3HLXMkImnZb^s#2
zVg2A8(0cL-xV#sbwj0X-0_E?6@>!t$)T2<o2$X*k$_E!83{2BN`3zJ)`hf+QrWruh
zf%f5m`WIkf2Bv8|;CXw*xezdMSiHh$(7IBPd9eOA%)M`*?t{7a50npcFX%p4P`eK1
zUKyx6aJknX>;R@|CQx<g?#&?4y(v(0N}%)_C=IrTfeBnLg8T*R&+mZBe_({PqhRWC
zNVFGp4+Y5IHBj@mKxvqHpz;`GuNPQ=Y1$sBJi5IFB-#r$j)7?!>|7zxdRLG=4N&u8
z>lH!!<U#E}(E3)8Jm?%@kb7b0^q|Y*GPi?(xf`JJxXgu(mw@VVkUIjv7BNjb0M!@4
zgc=VeB!)*8Bwu2bE7@q`uyO^xoCE7;VVnk5k5sO}%0*Z?NNjluGap?)%p6#LgYD1H
zfw&W1u7LR1$`#Q5Rpj#Y0yO;2LCb{~Q2qrdA9T($D84U2`QTtjDmOs;qe1E84OCwT
zT0FRb*KrVEZsbDzfe{aRXyUMVKo1|Veip`QVD(7x01Ho8_z@cqF!Ry%!^}aChkS@T
z;qd_CV~dAAa6lr;_ZVpSeSy-jaEFc4fR!VaLq1>urfD3|{uAgvP~`GD2fQwV_;8ni
zngc7Z6`=eY==d$zI;4141Pd@tQ-P`jt%FCl-vPXCf_VF3{T~LXd9Z#dZ2SncpAwYs
z3!vc(rdb%L!O|^E-EHvtJK<R{_ruaLs2vT`4;yEKrDvG9Fw{Q=Q2RmW5hMG@g+%{=
zjiX`t3Om;eR&K-W1GVEp{sf&D3Cg#yb@S-uG^GD2Fb&q9#E^%E1FXDt0$ac|4Ro#=
z$XxXDwt>X(0i90=G6!aUAtYWcc_8*OLfyj%7H46cb{872uyHw<K5wYI0wDUPf%bVL
z$6E`D?t-O57pQr#aX;92A1oafLEOs-rdb%L!Q}5l-3dB>4cUJQQ1f8!4urZJblw_B
z9cW(#vb*<?=<Z^Odoaq)5;SpK<tA7^=)NS7dODPw=<VWCkiAI#UJxH!xd|CZLX?}Z
z{0}?N3|D@DjQa>ogN@@r)7LauyCwq~Kd|$m(B)zAhhCn5#+^X%hhCnDLgTjqYA$-c
zxCcB>M|$~K0W}XcjtCp~gQd$dXgGpt(EVxP^vE#{mM%9y^~2I3Y&;Og_l3H94^$m!
z-57GZ1dSVl{0mkFI!_SHV44Q22Vpd<A5ac)4@SDIKof_hOZ0jctRHkQDo8z2x`frs
zuzHx-`W$9Hx_+2BF!#gSRh1xnk<ulIk1btJ0*3=4T~<N#VfecmO&sQLboYSuvoKBr
zt4H!T%>6L;66<f6`RMv#=Aio<wEqY+{|xpP0|NtykImnpbDfaeleK961D)dpns=;2
z6UXImuznWCX<+qq@HcunR1a|{y!{5^WAis;03Q+GpnX%w<4rJsH$u#VrvsS3(c=NE
zpM`N6SUr-zVetlwCt~9pW<I)pm^tY2-2`zb+}|KRHh+W8>q3t2W{5tx|6u+G?K?vb
z517Bv-2>Lo!Z;1A9?9P@_ru&vtiNIAqw9y6gYNHEi2LFG2Jx}^dlon&AmY0Xq7TF0
z?P%h-{0-L6!Z;1Ao(}#-Pv@Y0gvj|D#K-1u(D_=(@m&bsKP)^;mVtpmgPA!CvTlPp
zvlHrX=)P&tG#F_9gApvw!k7mRJ_aVnc?=8;91P5iuy)q_|Ns9pFfc>169dz<H_&+x
z9k2$bX`pq&p!RGR#C*6u*!&JGK4COe-89hoF(7^2P<?v@LG#NTdSE^aBO|Om05b=4
z-U&!OSU(HnG{|@>6WCp#c_+~NT97=*{h;swo&N$#-=O)2|NjNx{soI8`FB2=f8U|`
z_Yc&++Gzgmg}NISPOy0-m_K1OR2|&EeNcS|p#BB(Sr{2%?R}UzF#m$}voKC8g4z$F
zko^mCKghoc(D6DIaQq8Q;{ls5Fb#Ch0VrHD(BuWs<Qt&!kc`GOO#&>>0oUJwCa-`d
z4+{s7`#|Fj{GyQY9#JSg1FF9ON`uymgWS~*4G%EQ!Z=MFYyf7wE`aKTxlaSle$e?e
zp!h~VM|vW}e2nvEA?Mf$z|Ua^>t|t{23C)h-$D5c)Sd#Bn;;rA?*?jb#ev7Kgr|Y_
ziGch8IY$p+9?X20{!QR@Ai}di?N(&{==xyhO=4kSU}9jDhpK0s4CRB|@%ujqBp4W&
z<{CiadoEP{T&TLa;9z86n#%@W?<zcRDnvgc==>KZ21dqdkZ^&}ER1;&1DO~>?&e`&
zW`vpxNp=iOj0>UpWI9A&G}JzbHU=g}&^a_s42;oGeT*>qnGp5PV0|o%5Opk!jI*HP
zV0jisnEznz69>z)Ffu~j?Fe%>)L(G(LGA^uKLEK8ln-V@%!RuT<{r?wIw1F<>z@lz
z?+n(*!pI0#$HK@s4=N6phq;f15$-;)JPRY^e26-8uz&Hm7p#tj5gfiCcY@9ZYGPm%
z2m1>o4l;*@5p)b5xLjTYUB9sqB+kHS3l0YsM$q+`5P68WB{bhNg4MAwGA@S1v-l#A
zJcti5CktdA$X@h(3JMQUc>+3j5tMHs5y~_T)UN=iYmhk%2y;Q}6PuvsVlz(yn%`mh
z9+q#x?gHJz2A!W@3N;r@gTz4&f%aEG?tzu(E70=20b0Ia1~CVozd`u})Sg|ACJw9T
zLFomQk3s4{G*~|i<211PL6Gl3;~B{LekCM6<w5SnmhYkJ=R(zC<oneS{fw)i^cqOG
zFoJ2Md=Dyzu;u%u(0soZqAwb1A0(MDFfp!!io^05OnyB?+!?Hog%P5Tg^_UsR2(eN
z!pI19H_UzFV0jisMyR_TVeSSe4+bVinE4?0g7Q7ceV}x>5n?XfeK7ZIf{LT--wY9V
z2J2&CWCW`Ntq*~UgXLlFV_}55ZwpACg^_V9h-P3k2fGK4d%@~h7#TtC1-Ww@M4vd=
zUo4D_U_Mg5Uk1sqjN2jVY{B7xl<#*y#4VxqIYb=`BjZj;{ECC+Sr{3YL(ItnnFq2L
zSH7172Mp6RNQ5Ht{Vs?-aC5<YsJYn8lS9k*uzU-47bt&2^Zjn9xnLS}&mq))5QUuY
zH=yNv3$%O>^Uqtf_L>UR9jqV&84&H$Jy3gL`e5cm#ixPJa{!fhd!g!%K<v{4^H~@f
zq2=tfdT>B7!Pi~G)+>U}uL0=?o5#X94YuwY<P2ng?LhOF1H>M9I}7H%H)#ISg8B>A
zu7tI__d(qY(+4vjDh~J8eyF+=5c~ANd=^GVXgVV3FR*zmjME_f3C#8x=sbCB?XQCn
zd*S6XtQ>}%Ym8_wf%UU6P6Mk)Du*HG86(u;YJWk_RYs_TnGe&CtNn$p57z!V1j$eE
z_Sa!3A6GdHRX-Q14x=1C3enGa1WF%+gbO2>Mk<Fv=?Pmoyb@XtABX6RhS~>CjiCDO
z1XLVW?!n|wLd2cH`dAnl!RlBT8BamQ!SXDOj8J#O+$Ro}XJKT7y4w-vZcus#*#|Qp
z<X%uY400c+TsRFe7w$fod(J?`(e<B&h&zMzu`n`%)v+)#o`Z^m<zen)VT8L6EYHHo
zcpjq89PA!E?ggu3VPpikmw|yf^8!SlIM`n-jErDDQaQW|QhqXCgs8IxhXYbMd<h~B
zZ-0T+u`n`ThQzNpSRPa_K+MSknFq2LmQSJK0PnxbfCVti;VTe(;O2t)P;;@FX8<iH
z%%JoEXn6y67YpMwSh)x5e_e%|52jfdr@`C-at?C2bq%5po{vHK0F(}{qlx3n-(dYL
zjMKpC2SNV60f{Gg{=Nz2gZzc9ods1t7pe{;f8U1aXS@ZaLFbA#F)%WMX{7wUhJk?r
zTmD`P&EIz+;Ta9J51zm8LB(PD2_}CZBJK><$HK@6RtLKO87dBzXJKT7x*O&`anL!b
zpmsde-HtGKgW??&uQ2mL?giy<ko!RC?IFZmxcgx4c?1<l*Z&wI?hMw)!pI0#$HK@6
zI@h&{fzcT(4|5+2Biwypc@{>-rx5+-VE3>vGU9SCSRD%^BgnlVcRqvY69@Z?g^>}=
zN6O#pAo-H<IYgZ;*j%Li4LYZ`iGdN`&H}4rVPt#>iC=NBJPRY^dWbn$AoD=>;>zEk
z@i=V#t5*>F;pT$*P;;@FX9LaGu>5@jn!myBVqu&HtN&s7`!&>jFwMd^4dxC|JR#?A
z&^f-?>hHG@{qTH`D}RIavoKBrs~-gU`yC{n;Q9MKl#eTaL)FiPs>8_NA0he~LFbTy
z#!o&$!i5n`BjxW6IP&*KX#V~T(H9N151zk4=Z1pDCt&#rCjS+p-WjZqg^>}gj)jr&
z8&n)D&%($EbvMj?;$V3eMn<T+9bxVU#XBf}!^{V{7nHw2?gOQ_?+|n0?t{4pbe|o_
zedzjsLex8h^?~ly2Ju-K8GnIj21aMFJj{J8jBxjX<yjaRe?!!ngWZG2y<l~q{v+4{
z%$a{6`ozKhVqs(i^O5rRCP=<y{0mWM3l0aQ{QVCi4zIt#>R1>V|3l(g94ybm$ha9|
zP8P^KQ25}=-*RAgVb<RatdMhbVCI7PP;;@F=LF5)9#HxMG=GEjW2wIxq2_~W7RG5X
zcYvIOoWGeM>frepln+4ZfEi63SN;a;XJMQMRzC>xH|QQU<Z%*KR>*iM$Y0p%Z>ajY
zP<0sjn;oK`kqt_7K*EI)Oe5v*EjaS`R%rg_gy@Tg+6T|yTu^aXeuBw^=CMKbAXpy@
zBO_QH3nL>BM7=Xuo`sPS>Ta0(#KH0`jEqosJHp%zig!@F!psM`7nHw2?gOPaUWmDH
z_rcu52Ng%x&kqrI2J2&CWCW`N-LDN52g}3U$HEAAp8!ang^^JZL^Cj&gWZG2y<l~q
z@fWZIm@|bS`ozKhVqs(i^O5rRHb}l?6o#m?1&0Gt{uY6V!|QLbIu=GoQAqrXgXLKm
z8Mi~s$pV=N3LjkgTORB#%=|3|u?KE0m=84<n|XfFd=1OrH=y|&>@F<zw>Z>%FwMd^
z4dxDzb5Qa(NPvL}vdn>jX<7)>o+u~{o8N);2Vm_6SUW)iY7dxZVFZtFfZ8h{WAWJ&
z4>dm(O2g)fVC5Ffe=vI_q4t1j7RG6?b&BZre1L`v3;2EqfoUS(aSOzJ)(<p!4>WlO
z=sHaosD2+bc@8xB05o|4sQeVD{tz^I2{ic#G<gN6JZwHL22CE8&R(O9^JGE8krxyo
zNaI&h&~St4gP98(4}#H9b@2H{X{f$4VEZ}rz<d@)Ms)YV<{J^?T%e+hX&THP(0S3I
z@h#YRemx^Z9<(kFBo4Nhg>f3_K4EO>MguK862R_3#3#&Ouh7Dy02&^AXyG9P^%qPZ
z%$=}sfYDHO@bHj@>bn58pF<DK2i;eV<~~?>AjZca{)O2C3lG>hCwh2*?PXz{rU`X7
zh(gXsuzeg)!Rx<-XTkPK!1xwW_t=2%_Ys(u0(LKEc)dgmuQF(O@q;WxN<VT?f5XBH
zW-ct8U^G-6JiO$g`mTWO=g<T5LG$xy?t_IFY<vMdJYe|=CJxJ2uy6$1%fdKK7wT>h
zg&bZEX!d1*-Gdn(FVMoH1{xmFb`5;Jf&$cEgu(-=4jvwgP<=PR_H*cg`JnlGH21;6
z1J)md`5TsBVC4r)96db1_OdWe(*p$rmU%G`sC}SR3CeE;5cgn=BR@wAk0xk%2tv(;
zjUy{T{RImTnE6m~_&BmMRNWnjeR^O%QvM+{J`O8)2&n_x%fdJfc3ud`xyb1u0L}ju
z5cgpCAEXZyJ{?eh_d#ixepq=1%f~Q(!|E#)sDHsU3*$6nuz{H4->`54OEWM{n*z0G
z7L<;Fx)<F(m_4dcd%!dc<1`bnL4@s@4>cd=uLP()51{qq3n-25FEyxrV48(-ni-My
zEk(0018N`49+<u8_Nhbd1Jf*w)65Cj_Y5uFu7=tx2Gs{kw;E7$Vc`#JFTlz(7!6ei
z&&QfjeGee%Mi0zqVPq_T`UhqXEFZ(#8};B7PE6Ba^04v?)?UFS4-1caMv#k`roqYq
zu)A0or&&V%1)`ACIm};A(ZXXRG(2GIWnkf<1@#w9AIzN~TS55%Mnl!X!$TXY?+G+K
zz<d@)#tIzaLCC*^!V6b;fZfHyIL!(a5Ln7Rn7^K&g~xVic)->%z`_G`e+y_F7N!r@
z9)yJhjE1U%hlegSJYGP<1I%Y(WQ6U%gP8+MAF%c$EdF8gu=D|IkK&TY6&_%Bu`o`v
zArKyq(ZXXdG(2GQyRh)kgZhh5ctF*`!$Tjc?+r9Oz<d@)M%cbVn15m6LCC*^!V6b;
zfZfHyIL#JEcuat%S6KTd2kc(VcETgH@Hz|)FW9^-EWAMXz#yj|n7OcY1EZnp;NfKm
z4X+Pi`#JQ$d=^GVboar+3)a4Yr4N`ru=E3K58{%?6<%O>u`o`v#}QsL(88+$>|V_9
zdWaTYC!yg5n-7MCml4$8u=EA<2P~XmG*lftyo{mxzJTrL&;#>9>&4LA2MaGk;Xx=o
zafKJyU7&l(afH_bsCz)`XF%(RTEOl_gcoezF{~XA+Yb!m!}i0%_(!1T!OH0lH1lBL
z_y8^Z&qKo>HeUw|e-mgp!t}w+g@rqehN^>yzbRDT53v0ldSE_iz7@@Vu<(b41FRhf
zS_cEVKLvI!8f-lTE_JYT*kJ0w?qOk^2HU?43V!5z&<x@}jP=~+XyVXzJ|p`2a<G0-
zy91;iY5Wql9v!y+oY-~jF!Ry%!_0w&Gidw{)ISD=3#c3c`HO*psR+zxVPxC^9XGrI
z4QJT7NwE04hZgTwq45rD_rv1d667xiMsVwdfq`ifte*;V2aJZQgU7oSR38JloyegF
z=7Z+pq3(g11B-W9d4a1O0-MjmI1RRc4&-0tcmyrP28G8?h`+Ny{s)bdU4hz%?*IE}
z{=bdG|JG1{z`_mYZkWGeG*lhj|29y4f1v3e%%{NrVDmxe)`2{ZrTv2Je~`an?!AlV
z&xcTd!shE>@oWoqFH9e<{0&tH_op3H9}6^|!F<p>EHvC<=D^|^RxZK%r!aX~eFQe2
zg>hOa4u8V-o5RXEdx-rQ`PTtW9F~94^A%V>s9XlAN6Npjd<e^T#O7a^`RMv#=D^Ym
zEdM%!?1k?$V=4mkSr{32LDDhfA80tDr{CLX@%kJZuju*L32HtpUU8*gs5*GOIz#pG
zK;sq62hFoV-2*cR7O$}O2d?}JHlKxYS{#meg{9x!5PxTZ{0~aUKcM!Z`~MD_|KH;9
zzYEkKg!~Ux2lu}#R38V_|6o1^{s)^6I$w$i|AYJu+YkQ+yuM#xS`WA!L9_>8`~PA2
z0Or42XyNi18ZNN;J6L{qgZdAq4@zU~=Y*<*hl@K@p8zymz<kiW5_I1v%p6#{fcXPf
zpTqX|!_KRO)ze^mSQw|l&aDIm6LR?uHFwfYH2?jC`VTgL0rQ^+WIl#qy#-YV_n#-!
ze<D!-f%z<qj3Q9~p{IK<h<c3qPj57FSos56KM0yn1hw<P`dJvKfz>0GKd|+MFm;r!
zPXwK>hrB-o*ZM>sNVv&E)ie4+`5=EI&wu`aq|3Qb^>d->Fy=q~A^I8ppmYEvTo}PL
z(){Nh==>+tT=@Qwy^!*NF%Y6J8fqV;m}6jK41$V7^)bTagCXM1V0|o%5Opk!j3H2Q
zusjPR%zrTViG$@?7#X4Nc7(YblpaCzpD^=5?gh<%g4_qnub~ig;qHUECk!f%u0I?i
z?hMw)!pI0#2RgqGDh`&1xsQbr?!E|+JPRXZB#35UGzYr}k9)!DSQr^W?ghCs3ZhRO
z>@OBZMlc^~{&OE>-jXpIqRti^4oLH#F%WS}XugK1V_{^Bg~YEoSe}KEaX-YIERcC1
zdtvz(8V>OFiLmo!ArXpLCmsi}2W~Ey4>cEL9@st>#%ZAQ3qb9@c!+w8e3*bH4$Ft2
z^Jqc&4|abpSU(HnG_ZQ4d<Yu%LC!bm`e5sN6CwVWhpJ~xg7QKAC~Wx#s(vn19Y(%M
zf#_#UhSI4Je=ve+q<nJ#N4_}-%{OTfebG?+Ai>PQ#F!2hhow`Pd<I0^8LW?m5u%QT
zkuei04wh$Og!vEVK5?)-=p1o~dmUl!hQ>D|%zTi0LHP#cK2SVnLCl4_59Xe1s5rX*
z9Ei9xSRV@`BUl{^BV#U994rrW9}6SgePDSOM#emdI&-jl@VFPOj)jpC<X(_F^C9}g
z!Tw@lWCZh(^35SgdS?XPXW7KSXbX-%q<m8d(GSlzV0A2vj75;}5(mqJ)+0mA$pV=N
zvX|6+Qw*^OZZ4P)H5X(a*gm9u1I@?qb^5US8MK}m)bEDX&)|BJg>f1zzk=cgxqgP7
z2Lx-6XrR@X6VTc(u=93c>P^tpPeD@;3x^wM^*@6cWIrgVz5<Oy!|H#~{hpxpbTECO
z`U{lbVeM}i4OIuP|4X6aCjqVh!F(1*Ms)YV+BYzN!rC_=cYwkTJ^XN~gPpqz3+FP3
z{TSs~Ihr`E97ErC2G-BQI1Q{GsT_mt7bVo50PQCNg%eC2%zT)B*nS6SIX4ZqUlm;+
ztX!;sq;Gh+SPA8$my6K#LUW<&=R(zCl#A66{ft#mx&{(1j9?n6Ts#6T7oq0D+Y?8j
z<zg*FUo_M{c)3^y6^H6$gvr-K#GS$VK<8kC_@Hrn5Y52o43=kMWQ4jK=05QTkUR?`
zBh=lFFn5FU52!r>Gauw$P`L<lA1I$Tg7h&k!rcdRPZLxeU4Jt~+!?Hog^>}gj)jr2
z1u71fhq;f15$-;)JPRXZD@2_+*gbgM3swgjzXLmfIkOF-PaNzo7Dh%eAE{hC1}V=N
z+ac;~!Qp^ZE`rAEK<lBQ`2(Vkg^{rn62IbLc@{>-;}CPQK<0tM2bQnF&OjOuft~9P
ziBLp)q6=a_+*~jpYA(n;uzg77BDh>&VVnjt4`dH=c?UZu3N~Il1FgJ*otFhG-(crd
z!T2H2@(wn?F$Yb53{)OAKC%E!J_RZdGp`%sKa6pZ9yD=Sxd9uefQ?Il^|LTe1FJ_W
zH_*p1s5K6PelA5X#GR06V_+%*^FjNHK<7<hId2{o|JTs!19oVA05J%$Pp=P>p5Xn#
z6!3ZM0vP>Ws5*Fk&<}N&0<=B=^FjODpy3ZQ2UZ_UfT+iahlyz7uy}ynvm-DKc5e?@
zKj^#wkb0zem;~Z8Flt7C-5~%!Z)Gx?ILutgeLo0u!TMPkr-9WYnG3nM2cZt;9+>`(
z;C`O)EYSKZ<aRK+K3I7_1rpBkQ1y&cp?uJ}9?0!r(0<bY{{`kk)z5{h!zk~kL-aFF
zgVHk~{$&KyNag)0Xn7Ac7hc|<hL-m;A^M`B_Cb;d0~6ycs5n#~BTRlaMBEvy4|J{o
zh!5Jw526_uox$>;efdy#LER@l2PDtJ$Ov_}BUBu2AIyA^dqL$r$bF#lXD&z|10&ph
zF!#)Zii64@P<;W@KOZ9Q4AuuaR{+EZ?e_=K42;fTd6@fH7-8Wjz5pc8!pOJ~L^Cj&
zgU!R^Ua&gQzCN%6m@^ka^ofK0#lpx4<|CE&XCUP?<6?+9TW~lamG?^^;+D|z6QYiV
zk#Q*`9L2%%ER2k2A?9R(%malFEWd-DfmGgu?uh`k`yc^~DDRg+?17sL=0nW|nFqEH
zsl11k$FT8BSh)-vmxi_f(A#;ido5t?H*opE!Z;1)E|7bX>kZgA=Sc<z1|0_GtP>yw
z49uD6_gldHdmXKO<HJ$DEr*03!SW5N4qm>kfQFL{xLo1T1M~5eZ!00{G0L}9XyUMP
z2y(BYz%<xBjbQyOjMKpCk;<XfQ2prT+Zr@+n7NSq9TDb&^|LTe1FJ_e7jjP{LLJOK
zF#WLd4b;9uF5l4g!OFL_kZ_iVs%KmW<%8B$VJqLD>gPh$VU%whAo>~CLurtEK=XNE
z8mW9chogKu4=vw7?Q+mQ8mN7c?7+apxET_D(XjFaCcgzD?hMuk+BX8?gU%lS(F}~v
zV0qB_3Q%`J-6y^kBoEpz0}*$Gio@-LnGbR=sC)yt50u}wf%GvjM#JP`?%56%2WJBY
zCPuhCMBEvy547$b#0Q;60HPTfop*p}nEOEc+92)`-w74p1)>=k&B5m3aW7aMXkRec
z0nC}ZA^OC@{$gQd1oM%~w+oPRiE$4^oh>;2kjl5c5OH|<23E(y$hZ&cKCnCsBjZJg
zIawg{K;Z++?_g&jm2a?nYajuPDBt!&?17sL=0nW|nFqFy3gsK@9vxWuhN~Qe-ID`T
z2QFt=7^lJ95AqkTauDQR*!h-QpzX3HXzg9td77~H?g5DZG5W~|(Zpfpg$2}HSicsm
zA9OANNIg<{VS=Vk23p?2`nj-jzy?hn%zT)BQ2qstOG3|Cg7=fr^})<L1W6z8eT9di
ze2{yQ%asEVd*?#c&xNYPC|8a`^fMlT(#JslWME_j(@5pYC1|+<H5cA)x(qE>jzjcC
zL+yhkLk1?s6HsxeK1P`QNr<>JSRbhU4&sB_4<MR>(HSfc8jpgy3+g`cQy_WJK6{9`
zBUBu2AIyA^dqL$2$bF!6a~h<Nff4RLn0wAZ#X;!~)NX?5KMN6e2I~XO$AkEw{12iT
z7@fiLF!zD_xe)h=p99H*&IJI`42<Sr^YFO$JV+f2BO}PYAa`B>@fjG!!TtiB3jpFH
zl`B^u<pJYGkT?UQEja#=%9TqHaZ6}Efv5wW3jlQ=Se}KE@hZfeERcC1dvTR3kb5RD
z_Z?k<*u!`kYA%=$H5Z$CqR{*SJC~^i+Ajj@M>?P7D%4ys&B8bhW<Mx?kjsT@5Oo;&
z`Z}68u6zyF4>}J3q#jSc2AvCnT;Id;A$tC#G+*C<gd;p(--PmU<!h+=xlnZ&`T90Q
zKjSSZeFx-E(0KqL8Yy32!;!DAL-X}rka`BjXsCVge0C2i4$DU{`TG!YXRtodc>o|j
z=sW-r&A{jkmIv*(hq?>uKJf=2dC>lPh`1wE9Bv=Xe2{xV`5NRtP&#`E(ud?en0p>U
z#X;!?l&@j>A49~Q!TLbw0f6|RbtoVjbRGbRhPe-P9stBW;!mLBPeC*TqdC|-JnjXn
zV_{?jxfkTlXAphjV1I$m0|4=n^7Rc!eq?+O5@%qv1;-y!z6OoAgT}vL`5LSabRGcI
zePDTzyCLRefy@KhOKQG;1+ky;CDdFnA8IZ(^Hk9Cbq_ROgY_fj>(@|o!8D$H4O+*5
z^&9|*et3Szm9N42LFWK~)Z@w5xW=cbov+_P!V#XY-$VJh@-<ZbT&OyXeEkukpYa2f
z{si(T10y4tM#|T>aOCUT(0u(FqAwb1A3UFZfr`WO5lsFoMBEvy4|EOyhz~jk07Nq|
zI)mjw`|P3ag1S%q8%Q3suO1@q2o;Ch2Qwe!UQoUUxet`izJv5JFv8sja}Q|V57d8w
z<!hM!pAhxVV11x-06=`uIRGFUbPfQBhPe;4J_q6+@n2B!-yoWS(Hv|Z9`}OPfyxE2
z1DG@aK=g@&{RKJ)0K`Yi*LNWKk?}7`oPp669Dhjp`X59bUSEUNfzAPdx(_VR!pL|R
zVonywJW%+Mny(qypyvQU%?0zJ=3+BX7cE~;f#&P~ApJ=B8nm4R)DH#Ic=9#Oy;sr3
zDa@eufacvm<1?^%IVPxkVfsM#E`sKppyKfTV9Zc;D$sEWFdwvU1nOScI1KDOeAs=O
zu>J?wJUs4R0yPJcV40?^0QWZ#=hDL52Tl$QOw;V4{&9oSF#WJ{5f+Xx|G?Touzgr8
zQ2&5w7RG7aV1se*!@7bNo?%dX)u8%d;mHa$7v^42c!R<dHopm@q3Yn{K5S5Z8qn|s
z^Fim_K*I%Q4s2W-=3m&lP1rsou(_al1E{+|6mokGbT1~zJ)rU%L~j6xGh!VZ?7jhb
zzYfj*Eokat{=AG9KC#g7Q3p8yX@4p^)SobYFn__q1x7>F!NZ3Gs!s<RK43m*Jph{f
zVBrI;zv1)fuyhH#rva8OVc`Kwzo7aZG+&H94-A|CfrU409tbub33e|F<21;<7?|nk
z1e!Z{;0VV{XyKRw4M))UJ#xO~goX#9aD=LZha(qMp8+%+!F*7^5Y2tCa6AANhxr>8
zUod~e_E*BjX<_LQY%dGrG|0UKnBf6)_eC`SW<&i8+fNP4SKMsib3fqYsW5lI(hH1+
zs)PHN2kKuFsDHtHP`L%>3&8ii!~BcOKd|_Nr4!h?0@%H{u=E5w7XcPtu<(GL%YZJ<
z3vnO3y#;EofZEY~XyUMTm<nXP0e+q}SU(HnG_ZQ4c9;U1I$Y}&HPF<-%!la*jYopU
zO`zke@NpA#eX#x-KO~;u<FNuzKKghp$UM+|6IA_Ns5*>xm=Huiqac(PhJ*_vm_}-c
z-GjEnpytBIP3}Y6VImNH(NOy!)eHj@qbO7ys*e#SF9s2J2I~WzCj#QLFfxjRXa+`S
zusrB|6sWtP?h^;ggU&I5h&w{X;r7AI2e}v24g<Ll)Gm;Km<x9w%srA&ad0upzyx=n
z6hzz^tdE6}5v-1dkx?2d4wi?x4|F~Y#699*c@{=S8HhS_uzT>h7pxA{zXUsgIa3y*
zPaNzo7Dh%eAE_M%S|<y-k6aF-&K4YhNbN9rh`1$m+>#Nj4s=ch)O}!i7DmR0&~_Ne
zJW%++$_ubFkj7(S_fSIu7%?8J2(gC|w62+nfl(aHhnfpA4=j!}9t$ovSQw|l%mdkj
zTyLV=Uj!|uVB;(kpydhd{CikCLka2*FwMd^4ZP42$^EeNuazO{Fy?Vo(8OWo0Qx!r
zuznWCX<+q8<pBD+0$lwunEznoqcHQ)^~2UDz{^|ce6%XWosei?U|<08vE5_w2o$hL
z_a&=A^kMj09ZekOZ*=#7^|LTe1FJ{!H_ZJo_Y&)GnEB}XVdkLwTLa=wxW7SsZ2ktV
zGY6$Ru=g1l7&IaJF#N5BCXUPBVErtN)4=NK;BWNxA=(gk!u<{6WAiu6zl3PW{wL<l
zfB*mg=U`**`tkq&e|}bGNVA-Qi4i0Ysuv;VFfm>LUwFwhw;g)#0Z9DMe__xu{-AU7
z=axgoJs|mVZW8$Z1mSr#kbDc)4bn3M$~T7iNB#%+o_G#M6A0fDEDq`?g4-!fjHVEA
z`9Dx~W)Qw3SR6?m$ZU{%%^~v6VDnfQ8KLSKEg<495c>oeq3Redq2dtp1>owfAmYwo
zeJqR+bu5gGU~!~-PF_OFO-6{h9Miz&g3f&a>1Sb__66*2foZnTbGkw5k<;Z8Xn0tI
z)H5(TGeFv@j4V(ZERJN~Tc~{ybsUi54O+f|+N&H8eQ^6g>OuC|K+K1Sw=I+p@izw}
zn2%Ji{9s^U5Mf}3rZ=W?uzt|^1By5#)IsEH5P?+AY=HX94r&iHd>HMae3-os5WXE)
z9SbA)^dnF_Izq+4>XF2qAmZ?Ngz9H>hKfVX6<~zwXLNyxJA?JHFhbO^FhazU!u1n0
zT%qoq1~!+4aT?ehEb$0Z4+_^kP=C5Y?2(7Y3!@u^ZwVGha=$x7Tpkj?9E=_iz9U#1
zOM3Q%$UB40V_}4;XY_)IyFly{V1%k;^oEK<%ol*G_koBzgY~g6Le#M^GJ?gC!r?14
z93bX$Oaq(C!Z;1=P8P;#=;;fj9uy9~5cA>b%MZ$j#0Li>n2(meK<yTAvSDB<1M6pD
zWQ3+8Mo{_#nG2CekSCzw4J&6r`=$Q>7oG<$zu1^3e*gdfzW|nUj1z1G6C+6e?|+Uo
zNWx}f^oO{!2UOmH?hOI+Sr{1uAnhYf(D}Ik|4YN~<^2Eu|9_A=ka7S13or&k)X6JB
z$~#7oJO2LX(1Yq{{PX|+e-Iz4juEO(4^+N`^h3=zhV?_x#X;=@P=0{f2cof+yP$gO
z-+ux4Jtse*@d!)L@z8s9K=%CmFE9<phm}v(%%FRsglGMN=*t4>2ZcW_{ZRYh<(n+T
ze6W6yzAMmp0)+<y1M?ivdg*`v1>pB#{f5}X7z7D_@O~>$ctF*G&&vk66P6BP?ggu7
zVVnkYFDN`f7-SyEURe0T!W%t&!Qw28j4*Ln_=4k$g%O-S1lX7-egoA%Y|NQ||NsBb
z!^+$SOE0i?8>B*G0=F+g^Jt)S0V;n%`z1jAw^nw@xFncH%3q-VtO^4&V;e*s-VX(Z
zCn%oV(ZoUV1ri7O5!610onHsr&j>aLbe|eX9}D9&P`d}@o|Vw}>;Q=)t(%0|(}^aI
zZV&8SIG8<Pb66Osf%UO4P7{IN{|>XK3u;~rr2c1w+0%_Cj&2X^JT;g-U~@p@gCKpN
z^I6dBfrbAsaD*^T%V2=)e*ni91Jg8E`1gSHGcYoOX{7M4WMp7~l{=vD1m!nSyus{;
zr88K%gP9NWCoCRd_h6vwgXI^{{(Izj0L8lq19KM0eo#6+3pt+-ats**6MUTI4A_HA
z(?H|yApKuK=@7|Zy-<IFXl(7jDsZ?kXA*1v7c}$xpyq>UZ01)JX?_nIBwjwFncojJ
zA4Fp_zlKEfKcSgF0ct*o#%6vkk>(e$L)`xn&HRZ_^FcH=^Xo`7{{x!&lc45<Xl&+#
z(h(^AfYKodgUcs2<}Oe@!;4f-f%$CAU7&jgC0Usv*ZMIqG3r3dTX?wx%a6Kf;;{US
zzTXe5A9S7|NIg<N2$WAi<J-`C5T~K*1D#U~O3(ke!1WBc-3rQo5Qi~MTMMl}^g!k^
zFls{00WB8=^)DD9_ZTAX4TjkVR?or+HV>4KLHCV;%3n~}{Qu9;0ro!|a~J6TLF91I
zhuQ-xuVCR|fF=$LhaPbKE-(#L!Gin=*3ZH?4Xhq196;mIAbFTNT;qTpXy(Dphv|o{
zpK5`sgWk6|4P76uaD@!WA;Q%V>My7{@Nhi<?Jt1B3lx4Z`@rh)gey2a*_gY)<t{68
zCg{E(<nV=>H@6qsFMI%P4}j+RK;gp!wHG$73spZ2bk6`tJ|9|tf$C>ax`F9~?JF~b
z$_GRI1)qlwf$~A|30gM>xo=2d8dx0*<20~53*)r)Q2ii#LFokSem3SV(EUKj{&<7t
zZrJ^fl)5_<>Q1Qt7{j1^n7bkO2?<OCt7BoD2A0R-Zm@gVn7csd-6FgD2h`mWkoGC$
zI6DR=#z?3=I^gi<V2pzDq4z#AMnm|nU~$ksYX~1Aj?{kt2W`KB%|ohR|3k-rq4tV_
z)Pc$?73g`Z5>OgF{$b<UF%bJSq3Yo2Q~|0FEDsuYgYu)H@fZ&ECsZ9H)P8t-lYtR(
z|0>9RAoplM^+WA}-|GpBSD1TX=0ep&%ti7q$Xrl+LI%w|s5_>?%!lfS^TE{w1Jg9n
z`bAKA1+xcg4kO51kUM#x=EB?sRtK7=hKj@7DFEf)0H3SOF%4ZGOdaIBVu5Ke^&HS~
z30OV=rz1AzF3@?w$mxki99$oY#6iOuq#o2RjfcdSya_ZtB|!Pm`ve&iA$(V`I13{q
zR6Rr-DLsMa_dwwYmPZO-R%pCI?G*#51BDBE_@d`an17QX_G6?cSb7A@gU;oKh94|F
z#X|iFRmTXmAD*7rpzZ>>59A(byusramwRC5Le)deMe;AmTu?Zmrx&O@;O0a1!};jx
z31$z}97d42Aa|mt3$Qv0(i7yoXWZ!toQ~L-yFlkDBc~?;XnN8C=U;(oKfvM7F%5Pe
z_ylM=hQ;Ftu)M%DboJ15IPDLLyznfTdf5GT@{n{6R|g9(h<U=Zk|F8D5^4^7913R6
z9;o?Xbu5h2T)^%Yp7jMP{sv0J)b9ZEIpFu$t^xA}rdfb#j%n!nVE)_ymKT^-0j4>o
zq3eUGgPa>JFb$?2Js*R^n~k{(bZ#?pxXa)Oci1`W)Czal{d<JMJ%z|{2df(d;SM>U
z8h5yZ!<&t{3v@m-a=2?i!yVR+ft_0qE7xJ^7`^>t08VED(_rVi6H*UtPfUZ^1Je&{
zH^Jsp;=$$$&q{@aKfL{v2Ia%rA&~Q^1*U=3fzCew$zy4Ufc?wH+yy#c7}?(@P=9AY
z%TuU788boVFfhuOK<kYxC?9&>He)t~?+O+NoxcI$L&TBl4FPC>9&8>`IVuPhhuSL!
zQU@ykwt)RDFl`N#hTVSvs&7H-P+<8h9qL}FdPb-@@bX^>TK<FV0htR~cYrV#c5ebM
zbD`=X<|4TpWG<-u-vD(V%$-noz}*ei59h<mGgx~9=6<L-j39GC?#zLj3v(A(9cbSe
zR2=620w~`COmj>_*9TJvIp<km8caQUIRs7*Y|LGtbC!|Qg#$EQ<U+$4mM-!j@eEHF
z`A|MAT@*n0u3&M{c_t7(BUl_MU5G*BA8Z~{_=-ctq4tV_)PceUJ$!M+Uk=p0Q1y&Z
zbKvPh0-7#B_JGWVhCe)>aHThxxlr{GbCKK)G8dFC(BmEI4!HSH{ct{dx`5dOHHQ&o
zF36qe@e5W*LArpPca1w;fYSpTa~J5mY2<X_15Fo2&~S#Oi(*JT!_!3xln+Z6r4YU=
zSe%8C5vraMERK{eWT5d6mPZO-S*SSFUNMk5P`KED+YJKKOrSLEz7q$u_DLbsy-@Xx
zP;=nvLJpcPK=y#lb%2@&GZ%JG3NCY@>LKPLxf^6IC|y{fnFn<T+}%+9a6WpvfVm%P
z4kO51kUPJC^S{6}n7hF0K<DT~?SqvAKcMOkfN73t==xylAm@GyOoORMFE7C9fsMHf
zbnZ5Cx`=?Li*jf<!_q|sB;Miaq7ur7rHd*E-xVwlT89SVGlIpD(uEQ<{=w!Ug|9MH
z9BQu^NF69#(8Cv3{FOo73suhuH3yz9RG{esWDm$(X!yh930Hc9nG019F&D|*Aag<K
z0zKZL?tq&Q)eq;Rrwf=pP;(eT=7QXb9=~996r>Bt`RBOP1vovhF?WH^7e`JPDbRFL
z0}W?bx~PT3Gdx|?LHV$BQ4is}g2h=F8KLSK!Qx2iLIWEAV0onQ)r5*e?G*#51BFWq
zG+)#}Y1sWTuy#KzA5=r#3suhuH3yz9w4mt%WDm&P4ybuBb7A+i;4&Ag9%3$%yFuoH
z(nSNBc~EyugP9N259gz&3z++%<}iZH1-TQwzXDdr!U#79=6_hfg-|~Sa?U&M_BJ>@
zurYUm&QV8B7X{FC(FhG^Sh{F}#5+7)G(-8YbkPFgyMo0*=LkXgj9_u3bfE{0f3SH-
z;j0f7huSL!QU?kb^zg+Me+^LgLe(=u&4H&218BMc*#j~c8vgL`#g*P*=0ep&%tdlH
z$XrmmK#zB*JK*L+^~3q-=>ldC)Eq{Txgd9<rwgz;3epASJbT>f0-PS$n7csdyCbKI
z8fdy`gN8FKU4ZtfgT|d<=gzMH=MRo)pz}gN;-GVZKs0Pz8az$Mz%&UoKL8q^hUo{L
z*97WEz{aQBq3H)|PZ5l70!=4iJ_{pcxSoND(UcK#k1^DJVjy*(@auq>gK_>%Cz?3O
zJ)m(p&^|tpdqMYjf@rXQ(EKe(J<@yyXkRW!9(11ph(^~3o4-Jxw}G7}51Y3E?UM!R
z2c7E&qS4KT<@;7>xI@)5Lj4I(-)7MC4GIU4zd`#uLFS>y3p6~ZfzA~N$;09WwBHiM
zhq(i$A9OA}C|=Or0aXui2U30jxdW6RK<8i~n+J9GG?@8N{ct{det`KGY7QgFT#&!e
z+uLAuER1k-VD5zVs|fYOAomL(=Eq?AY{2a?ggE;A7&w2hF?WIInOT`LLFd9F=a&v>
ze%S+_w-cDw0j4>o!S1s_uYbBg>5G98W1VF;nm8<-o&cLCFwFo;gY|>v|3T`J(&-tf
zJWL%dU80{$a0E>q%zT)B*!ubdP<0Ma8eJc*c{P7<!b8lff#$hE`#PcKz~?I(pys06
z1J=&KG!3c`I-V&!3#J}DoMGyEpyt8GD|<oV!@y_>mS<sPgvv9*%<+M$2dhIGH*0~4
z*Fb5QdJixkF<yy2PK>S(mOgdB`UIx^0MFmzo_B!U10XOBrXIcB4^DTmbjZe>30{}L
z%A5(hrvW)VPJyOJ5pK{tvcNO}D2*#UqWc3~AIu*-P;+j8{e#;dkoz12roq&s`vdG=
zHs&s{``MT?LH7?JyMF=H{jmHA%h#~`NnqnLFn2-j6~Nt(2Aj{u+y!1|!OENoIu{<<
zzBN$$o<RM#0ZemDgWcbQo`3rw=?WwN_M?fz^6v+*c>>cSpfp%N3*$7fdZhgO1u73y
zhb#ZSK~o1aAEqCce_ue=Wk6|keYo;3=)7alJU6V~ngI0|)Es#JT>&*0-5yx}h3bRn
zUzmFIaE7Vd0yPhoe<wohwFJw9?&pQbGs4U%f$*n+)gk5IHBj*-P#UJb0L;gof6?{9
z(pLyrpTIN)FpWFiL+$|(m<Cgip6<cv4weqtm@~oaa#)!&LH9Hur^g-8^k~Ba$-fp*
z8drKm&%fyUVE!<Hn!^C5ar=V@vOaAZOg*|k!0u&Z?gG1?jX4u^p8&G^k3iiInqLOB
z3t;yW!1ghM){BG0LHj~LG^||$nzsh=LF*4dG)zBeUkq})1U7!ZLKr;XIt^VP%)gT$
z=?6Y;KN-r0joU-+ClHthRtGxw5G2pSIIR-gt`(jIO2?pe4`BbXF?WIP6+rgq1*ku#
zfb=miVyqXMiY5*#575{Bfc1m+`GC|Tl?R}8o}hL!to}yV2lFTBfJ)GP<WTjD(;@9g
zdGzyhLHp-H``e)E=R(zC>~EV1(a$&oO3wnhi-D05Oe5`Yvxm0Bq2|Kd=b&*g4hCk%
z*${ovQ2QXwJ_aVnIZ$z^K1P`QT!^?cSRd#dMGzl!ZXt+fU~~q{gU%s@x(n()@p&M5
z7Dh&>yB(q8aQk59gWL<+-v)9YsC_vfq>q6S?mn1%K>KWw&l!N}UkFj}4AuuaM-jwl
zVPsqcq8S*S!SXQof$k53xKkV~&%(&K7^2P`Y#tu>g4MAwGJ@O-a_16=K5?+WSP=It
zfzlHweZcx1==lsB9xRO0VDeydK<Cwh+q=TEmO|XexC~-GM*3ZjCJwR>)NcZ<p9SSl
zP(A|DVErtN)4=MH(l2PA97rCf4p)7Ke$NEVe3*V%eFmD>1Nj%0AJFx|^1}*<zrgFI
zLF=1VLiwQmxgb6$Uo$W;&4tGIT&ViFP<0sjVKqcQ<0>e<1`;leU>YeuIN`_-&d~na
zT8O@AsD1GCxeh80bq^y<emz9o8LSU<jva^(I;RdqGcY=X<yjaRq3(vcPkaMNo`sPS
z>TXAvyP^Jun-6j?C_jMQ2TErfLHZaN;qHUEXA@K$UH@i?xHDKE3nL>~9jKm$ii720
z?qgwuyKf6f9(0Z%h-P3k2m2R~d$)qru`n`%+zWE&HV~hIQ5@_qr2GIa|KRBzJwJfM
z11Ud%%|Xf!+acyM?tswpF#b*mUlYt{VT8ATc0t75pz<(%jJqM?ny~x@T|dpZ2O{nS
z)(1K_5X5I;WZVm)85rfk>OtoKLiny=a}n~4`yt}aU~^#VK<5oW#lhx)?sWt4Sr{1)
zf@lUt=K~-bbT0;oW?%&G)A;?LLk}zux|avCK3orMJ_{owY(5-4{GjoVvHlQLE`jnn
zOdo6>9bG-FT?bPStH)vG6Kq}|k};Uz?Z!h8cUwZ`;p<Ld=782cfb0jWLuv<t>KTwY
zsD1^}F!i8yR><uM^!5|FK3KT|TMtHP{TSq4Al&PH!0iY&<}T1Z1<37*JJ9yT5lA@$
zUypbc;(v_t`52lwtbB%@#}6xa!TMPkr-9WYmCvyA`eEvDmCxws_QTAF>4%liu>1!r
zpV9Tf!tpr7fAI7EPeA$T?G@;L^SMy<bD`=m%I8xM{fsA}^l3=AFoJ2M^4SwwK10og
zw^zKN<?|VczG$d@kYvcf#CR4e4%Np9lRpO$cLwVNof{0|gU<g0(F}~vV0q9v7EpIV
z-6wt?Bo8|85F+jf6^GjgGauw$Q27jUAE?~B0Mf_62zMXMJr|+k(EJ8>-zA8+Ggu$!
z+)of6bRHmxW?*y%%fsBq!UzjL@yj527DmP^Aew>E9PA!E?ggu3VPpik7v#>X5Pjlc
ze<796p!5l<zhU_ucHal6UI*nza6BTF&tP+q%I9kka~ZEgXiXR&R=(bVh|7b;LFbl1
z_^x1a7Dkvp#+x8<q<IQA=r}XjT%_?$cWAnR=0`D*I*|V)pyyMdyB`|<(?IPkP<aFE
z*T_KC3qWa@eo#9T<ZoEMI1EV-;!yRBP<!Fyz8=u@3vw689kB8imph>4<usT(bfETQ
z>-U5D`;Y{PD0gA%VfMhvb(lJs`LJ^R7ARa97%|Fem^pXYApKdeI;3*i0O~GS_`uYI
z;tgB)K-ELS2PwWl;R70v7C|!)8lKZ&=0o+v`7r;$)&;@h0cs8-$Xrl(qt_E)bu5f<
zb71jEY&`+FKL&R_0j?j|n7hFHYgm~xLFarU*B39K^~G&SxMS20pzsEbyM{pe^YHy<
zPr&;P1g1@a(qR28jMKpCk?M!LP;=4uf80Y82jy!}zu*JZJlHwjVErtN)4=MH%)JlQ
zkA9B#12l1%xnI!C1?y*FoCa2pWbPX@bujn9^uzXxSU}o4@N;9(^}*utAtXP_L)9}r
zg7QK4p(FPrpyyQ2g{q$mRfkbeJ%Q+Fd<><ZLj21JrjhC?KWILOnhUR|{GsL1Gl;%u
zsC|&+%fQ6=94Zdg#|V>u0TFiw>tkVrr~{oJ2^9y+gU-W*x(n()@s}WZ(D|4UaYv{)
z+&-B3AoqgmDUka>`Q#Ny9|I%YeK7aDhKfVWNx1voK*XKF`at`eL3|cQ#<w7vfzcT(
z4|5+2BP{&H!SXDOjPD@o%)#!#<6f{j&^>uzA24UWhv*Xr`wOX_0@bU?^%U&h7TA0z
zI3AJeDX=+6_0$K5xr`qnv^<Re3Bq>)izDrK{|ph=1gk^pUwnaxJA?JHFfu~bF@nzB
z0=3`4@+^#uI*|0N2bKq&w+hV%bD%VOeuwIZ_me>J0orE<JBJ%K?)w#DKgKxsH#Bir
zK82l|56k~x{Va^r!0M6m>367p^!)z=O&n$}>>PfWxnTV)jMKpCk<3Luk00h9n0{FP
zhs7_for<mxmj8c3(mnj#s9#V%`ngfi^gS1<elAoUM*jZ;(a-oBO8<rUmk~@O<^Mn&
z`9BDn|NlYsMMLcar)E(3`yVO}bq^z49<pE38LSU<ega4xDE&dj89+4XyiBOOpzafA
zgo;Dm?Fbcz+Xpir<X%wz2e}WF|CvDg7#QL1gSm$pDh^6-Aos%bvp~e1!TLbwGJ*Ik
zjEt-xnt{<7EDv)Z3nMK2#KH0`jErm$b>?96K<98m{DI+KusRk-Mv!|!?qrAP69@YX
zDgUGA5A^&G4iBXK4>kuW|8qdhWwhagw0AhbG{-d9{Y{|pN91;m16W>Q8tgthSbMkv
zntxNEG^`yr2ZwrSxK7J~%EQz{>#u1reXw)*Ve2n$K+T7#gSF3L=0PGH(Jq9khq)Ve
z{y$6|%)PL7Atxj}ETQ7?{yWSZ^l*ZzpT-0A2h1EUs5xMH(6|Leo)KmaINXuq1*(1;
z%v~^ZxS{5N<w5xX>`^909*DRmwA_S`^T5o101YRo{4}UJ)1dm`;}yIRb1cE~Aa{cJ
zpz{=<`j<dyusWo6@Exf56(|j}cLA7>n71+k^980QfZMqo)6n(7$}bD3ItDP!F%4ZG
zOdaH27lCOo_2~0G;C3gh9m>X>3Eq#*%A5(hR|~m4`UTn^<pcQ_%X|nwnmDX{pTiHy
zCniuDte=H(8dyD2`3`EogW9v`?NLFfxiE2<xhtUN!S2lh>t|t{23C(`t`Jl|dVM5}
zCJr-q4Vt-N{Va^r!0M6AU4o_#<{p@ST=fyUK3F_~`Xiuu9%%i=D9R2#e^MS-eFRlM
z7pe}UJ`#uMXB30dpnfUnJYO)4R3C-osE<JBmx1PaBq8Ax4Yd!F-58h{rJ&-l@*gHI
z4H0(+>tkVrr~{q<2Neg)gU&aEx(n()aZo?533T2eMBEW74z~|xKFGbG`UvDcP&qFP
zF&FMWn0w@);^6Ghz{CjCFAouS2J2&CWCW`Nod*aN2g}3U$HE8;KXK6clpyyhLe!aq
z&BNnfusRk-Mv!|!?o@*469@Z?g!%{^k4WVn*c_z#NEu=-Bj_B>CI&`%7+)14?gAD^
zDhJgd;+kM}NbON|h`2LY9}6QRR2?Izz5=yJ!SXDOjL>-&J+M4z9t@ffY@jrHeuwJE
zXph3~$AYy-LFap7%m11Xcfs4KuzU);rw*3?!TMPkr-9WY<x?%Fe)RmWjV2B=7k2L*
z%v`X37RG5{^+@KT-+u>l4@^HS|HIlFu=XgrK3M(-jf;TtKU6)VE+ikwL)-tbd@vW9
zzUM;K&xNYP$p88f{fv508Z<5f%Ku;*DgQ^|$p6vM_NXBwoT8!j!Q8=U1Qmz6hY==k
z3=ww*>tkVrr~}=f3l#^;voON^2Xmh|=-wPq{(`#O5$0}K{)L$jaxW<VgWLzoSEdki
z;qHUE#|$ctuHPIY?hMukIv)?jXJKRn-Sg4Jz~~H?hq;f15$-;)Jm?%eka`A2bFh0@
z7#VT7*Ak=-biX<{#F#U!Kzs&9aj?IT@;`e1KyQzN%}2`rU~`c2zcs{M#xKzPcK}S|
zZjb%|%VV}jq2V-*1u73~UkX6a?{k2P<GVKnTmH3$xDO-$ilCVbx}Twmg>f2KJyJg7
zK~o2F4@^I7eu4w4E&%FIbbZ*yMM3>y^m51!>RzZh@OI(^sJZC&z`_lx58h6MsfUF(
z?4CfFI#@Wv+O75wdo988pmG^vA0x~h^!SCUpLPVI4sNdl)Euxp=>8&zJlq^`dO=E0
zQ1uw?Xh*0yV0ona!wDj;2`%q2!e<7=zG+bTX;5>fLG{7i=?pQ)5-bmz{{`_`7~$@W
zftmwWht!Uq0u}Fp(y;J}0P}ITqtW%j%H21Re#W#NU>bKj8gl=uz%-b8^ma73z0Ahk
z1#UmHF=vAALqKjnGf05fGl|H6&%F|u2D{%3c1}Dj9lZg!`vu_R`mlLam_FD&2eAH~
z3n<(e7~$)nT%ml>ydP*B6LNooz%;Nr7RG5{c`W;v!R}>a?gHIAfb4D_sJq=D=3<OP
zyQ7K2>QPX;12j(patDY8>t|t{23C)hzd`LPkUUHsu6asO{f?{-W<E?mtQ^RIhOYvY
zM%Ra{8~~j^1ezy-wMP=5`eFA%!1nRO;t5==Ga&lS9?)=r+6&JYFmuq|1=A1J2hSIt
z5OXZS;z;=pW{wWjU0`)c`62}>9s{Le>NUW8M7}_u=Rns7%NNAXb3pEoz&+0aPETyi
zU7-6Kkkgd}G+lW^+9&Y&@GW5X3QXGorD6A8qpu70frJxAdh|sTho#2@Q1f8sg7vd7
zP6Mk)N{@a}{jmNfC|!cav;5J-Vdfq|GZ(C%g>f2KJ(9V5(A2@)1Je&nzbDYtf%-Y1
z@oHH65f(o?(A2@qhv|oz2ivCuo4<#JFS>qMxWLjSH}stC0EoXK!VFABFn%I*UKPwo
z+P{+oZ8t*WRScvK)J_BSJ3;vucK-)#y(la{q0g(Hf%<O+l!oa?pI7yQrXQ$!MyS2;
zdDUd7dqD02x#I%VJoNIn11equrD5%#D^Nbn9Wec{dp%(BVZ!3>z`(!`4sJ#Ub|!7m
zscj7GOdtVfs5lFhW@TV!5@cXtU}Ios3S(ekU}s=w@&>z;oe6Y)Cnp1h=3-!H0`>p7
zq59;Zd>#gNCJP1z23`hsCMO0420jLMrn5{84Ezl2Oz(LZ7z7yDnN;`~7zClT5Cc0C
zJ3j-1Fw`6|C@l`9C7`q<13MEaq7@m~nLzVkN>F_wP<bgREe)k*ptLNMmV>Gnh3aQu
zU}E;L()VOxU}N!f@eBjeo-Uz|AlgSEAP7YJd$~G;=n(yYd=OonS)vc33-XIIL3Bxc
zVhV^(NiB*8(PgPcVDX&%bnNQ%${0ZEpy_HqjE0`W$^$;Hje!|_&M5-}Gn@}P|CWJ)
znH#E(8+1-Ch!5Tu#K6oA-p|0m%njaWz`)E6ULVcC%nhEuWnkt8okIvx4?1@cM1#iN
z6`<k5!oUh@E-)}KuyQzn9mL9!lb>$H!oUWq-WeDf*f^M>;=dR{<36k$Fd8Hb(hs8l
z{1@f`t;+%NJs|T!VErJzTWMZ0$h?xw{5%k?kdvPdqK&}mkc}fHu_O`1k58>A0ntT?
z$zVFZ%n%e_9H4Xxav$hCPbLOd4j2ux7i2z&#$`Vv11s1a*yysvoKlc|sZe(iYY(VH
z3$hoq9_jag4h~Sd#AZIoT_ADL`Nkj`#>Ym3+`p88iveV=ADVkW=f!~h4blgrLFzz!
zh(6G{sjM8J`^Z7=0f{5q2Xh}NAVA`v`T?dNL=)@2Wl;Ay6*Dj}Gq8dE4T={+?pp>v
zkB*h&4^p}SxdY}-boUYKp5;*Yq>|{K<xuy4_RA9!UZ8XV3MY_zL3BlCUNI=$l*DI)
z(<kV>VNkq)?sEXqFm)gr6hB*`_JP)O!0ZE=1CmFkef(WO<p>8X{)3_EAP3EVgzVb|
zwXXtlJ})TR!RZR!K9}GiuzfkHX^{Np7at7r7f2m)z9%+5wlZ*o?IBkEE(Rfz)Wgab
zi2D%v8Wcas=?Nqc3io9!ykPTT=?f$dQiof;FjzgY?qA8k2UZU<A2}Yu0nWh6L998e
z83e%Q5UYL-iR#yq7|!b%AmK)=dp41%ehW0*h&6vF)cnjm)N)4>oIhAOK<5r(%XcfG
z`5=M`+`k3aBcS*P#TSSMr3a9{qGZy{T?I9_1kGIJbOkmyH67F*;K)rS&HU9+^Cu86
zKeeI&T>cOW2he&sQ2GR&>i{Z$VD5y`uyDvt%mbGLAU<;bCuIIwsCz-@W8*WwA~TOD
z_pXPU2RgS8**u85Ao(5?z7?5y;PMN!P>G3w4IICq`iPKwH$lw@HEi&ipAAl5#GAVX
zYOV$|YI?)v?vh;M;%_I^T+q3O_}rUcS^^H=M5wz#_q>3@1#}Mshz7+sD14N`@~j-7
zek&*(LGA;k4-gG1H)libgXJ5LI7ogHwEYLlKOlWDb3peJfcP+VAR5^mSUv&i1DP`g
zY7R&pNFU4`LheD%50sb#%Lkx#EhXl_(mQA!FeT=UhBKsJ0ZI>`a0JoF>4#7_6I+k0
zWMC($p54SCN|O533>+k>-%g_WYe+PI4}%Cv?paHs`Flxp|5gSilH9Y4K?|%NmQO+H
z0+c?uVC5GB0|TfY2i@-hqCw>wNFLPw0@0idEMWbxatB7k%z@D`aTpB~$3=4!Ykvc6
z>{rgrEdaICb25{`?TZrKaxh(#s%!`n2f3S+`WxO~V8vc<fx;DBPUE)MfE;^a{h}p=
z>@8Q;0GV%~3GttW8Kga>3>DWaO4bC)D;rv%_}|b#4_qHACqnd@n?m)$_)v2U4ba3b
zQ27?dXnb^eONcxuor3CD(ETHz^azOuRt`{lMdpLVLFL;V@Hiza2chv1Q22w)MK9+-
z;@Hfc&&UW)_r$7Sz|2OH`h|?3oQ2uH!R4L>+~Q#KLFd~dyBC-G#f*?~5MtdA3rAwr
zujj<xzrp36O(d${&V;?5!Dap)64md8s)vqWazMu~IiTa09MJJg4(RwL2Xy?B13G@m
z0Uf{OfR0~sK*ujRpyQXI@juY`C8j#a_$3E;9+82W9kd@5)E)(;XHa<y8mHtyy5~d)
zB*DOx4Jt1=7?^WF_ZoIEG3S8pN%BGB%QG-AcrY;MfX1Z?7??rFO)wl_V9o*Qf5X6>
zBh0|S@Bv9Z%p4dEnV@0L0nH=)U|`Mxwg3MxFy}}yFff2<X$A%c6DElLElkWgd%^qA
zrE|f~V_;waxeqk{t^vxp3{2BtG(;Y9Uo&X_trUFUhwv<R@Od1<vq0nIAaNGxxecK7
z4dO$}0fatua|rR@uVm!`nFCXIAM9S?S<rBw#s@xsTzD2JJuxsaO#-ERka{S8S^#96
zZ5oUZGY2|uJPkUI48La#CXb8$1GOJiKZ4fjK=dQ-cPfM0mjn$L&^nC&|2e?N>w(tI
zK-~fAK7eXY@I5J@c_YYqbsTVaRDi<~ac|;ssJ$TlAbXEM<n_ROq;)DFKFI%|ePSSU
zb0F&A<`zKtpmqUB9n4%%Jb}zT0g=}O^FjNSq45m5uj==I4iTvNlR)i!kp2r$|H8rx
zHva`W7XV~GWT7$Bv{bOU!m~j7K=zyg^Etrx%Ynk>1H>G-`5^m2=7ah{Aah{mW6+?8
zU_h7;O12>LFF?%)^H~_d=LaLZA0!SkA9NljviUIggVu+G#L?XkO4lIsuRzTQ^FixC
zz~RJ!aZeV^z0mP!`29cj(0My{2FU$uCg60;0a|v%016LSIK%Q)0A&1fS^<=XnG4Z}
zI5!O@4x{%$(+w!TLGG3S+smN`<|CyWa4<43O@q0!49y;xdqMdNWX>M2xg2_6K9V`0
z`W+Omp!=~v;RmXZKs3xf5PgK*3o-{}j|$YiU_R(xX$A&{1SaMzh<Vf}6~RU_XRd+x
zA9M^C18BVzB)tm2_wT{t2UK2y)<c2X-5`I%=u+@}r0^`zyfnxkHPG+^^H~@f`N8YK
zh4mof0*s(~0NEapI?#MJ%pRz^Y0Y4BglB>7y#txs0OoV(!T6wi>X6L=)gvHtpz_mT
z=78-3t?ve<Kcx9@0jRsc>Y?Esf)w7Mc~4ONumc)CAoH==2Xi-Ao`rE5EL>nTEPO!e
z8{`j&yEyc~d@SJus{fGfArwBKdJ<$VEPP;m(0l>1IUx6e!Url34<E37p!)-Hgb!Ff
zG<<xJ!Ur^d0}3BekUj=RO-MO}nQp-Hc)~>tqMs3Reldq0n2!`L5OD!UP<;>b56m9)
zaDl3WryEea02D5ebFDe_V0=(J0ofc_xIpFM=VXKJV_}>IR*xm!fYn38#Q`Z?K<ye(
zxIoMGY-m2qfu^S%Xt?DFg3}+OJp#^-;5l><i#hWMG~Ge-BfLBn2I~`^1#0IsF)%7Y
z<rzWqqoDYOikCp`2d|F??NbJ|hd}qhgX$%?Iu^z}X!>PT1&{wRGeXsgf%qVQK+T8m
zUxn9qAZIc#O#`cEVVrgVoIizUf!1S#^nuP-0EIJDKSp^1yVnt{j)f7U-2yTmv>p;P
zj|eJnI-%x++JB&UwSk=Xt_S9`Ffu~Z1$^BgESx~$0tzRnJUpDB;_z@%gWjVIia+FV
z0;@v`Cv|8zLDh+Y_#pp+*3BaO7d?GK+$#W2pP+OB@&~B?1+|-Cd{8<;HV2kIq4LvU
z=78;EVVnk5kDNXs?F)E22&@l!ZzpKI3v7J|C>?^<=Yz}#uginvLwQiS0pf$=zlnj-
z1=5ZZV1%k?1cehwyae1X5CEqykUv1-09vmM)(2Xz4>FI1kr6D8v~M1?o*a}OA?9*S
z1DgxFKMbON8l)X30KYFAq#hK$7ohn8(hg;wa|g-?$%Fg>ZAUU{g3MuHl!u&~%>h4u
z2CNRWKME2)dSG=(=?0b_LG1<PdK?_jNa-8oe~^11?IHnqx`m}TEr|U}P<eQIgNnn`
z8)!WaC>%gEa(V-+LrQO;_4Y{W#6Wyx`!U*app3)7G!1MnlDk2CkUmJeNMIVQJtYJ!
zPay3NfoZUI3rxHa+};zO1<GeKNaY;Z8V1nX9uSK;6XY&X{DaDu|NjLb%}E9(@VY}#
z`UcChFfzV?#+xoAoHTKj4`6u~MtHdcvISHQAk(1oVI^3AX&S7205wcO=@FFwK=y+9
zpmp(R_JQO<<sh{Dn*`#6@&~Nl0owNjG6$3&K<2>shG^!1@(;)ysQfgTIr<=VER55@
z>XGs%F8e_1VnFtR>J^ZEFg}!qoBIV4e(-RC<!4;x8iU-yzz8mXLFU5vP#SJ7*!?Vw
z)4=wzFiwN5*MsE;SULmiV_}5rgV_%%k3joOLG483ctY3z2hIK9=mYh?q3MhTGXE(8
zT4x3-2VvzlESzEf1j`eVK0x!TAosx1hbc5XLcsQM=z;m5eHRdMcsm9ZkDz#k*#}XN
zD8J1i>KQ@jmx96-#s{r~!{%<N{4|(3W+446jMKpCv7`@}I#_zN1gU3WWK4j%8^(vy
zaB~Tz4|H>_pyozE&4uxyG~8UU`&k&Lf$hVSKEV1w_j-cO6M)~h2Du-WV;ZID1GEkp
z)W5ZcxLXre&Z4Ieusjjz12j*LoIXJ73_<x2wC)5HuV6k4Bcm;vedy@}q8^bx>>%nH
zA?H$Y=)w5*5OEB5L*=Kz%mLfS!Z;1A9!vUwse`2t&^kVlyFue2Aa}#~P#SJ7q4a@n
zt|P>~jG%RIAah}SC=E9k?0y!;X<+;Cqz|w@7Dl)}SoyI5oL(qTAE0{}LFogu4i%IS
z(d!pyh<`A`AC}L-`dApJfz=`1w+kz$Ks2b{0j+;PPB$(Pa~UD$Npk3c`AFjh5OD!`
zxeYQ0q#v2aX09vLTu{3k6#ig73nQZ&L|hZ*KlJnnQIANE?hy5iUm)SE2jhd*ZDI>2
zsQfgTIbi!(7^i{NBc(^sy#pZquzX0!9bQm({D8Ux#`lJZW5f$o9_|jXeJqUA!0M6Q
z0gFGFdtv#)2VyQGXxt4HelR|ihMNoaFAL)|uzg7B7nixdP;)`+8$ss6_)r>dE}{H}
z?q1M3Ku|t@0}US-A4<c`1-l=#UjXC|B=@4%w_trNjBtIh{016tq(*)11Ff%-+leO_
z5#v^%aSl+s6V~p7g(s}NU<e-f5}pM*#~<Wx$o(c9dSE_M{sHwXLG3e7Xg?4%-VIWJ
z1Kbbc(1Y<|=7Yu?LHR2N;y&>BIB5I|V!psMnEgp${lc>XLE*^2$an|L=g<T5k?e<!
z8^Y`lf~tQ2RS)CC?1$z9@V%j+{sdT_g>f3>9u|&iiC}%gvq0-TLH>9G=5y$Q`Ji!D
zh`ZtA#IW<2VfKUSage?jP<=2y%zn_g7s&rJAm)JUaghIE<qfPphWS4f>Yq1I^T2!-
zMn+hD3o{qA-U&G#K>Gzi?tt8D!l4J_!_<SujY00%0(A#i9;sY~mHRMvghSo&1?mnk
zAGBW|q8}a}p!I*q?f|u4K<@Yf)d%Cl+yQHMT!EM~4J?o34p@GGxg!$ljz3WIz<d@)
zM$rAep!x-7E-W3v(s2}2-vp>WFdr!$p{L_$sCou)KH$)U@nPnJ#wS7H2fD`=l#ami
zER542=3}N~P<s><4xoKypmGV!2km!;_!}O6=<bMxx`PAi4j3Qi4$ycj$Q=TZb#BwZ
z@<`za3kO*E#X-&Eftm;AvoJC`pt%E<4q@RJ57jpX8h&6tQuv|g15kSy<X-`(Jup7Z
z-JtRi<ZjTuR#5nX<yjb~LChDJ2D2aLZqPb9ka;3d^T2%2yb72vFs&Op-VH1FVD`ZL
z5Az3T9UjP>8Bl+K`AGgi4}Z{lJ&<}Cuss}lFh0zDX!~}W4>bJ2@+^$gAm(GHgA`~u
zDnQKx^Fik&fcXf2fco`w7@4y`<G`SD8a6%v^CxUv0LF)@2aOAZ)I-;?LC60%rb&VC
z9TA?D0(B=Wd|~1+^GTiGk%pQJ(=P+%XF%<-fP^#L{w%0Cy8WPgen9B}7GE%T!Td?;
zJPyoVF#Rxhfx>A5BXbt0KMx8g*gV{EX#EAcZv@#LywG{n9;mxv`#WLcE1=>q|G>nL
zK*eF@C`|kTR2){W!NfuC>S1Kg0*z~f+y$%Gf}!CF3tu6qdtm;8@nQNw<IEua=>CG$
zFX(hVG~dAN1I;gk{12&b5$QJ>oIZtT!PH5xfY&EYgVc`#(_rC(t`2l>C&)ZV{e{Ta
z=;{KX=0WNgfoZUCLsthHhXk1iDgOng!NL)y4mQ67bLUBDyu$M9Oz=I*!m~j8j6n08
zpn4xvZ=^x;CC+v`sNDdn*I@0}bclNJxuBr(9L5Kw15mpg*8Yc<4~$TG`1lOiJ{CrB
zIR~o$VeLPdJJIdSgxco<9bbp>p)}lFLhV{~b3qfCpz_TJYA%cqrQzm+-Os`}4QwBt
zb}d*RmT{;Ci1{RsGr-~zG*0~gzwj(j{Q!zDSUADVg_RpH^~mWQ)PDo5GY8c-u<<6)
zdUBAz_dwjG2j(Ny12FR-@`&+dLgs?%L6Erzpyq=4pnD=9>01P}&;^t}VB=??_`o-w
z1=^qrGA{&b9*mz4Ne}RGF<3f-%7fdLp!5p1kA-m>SUr}08K`{<QU^=d1rT!?LG%5f
zbPeM}X}GzB(iytBpneF*AE158Aah}SC=E9k?0(SwZXkEyNoQbvSjMwp=d#1vm9Y2%
zwKqWJ25h_(eY_KvZeZzb4K%)B=?s*wLH#nAewew)G$@^I2MZv^y+QjSK>h|b@Id|s
z^O4dSL>y5r!rTEih5@o}37fgapzvW}WR!re+W_;C%!RoZB9A-$qPw>QYA$HJ2IO8a
zA9SApG(14-u0ZJ**SG@uI2C9;63G7v(DV!AmqYBq7*~MG!^fe(_OUQd1FOf9e$nl#
zfSAh|1GNvvhthC!38i0jb1R|dra;Yw@u4)_T(J9D7^i{l!;^l&`ml_*!R{HOH2s3s
zXMxJeDu}y@uGgv|>f!Yoj9&v0hnoW{Z=mw<dID@8=)O{rdMxn;D({fX8_;>Pp!5N&
z*I;}o4L6rie4(3L2eO}mkr7s}!T3-bZZ6pUER55@_94X=$bZP?1y~=JdJS|g5GX&u
z>U)qokjrz}_#&))ZGgH9dY`WzjNb?m#|U4j{4|(3VEb4Yr-9WYg>Ms7KdyWU(+_h;
zGekXO4Yd4#@mnC`82J(^4|fOHJ{HDlVD(7ufR&f%_O(LHWd!X%0+lN;K9q)=3-&Jy
z<20~+Nbvz`uOr6?SRV@`Tpz6c)By3%G|+l2P<;<8N73UG-8@*i3oHL&{(!YZVdg@`
z;p;v?=7Y-TJs<`HqTFwT`WH050`f1I51rQr<;!-6JUqV9(+&FgV+TY%BdC7{G7rY@
zgowk<0r?Y@{-E;k@kOwGpnGjW>XG8B3&dw&gvU1_cXUJ4Gv+|cK^Px&E)FOkz|tSg
z9Z-3=JHYm_Fir!jM{)-=TqmLXyBBI-3DiCqA4<c`1^X9tA1X*aQo6xqZXZY;10!Pw
z)La-JO2f@1RPLa=w;yUQXumfoo?v_^4L29;eip`QVEd5Vi{4HF>%%fmd;^-!DXk|!
z{X9^5oB(k*JRK2A2NNOc8D+rzD-Jyve-cC-ZVoIRK;_~72iwQOI1Q{GDIH9P>W8Hx
zLhhIXQO~FVbq9<;6(SB#*D!ZL<>BrC+XuRL52PN+9k|jBDC2|bD+#E5Fg}!qn+x_Y
zXgmvQE^OW&m$}nH>KGUqRiNg=_)r>dE~)8p2Gm>;sJSpcl!luNc0UW_G_ZY0=@Are
z$mK3rAC~k8+Gh)D9}_Bf3AMXn;REZ}!_0+>gPaLow+<?9LFMjV5Cf^)oeA}C4Aj41
zJ_{ow=psi@`2?${LH+`jlTdRff#vb6hXn1z1;smTohX<OS~ml27lYc1$mXM`TM!?)
z-2*ze1Z3V3NIuqs@#jI@kCA_%^6+*G*gh7<X<+qO(k;4u^C9Lk9)Q{h<3nk<xuA7#
z$ng!US7G6VZZ2s48RU->P;+5?C=E9k?0y!;X<+-1(ieJp2iAwBoz($}PmFpUT|c4p
z1z8V?*w>%{9-kDR1~VU=oEVs<EdbjmJZm8&+%Wptu<!uO<4HG*Ao}6!Xu*6IMn=#$
z7pUA_43US&Hz<6O(<O+HoNhqt7C_+*OE)n7QZ#d5=^H8!j|Z@QER55@>WN4<pmm-g
z`(Wt?#)r~yb3yYYq@)|rxi27dVd)0OhthC!!R}{aoCdZJPr3o?!;)?o!12pLak_zx
zW5d!1Xg&tyUzqtYaag*6r4LAXAuw$T*d4;NK<hw2{)Vj!2lJ8o?XY#EF!d`T@yZCw
zm!S3pn2)3$mOfzWLFZwB%!h?5n2$$2XdM<vJuE$e`FPZW*5!cI!_otok4HUdJqAcU
ztRDvE<59m3>R-_LJRtvq`FPZW&ZhvG59>dI`FPZW+Vddwp!Pq=d@vu6`i)Tcz}6dr
z`FPZW#u-88gYqB9d@vtLJ#1VI7GIm8=EKItz<fOFLG!#I^I_wGU_Ku8pnbg{^|0|g
zFdvWlZBX}s=Ho!_2lMf$2aO+r%m>Y9g4Bcgc+~HJnh%=i1*r$~k<`P+U18w^I&TAH
zK4`xONIjU3q#jfcg33pjdeHu9kb2lS4VaHd{cfmxVB=F@J|6X;ejdnt*!U8dk4HVI
zeF9Ps%I6^WgZX&W?}NGrRPKS)gZX&WgAT3(nGdQrLF&PLB=xXyKv?*I_R)dVL(cc)
z&;#?4)WiDiF!cwa?g7ougUko>@u)upReu569su+4s6Px<4?0%@WImXWNBt3~df2!H
zn2$&OQK)*@cng@1NBuFVde}Gxn2)3$R9=AExv=m#4ppB6^)Hx@q#o8kgsDFPRbK&a
zUvTJw`FPZygsKNEPzQw%n2$&ODX4nTJSIpzn2$&OX{dV8{3}R3n2$$2Xn!6k{eb3o
zLF&PLJnGLv&4=}Cz<fOF&q3A0`g34D9`)y;>S6s*FdvV4(0);n`(gbzFdvWli%|1n
z{U<OVkNQhc^|1awn2$&OWvF`C_y(AdNBtG3de}G+n2$&ORj7K{cnz43NBuRZdf2!K
zn2$&Ob*OsSdOI*5kNO)>^{{n@U_Ku8H=*ib>m$K@JnC;j)x*{mg86vV--fD(t?L8x
zk<`Qbp|Jc5I$sf#et4ky7tBXe51WsKslN*~e+zV+3Cu@Q51A)Kv=8q=)r0ocfbtiZ
zk4OD|sQNQd^TB*P>OuFZgWP`tsvgY8qy8b(e9*o(kbA&<B=wMaB82-v=PrWGH-MIh
zU_Ku8kD=!4K-Gi!c+`XHCy@D|^<E(NfcbdTKZTmF0yQ7Z$D{rkRJ{UJJ(!QA9yY%S
zi{Ix^^`P~5AoqazNa|txA7Sc2^#REJu>Fr<K9YLKcrhY8Uqa2_19cCWkE9+pE)6sP
z6;%BWsCqCTNj+?S38wxvRDA(dJ(!QA9x{%Ca1SV-fZPvSM+EXOn2)3$HeLfW|1H$~
z1ZaK%^O4lU#xY^)-$B)b*0X`k2lGMu!@%oL1g1gHiG!~bh4s6T`|qG}Ay7LPH2x3W
zZ#j(*+W&&AzY~}SJ<krq9OV8S$Q)4n5t})X^;-hdpy$A0m;;L!ko!R9fZB;5bD;e~
zxO)hh0}D@>IiU6<HgllnPJ+_YVCzxeL&I|e*#8`QFg{crTzwDZ+@EP+d8GA&4p4E}
zIy_Lh3X1<NQ1ifir1*r*qrmL{2(=$_-wKBwj1RLPrhW(19<V%;{jl}8pP=UKf!Yh^
zvoJEEuX8&JPG`cP;XLp-VH{Y!@GOY^0@Ko<>z!csgZlBH{sXMv0ZT^{q2_#s+6$&Z
z>x9AL9MeGKPM~-=2IdRTf~-pvm<GxhpmBj%sJ)PN)=bl2>$gDlHb@>6pCJ9P{eCb$
zjE1exh0*(=_JG=5ApNlM2weV!#J9jSSp1>87v_J^xDB%ZVd)>{e^9*(if=FtiWjiI
zaQh#&z7OVqSo#LFFG22xiNnT;Ve8n4UEc=_4;T##7tneT(E1?II=BD^<}A?uXV5x0
zm_K3R0p-KTkzwP7pmYFo-vwwoECTyec-B{F{DWy0#%Zwf02EK4d@To62Q?QoodHgF
zx1r{M&aVfV3rl~Hb?E}rK<no~?)?TeA560_PJ@+O=;lM#(+f<4txtumm){6Y7cg^S
z`3bbH4b)G8$;09qrXRLW872>NKlB`Pc=)`5`U5IIO&sdq?@)I`#V5hcg~bbuhN_#^
z3w0-`-3LlfbHL_s=z;kxjEv~+n+jDA^LIUX=PDE2{|BM+VDmxulz;*ZX}t_AUVcK{
zsR<kR2aT73##ce-WFn8(gT`w>;*fDC0r>bMSU(HnG_ZQ4@lwcm6G9zmd=3=Pu=PQ(
zadXJH7eXES`TwAG<V?`>-?5$l51QWto&OKo-wZncAGH681A6X1G3Wn-_G5z1{|D_4
z1fBn{%m_LE{}(f8k1#tEC_X{w|AT1I`TylC<emQy(nreq{~+~)@%(?#xmlod`JwyS
z;ph9KpW6@GV2<tFe$cogw)5dY$rJg!H4q<k?%ZMMx#^(&K*;C9g8CJpbN)c;U^M7l
zFAyK14|2{tX#Y0s+%IJFLFE954=VRTG^o6V=?BrphLCgeK<Ys51&wcl>;bjcK{Uu7
zkU9_zvJW&)0pf%9^T6x_@j>#)ba81C_#9xr_)PHq0w8(h^AADi^?=+15r>=~jLwJH
z2RYB1SoKGs=U9XMgX|uV|3UsE)|{iz^R0<he~iR)){jHggZ3{V$2Vx*Hz?de`)5Ej
zD12c40EGu=oD0MUjkkcpA5`vw!VTnK5Diif(g)hlK#sYfeh5BuLHim=F&8w>268XR
zKgj07+zoSYB9Z4%gT~=N<|#n-se{j#hWQ&tgZ)pWd7yDRka?i_7G(E<+=Xl&=o}1?
zxu9_XFbzIu1d?t+@d6s(1epVi7m$7sA9TPPOg)Gu)*M(ofZ~-BbCAOwWDcq4JP%cW
z5u0z1l6b!EF$M|nxtYY8e*}8IG3>lkOSE%JEurU>g7O2l@(CmkONTHTl-`c9W53q{
zm-^#S^#gT2CG;L0cIdt=aB&PukMMc|)LLd>;{esW*v_j2)mxzRDnaKF?O<Te0kz*$
z7?^WF{4EU3IiPcZ6d0IuK>Oe{pyyVC&aVXZyAq)1R)T1ldUP6et`!3Va}MZSsT2mt
zxs@5vb1OkKXg|#YB>T|Ms|2|Z)X!J}%CHPf(_l2H902w2U^HkQGpM}}JFgP950+l%
zRYKzdeqJT0zYAJ_07^HYb1T8lXJDEJI&TEjj)l1!)}96JF9(T(o8d_39fI_M+VimS
zZZIFTPZqjQ8fp$B%pNFz8noR4?`Ocy_XDW|nFktI0*(KG`AFjnuy6y-qk`N6TAvQ0
zVdg^g5kB7!G%f_PX9;v16wC+hXJueuSi*qVCk-)|dL$^^LFomw&J`5?pmTXZ{SZj_
zVV=_lmdCTc1hmf!<PT7IfWiyRM>?ksA}+uP>W?AY1IkCB{thU7LGce&2R{c36wV-X
zVe^(SJ}3htn*-}NLFM85IKcL?FoMTPkoPyi&i4bWhlckIr0@piXHfWn(h&m#Q#Lfb
zaGuKs@dtAzH2mP{33l!isJsT9GX|B1pZf$A2TiMiN+3qid0e3LoIv>*`P?V4I;3--
zK<9cPsS^Y7LGFc`4?jl&o?pO8hY7s?gbC@~Cy;$0eUS7gFb$S&Vdp!6%BcxR;Q}^>
z0n~Q`v6wSK{X%@_I)UX`7#Tt9Y(eP^6i(RU4Ho}kc_Pkb0kuOx<r-`s6=**@D4l@x
zgVG<E&%($E>enLM2a-olZy-Ks+zGZG9aMgU%mMX7K<2>sp!9`o4u}sj2PzNmr-SWd
zVVnk%M^10Z{zJA8R6l_11NGNH_QCj28g4FVy(6f6ft7=>emyR8LHl(<=7QV-G8e{&
z(r|OZ?q^|~2DT5+x+btbEbE#;`2sY)1Bz!*e1K8~hz8|PWcPyB1t9Zb>R{{KLHQe$
zK0y11v4u0tpI~`B<q#;|K>mi5BOH2QJ_{ow_?$@wMonn`U<AcGDE?sPLewM9Ljl#t
zAah{rLtuPR{fy0hP<eQ{1GbNaaT-`X(s&JexPbLx**^wd_d;-=BB5{vt*ZcqD{Nd6
zl%ETb@-rxXfbu^qf5O587O$}V>Y(%q3J=(PESQf}AHv4nVB_teek4de==@ty`h)Rd
z=0oXepm}dlx&X_A_Mw97LBxJv*mysv{R1)&I<Kh*<|EmU?q1NiD@Z+Tz8TC%QjhLl
zP`H59w}9>E(1Y=z?u4K73@RT%?gh)UFiwM*kLg~}_%F!34ybuxJ_{owES<y51?{^B
zl?SkJ1JyeqeLYZpFg{E@s6GSdFG&A$8dx4Q9uCe>Ow(ZL1{Q9h^9e!bO@NvQ=Cd#|
zg4Sz+(gn<1ba#Nl6QmE+UjxM-j1N-}YNvqQ0lhB|JnsZ5@4(Jrz;p+wo&lLR1L_Vi
zpM{YTmOf$TqPqiBuYvS|#^piofbn7KLG28XJ7D9UV0k>@0qXyP%v%6;2bj;o$Ox<d
zx}ozjp!khoV9o-Siwq3Rnb_n*7!c<op!*wkZUCv`FndUCPr}X_fa!;wGXU~O00VOt
zs2l?M0~T+va|S@`{Xpp%6u+Qy9Ci)>XdMVPaZow}iNn$vOg+dR9|pvE2GDlXG}yTT
zFn7Vu34o~w<$G-If`uD8jebr5^nB`Rka7W$4`KW8VeSB}GXS|8k{@xadjic@Ab*1L
zCCr~N^N8Ko4=P_k`}!H6=b3=dJtd+30gbbR(kZCC0Hu2vAADXak~xs_n+bOAD!l#y
z+sDE<4Xhqd{R1`^OZ@{|Uq))Z4hwHsy$)L62P$Vk^RuAz1&dGEdUsg5gNdWBTZV-{
zOg}W=!`s&&eW3X-(6}6^9Dub4LH2{f4^%#Z!Vk;`oznu15AgY}Na2Xy9suz{@egYk
zg3n!LV1%D@2jhdzO~uw8fXc($Sz!BE7{TYRg33uk?Ke=r6y$!$x>6237#~W*%_X&6
z2tGHJff0W0AdC;C;pT$f&%y}qAA{Tr+cyMCZ=iexYlnjMVQCi{K+0E)_6xdx^!5vS
z`hmtbe7`PieFpg4RwRFb`AGFUEdF5X!RM_a#UGfDM?Gl#0Xh7^d_3yG=eUCQ!9&Lz
zz<fOF!RM$lFfxMLr=au+=HpQhK35g}oK__DkaUh%9|M|i1G!%Z5)XP{K9YJ^dlwcy
z;B!tHAng;7d%%1=>cQueGB7g2+Q(o%l6qLag_#dNAC!R+e*PhtkE9-!USR6M=bkb!
zGQ!qRgZW76VdVi#J!oDL<X_M|79jV7`AF(v<rz#p_#9FOMn=#$H%L90&%($!0~}rg
z(;)2wfoZUM094L^%9nWXe6jE>(6|AJ53ARRt*>G2HyDk+ZU9s-iZC!|f!epAdJ)oo
zM$ETE+TQ}xVDsgW_9{Xi)*gk)!}1Sw9uZz{g4Usd@-b8%KA#Rg7nOk#K28Q}kHOpv
zqoL~H^XcGoP#GBE`+~uI7Dh%$`%z#T%pBN!I?P|V=F`FEvoKDBmFuAJ2bJ@%`E;ne
zC&BKo0m*~nLmN`QPlL5{K=a9<@lNo0sYLh-st)ci@VTW7jEtc65Gb91`7Df#koG91
zzhLo!%U@vgSs15*=6yi!1-Tp9U$FG_6xt32$z#KybOK5r;PGlU<}T2@Fb~o_OR(?(
zl`kNBp!a0L@1Gc*|7T#3V_;_*o&RTG0L|x|C3F5Cw2mG$j{<6!faWhjX%#k41foIp
zIfw?0dw^)rxF$$FNF9hyBys*9w2mF5kI?*oVoC~C=Kn82=kr11uORn>+>MI{h2uG>
zdXT?C?gXg^(IEe0n_mOTqnigZ=RAq?{}-V1`NX>OB2+!8^Z%fI5Fr1+=9xj|49NW;
z|AJ_ce?arhq|X1t%mvlQpmc=GTvF%%LHjU3?nR!52DuYvKFqzu&i{kPt3c*aYyKa!
zj{{^LrSt!w_yCy$qCw#Y@)w9t>ij=QKS&=e9zgL5;*)C*a=3%c0ksD}=>z0G5DglC
z0nxbDHNe~hqKA^dh|P!RNu2+`K;r!WImG<G5$HZt1H}A4giq%D{{`rLKDs}V^A#wc
zf#Mldo?V2hA8hmg@OlC?`!+iNKMU5rhqa$U@ds)@l1k&cuX4D||HHxu)Q<-BqloSQ
z!NL)CA0fybP`?$@?&pBtHwkLrkIw%?`q`lHfsKQL`rRNts6B;k+zl!Z9|r}cXVCZ+
zSUu7>=;-`E0|TgE44Q`l^&=taZ|KbbgW8LratSux3!d+1U<A+Wg8J!T{^<Na{9Y&U
z{5=CBBb0`Z^N!B{gGywkNuY8ZdAuJyFOM|73FCw2(Xf>}P<eQ{Gdlkdxz86e&c~q#
zn&$(R+o1L#Xq^|79y0U)uzBWzp8p5cZ=iCI13FJRQ1kzw^?)FEfaV=Q?H|xQ9;luL
z<tC6gZ2TX#4gplpfZ`uAzRsZs=2Kz*A5_1C>>Hi`ht%h=dVF;L9}*s*@e5G;7@hxz
zga@b{49c&t`P<<#{|_3M1I06}JqVuf2c2UHp3mdZ1M^4c|7U^c>yhToVSFeJZx;@>
z`F~jZ3^soYp6^GRuLkpx=6liAgXjB^+H+t&l6v&@0^s?6q;LZB@u&yS_am(@0Q2#v
z2haC2Ffzi{cYyhL)WhcgLF+C-{TnbJk9zQYKhpXFFdvV4@O(eg`T{T?Nj<2Z2epr3
z;SZkgXJBN6tuFxck<`QLf0%mkeE%?-{|AlRfXW+KeM{{453IccqetieK{YhyetOva
zKdf8_wJVY5$)Wv+Av^yMn)d;vA9R1g(i6Ss|3T#+zWx88d0Wu_f6#h2(EfkW{gUMD
z{|Bw(0qy^Hgzo>(V}b1d?`C0O0PX(=(V+eRpnGYAq302ZL1}R)Ediw^8Q7UXsYj84
zoe8wwM2Uf&38asd{r@2KLt+0vXnhChJb*v{|NjTk|CksUsIl+=IhphRp!20b^LgaY
z`x`QV=A%ICQ$X{7xc2*l&S?VKL-c$<bRMVJ5Mn;0oM7c3WDn?kCy+g$dqa@t8A16I
zBo3p|_lv^JN1q1+sfW#f!D!Gt*jwnlJF)8Dk*NM9mDV4;B60n}Yp6T(3sBE<0FC>A
z;ukaz45C5d0t-)2c!1`aL444;KA><1g(oPyK=~R(gVclck-AO+bUqo#Tmi^934EVE
zy1AsTQ-GNZYWLuCFRAMkK<A@@+zUGQ1=(C!c)-FTIX*E3ly5-m=}0*T0d$@k$b8Ux
zN@Vjv<|2o4a(r<q$b3@61!g{I{Tn{>Ve|c<b8JBI3bG&ME)We$51@1IKzvww0EvV6
zq^>`JnFEV|P`p!O4sv``Vh${RLG4G7Ik?tCjD`>7Tp9H6A-3FnMdG@O_h{=RjG^ly
zK<NhCx-H~<3ClmA^!uKP9eh5+K&^9tu7_pc09gkM8twtR2e!@uz5W8PA4OU>3Ys4R
z?RN&X_h9E8oPnNqU;sUT0K^B4JA?S3`6CPHc?S^;%sHU_QYFyy4nQ<$9{U7TA2JP^
zFM*wRPy;>hpaFW`K??(O4ru-J9wdFZ&N~3@=K-~&VKk_`0P$fo`gsSS^aGNo*Lerf
z_@LQ&2at2UIP^g26tv$6G%gPsAAtHFzK$Ep2k++qjsL;;=;s}P&d~?y2c>V2c_11z
zUjr(yK;Z@&R{`lq@9#qN5r5tRWWN?-y#vf1kUv27K--n@_3AKpg8T=XM=*ho>w)=5
z;|{R+g`R6L4Yt1o=3j_D!tMmk_kipH)n}ma0P~^e1Z+XtKMOIJdL(Eb1vDQITJHeb
zHw79e0L?c-;u-T?2CzJy{m`KKB9K2o@dok-n2+UL22eqPY!9eEh;M&1D4ap&g66|O
z=EC@(as$~M(0(6~IZ%1{{3zHy7Dn)S1fY2%*nTM3x(u*-Xn3zc3UAQ75h#3M`&U8x
z)3DhGOHW{VJmCZKC&(YL@B#C&gb#Q<0Ry8ZEFM7WK>mW+1JRGzKMp<zfPs+_7CtaO
zsJz7HUZ^}ge8BdxFir!j$Fgn(tR5OZ3y{JGR4#+U2kI{PxfRfGBY1uV)PL}N2Rpw4
z6px_uE1>f5^DCg@@bfD``)@(#Qh@3K<nt@Q>X6Q_0JQ^=)QN%kAa_H}$9aAQ*j%LZ
zD?s*v^g+rKfoZUE2zGu2B>xIbgXMdeIH+Elf)sAB91IF?ka?hb5Z}2LV0onZOYpe_
zNa+LQU*z-wmM7v|3sC<8RQ|!{F+lxRQ2GR=H&8wU^O4RofQA=%-3zjP5cP=k2&!*E
z=798r%z^P?>lt9?z}yX$htCJ#TF(GYXYl=bu>F#tacYo#AR1&Jh=%c-7#QK^Lf7@e
z;~Q2E;4&A~p9Gl$awo`K7#~W*%>}!kg>f3#UOeYofc0V7zX&RaLHkuf<p(G}AnAh%
ze2xsL{)5e*fzG{0=EKy1=9@t4t3c-`g357Fdj?y+hxrpMkEfghpI^Yh$OtKCIP}1L
zX#Phw7ZmTv=>Vc0kq*G;5FpKi!1$nY1KAu<_=3!V%EQYgP`&|`*I@NX<r1hoM-B(D
zJ}mo+Ve<l%hAU{?02Hps=UP-C<!6ZdnKNPG3u{-v&RGDTgTTNDzh4^0hp7ko2Ndt1
zb74XL0?V^7PP2ftJEp<Tg9fD&P<n*jg9GLxl?yQQK>NW#^CvL-LFF+>A87wQC>_K2
zF!fM+nh0e4Vj5T;>0ASlJ)ranTVDYx2SMh+?t=mIk=jM*_Jhh>kb2mCGcZ2XUif(z
zpnaJj|AXc6*bg!vWFBaI0puSrpM{YTmi}Spg2pY7!vVZc9qIl{7$2q{)b0Ys1E_og
zxdSYZ<PKPRf`tQkKAV9NelIAP54s<Zfq?;(USQ_J^uf+I0q>J%V1(aq1?D4#AG*6i
z`5WY4&^g*5|HAk%^Fi%0kh?+qF+uJI%d;>}1Fcg5`5$IK%-!Jq;Yj-o!F(k9Vd`P-
z1<%hiFfu~U|K-pF^O4k}yB9QW4{|T${2LBE7$4?dP`eQ1UeLKCAoqghSs155%oo5o
zR|M2P2bl+3-v#EgFfzjGVc0nuu=*Fq2bCYl=V}nE9#r3g>Sb8@3QPa!=WO5-hlL+Z
zJ<MKG`!TR{HemW;>ujjyE?9Vx+HZlm3#K3DE>L)b&gB5r^Punp^_M{L3_Je=G~Wb@
zZ+XbR=4r6=JPtt19awn6#P8q`{{a<;g)>Y&$bJtz=Yqh(5q2&J%>6JvOh2fe#^!!l
zxTDkP=Y)Xnw+HzXQcep@gZUSBt_Q4s0Nob?QU@tVai0?cJx6jHq`bqeE(0<S13xDS
z7Ctb0i9JsQygq}4k+B70o(Ooo15$gFP`wXc?|`&k0>%fgYd|swQg1RbLgnH0KG;4M
zM)0|ep!y%yP6O4GpzwmVhrs%<)cdgg_oUYQuy_HLpP=>>cwGgOdkOg)ysiSN9|q%t
z*H0js1M@dj9`0|jeJqUA!0M6OJ0SJQ{s!yA;&0eF1)zR0DBr=-DY||_?MUeUZBQ}>
z&2UYEr6ZX6&~hB!Zv)u_nlA_4qXDuP)}H{c13_9B1?D5w8?g2wY~2QU9SBnRf%$mU
zgV%u|r86)ek9yd;5ZE~qU_O$1Q2c`GOPG5=^T(ii2X-$Vn2$$2_#6nNbva-@9`)dJ
zAduGOfcbdTgV!k_o%;di<53Tqrv|wn)(->o@u&x%7l5=b2h2xOkG?Jkd_DmKBO|EY
z25Ogp`B>DW@BatY5BTQ)LE{mi`F}?S1_sdlzcwQS13B~mpzs0B|1W~h|Ce$?=Ktq&
zLgxQLG-&?6h?~6g|3Uglng0i=9}4sT&~apL=>9ow==~Vn=<5QWGh<&D-~cKR7+5(#
z<7%+^3S=5o&cgVhc?>>A1}@P26i6FLJhd1yuM29=!{+T^G)x^-A9%hY6w=-XRSygx
z{V;Q2_JAZn;-GP5n0^pVto!)U+~-83`}i3l^GdBmx)0R<0J#g~eh>}2AEC6g1bn_g
za(-SJXudSwDA^E1gVcfU836ecG;ajT=b&)HwZ1_d>K@SjxS)AckbaOo=roc&;B^zk
zhLboW124=xSa^fnMXY`SMg{?F`a$tWiaCNf%mIZH%zO|{th<D8m`ALBF-8V{kbR(V
zCMR4Z(DbKP6oAu1KB#tPU;}N>U;u>=sND*Re_ZPyK=aceclbiu&p6j9q{ioi<DHOs
zg3$B??RUb>1GNu8{sj3K<enlT%@cx}2by04rB9eSFdAka-2Z;@C7^teOX4~ZF{nMz
z{s`RNAoG#kjj#t&9)Z#iG2tu$H6OYU0H^t&aSl-U;5v_h7itbHzCi8+#UH8b6JX}R
z;tAvrkU8k{-Nd>FIesWHXQ=s$*!(We$e;xZ7f^hG(i5oO0i_#a^$RjGa8ROOgpom*
z68!><4D6KXmtbU&phUkAh5nahWU!{hesM+yWn}%znYjg^awaD;ITJ*e=$3=&qEuxA
z5FZlHY~Xwjif2OQCafOjWd@HEg7tyY3B(*$FdtMdD3>d1fXuH;tpM8}pC6qH;umW>
z6@zFigIG-vt!!j&38EqT**KIFmBHt2n43Y$Eg0Xz0F4hl4+&Jhg4~UsK0)FjcY(?a
z5DhXP)b0{tWFjhk;L<O_$VpUvh)cgDn*9T{{soq=zd+W>vV+c>1JzrgZ~~>DqSSP7
zJq@o%p!F0n>tMbxLhk+0fv!sd@j>$-AU<f`&<45=rU1GQrU$wX21J9#u|Vq4Y0x|s
zY#q!5=sK7w&~-2%8Z<97g%NVk$OlH|99-*QE`UAGGz~_B{0r*$!{~ut2Lp`{_&ONq
zy#(;_4A{6NXdD1Et^#v6Y+R`T(q5m2>)ww!;CuKG>tHG%>fz>t(k&=FIw0ckaT1vM
zu<=%O^FjJR{dLH>v>bY1J`wkdK-X`;?SZXd0YwwYJjngJnCo9a`5olW9Z+|I?xjW!
z4~Ra(>sLVG39{!1G+e-Z=zQS=M%v#i0=mx`G~WX1KY`{IA@PG)zXF;c0f|Gx6(J4^
zU(k9Zus#;XX<&6o^F6S10ir?UTcGwcD7;|fTcCLikiQ}0MjU!zKGJ#)h`0bFsQ&`8
zALcHQIB32TW<Q7z8h3)tXM^GeWG-mj7c{N{<AcWakj(*&>w(OH%ERZQ!S-QU?*bYR
z1?h){1IS*Geq8PV$%EYC0lg;~#s`hhAe#eo2UH&J4zPVJjMKpCk;XG|*#}-{0-7g<
z+6Uu9X}Gyy|3c@{LF2<4!S^H!&w{Pz0jr0mzcWbb4^)1F#`Qt<8ED-hXubhd9t!e;
z_Nz+gg5nt&gZu+h4~;i?{(`Mj0hPm`bt+JK_&OD+IDDN7Xg(FR{sa_G$m>+V>X6o{
zfaXz=)WPprftn9rw*W7nz>Z}C-~WN_o)u_3PlNh*8qD3GbuA!wL*?P_hKj@84eAeo
z+yj~qLv}Y<9g@32^RGzi#6WydxIxW_yBl8aLEQ~D7ioPB$UcxhNI4=f4OadjucO(6
z6rW&YknV>8uX|x&bOx0_|Njd>idP0EMhKrn4=m5Z$Osz829+0}@e)uvhK4Wr{1Q-p
z0Ixq`VAO=VA1)3{w_trNjMKpCNGLBr^)DztfzlNyUxWE9jEtc1P-J(2<U#I$*$3hy
zmk;1|CP@1&VSG@#6WJV4dIOmQm51jauzf6y)4=MH@+GML2kD3T8)Pp?KQ4EG*M%UB
zN5lA_b_cRKFn2)Z;qCz2$HF)btRBf7xa<S3^FSJ(hVh{^++47KSs15*?PFn_1}h&x
z<sztlgVn=eeb90Q6uz)|CQ!KmTJHcVzd+?ZB%NWd#{u=*k<EjtgUug-24+C%3{>ub
z!UH{>!Tbr9Ct|%8XuJjF9$5VYUMGUIP6y0qVPpikAK5<ic!bTn!0H=N`2<RrG0^kG
zVSG?|glrBh9-;E^c`LAeER55@>aoNlx_zL04zdqAucQa#Lut6Vpm7xB@P)+>EZoq|
z1(iD>b3yGoQ2v7Pp)}lFu=`mUr-ALmvtA3V56e7T1|*y?&YMS1Uxd;J^juF7@H!Jb
z<qcS#i1Yy(KSNF*;Pog-^Gjep3nL?Vy$O<i=;;HpPaLt13zVKg;R>4vgYm)ZMv%;b
zr4Ok5G?+PH`&byKfz@M4A24;W^ax&Gf;6uO<3nk<xrEXOy1C$WCP?#hFg}!qn+tY7
z3*$7feR$FbSRV@`Tpuib5<CA)D1Cs|?|{k=<aK8)NcA5iyqPm$^%*Q*fbt`#{DQ6D
z0k4+<t>1yR&%u1;c{*@<7MKQGF9x3f2c1U%RS)CC%m=lXLFo*%z5$d!!SbN>J7D#Q
z^$D=`M&S8;P<(>rIrPAM7Dh%``3N&N2hAPe`F#dP#x+oVFg{E@sDA@;2WVXs$Q@vL
zr1}_^PhswWu0LSh05uQH2bGtQ@o;$m4Yb}HR3E^?13WL!z{t1-st?A8xdW8%LGFO9
zX9CM3xdWCSVD13V-!m{W?tq#H=CeTNQ9<zyGZ#G`!RrDT7#VAz`oMfV@d%!8XJBO9
z162>>!^{WuS3vIG0P+7cusjRnG>G|_@d%!eXJBMJ05uQHXJKT7wbx+lu3+gFW)HeQ
z!1MGBjEoIXbHIE&{s7O@GcYoqfU1Y_VdjJSZ6JSKfcgV0&%!tjVm_un!1MSFjErZX
z=7IUp{z3*L;vOb+e}UR5AbC)`71U0J)%P9{bEd)8d%?tE{)UOe%p<j*4O?#o(~rE~
zDuogEeM>K(;Q@08Y@HMfWL?iRSpI;CD?r6z=@ljp@^=Cw?)#Qt?m}Ki1yT=cUxLC3
zmQP{pz+mBnPNT1*vVpp11vq>W@d#Vb1nbvCK-EF=wE)~-=;}(K>LB?}U>eMyFm=SP
zkAki1fUT1OuiIc?)P%L~(CbqWA5@;e>Nn831W<hnDz`!H6c``8J_E@dNcqmh2$hGo
zZ^8DlFir!jN2*W3>ot(-W01Z0+V9}?8Vrn#p#85Pcfk1e3=9mQb|0)C0CNXa9_|jX
zeJqUA!0M6Q0n3*l`;q+(S{DHFH*B8@j1Q&Z=7Rmp!Z;0VAD;F*SRa=5J7^s>sQv?u
zmw?IzSULlh)1Z0+*8WGYe_`nfRR4q4e=UHd7ZK1p6i|5JieL10s1qdo;Ok{!d}oL_
zJe|P86)F#JpMvdUVVnk5k0o5u?Q?;c3tvwR<3nk<xrEwF=;pdY%?0fv2Bi-eA4<c`
z1-l<q|AW-yX)l5GVQEi8*QJx(o<@%^=sr{tH;_A!@)0N<BIg5if4W1|L+|6^(1Y<o
z<HpGG4vR0SJly|a`&byKfz=ZcU!G9=Aosd(=)w3<8g4GB@#O_I7c{R6iZ2)+O2f?s
zyPt(|8rVLh_yYM4Ie&olVTmuueMKDL{dCCr3tc~<`~@o~VD%8pT&OsFybY9pLF2EW
z{RtrZVEtcjsDH0O_DSf0`Jnv}(0mIzHwHQW(9;2kk6b?aLd}EjyU>I2LF;gk%>nrn
zl%AmS@Nx@m9}D9&uzI9);14kuKAr@!7o;ERPmKLcpz}vS<s@Vu8HXN>ABbiS%pFj9
zxI4i1u`o^pt4DGNF8hKY<}!lrg#e`+7#~W*%?10Hg>f3#K0M_zSRa;h`2!@LD9+~>
zpz#ay53GFyEBB$|80iO;pODi}Fx1~V(D7z4pM{a}0n~g@IRT1ySUrdyZ|MC?&^k0w
zyahn~sR!eSLF|FYKP=v$^6>s6*gh7<X<+qO;tk!taEQ5#p#3VKc!TkwG~8T}zmfAb
zte*-Er%CAMg2qok{s8swK<2{uP#SJ7*!?Vw)4=v2l_Ti&ELb0weln=t2CAn?9cM!?
z_dh`63+5kCJc05xyq*UYAPh_x=|K`~5YseRc@hZ?&neLK0OqqWGDbnfG3srYePDS!
z`{|+~`We?i%?0yW7#Tt1ZJ_jpt6c$c2Vxv17HSTtT>^?17(Wgoj^RG2{4|(3VEaJh
zNFen{=_?+@$J1_v>4&vnLF0Cy^sxl$9~d8WZVt#lu>1l`=TLdLJHYm_Fir!jM{)-&
zJkjj~oeu-DZw}Nx7#~W*%?10Hg%P}e2zg!zm${&Gb3o><fSL>ALut6Vgz^u%dqMl5
zK<3VXnhWDYX}Gyy_p>lg1KWp`e?Z}eoL|BESQz2@VEH!{8gIDL4<x-I(oY&hJtL@{
z1WG?JemX=PBmF?-r@_nt+sDE<4Xhq1-azB+$nFK}V_}5rgSmGHBs>VV8_>-IXHy2I
zX|Q%VEIwi8k($pz?F~@;yn(n!56njze}IS!OoN#ZvmacHK+6$Odj!4w$b^I=Bd9zA
zg)f+oWG<|~36U3=2K5KQaw!XH?iZ+g!F(1*M%cImtlopsKHQ-BOyOBj^C!XL54Nu%
z8)}{dxL?ho2j(NW6J0%MTn-doGEntkJ|6X;iByn!SULmqk<`P=XPA5PpzaBP`VY*<
zqaL*G6=XhaejLolqaHM03Q`Z7#|HE9s0WR=g48=e-2>+1QC|dgKWMxH6z^a@9`(gg
z^%hX`!F)XGLHh<l?t!(>z<fOFLF;co>S66zFdvWlGN^lC?M*NrkNR?`df2!Dn2$$2
zXnhRGJ+Sc(FdvWlN~rm;aRM+Ok9yEKdLZ*b<pwDH!F)XGtD)wD=5ayl!F)XGYoO{u
z<uXV;n2)3$)NTW{H(=?x7OEaJ{svMH=HpRc2UQQc4;-W(%*Ug?9;*HUw4Dj&<53Sf
zp9T~@u>K~Pk4JqY)O^_a`CvXC^-WOquzn|)k4JqoR6T550nEpvz6GitvOkzZ56s7-
z9<<*A<X_M@G$=g5d_3ygpyq?xDIoP=J|6Y$Q1zhp6i7Xok4HUd9T><xpms1wJ(!QA
z9@c+>rJqiy`Jj7qLF&PLB=xZNI!t{RR6VS{4(8)g-wjm{>!*PEc+~em)x-K3U_Ku8
zy-@X_b!i~?gZX&W_d(Ue<`=<yJnH+Q>Ot#CK<0z_Na{iLIjCO&3!e#4^`Q9~ka{p5
zNj+rz0CAu7M5ubu{$r4OFds=htR9D%KMAV72U?zk`AF(v^)gKTWT<-BzBw=-kNPQ4
z^`LVBLGA(bk<`P+O<?9vg{r>+Eg!&qB=xZIHkf))0|b;lA@@&n=z;l2>LLAOMEFdH
zn(qSjFPM)<J?P$ekohK1^<X|8^)sR7gZ4**{0rtIsfUdtz}!Czs$K(XKA4ZB9@ajG
zsh<s1Zv$=5fcbdTgYp~5{T5L5U_Ku8bD`#&K-Gi!c+}5>syBeD2lMf$pAS{9162>^
z<53UFCm{DrK-Gi!c+@Y1nlA!X59Z@hzX+;c0ID9$$D@8RR6P$=J(!P2J*Zp&xt{~7
z9?Zw1eks&^SicU;$D@83R6VRe3+CfdzZ|L_)(;2s@u&xtgCO_A`mbO<9`!4s=EM4r
zU_O$1Sic08o<aNhK<2AJ!w1aAqkc8id<Lj`Fds=hY+MFrKIoh)kolnbE>M01^O4j;
z`Xz|+VJ*~r4XF8GJ|6Y!pz0N%>cM<G>eoZnvq05@`FPZW%4?AO|3J$JFdvWljZpJ{
zK-Gi!ER2k>c}VEKrD?Ew8`dv`mBS!DsDB6>uZH%+rtv|}y_iMF99Vq;GY7O^1T=1m
zZVqG~USJw@9~*{y(9HpjV_-9fRQKRA2Qr^7Fb%rz4Z}U?;REYGqx*}HIk5B(3m;mW
z1Ij1J>oR=6=?<}8W)n2MOaY5?=)w3<e}T5^fp%(61Ep`!I0;xDX?+N+9}im}vKeaL
z45)cvJ_{owgfB1+7VjYcgW@wDnyx_WqCtGvd_1x13}EXgU^KRSA`%#wvq0-LLG$@A
zfBk^$djT~U!2Sc(k0AfS)(440-G#pYAGCe~+x~x$xvUKAOrZ7Rp#A?(p!@$p?F>@(
z|AW?(@Iue&2krj{^?Udk*qJ`_LiYcg@Im(foAEI)fcF0{5Fl^=KS&=b`~N}e2jl*K
z=stfQXnZn)_9ubP{U`PufVVi#0RWBHVcS;*O1Gf>WT0_15Dnsk_La#oG6;e8O@a6z
zanL*(=-hFTI*>Vp&I6Ex?w19vOMvae0+|Cd4`dFA51O9<(V+f3%svne%0D1+n0gou
z(+A>%+$oRdPSCs&Dejbqx)U@mMvOZ_>(@c<1KAIvbMo^c`vH@3vXS@wLDt!@a-3me
zU;ynOgwZg4AR6R;4XFK~eh|ohV*Rba$RGx?A7l<FoM85W!UrY}qCw#ciZ5c#Rm5Q~
zvHF!58N@;MqPvS&{VF)lEdZ?_0fi&XT+sR;kiS9oKFB_hKS4A%0}J>Z3efs%5FbW^
z^nv&=8YT{-VdA*xfwuoFG#$ZcWOrgqN6P7`d7$)|mS2<vqVrQKl|i(TsTp{mtg(p+
zgjO~J?^iWbHUOV9Kx{l|Ffy>g!V?q^pz(E5@*OPQuZ66O14SR+^Fl!LQy}v}^%5vO
zgVwWw(gUvZ6+r8`LGFXLf57{ALGA?Q4-gFsKahUVybmenDnj!sXnYWqUqS8xnTKvJ
zXda0abCsaxGC<}};qHZ*4|8uxZUH!+2>Bm$J`l+NGHB+3%mKL<M1%YfT6YhkLF+@n
zGy~+E6p%b9UCBb-1q(-zILKU3LkgxIL=$TcEZjihMTt4c;RG@VG~Nkv2grROnppP?
zHGdJCKNZl<DS_1w#Ol{Xt5-?YuR@`IRYnFuP`rZT6O>+I`{pf;QTNSTn#1|Vpz_TC
zy00FTZ$RY)x_?39AoD=!4@85^2c>gWw0-O_|Kck5LF=_a?gJHEAes|e?||CvAU=!+
znGfQ_XqY&RhKb{%iFLmwBNHdg9+1Ca?k85i4x0Z5%Rc^@&~q7J;o}k<1m4G=lbQxT
zZzkR^J{T15AbHUFOQ7~L2Z4JnB$y%l`6ZzH`9+u^`|Lq9XdkEobU#06|2}Ac<rC<B
z{y$jMgVtk%^nvydg7&Y1_VbA_GUtHiza$u$b3o;^0VCvI3lAoQJ-GJsgXWPy{YDrK
z@)w8?qe1hBp!Klq(0OH0It0nn>s|}={rsSP8_4}}P`(1W2ecj-)c=IJ8@4V0H17fu
zhinLCnwARfmxA<x#)Y;($H~Eb7Dh(UJP@+^p!^FmA2e?aG6&s!(0mR^9Nm17K9Ko4
zpyq@5SoZUS#*;z%WuW_*LGjDNOuK#ap!s)DKOW+5#QuKR{$Nl(2JH`q%ER{uL&f3y
zgF*WiLHm9|{S)N<!C-Yr`-36vU1sn;TqXuaF%TcwevEhm2Qbq#u(?S4gF*Iz^g+T?
z0ApV>XxsthZm2xm-B5A3yFuamgNd0DR30L`8>|k=-JpGfApb$tiGldY_QTu_kAJAU
z!RnFR4Hjl#U;x?sg$XlWLHQqKE+}8&y9WR)&%($E8ovakPf$GoT5ko5Cs2PDd0i=3
z9<<(z6TBV-K28I14`e+YXq*z%ZUv=p*g7~+`3*|9pmYKn?*;Q&7#TtJ60&_Dd1U)Q
ze9$~NY@HZrT_4CC*gQLo4^oG04$R$9dH8x$uzk>Vq@eX&uysVBd;~hT0b~xceV}n8
zkbR(X5aezcA4<c`JpeuL0v3OS%mtq(fVA%z#)r~ybHVOMI`3c;G(Usl0hGUC_JQ?5
z{RMJA%zjY65;SiKG6xhNuy{h(e*(?@Fm<5u7En12y2lihK0xUT6wa{x01IbW_<`k#
zNFSj72gp6J^Z_yl6wZ)!4;*@6J_{owsNO=h4-`Mh=>wu3u^tUH4hS*_HjfVDgZJq(
zFlwT^8!8W9#}2lSg>f2KJ<@tKnE%l21EoWdeW3Ckls;g5C=EB4Q2IbO7kmx?(me+-
zK9q)=3wA#X<20~+NbCL3;}@(C%es5myf&rj1GEkYls-W12U6k-)Xo5fA7p<dhaQX%
z%5TW#fYJjf-l6hv|AXyAx+epc?s3Hzs2u~c4^-ZP;tR%y(r|N0jW5tRHppDixi=tl
zVSFeJHy7-Fq;nE*<!7)yEb#@Ke_;eISYg0CKL9-+!}32YKQ94~{|nCopJRX}KfuBb
z)Q<+0FJN^njNp0`lwV=yfM`%T4;udfiNo?Ss6GOP18iLcn9srpX~r-x&FuxBiy}M^
zd`<!bqYGsI263(is6GbOS73P-Mn=#)6zJRyus91NXc-@9UVsr)E{QNOGeY!p!0T<0
zyFl()0d5}&OtXcy%OK(m3{ZVppmPO4^&`x`p!yZ054P_YVlHGJ3{;+i+HoLxaIk~c
zje-Rb^&RNkcTjji>|vUAiUV9f&H}YdK;Z#f_W|Z3!ebh&y$iArlz(9E0UOW2G!3?o
zRuSS4jQUmztPs)u1jRSVKG1qBMg}=OFduZU0RsaABZJyBm^mQ(K=lI5y<lS)n4t4O
z!n5{+_e~4W0<}+?7#QWD>KQ@d*2KVQ2^L5AgAp`N0a6EvFAhfVIR^}kj$m;XMn=#$
zCn*0y?PCO=Kfu7~3^tF25vHCIe4YSOx`3*KoiE@5F<$_#9u%LT^a9ogJBNUU5u84n
z(9#R2-39f>G_biWjMKpGWMQ0!o?bxag3<@Xy`Zsg5Q}LNBwZuw8}Ru942+DhbuKVI
zl%57t53?7RuVCdA_&flle28AZ!Suu0Kj8BL7#JBr<3ylx2F3^Pzeh3$R$fBo;qCz2
z$HEA{M-N;32Uc%@-Os`}4XmGq5w0KR9$0$ehPFq*`}G;1*_?r?2+U_;1g94U24+U^
z{(Pi(h3bc$)9(zHN6PQeefRME4(gxrkdfb^`m#X&K*T50H0V6VG)TM%Oq&A9U$a0R
z3Q&6oqz{yyA@UrI;QjXujFw<=q;wD7XV1VW4@s9CjNtwA42+IoaV+T`ydR!{(HU$W
z3nNTDBY59CQusjCF@okDn;013=^ko6BX~bL1EVuo9}6Qy9Sb8w94Xy{+>4y<!R8{}
z2e1OIoCK*ygcAb;BwY)@;~yFx)6ml~c)vSR`h%ro@V;gwaag*9q+f)&VErtN)4=Mn
zw7X#G66PLs{kYO4cwaM8x&-rC7#X4Iff2M08x-H5da4Jh9|dtIb0(;rfLtzv%2QCe
z2|EV^yssE(zcZMRv<?JRzJTfv*trkj{neoJ6Tsolp$Fr`%6(8j7gW!jfy^hs_hUoW
zMI-jB!{+rt^OB(Q9(3Ocs5}7kSr{2%?RA*Buy!8I-Qa!D42<yosbD^myFu&mk=+g6
zkIlfycm(Qh7$0Uns9z0oH)wq_s2&8%voKDBtoKFipNF{{G_C@2H)z}%<Zdt@v`-Gw
z4}qVXfgXO~eaxV97NG8c@nP-&^|wLpfSpGGmPc9_0gFGFJHY#l85kKs=RAPi0p=r}
z=LItt*6xCZA9x=%=o}oVJHULT@Pn!Mg0{Dy`=;UdnS%MC{jyMb@IGb+Mn=fGQ4T#A
zA7($OpAPaD>^udqJZS$L*nWX&F#BNs0`DJYU}S`?*97xf7~$<DP&k0h<Ae0$XMy+c
zB9%X|@)^AUn1Rt5ERR$^gT;}`8BqQur+kL$%L0{ah;oN;`3&A?jMOdx?<;0tv;>PI
zmCxY)yGZ3Tct0%zqa#=xOZg1mkIKO43^tF2krAq%5wvaqR1QPRKLJLlI!5rmSfuh9
zrXIYXm4VS2tdE5eqK<`;5iE`tZph^`*jyIIX<&1(v`awYg$O6y?Go@lU8Hsij1Q#=
zmgnI8wn*hRtUQOMM_73aYnOo5D}eGdq+I6EgYiNAT5SC|s65;qVEdr`9enK)u=`mU
zr-Aho(Jle+Cq*j%!F*`F3u>2u_r+pK_u&1f42;fTd8Bj?7DtLdP`O1;x`*n^0@W9Y
z_#~X}LF3NI?Go^QQU*p#usBk>2k#$6O84OXp$v?UU~w$z9yDG8YDa_3V_{^3s%Hf6
ze?$r&s5(aI{!n<k1g0Lm50in>8LW?m5u%QTkr6D87H-Jt9&9cP<20~2SkgTxyb$3;
ze7giRE`yx@VCfh%505MksqdMf?P^531gsy=zEX1AC7}6wP<{dRDi|1;iokppM)0{T
zpmYhEcSkO7VC6A*-zEd2GguxeU4q4t!WUFNlanr?`m#Xv86vz1r%UiYP6k9j5xifM
zfzc8yj+8FJ`#h1-C8%A}#K7nX7RQn<LF+L<=@M)n3nL>`JtKI(B2v19s$&H2J47mv
zVd_Ec8IU<(eJqR+bu5gGU~#l?Lr#}qb6FU{=l_7pCG_?(D7+BiM0~mgt<yqIf3S23
z>hK_o<4Tuc{Va^r!0M^kUIy=bL@F=Ad=^GVXnH_tFPktS&b0!~V_{3Lp!PK=+`;ll
z=@l%F6z-sUk(~4j)t3dT&k^xJIK6`RQzE5T@P0`KMoX|bQhEjNi$qGVpm|GBdIgJP
zNw462jtq>>VDnfQ8KLSK!TTAJ(koOQBY58;QhJ4{2k%d0U~~rSV_}4-V_{?ji=%}b
za(V@u%fdJfYz~%k6ck>FaKhbw0PlB1YCpjEP#RuuL)t?e82v%;{znqp58(ZeNaGqX
zK6sxak~y&a0F{Tk18g4)<20~(Joy3ae$aVzp!Lv1v>(9xCXw<3n9st<2u*J&`9Xq-
zISVu%4+>xK{z;_xhLzu-aXC<a0Lvrg2e3F&yn^a;a`FRIUlyo+1ab$oejzyC0p5>^
zlpnzREg2Xs!Qx2y0lcpgDL;V5LqYigERH2VfcHr<Fgk<H1Ks}!3U>xZM(}<}r0{{N
zV+8MeM9L3P^BF<&p`dUB>tkVrsAFM-h@*uYa()1t%fdJfYz~(E017WeIN{C@;Qf+F
z`2og<((rVMD?fnuPa>sbSb2}DJq_MJiIg8;eDFR=By(W-0V)r72iQJP`UZtJp8NoI
zKMUhDuzrwwXnzvczK5pUX|QoV@V-l=`~c>&Ffu~Z8%lm)V8VTlAZVXDXq*7l4+V{<
zz|I8>fvocb*SE;x1yFHx^`LQ^KX}d&1n>JqN@r02FoO4YGB7%W<&p9sSR5%mf!crM
z<U^>wEKqwEk-iAmOQ3N{<n}#ypC|*PC0HCOAA<LTBIQHyeoqEQN3b}Sd<fp($-w9g
zHjjmo5vraMypIzpe4y$WK@$z2`W!N@DF9aw-j~V1=nU4!!U$2v!pH~~M+-OPd<Zs|
zg>f3#UM%?#6kdpM!krJn`#h2IA&d{D;prb&J_PUkM9M#e@*#NNCsICy@xl8$k<5YR
zL#RC59bo%d7^i{N<H?6$_p>lg1M4RuAA;8RAom}@d}zN7)PDfAuVCYvPZ*iAK=YfR
z@P&;pl6r0?Xdf~tze2_t5#x}sb1tFzY8q&NBuE`(Tn{nshpz4jG(SVe-7w9A&KJSw
z^-e;^$zbK>OhL%}8f+gdcz-KWI)=K35xkF;fzcT(kCe~B;z;Qg)K5?#V}1duFALNk
z1BEZ4`WAK$7<j)d1EVHvoeg?A1o1)RudwkI@P1k7x%i-YJs5uxsC-8<2bK<@^6+&e
zVEb4Yr-9XDNr&k6f%nfcFfxM5SCD-$K9q)=OK6@P-CXcKTj)IlAah}SC=E9k?0y!;
zX<+-1)-{0q2TEVCbuVCjIM%%|GRR?^3x}>BeZCx;Zs6wvfzH)IAO8oL3#*4<>S6H?
zqCxZGnqUdUIbh)Z!VHk}xj_B~^O44jVdjCxK|u4g&~y$ehC%b)U>cjb;C;mmkoAQi
zbHRL2JprB10`DV6YS)4H2{SNSg2h4VKn`YLVg&C8MygN1`+XT09l_#Q>J#w(UIs>I
zuz4(uj8OHAYar<nKCcf|#|Yl9i`1@zsR!@NWngp$>tkVrsAFMd1dF5PPvrUpY%UAq
zG_W~X>Jw1DMC3=@^$B>NFH(I1<3nk9IgYD70nHB~_t!z`9W>7kjlW5-b`&fhgZKR+
z)h94Mc>gAr`UENucL&%$P<{Y~GnRY|8;=6JA9S7~R2|G6FdCdZ8JOVhI`Do_q;?&c
z&%($EE!P-f`&B{fbny8XG~SC`ZfynG4?5=@yq<tV560gH5yx1M1(k=dmjT<y!Z;1A
z9*cj`?b{A97rdSkG@k?GLut6Vgvu>+b3yBRK=mz%2AK<@Vf-crM!30P_p>lg1KS6R
zC+Pe*EM8#c7Fa)Yyc-l=u=M~ScY)fyu=oLIPa@X&z|?`(Er9BMSUs~75*|d?GrJ(_
z8A16P<WCrXH$)t64yYUjrE{n}yxa!c$HF)btR72zf$|5)zp(tU2VyQGs2m5`2jfF&
zxVfNkK`!@U;RVZQ=;rQ)nhPpdK<2{uP#SJ7*!?Vw)4=xOi7&7|EcFcZJS>cQ20gwB
z)ia=TE|BXPn7Oce0X;o{;u};SsDdRB^~^q~ze~XVI1W89A4@$0k;i>r9J;xn^+2HX
zUI8^1%m<x|3$YJg-h-XPz{GeEBJK=|H_(0cuzmzs9I0FfjSI?<(e8rk%L0w}GB7ZM
zhH1g|B&eSRN+*!=8nLbemQFzZ3{d?FJBQ*B#GT;rHBkKt=3@yjnEJy|^`P-Tka{p5
zNj<vxN1*CK?ggm_^O4lU;umKAQK)**xHL#Tn2)3$-TY%v^`QC=q#n%2qy9KlJ!rlF
zq#n#iQV((usC@%-4=CM&;vF<j0#Xm=qp1g#S1|P_q2`0iPmp>rA4xqdpTg9if~tqL
zGr@d3>Q6(}gVu+F%m?%Fs6PW$4_Y4!QV-^X+C9+r(OHN*e0|$F2;UMc4pIloXben@
z=ON<obv~f=6`*l1usD|X(M5=QXRvwD^%$UVyaW-4=NG6t#>-G~SU!Q8&v*qQ?hMw)
z!U$2v!Uz#Z%SXuVBe1zFjMKp8U}+zL(jy|@5I=8m72+Ovy$y;_P(5%BO&nG~K<0xH
z^J!rHER55@>ao-huyT{sbw1Z2_Ctyv2Bsn~A3Dzi>JP*6KghkH`UjT&K<8P2;ssVu
zfcbdR(@m&7u=)|q$D{rhR6VSI1M~5yzYSFnO5dP*3(Uu(9&~;I$o-)74N?#0<57PX
zYCb4_K<dGKB=w+tiyS`ppz2}gID+|j)Zd4yhn+(P=HpQhx@QyQe%Lu=U_Ku8525CR
z+D{<&gZX&WKZ2?UwIf05!F)XGA4AoH+SwrWU_Ku8pz}mP?gy32AoXBA9`#S5=7aiq
zAoXBA9`(<l>Oti%NIjU3q#jgWBbUF=q3S{RvV+uv`AF(v?Fv}>e*skwTK5c659TAO
zht&6obC_O2)r0P92dM}1@u+_VRS#Mp4N?#0<5B+_s=fi*&H(fAs0W?r2@3xjsCqCT
zNj<E;1M}}&sQHj`i9-*}$D{roR6V3T;?M*0Sr{4LL&V|bIHcfV0^b7(nx_EEBaJtK
z_D3MEM+VLNku$Cg)t3dDUqqDOgvXUXLfi|l=RQIBmSAzDdhRns9A3|X)*XWCIj}gE
zdhRPky))Q67RbFXp!oO(5r>BlR2}1Ys5q>igPP9>TE7MgH?TeyMu<8VMu<34JqPkX
zay<t&mxXZ}*c>eN97sJPoQSXIenQ-dQP2HC69<)}p!yGzo)GmMSU(HnG_ZOq)^ooh
z_Ct~z15**0&%($EO%IHJAoB2VhbUxX{0k9x2FoL*ORzXn_=4sk$w`+`eOaJ+SVVXe
zPM7~6?t-Vw{}8?<SR5%`g4P>@=0zash6B8z1e7kp;#krp6C?P(A!o38AafvmMrH^N
z4<D#HM$q{i$nzbbmF%E&%nDKO4AuwQXA9!9FfxM0(ZUTmU4qSJVFcgzjcwfsD7+Bi
zM0~nr1KE!>P6TStfYKj3nmDd>3Dyrvk0A9R8rlwqwU5Yce}V4Z1BDm3xy-;+1m?3a
zGD6b>BPYl{EaUTB5OHU)JW{#@iz9_EX#SU+bP3g$1)85mgg4=I$qjKAJYDiY_?BRC
zP<jG;l!=iSA`UMv`5=5pusD`<$q$it2Ajvi$Ou)>2)YLxl>VUoRz|2gM$rANAaO{#
z7l5k=-OC9oFTwgi`y@f;vM@4&#nHkIIbDLyWnr8Kwiioz2?{SnI1!&Ng+caXNtdAW
z3X#VPaivSJe$YNfkU3OLm!cr^kj6E^d=^GVXnJ52gUG|n16X+pIv)`fzF>KzbO{zm
z3SZE813BpusxJ#P?~Vv>!s!xpP9mrrgrpM=M$kEhp#4~2ainw!+7|{=2T3;^jM5N)
zI)cTqq)QoyyffH57DkwQM$oxAp!5f^Pk<4sjuCV&8b};sz5rZ3=o~UoxPkStFhbO^
zFfxM0(ZUTmU4qR8-LDLC2bOdR3NJ)B5uYwW`_PfoAFR9t?LS5q$CWO@`dJvKfz?wn
zU4qU@LM|`Cd=^GVXnJ5&289Eb@)C3&5-5DZ@<{0tERGbup!sBS(j`=17HFOx5#EH;
zr7Fa|@bXd(!nXvABc)4qh&a5w1nnOM)#G4sEa?(7j|TED*gVj_K8SusEeH+Iw@`JA
z+E8&=x`dj~2<l&g%mM2I?f(I(V_}4dqlFuCx&)ic!Z;0V4wiHY3NJ)B5uYw~LH1)Q
zFZIyGaivSJeip`QVD(f?m--O<A;lmAQxTXCT`vL}M*;QgLHQn59zYZ_F&aYjIfLbq
z(j{0NDSScm(Bz~`sJ<-FItoO16Hb>#5O=}Tr7?tW2^L36m!R<t<nq!KqRtU4jwM~1
zLFApm=CLp`Le(>xL&V|f5~_|7)b9p`8!TPI)LTN-JA?JHFhbO^FfxM0(ZUTmU4qSJ
zVVnjw2TQsHg%={6h)<VR5cgp8d#usKaivSJeip`QVD(f?m!Nw}kjqOjpM{YTnjTQr
zot;5CS9TA0TtEQ4&lfbV1?ne)=#9|zB#`}V0@Fa_?I3a3el?gptX&N1pMu6&VEegj
zA>jetuO%=I%tzXfg{~iZ?kRX4AGGgE7IGdG{JtL8xwdwUpt)*BMwq>@{co`S=`b3q
zZdxzYANEl9tO5I%Ll4Yn0rg@)4OOPOp!WFx{{o=pGN5@9I1RODE*o@Tz9ZCr&^;GS
z42+CUP;<dF3u7KEzk}9ga4;}~@7w<WUjVdh8e}eb9uJgGogw<7q4q+wF))Ge69t`*
z1D0oDWQ5x12(u65T2OxmrVnHf3j=c&X#5nEo<a9@G%<j;r-1E)se|el2g|cCGP*+S
zH;2jNvIne=g^>|t56FBsh(2+!c`S^KU_J{YWVoDxi4nAJ1LSXLxJ`nMzxl)V(LwYx
zg6<({Vqmle+snep=nfKRVPy0K(F}~XV0jisM(BDhMlXoCC1n4f07M;VoiQXn#KH0`
zjEtc59iZ?4xdY^0m^&Z=j5v<~RPTb)87%#XK>K;1`!<>w7#Y2x=7RZ9b3x{T#i9G+
zLHS`SG=0JHQ9U?GF~RfGL8v@5-sXbFTd<`wm^>_<`9bVw1f6>hN@xBM|1*MVq;v*a
zrveIZsJZZT1{!|{r8CfdBcSvEm4^fa0~5?#aj-lKBO}y4QIL6{@B`@w`8N<^FJze}
z0~2^25Om%I)Lr6Wc{KeXeL)a?;$U?wjErDDQaS;xn*q5m7^2P=Y(G+bgYH!UwcDZP
z4<lF|3nODFBwWP7^3ZiPAoqgI1KA6UZ)kXe%M;{zU`PNkO@qaE7{q?KxnMrjT#$KS
z`&byK6+_bpxLjdjoCZrjAbUXO%R%MCA?CsBH>iD#p!+{S?JKZ63*y`kusBjZ2AXG2
zAY;B4q%VenISaJz2jp&$yFlq1)Gxp{-wQp58XQg_^`QF<K<c6Ho(3y-BSGQLNVwdE
zs)LuiQIPOp1noxv%`bxapmmrKaZS)T4<mybBdp&M1F=Uw8Y0ga3*l>m`JnM7u*X27
zM<52G+=l9#3spZCnhxf|@>4v-9L6{(od7i-Oe5td(7GT{`wLnw!t)boz5|rc5+VAc
zq4q(7iGhhR2`UcN#|V>8hKM_Z^|3HQ)Uhx!ra;BP@+^!nf5O}+4wh$OWK4yqvqCo)
z>K{j#e?XxDsu#fOSr{2X{@`F>&H}j`lpfL`=D^(zGcO$~4$}{FcLqe<8LW?mkrAwp
zg^@86Dh`&1xto;{?ryL=3nOC|M4c7bJXS_nJ;;d5-C*@lcZ1xU4bd+S_8%)FBbbj=
zet_0}f#L%i&lvR#Xnv=Ofsrv6VvaS~URFj%us91NxT0=iV6+9xBb7V(5cTkS22`Pg
z)@Oj`f0!5<#li9{jEtc5XrOWj<PMN~VeWt=2t+*t>K}pg6?lD@0KD8OgxJFfGZ)N<
znhP=yEY1o!e+9XoDT3(3$T!6hae1gbBWV2!6C<M~SRAQ+UJ6P7nqYN!@)K15T&Q}C
z{8SDxhp`MwgXY0N;SHvd@)KyC9Jc%fnr{TfUnRud(NOy!!N<VFSOpb_rBj%EHALJQ
ztdE5eqK<`;5j4LADqq3!pnYXfcR}4J4wh$OWUPhghvz4lxlsQ&Le<0F0ank#$O!TW
zC_jPR4T_&Sh&ga~!`xX96^G>~nE9ajI*|Lo`dAnl!RlBT85<$$ox$=jce66W!c`nB
z&%(&q1W{)NHV-L3;c_=vJ=EPG_clZHi-Y~g%E$=jBjqR1x;#*Pz|t{#yA3oy35wrV
zh&k3^ds!J7!Qw28jBOBcTd+J*erkt^!}AkZ9cW(?B!0xf@+^#up!JKO`~-3b$i1Bq
zeVU+oV^IA7JwF59?uUjixZVQQzp#7;)(09Vh1SPi5OWwo^Rb}(3+6-Z1K9%>XJwoQ
ziVx&`3TwA@L-b?hH_*H(6C<NMRGzUH;vY>gA4|T1w!h{=_05H<hxapJ^;AE^9L7E<
z4VqU4g*%u=%2%NElc0PBH5Xn_f#&@|@i-CU?r5le@bnBi&l|Zt2$P=-QSS`a$HK@6
zR>uN4M+uZ}!SXDOFn_|_Ck~p|1C@_ZcRRw|4UHd0nE4?0g7Oc@eV}lk3b7CFKBzw!
zK^q!C?nBo<9irYDtPixW2E+&5&jO+u7@fiLF!!-C!rcezuY%k+6Qa%>>>gG|MqKU%
zt7Bng1i2UF&RGzB;$VNVGBSesNcje|o)grLoefcE3pSUP5#DbB-GhSMjs>e@VPu>O
ziC=NBJPRWuXq_b}{e#Q{*$c~u&~U&==a2|Rv}5N%?1!5R=0nW|nFqFyl@Z>K1-H{!
z8K=SW4ago)K7zGlVdp%}hnNc=9|DbQf&2p&M;aem2vr9ezu{n91mQb^#gXbCkUgMw
z%3_GTGuS*9Mwoi=d3K<2Adonyp8-|JxD=uuex3r<e8y!Eac7Xdpm+wW1NGNH;%Mz^
zP&`4*<(LLG7qs64q7LJHGf;aP6mAgr5<fn)9AqAramy8G;<(0#!1|%%RiJrM^mV-C
z&Ofe%*bhlI3`|8}J_{oww7&o^SHGg&FQg3}hk^FP;r)SCp!CZ?xIX|@2k#H8hPnfE
zZXam93z*Ns$Ot;m3DnMk^~YiTYS4HM@;n5rp8&c~0$Cguo}lqnkU6k*T44PwjMKpC
zk;dCV<K4*WK<jWp{T|r*b6B{8#y64G!OVy0$8|m>x;~hB>mcDK4~<90^-w;@U%&r@
zS`nZWhv?Tp)z5{h!)S+Xgy?4kg(qm7U=uWa!8B5R0a}j?sxLq`Adg3Z{0*uvHbeA9
zL+yj56GqVfD^Ph1)yD{v-wILh4A#fO2vG;BSE1ryc~E;E)qUdIK=PpS6+|;I!rNgm
zbD{omg!u;)8lduGJ4ihXBO}Nkpn3%4ZczRNomUHTA51^YojXD5k;cJb^1C47&R~5k
zjErD)ER2l1q2ge9n7cveK119u4wh$OWCV@#G%+w*fz4w<^lNar8>}AcZjgKTLhKO-
z`;Uc@5zI%bPeALxLGb}A|IyoF`yl!m_d{rFu)Qpdj9_uldUJ@lEm$6@9d-~R4sVBn
z_9=qO%R^BAf#q2k8A0p2LG=*G9U%9@+yRXTcs&G4|H$nn*m%leh&_xjbHRM5xghhv
z;w-q^Tj25nbp9eZ1tR)UuzCqJ9)w&!fR!;YF&>53>kO7>L5#0}#gXa((E4mpK7iE&
zQ2k(kf&2q1r$PB0{ajS2zAVssc91_{;el&>1y+9^gSZP`e;$YMEy3bQ^(SaQDDwQu
zNr*Z}usD|b^Atqh8EhU4BO_Ej<7tREeEbQj4qU#1!VOeUg6dV6`m+%A&R~7eau}2@
zz~X4(hFpJw&1GSn1~vy;Um=GZD7+BiM11{u4&ok+`IYl%;;`}_)cyq73u@PaXs~`3
z#%W;nNaZ~&9Yf3AX`uErNIklKm^rX?0o&IP+J_5jhePAN2+U_;WQ3*%h;oP|3JF@b
z4+;nHeHCoXU4Q@o|IdSTZw1U<p!Lb1^!ET--@^9yg33A2ekD65@OmvqFpU&1p!K%`
z49tx75P6LKM-FJ>pn3*Wo`M_+ZtsCz&NK~lelW;>usJM@)4=*z7^i{GO9a)6E1~1q
zj!^SJ<tHc|!Q#OQO&r}G(E0|D`7nFH=CCkM1M6d9oCZ3t2icypkn@2-)3@Mx3|KgU
z?pFhu1L}8z)I;viW10pFCufK|7{N4JIDyhH=w3rmy8(UN5f&bxd2EpRpmiP~8g?Eq
zSRb?<0kRhs9w2=n42lO(JcHOE431|u=B_{g|NrMjif=HVjkycrZf0J{xyJ&Gp!qLJ
zR%X!g9bo^0%wRw|4;p$;$lP}5JQV1jW6*x6OVIKgsvaDhAbrsO6uf^4m51|T{Y}t(
z3aH(18Db9ORY*RA&`9kE&^`@Ny#zHE-rof6?*N7CHHf}ws65Oaj8JpM!SbMe84!C!
zLFR$#8IXQZxLt?phq@C!&j8a8mPgYM(g!-fv5A3E9IOs$oiZq0g5v2P7X!op|H2sO
zY=ZsG!Z-~k4>kvs4x#D%Cd56Aw;;48j1L=!xD64P2aB^XGJ@i<iGk4-EY89R(+3ep
zs^3BTaX{e(HWw*<g7)2j!WSB!Vjy)O|AEpusGI_gtAS|P{4A_p0Bcv?g}6r(st#2B
zfYT9ZTnVHPEDt*81w8*L0M0L<@Nt2bH=$5}UxdVuI8+}a)IFeMw7}+p_Wgj|334yU
zeW39Zkoi!1!Rw4ce02B0%!R6ln2Y3pkh!360gcmv^ux@9x?>uQ57iInLlPR(w8PMS
zq%eD+<}iZH1-TP6?h7&(<}R>07Dl)^uy6#8yMok#)+2!Cv(fdz)PedHAayYH9MJJ5
zQ2PD<pP>VspJDl&l{phM&w`x4Yarn+avvJrAbp^68I;ell}`^L>g1vFjE^9EO)wwN
zcoS6LT&Q}C{Qm@E4&!4e{S=ap7{N4B{s-+x0=1i<`5T`9LHme6>ERhfUo_M{NVG68
zF+PWiL-jGj<U!})g2t7=`dAnt>R1>VUqaM7gXLKmVg7`<PaG`I!pI19w<FBmu<(PK
z4{|T4p8#?nDE?nT%!RuT=APG3adiD}AmYwoeJqTOV0A2vjBlahV0oDPSQz2%1Ix28
zGQNYTGY7i|k9)!DSQr^W?ghE?Jw%^4*k4HH8EC%~D8ItWE6}(WDE)!<HG<M9I6RQb
zGq5>G<=F>_xr`qnv?h!XE6+Yb#O1-_ER2kwA$(V`I13|8A4D9fJOk~^1La$=xk&jL
zv@cJCfteASzQsW5K>qszF&ASz^(#bN9xBiH4U!%$!Qx2m-|rA{O|UvF=^onun+w%H
z7pfkk-~1C|4&x6f{R?70BbY`?_n`f2pmYy47oP4x`_w?`{x?KlG}JzLy8i<ehw6j*
zhw(2&+!?Hog^>}gj)jr&A5<JH58B@Wbr;lq;$V4DI6&0F`^_+Wq5g4%s)xJdKS(_b
zBO}Nkp!@)GHz*!J_bP(=%`p8icY+3jK;p3c0F!5ew5y!K`at~_5FgaP1kntP&R}_%
zyFvR>Anq4u2FZis2}Cn6T7k_&>Nn$ZH|Tt7P(K9ZZjgIfA^OF^{$pWeWP|Xn!TO>1
zBY@hYuy!JBJyIC#{xpbskoQ3K*d)+;CeS`-SbYE*hXv&)m_D%ip#B3$9}D9&u>DB+
z33PEMs6PN&_yRHqI!`eTEY89RzlR-GUvPrVVPM2a_goNhd8j<xeNcZ)gUW-3#X;@{
zn+s}}g4_+FgP`>eH^dx99tf=oHV+i;;D}^m<b{Ykfz5-gdujmHH+&Fr(6uWd^BMUe
zd?&Da(E582pM{Z807Nq|I)lw;VT7myt*eKKJA&mw=@UGD2%n#46oSY*gWZQv&j@n=
z@BbWnV0mc#f%t+T^(>5x!XTP~5o7)bRNjHY8!XSlI1Lv5Q1hn2#_wS5nS0Q52-OEG
zkKpYY(0*Ic{(4Y(1xjb2gVnLszoHQL$V25B#lZLUGFpPgk^C(V5!VE(LkfRzG%z5>
z^`QFaLe*oGPm&OG7$u;z6vTc;FpX3`f%davE1y97Rzc;HG(=xC)INB*0J<+0l+R)L
z9wsjfQSS`a$HK@6RtH+A4iyK>voJD3-3@b}xEx3xw2mD_BaQ39%!T^L5#}FgcreO?
z)Pvg33=9mQ@(JW_P&|OnaR<2%rXS``MUZ-=aXpy45=7h?tdE6}5v-1dkx>~c4wi?x
zn}reXZm>Kk{Xx`Ofz3lIpK!Tb1*9J8ZjgIbL3{>Aaj^eb7#Y<dd~2|Nkol1Le|Y|e
zl{2vNNgbjdE)O|BK@FVWLG?R&`2;qfg>f2K9}D9&u>DBolL?Ev0|Ns)xENt%U}plI
zyU7IQGec<>26j-f&A`gQ&IG!zjg5hw3A8_#oq?SR)ZgV`U}pl|Kf?*7xfs})K=)&C
zL-or;`8*8lOrU!>cp2E4K=(E9F|ad%=9~B#*qOfYGB5}*urrzRF)#=+urrzSF)#=*
zurn<bU|<l2nj;3K#i6tWl$K;*2NxuYP;-@_`b41eQczkNO3OfLStu<BRWAzF&%nUM
z488A^#m~hvjD>-X#nUC!5k&ha1O$O-e=k>O5FMf)kPo7ZGfVVAbU}V`CWtPHPfP*P
zDXB%E`$<{KQj5UiIr-_>)#;Ui?s0}Rvly5_!`Gm4c0Z^;z`(%F104ro1dR_dFfen2
z`VAl&D$fm_kKqQ5CxFC3;|C!69Ww)i0@R<N`(Pm!uyTOTx50KFE-1f&?u!K#03aH~
z2i=EjjCOx7=sbSVebyj#Aag+F3+SF@ka`#mvJb?E>IdJ$4jm@~4X-i4%m>jRb3imm
z0z`xQ<uLsq8g$PgNE~DyG7ZxQ5(n91g62-pd77lS6Eq(KvJX1W1ab~ucY?wbq#k5H
zh|bB+F96*?8=sVu4WdEfpnGVUK@0{~4$!z1D12ZvNEoCKM1$N9TK^2<L&u9bi1oKA
zBZC-7AIKa~_`vJ~g%3;|M1wuVz)G~aW;o0x)?Ma|4B{Yr(cML?eoICMUTpe7_fLYt
z5oRuE92DelQ2h>45Ar97=7!$G47x82#D~!!eIP!JhKa*ym^dzapzS{kuJ2hnU^KEj
zv85yB^wd01dQ8hNN&?aODV53~+T6s<08Cq$T7qb0V?%Q=4ZSxTlzu?@o7niWWn^H3
zg)1mNKnDtulK)`oA2bj9`#%RL8u8{o(ES4-^T8Du11ksUd`?ih0PRBt(dgj<+UEgs
zA85V=WIjkA$ekd6f@qL>kUr2o0;HG=+D8I17u3JTXD;X*I8w|7?Pmd*3+hiHn+tO{
z%)KSK1)%zap#MSpVL;}A+H1(>fy_bnKj^+WP&k10g@b7Z25|WeQU^+3pnX3eb70{J
z5(n`?>w#eEK{T=Ez`_j_50sdL98Mr}K=U>rcYxdnqKS3SQ1chD`O}n<fgcnupzr|2
zH>{o@R=*uu{Yt8SOA7T{F)|1uyI(m`*%(w_8JaLKFf*`m!1)%ad?P~$ACzyfm1iJv
zP<VjSABYBp4=9~mF*30u+XwS6uJRvrzZ6J4sNe$8oY49QwBHEChtVMOL3|hu6Nk|-
zaa=TdeBpAx9U~Jb%pQ=xVD2YYzayIeq3vQ0XuFsL+AijRwu?ES?P3mSyO;yoF6Mx?
zi#ed}Vh(7#m;>4_=76?~G1WoZ#T+nqL+_hsp9vWk2L&^@TyhBx0=2U_iZatPK<S|*
z-Ve-&wf{ivW)7tJHBdi?fq^L-6ptJX%sHU>R|#h39MF8E1e7nr3=s#>{0yLWG;<E9
zUE0IIoCCVw_z44Z4#=E8Sk!~e1L*_ZtINQ^oC6v-5n*J`0gcZ}Ff!+W>L~+8<{Z%d
zv>r?dd-j6oSENDBPf*k|fZPY_H-g6RLE|_u8Z5~GS@!@E2i;Qvn*U{ojbnioFv0Id
z1*I#HI!HJo^nsNjnL~*GekCgp$Q+ou`(XD9&w|FwG|)H^$Q_{j4?**epmYhk4;+-Q
zK>9%U4}r#~Vfta?FragpK;qzSQ4ENCSV8(g<7c4tMWA(HU_J}voL*$}q4t2sc|hi%
zn-4mV0VIxYK1d(Pe8@aChaQ*@9ghLo2Q`NgbS^kZzYKK#2o%38%$WDZL)24Fg3=)<
z-XZ=LfUi3dhRo~E0>xt!1EUgDo)J1<4qi{%#K2erk_V03fy6=M?x21OXulwc28n~@
zLFXue{0rhk+P%!+`9LNHMllc{*?x?80(+4Oe4jBB3nTo#TabMqeUR`JfR9JQ+zq-{
z5ae#CJlx$-ak#rd;roM$nGuw3k=+ee$HJHgb2sSzT#)~u>cl{NWcy+6hQ~kD-C*@d
z?gk4pFff4Z{epCVB}^QY|3T)0%6HIw6et`)<H(@&2ns(4pF<BU4_Xffy?+r@K!L^y
zVeteiKS1iC?w<sfC*q!WP`eeBe_;1RgUWAEx&@^ZP&x(kSr{2X<pr{RAbDi_Kzz{n
zHSFA2(0%0~b3p4#K<2>sAa%&*z}yX$hoAcjwhwxqE4Fp0AajuI1C1Yn>;sj9Ap2l^
zC=E9kv`zz*-(c|v8y|;-8@joCkn@fhLGA>Z3*$p+xVd2WvoKBr+lS|zTChH-zd-JX
z*$?Vpg2vfE=78b@l0FFE9}ZIo8g~JekD&7;LFE@HU4g<GmLEXwM~*MBJQ3*w)c*jv
z2bMlS=77Q(vc8o=56lORt3$#GW8D-iTp{Wa_cDX(H;_57bM|0-VKj3<=7Zb~m4~0>
z45}wV=>n{tg>f1z9C6tPN{1l(K=UM^c!cqxG~8T5=>y##q7e5og6bKNxiCJIhMNm^
zKWJYFNIjnP0oI4*+-cDK0X5PG=$t!H`T(^bkkbb!-GjmzSNMV28KCe3?LPwf6UGPS
zH)L~Q@dcHK`yXr{3*$7fdLrTr)Q$n!2iiXfvJb|G(r|N0jW1BU2xKm39|*`?7#~W*
z%>}!kg>f3#K0NUS)`um&VB_nIpo@)&I3FCA|6%!g3AFtq4N5NzjPQH~3tw0~f%?&)
z@(iqwg%MnDg7PcO91sm!X9pVp0Exr$F{nNQg#%>0A%`BA51Lm6X+T={B@3}1zMd0S
zFM;Y~P<;iKM_TU+7Dt+g2bD`AWb7w~>dOL+|AXpBn14a_E65+9buS=)L)Os>OoOf~
zg|`d9!Op-0KKB8n9^@@hIDpnOf%Jj+An{X>b$+uz?GjLUfYwog(gm202oHFB7i1qO
z|G?Y>4UcJ{_0%A9Ve9o^=EG>vxlSPaQlayup!f#a2U!Owrw8V<Ffu~;YSUomfb0Y1
zdzd*e`wl?U2WUVaRPKY?C!qDaQ1#$@-9h_gz~TshFoMP@K<Xgj#lZ;L?*KaA2P}>>
zj|jDoQ56#Z&Y*Yz&4)wPGpa$v;pqaZj!_*d4l!Q<W<Db*K0)aPtdE5eq7HOk5J){*
zdI7b&p#GQ!HWzx{0jRu3Ump!J7nD9A?ggFA0b(&tf~0FieWMAohk=n1vhJ2c55|Ym
z(_rdh_QLWNtX|QA=!fS+^zss>AJ+Z>-CquhU(h%aC_TaWI%wv=@-tK(?hdehpmpdV
z^;pVFQ2P#)-oWl>VVnlmPsF`Mx*+qA&RYZXSs20T1$2Ip9z-4<uh8_ys1Ff$2FoMm
zcd$58{DJysp!r}}I70Qq`xz^s>;KT#w?p-1f&77pPr~O1f%JjWGt@ptLx?*q!Qx2i
z-UuQNKiA3_!gmCVV@da*c}7rr1)B%Gj~Eo6rVxGb@PVph1kFDpuWyH%&u9)&?+n(*
z!U$2v!Uz#Z3peC+4>p&DaT?ehEbH4r{zHTl@$1_`_fsR+*RXVK32`SpeZ$fvB>f7&
z(<N9x3*$7fdOYb8lFtODq3eg`6Ii-{t#7x2*bfnAU@8LhLFa&hvNHoS%K1S(Nc|{?
zJDD><?F8g<8C0Hv%1zjP@SuB~LGcG!zsjKp<|D0d1C?u__P8gsTm{V|gVcle&w%nT
zj1MdKLH%4%c@Ek)2FizEdFVN}Aah{$!|r<r%};{N1MPbOnFr=W&z(nhH>{lpbGIEd
zTp;W1IP}1LBzJ?>5rOQ3x!WG99<&byWDkrFb2q3z334}ReIv--V0jisjP=PdcZ0@N
zK<);OTZ7C4^H~@fLFa%YyBj_H9HH(2g%3y{j1O}MsJ{(z2WXuY$Q@vL7Vvd-p!sR|
zx&xRyoS^1`_C0{i1M^uJ!RI+6y93tlf`uRGUR;p-A?p`7^uT<i@Pny`og3u>wFl--
zFrS5S8Z3NWq4J>h+aPzq_%QXLemclspmiW1e}UzZ&YgnU2lJO3)I8AoZ;*LlJ_{ow
zv^)cc1IRo+*!e{e_3-isl3|z_LH8Vk$}4w}JW}}#7Dp;)K>3%P@)@cx3sfE<${oVx
zvnR+sNcUTLLHL$naisDYbYCl|9EY?UI2e5(>Kwu1SjuN#h`ck{JQhZndPdOt0Z=&%
z2|ocws5(Y}h<f<`U8wntp!;?~;Re>n!U$2v!Uz#Z3peEQ8Eh^K<20~2SjuNmcp<_G
zce^AI;x2f*1jdKb@NyU8AIy7vgCP3h<u<H5howhYc?)ZofX1ys`2kWcbLheNpnffK
zy#Og!nZWmkg6>a(nFF>D+TQ`S$6)Ovn0>Hz3E2HCjMKp86VWaSh4=%KoEeykz<g-E
z3u>2yLF6&geK<ti87z;K?!n?n@dqlm$VvB5eOaLT0ui5t(>-WB0F<7g@x~Ylai=9%
z94XyLLB!$h63{_cp!PUe980<fjhBGZE7&~HIYtoujIj_J9zIZYjB!wLNWKyPAIt`d
z|9FVFGgu!BBSakwBO_QGE!>dPJ=k0p#%W-8VoCR)@Ir(W@$C}OxD0aogQa88JUp^E
zEL}p<FQQ!n*3ZH?4XmDu?Gn&@Jt(}u%@78rA~2tYkrA36!1u2qmp70E%)|(~zXudg
zpm}*vzX=xpU~#1I1(na_q)Vv2EKq%h2yepaG8Gc<@cp1^5WXc?94TF<L&V|f64WjM
zrAx3lmUIbPj{)*8*gO_SMyPtmEQmSqbO}|*2)Y*v6mGC^fvE?zXF%qF^+E540fiq}
z94*|C(<Rtk7Dn*B#GrBsy`KRJFGM&IpDsZYX2|IemM%dHc#*|%rAx4W7RG5{^;Ark
z`H*mhBsT`8A~2tYkrA36P}<8TOw3uJ@lufgLF<)4;SMR61R&E^3`}74pl}DvBbB3|
zdul=RIneYEt{2Hkub_IChk-c@RG)*~0X2`{z2~3}56I;x=pF^oxCU4pDZPT$tAf-)
z(h~<GXx<W(Ucus6(rXzc{G7q&fzCaG@EOY?G(5dR)q(c|g2D}Az5vX8#!85KXRtmN
zMu<8VMu<34dIk9(IlY3-Wnr8Kb|;o{6r>&zPPp3-RS^5(?FSeiN)v29fX@F%PRFqH
z4Xe*#^**fq06IqxR31XgUk*JOzZT*Scsm4^AE5GZcYy6<VVnk5k0(EX-Os`}4XmGt
z_Cp=Set3QW^Filxf)g5Q|51X8ISVu%4+`IUh(36HLkwU7kHdlT16Up@KY+&Pkn;nm
zJ|`zXK=oyT+D9OFfSt)excqK}xEEf2H$nK8U~#1U06IS(IX{5LLqYigERH2Vv_i~r
z2Ajvi2p+Zu#WQF_Feu%_$_uDE#&(E$czYUZJ|k#86clb?eJqR+b)fUMK<bh51IYi#
z`2lP$Xn!a~9maV$AoYlF!kr&FLHd!#`Cxn~4Nr#<|6sPKyCC}E>6lP{0G$U93MW{8
zfbo0K%z@<xs65;qVEaJn8>AjjegM0lg>f2KKS(`v91WH~q3ITWUu-YPJfv|xFrS4H
z+@1%ur$Oa9Xxtby?$5x)oCTWi0EI7XTmyFhxCQhcaM*YTFLWJ92vi(YZ-d5JVB!T(
zadh<{d;TyoXMyH_K=#1g8w~B&_Cef>kq`SJ;?7`sq<jb#M@mni_8&R<5UMW=)Sd;o
zi`aY!T1O4aU(j^KI1%DbORzXnKAZ#*hqt3Z=k|m0Ay^zsKAZwk?+i8%dagSteNBak
z!@~!v4m@B2%Ac@$32Hv$bclLqus+avWgtEaBSai6+>rAj*jyIIX<&1()Jvf7LWC3U
zd^iJSA5uPq@u4(4{X@fV8v1zqOo)D>^WiLrdPZ12gz-V=k0aM_uzU!Whr0u89}D9&
zuzEcC5bS;y#%W;vMC3!z`W{gHLDN+cm=Eo@q36RVjLcb}`9@IqqUS@Xe)u>#j9(9(
zzk=nb9%%l9j57*=$B#hyGa0(R2&QfYR2^hoPXOF*#-{EFR2^j84bwc(`gqXz6wKU{
z(D74P`(dUa`22%eppD<4cKkd@Ji*g3R3GDfh`2LY9x0!L#gWo0sJu}iV?Gh8FALNk
z1BEXv{6P5?G)@Oehlu-VVfQnG&gloGAJ{q@^!fnA2aUhN+D8i^{$K=^bD;BvVf;l9
zag6g(pz`o_Bw+hM=fZ*1V@ZeT_JPht2c<7i`3kZR#)r~ya|z9pqnisl&mCkgXnh38
zTo@lp!_5V|pM`N6*gm9ra!@`4g)3~G4OkzJbuXa%Y|+=fpz8;n=Ywn>G~G-C&HsVw
zu@8`R3flGv8b1b~#|ttSRu93{!{Qx8gXV)l=V^n|FKk{MbZ$Gy-=J|0kiWrvr14^y
zc@TLu`27GN^FZc+Xl&+!&V>h=3o1WB=7Ra4dICBh3_AB5xjq4%e-7&BfW<-TKn61~
zF@nw+2dRUU_Z*C?K<R{m(Ge_;r9J_juLo+6fz5-SD-Lo8=ss0Yy#Y=4;B)vu;%g!1
z!P|9E^BLDc#GS$VSQr_>>R1>d;%NC3xjq5g&%!tjYz~(C1e7lk`4M-00y<9`<UYu}
z5{Dj)52fMdIK)4g?K;r>5GWtR)<c2PJMwxLSUU=qk3r`ugY1LVCon$f++}2QK<N}@
z4pbiQ4zPWo`~V6!EcqBVP6~EE3*$7fej@4<&^f>$cY%`w15**0&%y{EhXU0n43PE9
zBA|6T$nHe<FKE0Mx!eMsdkZobqz^O?0po+t#YHv;=3l5he7y`vA86bKtR9Ph(d`4B
zZwj&x6iy)fV0<VIH<wVkg>EirT@T1y5DhXHM8o)?acr1+u=`mUr-AJQ#S^rCgvAT2
z+yd)|j(3B?3pU;jau=w+L@!s+^`n<t(0GHFTd;ZtbnYrBoQbVxK<BlB`~}L_Ab-O6
zyFuxVff1vgfy%?nZLobTjMKpCvBVcBy@31+%MYOQNI~|2%5ji=Fg}!qn+pmT<Z>Do
zUa<B6y19EH?qvj(D<E@Wd?*b!7wmo(#%W;t@WdBbAC`IscAgG;JwqtIVDSs9X9S@6
z3+5k~xv+WxrXCj0AR1I3fbQJ^)eo?GW*^kwp!2jr{s!~0)H4uy0i5*=y1AhBK%jVn
zoL9u52j+v$WrWy=(e47Bvk0mmK=B4@pF!#s0Y<PmQn?Nq7X+PC04rb7{fR!_3i3Ay
zL-l2W#(NRvJnnH0Shzy!NwsOPdx1gcLW2Fpz`y{iKf!z~;RRC<Iu{S59yI<3QV-@M
zsYf^e2qb*K7s-IsgZW76Vetzy|0q;FXj~ek9?VBlk8b`ksCrO+2T~8_<57Pcsvb07
z08$U;BdG_u2h_fSxd&9vfcy&@CjqGk^U>6U$}5=qlTh<P<snEtn2)3$mQP{oPeIkg
z+L>TJ9`&H}!$9tVwS&QYJnBK`d4bf!+M8fLsNDl?AA!!%0=0Xf>5ma~ejBKL1QrLW
z10^;FCPvVCZXk7#bj-mBS`Q8CZ-d3Lw2v-A{N)Tb54s)$<c>=aad>`#s$&G5BLr$U
zLChC`na>D1zXOzy!1`DiA?iTq#evL0%SXuVBe1zFjMKpG#L_+j<sU@8A%5Nhbgl@f
zK0$BqfX;bB7Khd6kaiAYJ`Jp&g>f2KJ(l_bR&GMtW5loX0iA~h3SV$^F)$T@`OtYD
zQ2Paz|3T>+IlbNhg(Cyx{tQrg3FhNTPoQ&qK=#1u6)+!<deFH<AoZ~N6wJq?{x;M-
zu=)_p$D<x}jseJgP<{ZpAI!(29&`=~NIfV&fYgKec+}s6x(5`WAoXBAl6p`+2i51W
z{Cpp(9&|1NNIjU3M?Gl056C@`^QSrVz<fOFA41Isozn|4AI!(2{t;9?sJ#VJ59Z@h
z4?6D><Q`DF6Qmx@$D<x}t_Vmyti1{5<5B+<>K;%z4l*Ci$D{rkR6VF)2vQH`<5B+{
zsvcBcgVclhNa|tj3Rrx-fT{<rdj_co^O4j;>U#lrefbiq9&%nGhaQ-ZNBt|PdeHi4
zkojOf9`&!G>LKSNa_E8ic+|gvs)wA5$e{=3BdLe=zhM4-3sny(mpJsmd_3ykLDfUb
zBMv<<pM{a}JwzN{jzilm;B{l5@)|6UG~NW-AAvl-13IUVoN;BSzAVuEBBK1p-R=Qx
zkOPfle1y0cKELw`!nXvABh_=CA>#0HWzf1tP(240$5PLIg{XH1o5#Y)2vyJc4I&N?
zAE-LU?@)1AJqJ?{TE7MgH?Tg?d21kbp!3!sG-#R|H2wmf2Su*uz~(~NM}qo^=<P*N
zcp<`x_<HUq$X=xV5ukPps6YM-O&nA%g2W-|2~p31^|LTe1FNTEJ@*@8KP0&^FcpFM
zER2lM^uYKBA`cIDh(adDzYuX}usl+_1dAiJBS7<z<fKcezAVr@EF!!Kr^|m3cfr%;
ze+b_aERK{eLF4$y?Jv**15mmIi(^TbpaYgb=?QEe$Q;mlfeeg{%n%wLK2UXxpz}A7
z+h0)g8CfCfox%D*`)omc7Dk9TTDT#nOR%{tjMKp8U}=AW!V3{j#HUL(ko{QFB|Dlp
zu5=034@!?9^&lGB4u-Xl$Zdat&M^bkgRu4&n9st<2u%-+oFMzK+-uDR5qAd5Bc)5Q
zI8yk6=6}gamr#9Kp!sP;coR;S+z@xc(<KjtZwVF$r6;gQnHYH?;_&j455ji@i(^Tb
z{1AC(uz8?!2qAn%0SFCGmr!+#f>3c-c?mV2Q3xXL4AuwQCkf)SFha!9!VNiHg3V=N
zoCY=rOS%Mw7b2X9PnW_V`>~`;5j1gJ=@P6Tw2u*_o{H&G6l5OKd^MQQ!pI0s4~$|E
zd3d<P%1d#GxHDKDDP4lak-`@=&qGeSgzC!z&ATJQn{c|6fVc~uE<xwHgZisrainw!
z+82ggUP?psIfBKpq)QoyyffH57Dh&>dPZ4@I6Qoy>KNsq;;?iHQx7^1A5`vw^?}Y;
z1gQg^p9rDh^*G4?$mtSnE(_x{usK-LB}hFYoQO}C3Lty2q)SCKaa`#Vte=H(8dyCQ
z)1?x`en@g-U@8LhSr{3i>48xhA`cIDh(abt6^OVqSRN@|g2j>2C1^gGoOB7*mj#-q
zM}#-wbP2jQ7L?v#<0xtncUpqQk<z6)L>yjTg6<^%)#G4sEa?(-j~6ICfz1Q$>x1ZL
z)Pm6P@PVph)P{<~(k0Y<Mo@ndWDZy#X#WpL9Sb8w94*|C(<Rtk7RG5{bFidKP<SE2
ziTHG>3$h<ex&&PeLCiP`SU(HnG_ZOqrb~T@yCBJpfvE`0hpra^jiZ42>7aHJB%TrL
zJPjfGoWb%)=@KlC6uzK&XmZjeR9_Zo9R(u138zcYxnIcX(iq}SORzXnx&)0^fYd?K
z4F@CW{0dOI1dC%ym!R_tK<No=9t$H(JtOG+Gvx4rs$&H8yFua*^9A7QLFb!+%mM3T
zVT7n-VPpi0qlFuCx&)ic!Z;1=PAusX6kdpMB0gP$#{H4gAFSVF4GA~+x*1&MC0IWT
z<20~(DyB;ti2d+%3FfmfGD6b>c%3n*p9>mCKEuGA1=>Fk@;_vsodEpaa!`L0G+wn4
zx}F5GpG{yIXuKUH4%rVUFbyUTYZrt1r=W2|*nTe1zIRZ27qVYV0K6X@)c=I+2gB44
zJ^vKG&PEn8-vgh25r?+JLF)!U_m;ryh3$WX?N5i%P<8P8=j@^3vj)6=k3$d4hn$BE
z>Nn2?wa2mD&knU`F6`bE@V)g6jEoMD^Q9S`pyq;Ur1P0U>oP#+Fhk7+Et>|}!w4F;
z1f^4Fh`wm3JS^T|=8A*mSr{3i_Bq1r12?M~nBey+gX{s_rvfq;6rV0obJ5j7^^1e$
zSr{2zA?nOw_T#b#td50|5o8a@d^d<baj<zTjErDD(!BtnbsHdmL&FWc9t4yw{Gsa&
z-68rJJs`9-*j^S!MzA;wBcmro+!ibkI%gj25hg}2h&cQnGq5_)I%7yYh=b)p_dkNq
zHDt~LxdY^0m^&Z=3^^wYd0r5feqi^Q`9SPp^oE)X=0nW|nFkh!?u!TI2iSduuzXYx
z>d-PU!SfUBK3T9g7?|dQ##^wZGnhOqo%uoRXY_^A{!n*;X{2-pTBiaEZ>YKObOstv
z2c@$Bh(3(_d7$QsgXLKm8KL%xg3JS@Cy;)Se*>ZVq3#2ZFM-ZUg6Rj#qv;3f3xenq
z2diUYWCZh((g|qY49I=K5Oua-`;p>11R`z;Eq@rn>R1>VLm}ZI4wi?ms{y$eWFE*~
zSbRg>3ocKP;~SO^VDTLWu?KE0m=84<WFFW)7Do8}so-*jg>f3F90$!SgX{sB54)!>
z9AX~4-37IeF#;m)43=j>+*1b@N2<p_^Xv&^tg{2@i(z2S0<HT2xf|pzko!RW0?@hu
zXnSPZ6lggL3n%D#)8KFdsaJuPyHIz-@BIYd8_P)e-cP7Hc)1$|@i!xAKLKdI6U+y#
z!-R-q+=~FdH=dDEJ{ls=7z>JTMn+9AA2hxM_84gN2*f~~s}I#T7pflPTz&98@Qm>L
z>KPND=7VXZ`~+GT1Zsal%SCv80?l85@>wE8Uo_M{NH8%lF(yI9q52qM^2rc!XRtmN
zMu<8VM#dDVI9Q&A5#~=u@V)npjN)K<7DmQYh&uSaA24&F{&9q=hr0u;9(0c-^jv+A
zyFuw84Pp-5-7t5iL&ZU%2^yz`nV$g>cLwWYVPpiWV_{^>go=aZVeV#yhpRYPo`sPy
z3!=^nY#!3R<+$7pRu6SI$i3MR{o-K%u`)7(`AFplXx$blK49q>Hg5^5XF&HiH8C(U
z=0eP|2HVRDna2dJx5$Ht+k)kh%AI_OIJ}+#t7Bng1kL||?hyyevoJD()}w*S9gsUf
z?uEGn5)p`c22@^v^A+SCfN8LDrx0Qf+*~jpYA(n;uzjqI@OlJR&lExQVdR@)h`2md
zo)NTug^7{T5-g6?J}-slC$Ks^`3b6jE>t~6egfYE&j`P-o)I(;1`2O5jg+52>*TQI
zC(!&MDE=xT?v94q2MIm~CdMkLI4qsQ<f|d#&R~5kj1YA!jEtc9El@uJEDzdO2Jt5&
z_}+U)Msct_3nODKL_a(~!OVsF#}TR??hdee7Dh&pKS1|XfZPp=pE`&+aCgJp3A#@n
zbbkdbKf%lg&DVk42iC{J$Ou-)!pPVNF~=D!4|6vwJY2=W@+^#uO%QcfVDpgj6E1gy
z)kED4a&I$4zc|=`tc;9cK2m-Ht;++&2P_?<=O@toBq)AcA?8?v?PX<T1dFpUGPXg)
zZNc(L`KcWu4$n_ub)bDokoXY?%Y*I@hPK;4?f|*B6QU2}9vGPWpy3Oyw?OqTET4h(
zfyPOp^;{Rk97fQ5EGYki`B3{n_JGA%ao<bZ4bhL0-$3)GOpJ{3P<h5)h<`M}d@T72
z+CG~L)i)Qa9<)3k)GwF|YxjZgk!OV8V-Mb6*u(%iKM6EH3Q3qu;Ct#p`3h<-yq*Hh
z<AdUHBE;R%Q2QX-7?>DA=XrzDCsZFJOnx#%y)#%J3nN4w3nO@62PoZw<yjbE{$%Wf
z_*Wb>uLmkmq3(8sio@-LnGag;3Ccep_kqHFD#Sjx`(W+?9V7;FA2fd9?wbx#?+n%l
z+E)YOvoJD(`lBHCf#qTDV}*yGI9Q&Ak#QzSJp-dT*gdR_jJVtjRtLJL8tee(%vlh9
z;$VNVGBSesNcje|o)grLoefcE3pSUP5qxeds6GYtcR}ONuy!n19Sb8P=$;f%dIZa}
zFfxMHS%T^lka?i+A(YM`0n7xiPv$}FhnoxLL(K)52eyxu5#Ejkx6@b|r@`_K$h)9?
z1Z&5_=Edhj%!QAqgW8cG|A57j#)lR{)j`H@I2ac}_>N$4r1}SB4=7v~L*$*o=CLrs
z)H8zggT{eC;-G#8R2}0|h<f<?c&Pb|%OK*;V0|o%5Otvb8b}<iT@8vSh`Aioz~+MX
zdqC7-?0W>Yr$ONcaWC=XL(4(tVHvjs&ATIy8{rxs0_%s4SAoV$(AV*jJO8*6;x0(C
zVPGl(^H~_d`wu|lmay~&y>|v&u7cVv+R*+0Y+sZ(v|I(>*Udn<KLAw+?+>hox&w4>
zA85S`m=C>w8q}YL^~YiD9ng3Ua=5|9+d%h8AdADo6EwaGG6%Nq3alS`e=l-)g2ua%
z)q&RGg8E6Y_2;l~2aRtctAm*j)4vJF`gL@DF!R9o$1^a>L*tQgJ*XUIV3Y^>>-T?9
zD*}|_5d9jc`ngbb80|3dJ@5>SjG*uYjf-u9hA)^#YKMW=BZKM-kPZL;3&86OkiS9o
z#b$`UXsCU#bixQ)7Y{0rq52qM@>?P5ox%E87$NFF^(s^xEDvhWL;MGGpZGSAJg9sH
z(Mb1&!OVsF#}VcqP-uY4i|ruwER2jGe}L){kh?+o6SU3}<UW{wm^*iZ)Fa&&29w_f
z5qAdbV_{?jt7Bng+zk~6%fs9aI`<jkesQoo3nL?FoTrI_(F$xH(tTmL+znO_bvMYp
zdm;9SgZ;<C$Oz^m)hD3!-=O$_<wx{(*glAU#{Cf58f-5MBO_QGwB8&dZVQ%2YKI+!
zh{M}qpnZy<dixO6e_(kQMn=&3Zcsf0atFx0Fn2)X0bDMC>Iu-e9jJVO<yTn0<}kz_
zMwq!^KGa;0d0=rC-0dxJdBMUs4Q4*bUXXpTdI?mIBG(U)gv!Kt6k@M4Se^wjz5*6U
zss}*pvqAX)Ru4e+gZ%~a52&05<#+UR5~2FCK<n8-{s6fPq#oD!3atJ-25}d>{yYxh
zTY|-r>QB&qP~`cQlMr=|U~w$<=P8K1GuS*9Mn<T5#?ugS`1li49V6&GI8eBO>Pb+&
z3R8a;qTU&-4_Xd`(gj!?E!>dnPq4WxjMKp8K<g{ya07)GBAke?KhHtj1D{6$m5ZSK
ze;!R7R^Ef!pCEfd?K%(**3ZH?4XmDu^DCfzxS)18G~SEAd=^GVXnKGoBZwpl37T&K
zg#-A$3O430(0y(^NcUF2@)u~mF(^GffY!IL{k@=a4m59L2fZf{Oe4h$X#K4K12dyN
zL>^=Rkpr4IEIdJu1m!Ex{2a($(D}h28f*>=<20~77RG6y^AbVzBJAFKN2qzA@)MMf
zVDaFDCXQ|os6GUl53>hs4h!Qnus+cJg^=_0r@`zw3)x={n!W|~kKp$sg6>%ZnFH!~
zg4_kUM~?}7uQ@23oFVRD1k-5Y1WLc4`wc<u2GII4Mg}!Tba#U0tw833)_H(v*m=NU
zeb9CU$X=K`LE#9(pm+eqGl&hs;CN<Z?gHK0$BPu-U_R`Aa0X^x$hpS?jG*~1<a@$F
zW-uU~2MxU^WNtg;zKD4ykaQvfy{8>=j06K>Tol@$g7+_B=D}!Ke-ku62WmH5hSUR$
zS0VWfLL;>wK>IX6^%B%vc>4jgzXKGm*C6^Z<{6;oii71r`!XQ*h=R-m)iWUdpm4hm
z)em(ae4YWOA1sfiAEXa-eq$2@qc~U{(mG{Ox&*}&u6wh={zket8*C0J9YW_lZ$jL|
zcnd;n!uZg0JQ;68#O1-_p!+}}d{?kI3nNS)BPf2Gkm`5PejHGEfz3rqpP+p=pzwvp
zrx-{b$bX=84l36`<7yxpHa`n%7r@$;cOmv`Le+t)A8@__jVpolf#pHxynyFF1;F_Q
z6h1DHbTlmt>hFt?_z{QdV}!Z~zAg#0?+4^gkb6Py1C5`6%!k@L4VU|1=0ep&%ti7)
z$XrmkfX3;N&4apQ8q9pCemEae@G?z13~h(Q?17rY2r?JsPSAKT$Xr;sfz`1v!p(t&
zBWT<eqz<$m0W@!kt`DXT)UN=kgQ@3$_KQI2_y2!}4sd>k<#Sf%Owc?Fa{jJ?gu4jz
zo^*Kr1?6*W<rDZmc?L##s668%NIYqR`FO^gp!()Q)x*mJSpEmy(+0}_;Cts87#W{J
z(h-D4%KxDKNT7BTdj1FPBLby|XAphSQ2QX!!obA%94Zdg#|V=Lor4P+w*l*8VT7n-
zVPt#>QSS_vXJLf-lM(7Zaj-lKBO}z^j!<#9eK7Mu?gjM|K<)#@|0{^OaQDI7^BO7+
ziZ@U@1E&8CMBEvykA;yDtd50|@hwywEDv)Z3nScpV0jis#&-~P=3w*ixEHLBg^>~D
zUXVNAL-dJ*{e@JXf%Y$f@++?L3>+Rv<r&x<r1I<o#9YRY5Ly$)hm~iaAmZ|1aTZ3#
z&k(*VSe%6srVk>HRGxwM<$>}o*j%Li4BD5c!N3f=cUugk4&=Wt5OXocQ@=vQ<)QM7
z;QQhk7%jo#NbTS6&~y)0hb7%Z`+swx`sYH`WAvNB_s26ZGJ@}wXJBOf1+gDOBc*%L
z{xwj#hnfqo_dxs9K<WNBL|-)2K6pC(0~LqrgZYQ?FGSoKtdE6}5v-1dk?|i?94rso
z-vRL_%zffuc~Ce&)WPR3VD>`&;|TK)EIt1Rsb^ti1o;D$A3*K~#RKSGMNq#PrXS``
zP(uqO4$}{l2VEEq%CBI3pneOeodxP&f@lUtXRtiX-JpFb5ci8SgXBT+1fm%jt-$6X
z^_y|I8+1N3s2>7yH^{xL5dGp{|FJMKvO)OPVEshgvkkft8`OS>wYNd*nLzi+!|DUj
zI4r0<hpl4*n-A(gfb3ymoCdZZDL-+5#2FZ&`<B(Ff%dC`%ma(FFv8FOfz=nB5cL@8
zo(m!_50wW6Kd9cG1a;>$s61#`93&1l7t}5Vxf?_WLF*lEh&hZr5Ly##9w^+w5y`~J
z3lVn$n+I9<)Bq~)K=-?W+D~9*3`~stP<3GSp!N44J_{qG0ElK_bOxKx!U$0ZT2~Jd
zcLd9W(&zvG|3T)$%oBphJA>VaP|pZ*|L^}CdSH2I{DJs_AoVPajKUzAfe~Z=2eOY_
zZ5mjfg%Nx|94OqO=1qf*-@)25_n_$zst;Bk!P_&S{kEX}^`P<!l+Hj0*<h=GMIr8y
zhsra8?~7+(v;>PI`CA-(k1wMpSRGRML&F2U&J3!5E>t~6`2@Z{o&kPeJ);!Feh7_J
zK7sbLVk@6O`&L2alQcwMG}JzL`2@Nz7L?Cn`5q=O3sLV3*2lug2v!GLrw$bd%d;>t
zLfy>>zW1JiQCtor4_e0#qLIe+VCF*o;|Ns`cZWPkJ*fQ*U9SLgHz*!J=eUF12h$I8
zry@u_(zqT>UI`-Z4A#fO$Ou-)!pNu$6$i`1+|2?HS8=dBDE&dyS%J+%DxYw<TLq*Z
z>TZyGRY80PMscwJSQr`AAbe}EevtW)`G0u+hLtm6&~yFNA?o4skn<DN!1*224n;4Y
zz~-|sP6O*>VVnlGpM`On35&Y}1N44)Mh12!(D}1W4D6udnSmK9&H|-b8Q7UX{a-c)
zb|!lU1_pKpb|%nyz8nnfOrU#bIH5Eb13MGwUJP!iK6xmghk>05bly5I13MFFoPdvk
zoe8vFgP(z&2{cb205X@Afk6;T3qfgNs5xR#S{zDCKxs(^c5p{lk%65FbiacVRG$b`
zUJ6P}LunZ(EeoaPpz1}T`WYCQn4$NavOw=SWr5yv$^yOTlm&XvDGT(TQx@nwr!3HW
zPFbM$oU%agIc0&~bIJm}=M+;N<epPV^NN89G;9qjzxPANE0}pe{Q=Ot3#gyKz<}U`
z#)%jhn7N_qxS{ggpz#EdIB5I;MDs9%?k@$^YLI)JAr`T6fX+(+-G`f#pKb)YHx*Rw
zAm3LHYUhCNO@`4RdDwljp!;z_>ncHf&^;%h`=nv=Zl!t2AoEHx^TGFyE9B&-gZQ9(
zTtN3<`}n(n?`H*_=MUn8#(6;Yz-X8`AR3oFp!;k=^4RFI#GF!)eW3HQLFPm1M^+A)
z`7j!!ALM=z4YCKcE)>KEtv>_#2UPH2GasZLBo4ZF5k$lIFdA7O$lM@C1}>1fFnO52
zLFz!^4=R^I{s+m!XqbAae(*iFpmmENcY)L)+Y2%W#0Sk2foRbA?lAoznpk%Rqq!4w
z?ky<%K;{$UPSCnbkbR(b7%}e5f!@muGaodr3GzSaTmcXb@+ZhV5Df|k(E3LZA2e<N
zGatkU$s^M~{x0DA(24bT1S11c_auYt0fjHfy&xLI2f53Wk%0+jKS-PzB*DN6iVg-4
zAH)UGpmYeL(e(#2vhZQkkE{-)508EkZ2F1ye+VN3PWxfzf@rXZ7+5)oH8&LPzVXaF
z$UWSk^D{y53)&|PqCw#UG9N^P!V|P!7sLmx&jH0VHh+Wk<(HO#<C&0opmn(*^FZ?%
z$mYS!1=$NSuPi5pDDyz;azW;S=EacB1DOMgClC!X4|HD}hz9Me2GihmOOSK{@+W8=
zJIEZEKSBCHe9*cZka|%3gJ@#Sf%y-l4`dE#z5}Em#0SyDnnN%5fbJK9g%^kh-6sp8
zLGA_7pzr{NlP4o1O8z2Lzku|E$}9AA3X5-$JhJ&djEroc@B-Nf5{KnqV)gqnGILX+
z-;a@zkrMsBjNCHV^n>=dfcyjV7cTz?Ff#IB(@(7b(c=f&ej2cQ;-TwO*pEWa8wD3z
zApe2dOW5v#2c0(ux(6O~Pv!;&<{Z%dzy=J=IUxQT2Id^lJ(D0lXr3SddjER~^#1n-
z2Id^l{k9+)rXHP!4A?^Me{W%62H*eQ!2r4c9Yllf1zmw;ANoD;Aoqd#4WRwspng1z
z2E{9g52Hc%6oBTn2I?MoXt=}gfd{2G1_q`{pnMK;CzL-8)DHlSPr~>x_e0yS)1d9y
zY4MPKK=Ao?m^yU&4|F~Pl&?YKHW2+x(?It#fb0R?uLGi!p#3M%xk8}zL}2wu<E9`!
z$ep0`+(7C<=O=;sQ!sac&a(pXVfHVF+6(dr$X?KSKOl3#d?a&0e2}@I^Y=mKg62a&
z=D^Gaoht_7gA*zP(=?d5pmGRgF6bN{khx$!bbSaYo<ZkSBj2kAb3f=jEs#Ahcf!Uw
zK<DLw#2LW~nWn++IR*6xK=y#n)dAT9=7Z+<A@i)D;R#TG1U}9LYOjLKftim%qnQti
ze~|g0b{oiiFdsVJ0pdgT!~3&P{xoQN7asri(0LnBxdt*16i*=iU_MfKgWL}aZ&1G(
z<WA6jeh>{a7otx9ZZ1q5M(=~hE9jm$kUgMtAwc$k`7Df#p#0s#zzn+n2BL+^B<Ma^
zP<{vP;|IkrC|!WYnIQ2a0KVrOG;Rh>SMYn}Ve0^3bSY$Bd={u22l)dO{~&*W`Jiz*
z$o#M#L|g!Ve>}_{P=6oU9;mu$u=7Si<r2tT(E1IKxiCIRA2#<w<)^{S0oC`Qb#`F&
zEQtHT1)%N%tA~bn15$W{%41OYfRh;mQ#Lfbas<Kpq(RsDpu`BMJO`-<t-}L_C)9uN
z_!kE26P^W9*Tlf61eIq5^%Ft<hl-bg@*ju~8t(wjWAK4;1p^~!_yWXY1gm3V%!9@Y
z_#R&*bz-3Q7swq@^Wo!<pzr{tPpE&Ufz4%MoOS@34ng*T^zlQ^^U;Lr$4CdT@&v4o
zg%Q3V77`eUeI}rO8Yq9j&N&6uYoK@p?F$9PBbX08e+o3t4RaSPoIvA5pm2iZX90LP
zLB-+W1X}L}x>p)>j|&r0IDyq6g%jxhU{E+g)ro=lApe5uRpfL8N++Q3hlcMYh<g$D
z2ZQ>LAb)`NBZB+^<Ad*OXJEt#H>mtHm^onkSQw{))gz}zNI3|vkHGp^7~%S0<M!bD
z(-|1$LE#5lp9!k>LF=?Y>Op+ayc}p<6{NfuV1%k?1odA);-GP5&^j5YK1R^KYtTFj
zSRV@`L>&twL>y`U40K;JDEuJia!do8%fdJfYz_<KG)R3TFb%d&4Wu3vzMy^Ip!@)-
zFPZ0n_OF8Yp!NvJ-O&1u5wtD|#0Qo8|NnC^g32S%_&Zn~3nO@442Ta_hm>w$=@F_P
zp5MUnjFi4X=>+5+NI5Go4OTu2LGvZ-9u!cyn}AdfK+-h>11P*f`a$xb@B)?ppm2ny
zTk!e@P<jB%voM19+kyNE%HPQ83t|ovydDC}voON*Da1ZVJ_6+*5FfNI3e;Z(@nQK1
zR6c>yAt=3q>;>~#7{T)x$o7Hck<&AX56W+_@)cCBfy@D=ACNgPKBzoEHV5Wzs66~U
zX3#tbsC)&hM@rAI{DW>EsC)w12TE@s`(S)14L29G&H+?j!P*tD^pDG2Q2c_-1-TPs
zE{qSQ;pT$f&%!tjY#*NUqrv*HoF5JHFQ^>_sy9IK0g4|G4NHG8_k#9CA-f-@4m2JL
zYFB~QgMiWps2l=?Gpw8gxgR;c!16?-5777n$Q`is0m^@%@PMp4=g<T5VfU>wFlxf=
z1H})reGv7C@($!)kU6mPEMa``ed9>xz}yX$ho9RHz6YL#aT-`Xmh^#cANam=21Z8E
z{3R$JVSFeJH<wWQKsOh3pE$@LuyZzHd?*b!7wmo(#%W;t@T3p0J}l?KgXZ<9kv@>i
zBO9dh2vo0u$|2A`R#5tZ<p)rD1?6kl{lw7w<rzWkQ&2d<_%QX*cE&W&I#p0Q1<T{P
zcNu&?JOd;6yh4z9U_Nx8BeFX{^VrDl0N)c2I?oHd&Wl42#)r8B)UF1lThKaZkUPNg
zNbZ1zC+wbN@ICVkjNtSCK<)tZk=o_Z@)&+kGicrb6z`DvIu1P;A7($Oy$!M-v`!mj
zKUf~g{zT|}Hu(N`21Z8EIdC9*!F<p>G}vDP)4HMUU{LvQz<{_{4p!fw%Y)hhpm>Cp
z3$SuU3eq11T`L4?@4@fmK^K>YxN90r9A*!x{SaxWeK7qpP(H{X8Vrbgx}f1T4R#+G
zXdNLaykPEz-TMVv4*?Q~#T!f<W*(>=0`fO3o?!QW!R!};_MbuORglhwLAM_kZs;`n
zJxHLACCELXb2LHe66Rj?dyotu<2chm<HaC#F!#gM!TLKecjLO>2sHl$S|?&mpdAgC
zXJMQMGZ#j~`ct6!O;EZ8wcA1I4a`SM=MZtkIlrb5`xs&UBrqRIJ*@o+J6G2Xsvg#k
z1@n>AgX#rPIKb4KL)C-!8-v^f=HpQh8h-(~AGF^eq#n%2quvr~K4@G4q#n%2quvUt
z9@dTk^YN$$-O~$l53HR7=HpQhn&$<n2i0pJ_kj6G>S6T@EPO!o%^>xV_3<2fU_Ku8
zc2M_&_Vt0x2lMf$2hE3r%m?jP1*r$~@u&yQ&w<p#>QOKsk9tR_dtmiEn2$%j6I4B{
zJ_YkZ>&GDL3gGz&ef}TR{=zo@4=RU2^Z%f75j6h~I^PF0{|{QvLdyI<$e*D3f6%@1
zp!xqcCI$x3{J)AYs147~lrI9A{|C{a`Tx_R3=HJV|AX|AGXD=!KNRQxKY`azG4nvj
zT^T>3@jpQMUm!H&dkD?*8A3CH#=}AD1EBps`1%2;x!h3mxS{57L-k{<FOXrwzP<ny
z-=KLIa@QAt)`x(`?LqfKgXY;l^$CbiX#NK_{|l*?2+#Xtvj=(J1Y|EVpWO8YpmRk)
z?g!o92=Wi8zCtz^-5$_59X5GneW3ZV477QBQr8!N&SwF+3$%|9<Suk~!0ZF{qd@Kf
z#VbfXNF9hK)}5JX?j&`60qDFKkbR(Y)`@W^vF<8oWWYNA1@bq@K2rTz!^pr6(+3JK
zNPC|6dGlHd^~X`T9wC#$^$3}aEEKIr$Yx{^#1?*_^am<Wh>h1AMg}2l=77otm^g@5
zPEQ4|Q_4(J2CsuMFt@M(tshc0G&ca#29R|Nc+{DK)j`*@q^IU3f%rMuhT!!CAp1b>
z2JJ@!&BMcJ&~gBf{h;&(%EzE{Y(RX_eo0XJRyHy)2bp7Gp==DI%`M`=>!#4n2leYf
z>vUlDfZ9<YdvL9z0gZ2f>;bJO2iXI%AH+weLG5f(%mtl)12PA+t`eWQF#p47^l$*3
zBLp%BXE+#R4+ld22Ax9#G8c5OJ0<P|oreT62dDcCu)7bo{smO7fYJk~zXggXP`?I5
zBZogIJ%G-!0@<?#(*FT17YDB=0_g{pD<JhCeZ;PV0gcOm%)5YQ9w^-)n@8+A7|?lG
zAoJc3Fpt>$T7|X_DZjJ?oZeyS8{}_d!VQ*>L?Qd8;Nb=eFJynh%tue>gyIEeK6GCt
zLG#OUAms<K?gyPq1q#;?BHdq>L7w@bi5gHoK#qUp@Bxj(g3=eR^-G{}E|5E6=@S&b
zAU>(<mtf|=(j_RIK<40DzXZAm1ne$Q!2w-o2g)xnbCA;`vN<64f%FYme-WF{au^wi
zTCW5OFHm{_#RrH6@j>BS&&Z$z3Re&xBu;Akri#M$O%0BEoml_ZQmDV2kwKOc|7WAM
zgGmj)VnzlIO6)IZWY9;}ubi1%0BT?4WF}{V=n~y>FkO_Y3~v8G;+YLx&Jk1o!s^+R
zEDQ|T$`??11I~wukamrQ5v2SwGBB(G*$=Z%876NGkykEP)&R*@YZ%&qXq#Y7T@dY8
zZBPrMYc(O}nVUk@L-m`(+HWvEw4DZ8hXP8!5c^m;K<g1eeDw4lN8|N}i4?9sOrmi8
zVKRm550fZdf0#<)`a|^i!Ihst=?+``7BMmrm7j3wFQ!m`J(~W3UO)K`GCst9l@*eI
zLHPqzUVz4n2(6#gV1cZk^nk8s1o1)h-yl9{yfy>6e)0)){bURybp0eFWWA*d3nmR(
z$H2e<SwFdk5wd=A10!Vp<ON3N9MC$1EzAggxYkep0WAz*V44P_LH+{GFTrT^^^>sq
zFBZsr&MX)olD<&a0U(<LGY=R2ekCgpvikebbw1E=$5=lJb~XbOd_50rT_Y$xBAY|)
z^^>4>F=&1U+FzOmTR%Ag93C9t^HxCfDNuKSntkATG3fr8X(|wP@O3j9P(CbNVCx(~
z`a$F2kn^TF^uT<i@of+v<WJ~&$7u>sb71RBB%plA_yFem$r%uNJy5)X+zZ-Z1)Apv
z&6gn0kAlj5kUgOJ8<4+Y?u4xy0Ih!kiQ`&7IR|PFD84}UfYzBJn-B8`Xnr4L4$OQE
z8tf3n`b<zg1qz=9Q1iijEbAvh^Kv{av|pD9nr8+13zQ!~>mwlU!d#aKmd7)X2AWp@
z`3p49{r^9Q9+;1`E)g2;;Ppnx_JHQUkk>^(^dr_qfZBl|b3x~(fy{;RLG?SbIiT_g
zWDZmwJl_tQR|BOZ(7GA0dZcv`AoZYl1I<%{(g}2Z<}|Q=7Dl-KP-uRJtz(4h1Fsup
zK#CvGx*X7aE9kx<P<VpYt$^GINk^FB36{qbo}h36`P&C<KZhQekCcxf;sVp+xfmEg
z=^wmak%5sh0ID9$M+$$4xBw$)JtDHZK<0wN4Vs=OLDj+6g@V@~GB7emK+T2mLE(UG
z4u}sj2P!`eW)9ds7RG5Hc~Cr{uP=hBgRPSSt-ApE8`NJ0#W#!(T4x5b52_x#4h&=;
zRDK#*o`rE5*nSqqX|VW&rn_mdec`Zp1nYyYNBzKz$Y-GbBq$z1=T?E@5j0N*vKLo8
zg5~kVBWN58<R3_Uap-~hc;XSfUJ)rC!F(+72woS+z^Dmx7om6r)w>{fz`_;A2hHnZ
z^B+_m9*<!ASQw{))g$F2T<Hch?gFw8mTq8t=sHME^mv5IPXo&%t#>38k6?Y!czl8s
zkDzu6C>}xe1Ow8#VrV|ifyOhzb;h83Q$Xne8qV-_kFfQ#p!N!A{VY@-zJ3-e4jPsL
z)uW7{bwi+aouGA<$m?gp>aeVzg{p(EpM{zaU%v>izrYm^(=@PpEbA~q^$523g~c1J
z-UX|}v(6YaUjr&9Ve8(&>nRx+!S~vL@+p|l!pI1%Kj7z9z`_X>AE0o8%EQA6Dh>}P
z(E2&hI%80|j2up2bx7d^TJHu5PpCRE5FZrZpm}d(|Dxv$*!m1uc>_uhpmYjbp8?~8
z(g(6Rpz;e;-azHy>xIGgA+2+Sm2V*Rp!x+CzF>V=))|A+1!z4kR6Qej9U=pxJgA%i
ztuqFN2WUMpq+KKcPDdd3g8T~-mjSmU1Yr6Y!RzW67@fiTVCxV;_rrnK5g@HI2B`yu
zAH-Y^@O=ZI@)>MD=o}M>x$t$yAoZZ|1+9+-<p=0I^BmB96(Bx%-5~>`JhWZN2wvC6
zz$mW+HV3iJ7_1IyoiSJ)Qo4bK7pUF_g&#P1GcbYALtsKm-yr{i+=IM+?+8-<hv);X
zMF6pwGr{W!85o^G?Ky1giNW$LjNtndK>h)*(?cp>LGc5Me^|Z&%M-C)6g2LSoNvJE
z`9SO2!0R_S^uT-;Mn>>@JS6+j(=CV(YR|yZEqFa110y3Soq+Nmj1OK{hhz@S-B5Y>
z`dqMmER55@>ampP==Oov;epO2fw~*UhthC!(aURCet?A=y1C$WTnvoh{SP32!1z!a
zZZ6pUER55@_TgDC3f4!#dScMId!Td&Yd3&W4=DaX=^xb20<}*;=>xp3jDb-TR-VDa
z8Rk#0JQ3*wG>-!^A6Bk{*Pk&kGD6lpap-~hpnLQ{`4`DPP&gu|M~HevyBWOBjDe96
zw$2E~2d^hXG6&{vs62dqE_7YnG_ZOs=>y$9@VYak^~5kfl!lv2D1D%t3tpcFYJWo0
z9gGj9;pT$f&%y}aj|eKqaGlQ$)<?m5VnXQyygm*~{)43pusl+_0F_6e_=lx45DnUg
zPyp>W!qNqJ9UTKBBd9+M$|qnx3nL?Vy&RH#=;;EY9+57<>*W|2;p-P+eDJzBBy(Wt
z0xA#R=LNQpg>f2KJ(hHVZXbC490MaGWZw#h9*hsA;pT$Qxk1i%=;a2iJ^;Iig>f2K
zKMNyVKg?cG_<_Qc3%b7$w7vyYUqZ!;z<kg>rwj}XCJdn4%Rw?s)1dq9r-9BJ2e|_z
z3Ni;A4Gfs$Llw|<$l&#RNa2Pa{vbYbxeZ>w2RgSMnx0{NXK4P0w)4Q{At--C<>BQZ
z*gh7<X<+q8;qQW`A7n2`KP=sW_{i>Xg{TMLhXqPcFuof^9Nw>hr30uu+#O*1SQw{)
z)g!qBmwoOKbHVptf$W3vp)}lFLgfRxKS1lrK=BJ(&kEy1X}Gyy_p>lg1KWpGf8cU2
zXk8=7T+p}@$h|N=l!luNjvp4rX<++U7^iK9raPFqVD(7#(Hf|{7c@Me>8uFMXJKRn
zwFf}$UzmQV{4~(`3n)E;&aVZfPtZA;AR4{=aD=Wa_lBB(0n+}`gYm&M3nM(8L)SgP
z`!$5pJIq}$buiiv>MtLty)4l20x%z`zYEnr4RoF$$o;VKNSHq%`Uvmm^@ZB=1?oRA
zAGBW$%omsjGe-ov?+j`$eBU#=yFu&h!0`=rH<*v)ZkT$|`Cr)Fy#=g~u)F=C?*0LG
zCx;%G4>~sy%omsjGY95w*!U)>y(z-LoCQiBpms0JKd^9v@~45$s|L9*0<w-{8npil
zk6&2&0F66?{CfawFNYqC52lGoAF%L%*$*oZq3)Oj6NjmTrH??U{~`MVIrPAMq;Q7n
zpOyo4KYIFr=p!7?K~Q_nK>Y{igZ9CL`G|dq=-~`;Hv<DGyg}z?g8Bv6!W*KFX<84|
zoeQ8e%>6KVSa^fhM}oq857>SVJs2NMgZhPx;Q5(pxbh<`9AW0d!W%h#fZPdcKOy&9
zLFFZ=9teem2S&LL*~cINuSdc9Ss15*)gzVbkop^;j@bGdW<I)pT=jJr#GMfDGB6c^
z`7Df#p!PrLy!&v7Jlr2J|AE%WBl{axZ$b7&2*As0uznWCX<+q8{)@z6ZWNk0%v{j@
zk)Zk%WIu=o>t|t{23C(`E~tMDl833oRlh;%FN8Z`=EL;E+Ov@Q2%!$<KbU^>`UhP-
ztp16H_zN1&3=AMX6AQH20ZC+dNl<)%(i=<>azES!sUHp+X9cN)?$ZQq69SEg!S4q)
zgw`9NehMgm7(nv}n2%I0fW}Ec;RxGz7YlViWM2q}9*hsof0JP4-VSKK1j~c^yI}JL
zz~c#^{e!UmZlH5TK=!Wy%X8>~`7Dg!d&ofjJ(#(m^=ruPh=;mk4OAbD4^t1C-vQU#
zP<Mdkk@mfT=H-yx0oq3ba>oX!d0;+LI6xwd3BK<x5o-SysCpP5W<RXm@BwN+SRToK
z*uJ|Ys5v{J=79MujEu<;ag6*1Gar_ZVdD~@@)_iQNWSOLgYiM<_hHMQP<eRy1GbNa
zaT-`XQa-|EUmC=H@cspi52fMe5?fw@-NV8-4XmGt{zW>}en>uGDgyIa7#WQj7#M6A
zm>EIwi|lVuIRGk;Vg3Q}LFow=exP$WLE#6<*Bp8<KIniFWOHEU5L6yszJcvyVVnk5
zj}(5{5O?B?M|5|9(mBW-kaCDa55@-_$brorP<gmJ!1jU8O$OPE<PKc^1|7@`vJX<;
zap=MLP#SJ7uJRL?x%nXb85kKM<s*k4j1Q&Z=7QbN!Z;0V9})e70;su=@|8mm#)r~y
zbHVY$!Z;0VAC~?BSUr~h0jN9$g$FEMf%(vJAkg{hkaoSmGzM^f6`sWbSzjswI;b2J
zKe)!F!174r4^Z>r?L!bBH2wfu7Yi!4VB-(PQ2&}Rf#w@I^uT=3cqv32J`M&-N66_L
zq8>5+09uy;@|O+N92g(e&co(zsQfgTIiT}#LE}4M^;pssOdTvgl|$Ue2)fq>)Q^Ml
zp)}lFLgfRxxuAOlK>o0R`UA#?(r|OZ?q^|~2DT3=U4im1sGSZQX9Vj5o#P8OPhc8s
z{KEsx=a>eXF9yX2D1JaRx_(0AQn361GY?kpRzkza1e}gI^uT<i`o;%rzW}_xse-D%
z0#y&=!_0^EM`a-CaT-`2<S(##foU-NVfAh`)Vv#Dc@8}=AIW~0dYF4b>rp`Ao&!}6
z<|C;`cW*7!{5w$fFh0z^uy%qC)V*MN7RG51^D*692Q}{j)I2aB$$s?uxgM(i2~<6d
z53?UuKgU4r2g`%bH;1U72CJVz_ZETt{Q@Gc2j=6kw-IXZ8>o61A7(GCT>`z2WExl=
zbT0}-J>1?Vs5u`X;(A~{Qa>BE-yYJRMeNT9P0)kJ%R%)Z=)ND&crvIR2<dMk$}LF$
z3{xK@og+w)IUxNok!FayFzPeVxon_v1brM0(jGzdU%>i7_u_!eK`KYk`zeIV1=zSG
z%zSkHu=Wwe-AvP9<C3i)dqL+lfF+oUz<d@)M$mXWsGrjYk;m{~JDNDC8~~NKkoE$i
zUjWw6!Z;1A9?5?lX!>F0btjrQ%v?zQk1!XkpM`N6SUr-tka`=T4p%)5sfQ8jVCKX0
zQ@fwj1@RXoTQV>(fcT{Jb3pNdobI|I=3&H351KeE{9x$<mJY!BLF<b^>XE_^J>AeM
z-SvX(MM`&IJ_{owXg&dy?)o6|82;-=6NmW^J>7xzvoKBrt4H!5=)Oecd<jc;6LFXe
zOBWz@p!P9XKMUhDuzDnOVd(~@Zm^^~&;S^yyas1)1_lNYpOkb5iVx&;2WtO;%2Uuf
zjWbM$b5ACtg&!y!K;;3foQI`5SbGSxUm4^sNV??EgYl=LnFEVos64zM1-6fcaT-`X
zQas?Y57e*(*#}AA9C|Q5l!lv2Y<dT~hlOz(SU(Z{v*{3jLGwRT5tz@y$OxK0l3-wF
zoB@%?NbfNJfWi}${$SxZ6QZ6GlI}V5V0_R)GRWy07Jg89cz*zF9}D9&uzIBMn+-9S
zXm^0t&w|1gl217FV0_R;U)bCMm4~|nY#$5bG_ZOkci{5(Jc#??^EWU)l!lv&EC1m#
zcRtiyNWH+J2jfF&xVd2WvoKBr+lSPS#%1mTsJXEI8H^95;pT$lhlOz(*gh=%Gq8Fr
z{j-Ho^C9`0sR+zxVPpi&&w<v}p!d%p^Aw174zBhRC|!cuOCWPV`JdeO6385oeu(=R
z7?8`46->-opmmEN`9%<a;7oVu<p=1z5Ky{<l^-xZXk8VybO)7(=X0=qpz&^yy;#y6
zx_wJQ>X7D-VSFeJH<#G*1MD6a#%W;vM3f)Pp!P$;k*NsGXJKRn&A+NJFf%TP$m0w@
z^zs9=&I}ZOu<`@O2c3_LE&QPJ@bn6{kA)F@o-U{#4C^PZBGDbIA?o4tzc4;1A7XO{
zR37dQuzf6y)4=Mn#3Q=D*Fx=s)Ylw(Fg}!qn@ei>u?}i3$Q_{mJ&X^f;pT$f54y({
zq@IZKV?9V610y4>{DASHG~8Tp{ID=i1KWqC`~a)RQhtEqACwMY=?cteVPpi&Q-khx
zLN7m{>ZTQd=NUQR=aIq0Vf|#-Ib|?$^z+ML<q&B61T_8tQwN(bh4Im8*!g8J{jl@P
zVCpVV=q^}!i0&@fd??HwbQ<O^n0}bMVEs=}J_XI=fx;D3-l3PLF!Lby8e@hlXgn1(
zK2IoIVf|Qi_k+fhLG1^aJ?J#d{V@IL?uV#HkRbnn`~ed|F4t?A5a-Cj#x-E)$W4Kc
zFM!s|g8TzJFKz==9Oh4$_!+1;Ed9X5LG8~9Cgv>Ax@(X-APp;~X|VI<HbU|Z&hikw
zeBT67&j_neVEoMxakx3K_7qeeUhaeK1LZrAdMxD-x_zMh49a(~_9%=GrQzlhTc3d4
z!@@WXte=SbWGl#>Nc}G`pM{YTv@QkI9^D3!hx;2;jxsPH&anmYLFozB9^DR64{wjc
z_@ML4u$ALbd3gB+wvUBz8dyD2`0a$4OSC(7LDa+R6BvItL>z7oEFPiqaCd<1V_}>I
zR*&QkT<ts1d2XP1gw-c7K9q)=OKN?x7vf&{d;yFPrQzm+-Os`}4Qw9~^~pY{xuA3c
zs;6LlC=E9k96v0K)4=wD(lvB`6c!F(^;qf?&^iE6ctFYprXnz(g^>}o{s>=t6m||I
zto(%WVdW<%U4q)9Aag+Z9liX7m0RfgAnrhrAag+aVIs)oRtOVw7HHoGNFKf1f~dz_
ze}{hl<O^td1)0A^%<sa^m4ua3p#7hqdJi(cDgZzC6I~r>Um{2yWIj}28mt_Ise_#(
z2{RYhIhCMu3PJk^LFaKH=SNUJM$Xsh<<uc)dV!UTF#chPI7WVg%EQYquzf6y)4=Mn
z<R^6dKzva8ft8CeK9q)=OKiCab`P{X1hrE^=77pA7!8Y8*m&qsh`%7g$-q<u=Cd#|
zg4V0eVPIxF29byR8$J9$e31FDa`8AsJ-l3m@j>B<91pPa2r3WHhhY0y7^i{NBZc2d
zh`B_&;}k?ayj+CwLHE34a|cu&?hdehER55@>XF<5D-Y294T?WdJi^LF7#~W*%_X&5
z1l^|&G8fj)hVh{^++48xq36_t;vF`gi_5*|py2~67h!xT4L27YKP-&X!1iG&7s2YW
zl#Az~=0nq45tz@y$Ou|57{b8Jh~Cb&ft+(80y=*m6hE+eR8Tl0=U31=DdhBa5o!;p
zJ^+>PF#aWoIDCE-mfoQ9@b&9p`&byKfz@M)XLS2O_iBUU15|H=?1S;4G~8T5@r-UR
z=-vX5xu9|jWG;*krQzm+-Os`}4QwA${Ri?NsN96jYl8J*S#RF~o>wG&UK3J4FinH)
z$MAv1*8!+`(0GRKD;I{(H^Rghfcq)Jvta2F*6xMrhl+!S7eVWkz%*z+ydG>Z(=^z8
zIOzUhP&j>o*sBNTgYF}Mx(9TxJHGUTKA#M_uO4Jx1JpbiA9Qa6vN@pe1*Jo%Jba!R
zY#$5bG_ZOs=?C3D(7lcz`)Z)}!T3-bZZ4tn9^G8fJ>wvATcGB`_)r>dF4+AnjMKpO
zA*CPC{!--h1J(zcKL(qJm=_1FcL4Qc(C5X`^`p;=gQJlFk$!GN^C$XzAv7IK0?Xss
z-wWD@3Gy#&e=nGiG%pJgN9@xE-E$0558409p$F!(FfxMXn~~R<LF5JC`#oXl7nug7
zOVIg$p!EQ-ba@ZrA4br8GARFo`7Df#_aWjq>n(_S#5xVoeOVxPW`OexhaQao5X~G|
zdW6bPgP8-ikA-m>SUr~X2vY~EuR-S<g6vCy+6Uu5hS~>J4{DZz@+Biwei~Swg>f3#
zemwiWVetty2fn`@bgl!)-W;gCFg}!q`-f0Dif-;xh`SjRpytB(&miKOQ1x*CK;@@_
z<yjb~f&ItAI1TI$7RG6jU~`0Lfx;U(e}MI|Fv8sl8xMqy6M@b>0HqIDx<S`ZD1X#I
z%d_WDcjL+@V0j|e6@ku`1i1&6UP1S)fx>?W*nb>)U_J{Y<4ZLA(Cc4_dPI7C1yRok
zxo3$(55|8D5r?OHkpDsDCsckK%p9<NER55@>anC(m^xT`1>NHYa`zFayJ37N4L6ri
zx<NPhEyTTyd!XjR_)r>dF4+AnjMKpOA(fvX{~@Ojus%@#9&8?>{Co$o2Ociy;R`E&
zVE%m%QO^h(7Xp<lFh1!1Dp37|o-UyB@bd$}_OUQd1FOdpzUcOW&IJaA?+LKGIrL!s
zPY`!toF4#{p9Yp^VVnlGA5VFJUQdAavoONl3(H5aaZN(`2;DsNdIpryLG!nu{V*X6
z%vqrJC#b)R-k<J)=C{vKf1-ygtQ>>2ufXb%*7L&hIfw?;51{kgkn<bpyc$rrg7z1J
z;t|Y8s;?m8h<XP!J_vI6SBQHUE5P?~aOi>gSoA^U1*XCDeS_)))l(q*z<d@)#_teu
zjCL{1e_(k$^)BeX6_7tb`*A_$g84}9f!K#AAAUmYW9)#sAI68$(_re+^D8JELE&c&
zPKUy?K<8D0(mSqx0mMBBdw)aiodC5L#s}S#fou*a--5~|s671q8L)jUjMKpCvE&<=
zyJ7kCFVwyUsC_U#l!p5g>~9vvX<++6>rue!1*Yvm8*hT82blT)pyq?>4N$nl_)vNp
zOg-2>7Dl*z=;8PuVh+yo2WAe;UeLLvp!f!zBMPz?#s{6>1PVv=d;*n+y9aC^3*$7f
zdMx3HZXXjP0|UrD(7pwbeK0<hhMNoaHz@ys)FYL{uyhPo4_eO$4c~u|^LoJj3Q)R&
zl@l-;6i&$b)&;ts542Mi<enK|b2#+Cd=^GV*t`WuAILn|_&!7(VxJVO-vbIKP&*TJ
zPBC)0p_l(4K63w-734n#M#c|N`(b=Gh&VhRVd)qu5AVN&?PFn_23C(H{L$@WhnUOw
z1!^CR52fMeqUTpwxeW_{baO%X6M@3<4b)s1A4<c`1-l>QPLMm0;uXD|2kS!`cYxUs
zx}S#{{Synwy31*>_=M3u(EEE}^$H~Z85ltIivk047HHfIRKGy>n<3U)a)SIr!hU5g
zh<f-q8jQ~k5yyyks60Fz!S=B*P6Mk4g(uiQi2gTv{D96Q1H}()oC(H<(r|N0jdxy%
zd*SoBFg}!qn+tY7C_X{z@x(h=A4nZ|A2*_11?qoOBi>=-P<$YFAf49$3NKJS0ZZTL
z<2U>e^^CA_C>S4f?-MA$!|E+qK84D|$92H=fzIm!nS&+1(CrfhsbgSdgpEVN_)r>d
zE}{5BH&+O1E^HhM#)r~ybHVOsVVnlG4=KJt{zGmDgY{t<hXREQw)jHV5328w&4ZQK
zuyH8R{x49u3X2!mxDu?qhl<0?eNcV{mGi}5gAn6N!cc#M?(YQEJ77Kw_)u5yId7o+
z4a(P`d&5ET2`bM)=h?v0g(yTnM!p1%V}r_b*!VkGKj?l`kb0ze28|OVt0Of2L+<)#
zF_67T^Ppfp3nL?FKQw3^syIX*?hly%K=-a8`x_Kbp!@(@KLK(#NF9g<>j#}z1~Lc9
zf07_Rmhw*uO&n$}WPKfCy)sxo3*$7fdL(lp<LwA_xY9eMTtldXnGe$s8!v~9ha=R%
z{0Gxd>^@Ivh`+$843y?Td{Wk-g5m>|-avXm7@CgZ_w_>dUkc9x`4?1fgVw`<(k+;e
z)E<Y$3rxK%)LpRp9n8n0UJj}r*8TwV@u-)Fs)yC{U_Ku83Q+Zsc;L_j^YN%xgsO+c
zABP^8k4L={R6QhKIrPAMJnEI9>LKyYp$F#UQ4czI5)}TB^ueJA=HpSX3N;_po&m)d
zn2$%j8dN>(yeTjrk9u{edQd(BnGfb8sfU&Ku=Jn-RSzrg!F)XGHKFQZ^&FUwN4*wQ
zJ*=Jr^YN(HhN=hczXiD;%*Uf1bRRh={6YJcLF&PLJnD6!=7aX3gVclhNa|tbG%S2T
z2lRr>hm_A8dSE^t_4-irA>}@Y9+;1$9#%iW%r}6l2c35aau1k~q#icT4^wXlRSz5I
z2lMf$H-f5%&2NDDc+?w1)x+i|z<fOFLFaXX!Uwj`56s7--V|y+Y#$<+k4L>3R6T6J
zADEA%9#UT;?uj&qst4U;0SZqrACGzqsQL(KzXZ(3quvs#9&{cb$b2v#k9sSp`T(f;
zU_O$1Si2P#zt&LopmsONd@vtLJ*?dXQxCd-92EW@Q1iijB=xXy5tw>gsQIvSeZhP@
z>g}NFVdE%ZK9YJ^{~cz&JyiV`sC&SCJn9{w>OuG8g8U2S<5BMjRlfjgKA4Y3y%SVD
zZ2SVu$D`gEsvgwt1i1&y$D<yUZ$aS?8?ONKk<`P+iD2R53N?QX)cs&S9`$Ze^`LXI
zK<)wa@u+u)s)voYf%$mUgVGJi{jhN>Fds=htltZBzbDjuQ2P|*9xxwCJ#>C$8ce+x
zR6S%|l0y&7$D`gGs(uZ4+>b*K%*Uf1l>b5QUjbDQ=HpTC3pIZUR6UrFN4+0ZJ#3x|
z%*UhNAF3WUe+TB{Q4cB?K<<alzk&I9)CWS%hs|$+`AF(v<N2`g4}z)}0r&qo^uT;P
z>Vu)`1)%D|d_3ww<siuYu=xovACG#_J?<d&u=xcrACLMlsC!`N8H4$F)Q3aW!_Hd<
z^O4lU=6zt{11k4H?%{#@7tF__J`!p^2UI<nk4JqJR6S_@8z{ek`FPYvL)F9Px4?Wn
z>Ou7!$o;VSH!vTM`dFy>uz4;pA4xrAT?L{(1>NfjGT#N7U%-4k>OuE&g48oW)r0wX
z)PvgbAoV}M>kK&bz<eb2u=NJ8_)3Jj-veqsn2$$&5>!2CpE)S}!F(k3u=OV}^OK?K
z9iZle`FPZW`Yj;$|AD$6%tulWTW<q1KNV{J9;kXSpM{Yz4I+-w?@mV(2es=#{ccG6
z60xrute=H(8dyD2{}|G4MW_Rn+sNZ7uyIpZ|B~Es1<?Jcpl|^7RT&tViokppMn=#%
zTcC6GK<8K^j~{^gr67M~;qV`%|Apv>gY|>XR{^O<@*n7&Uu@=r&UZx?hlLv`eSyX)
zK=y-Zuzt|F18C-g;t?bdQwQo-V+%J(zYpO~nE5dM)E-v=-4}=)&R{+?oH?+ptAnk}
zh2Ad*KYs}tPw;&$AU<eZ4Afo$&1XQ<!!$l{IVU`ekU6mVT9`So^#<tXppTn_)MLY-
zbb~zpkq3$&EaOM{XyUMVM$aE${h;$;K<bgw554jSsGW=)Z(u$PBO~ZsG*JF11i1@~
z|3LR-VoS&9`2(z<g>f2KJ(B-G<DAI)LFpNk??CNUWN}!y!O|~C9Vq>S^|LTe1FJ_e
z7nZ(Z>IO^x0Ns0v6wbw9J~W&``2#(zp|1k~&D$W4Cxhk#K;Zy8|EvrWPmD*v`GP|a
z#)qbJ`29Vgb5B6!1y~+5PYJe|X&P)^33d((Xq^Day(ggNf%!<|&R}gw1Sq{A=L^uh
zKXN>R;sX@Fl@NEr>l0Y~qUQ^+eip`QVD(7xORszZI`0VNe~1^EiokppMn=%Nc%boU
z&^?~m{0Azhki}vCL(dmr{m}Vv(7I??eFrL^koCjDtqw=Hq2~**eip`QVD(7hHdylo
zXdNvgoEaEEd{V}vLGgi{?m+!TY~k04BmB_Q9aujL<20~(r0}Cxx@&^C6FNT6R0QU;
zFfxM92?XsgZidLi^A9Y2fW`%o{f#T#f%SvVxdEAj<iA!BA4@skh9(X(7d_p9^|LTe
z1FJ_ecd(|rc8I^=;SA=pFfxM9Lj>h-^nC!Zaum{DM(ihot*e{}ZkG$s0*!Bg(leL_
zt@8kjb4<GrJs%u$u9d*F7;w2NJPUGu6M_%5XBuo@E&BdZT>Eli=SIQk{a||$^XlmC
zhP8`e?(T$!2bgAIoCcGJxf^o66~dj6^PdoWNI)`8gY6qbcQ>wmyD;~{XqdZU?J-cg
z=V4&Z0>uj`pQG1{(DHd2Y~9OIsJ~(2anNvv)QbY}dJfc|0JSHNLDj>;2ewWFT^_bS
z3>I&&ePA#?EZ$)I-_ZS!Yu_)--!K~8{}6v5NKkly!T~1I1&KF|@}L_{9F}j<%R8`s
z7RG5{^+@@aUgaHV92k^eApT)00`sBgjDqrEFPcAK<y{||ILv?O^(<IF3*$7fdL;k#
zqv?m43mQ+xR*s^VcVPW2jMKpCk<1;e<=sSxe<9hFfq?<UC#9YRox_Tp?m!Jn<Zyz8
z-(-kA@bn0a7xZ)o*3ZH?4Xhq1{OFbLK;!Pn=?=_iVPpiI*9uB^Q_=hZ^B?FUD`bDe
z{D+?I!1_Vw5`oM?^51k2A4@$88qdaNE_%8H>t|sEum3`BHxAZxHxuGtc)A1eNlAC0
z_&`o~$oqs#7?`s__oad4VdXdMTxiI-(E`&pLd*AA5O=`SB`iK?qlv@f6Ft3x^|LTe
z1FJ`hPkN<S(D)c~dIj@Y7#Tt5>VnRHor~rVnE&RXiNpMdo?gNFLFXxf)Fb(CK8TMc
zy@KYsh)J(t{Va^r!0M6A9jxhfA;iDX^vYBO=Cd#|g3dVxjfbGO3nAy83QU8YGYUC3
z6_M^h{cTV`9VQRj*9GFk(k0{^PytY}0@}wktpMC!;ee-G$a$Rz`3f|7SUd44w0#L{
zf5G_Bb_wXXIk5R4^`QR$Z^*uaX^?Zm1*XB=0kaQs51jC<MbPj7)6jk;sNDpruR-H-
za$xr$+L`Ym_e&$zwL$KghTm^24pqMxYA(!up!1eN;R0(1!Dy(uX}!>V44Q8Q<pa>Z
z2++7Wn9st<2wHCk(hoCdDpWnpU-h7c-V998{W8L{VDSbMhsDo9s6JS{;gW}i6HFd5
ze<M5#>|PeeX`p^Aws3;AYeDX`U|`Myr7KYRh2E}R3JD)gP`v<J&j&q6mk}(EbZ=ii
z0|NtS{0J6~AJD>|9UA_S1kE%J7XHg1<}<?d!N!wd;SQsr>fqtO9ICGa9FH7&U_J{Y
zblgc`8q6G6_=EL>&N~J>0CH{va=HPzALM^nyBU^lVc`TyXCMp;F9QbVEKqp|QV$vr
z1I0J&yla?0KB4(v4u}6i^ZKB41k(r02T<{8ccJNECDgwSQ2&DYER2k>`UV#NuzClU
ze!%8H$33y71DL%Z(cG&FbuUyN9$%{%85o!t7~$~+3J;L~Vetf`q3YoAwHoUF7O4Bd
zd_4ID6xIw3Omji^0RI0k06Gp3RBm9<Y><0&=B<Ug3$$(k<i2%K`@l2{BWQRVq@NLV
zt~n^3Ld^voI}R2H)#sq|NY+F2MMLGmsRcA%2sKw6EYHHo2(?cXWFF`o5|DmSdH}5(
zX<`5m&w<T_nFG@gmPgYM(zg*}uQ*s83nL?#&jNNX*c^~ULGIfGQD+ObpM{YTdM*j$
zW{9{YG(9ka)v+)#g4UUU?kfe$voJD(&PNBi7i1pD-mMUQnxJ(7$oU%@@9^>(6d$1c
z0vhiIr6aID7RG6id2``e+aTt^?E~|n_JQmHyMu*sS}{2Og+a$mg8T)KUr0bR;f!BU
zzZw*;pnK3j^iHUKU>YfYLFcezi(k-r=b-T01<?nOU#Pu|P;<q>^3eS&Obm>XP;rPd
z1|~+3`JnIvnFsRMZm4+>1x$>v_}&8%cLvLY#;ZXA$iM`*2Q1IR$ha4xP8@n}3wYlQ
z69c0oy1ig^&~xoU_U?n~1FK_UWCZh((hI2H5AyGRh&o$v_#vg20}yd|dI76rVPrfA
z$w%T~c@{=S(E0En|ANc|*?S10kL2_M)`yf{4nxd=+Xv=D?E~2Zb_Y^=0hcErJ~*H;
z%WqgY4Jwa7`j0@&$7p9CMH7d$L($vWVErtN)4=MH+KcpRXCH&O6YN{id@-00y-ym{
zFFX#B$MD|?G;x^!(A(Ky{h)JXK<bhFcM`<M(#{6m|3pkX8?2v&5qw|NQ0Nz)hWHm6
z&I}A7J}Lb|aJD9b0G&?<N|*os|Nqay#@zJ{RNt~PXM*l4=4WLF9ls4K4;ex7p!x=4
zBopHwaD$6!ZacJ|1Bw6nFFY5t&ID9HmP5rSfXh{ZxuE-T{{I)Arvs_KLCc~+S{OW_
z{Id}M%0t@K9E|56d`qx63nL?}96ApXmxtWr%)xj8!gmCVBdG%$&cMWY5hCvlHjjl7
zrk?Q<MBD{pp8!l9<7KEg#C!p`deA+BO$?0AV0|o%5Opk!j9_u3_9o~)1W@?~F_&W+
z*nZG>G00pj_e6u#gTf!;UIvB;Xn0%&sb^qxhTIP=zzDe)T7VHOj$|L`9s-bk5Oo}g
zeg)V-rfDjW@Q2?^4N?!X?;6B>cz9oj@*)1_U<C7#%IzKo1_n_74s0v~Q#n{a=)NZu
zafmF41l`L3vIoQl;S8w1Zb0pUh7aRSC?96;EePKZtPZJux(yWvt49*Q0}+SEBUC@*
zU8p$3TmeR?e#Uzcac8hT7Dk9V7Dk9TQn-Td0|139)Sc77=CUwO1Dk^-9zp6s{;h!e
z^FG8L`2F4wAbd-(IFkDxLd4<sem{cn9l_#Q((_}8yffH57Dh&>dd4RZaTiEB5MYF=
zV|)q~hov8w`ezVvXRtmNMu<8VMn<qWQaFI_EdYfB#9WSPU~@s|ZGiN%Fk+-Hka|!!
zJO{}$Fv8Op=>AR6z3q_r;9vyv(b5;l9#C3gU|=c(>t|tPgr*}#Q2GOz3z0{V9nkQG
zl{27mSWr0wF2C5ACw~9`|Gxm1a*Pvf1QR1j{_lSd&@wD=IrkFc?jBHi*Tldm4(78k
zGQNVeKQtlpQ__sk`44cp1X2ew?%#g_#@7&a@}T?c|Nj?e1i9nye-1sUe#Srl|NjT^
zq3Rf+>hwUxDM&xmd}G-76uLOfUYLC#8e6#ws$c*87XWnw!2SZ=TL21QSbB!t8xOMQ
z-+uu(A9Ri%sNV$JzYn^f0MyO`=?8^BF8xsZpyx;lOp}G~!v^UG>6-zKCs24WFfh*n
z?W6hkUjTG{6xe;B`wu|w1MNQor4!J;Y*2VW)lHLOU|;~b6P6BP?gy)9VVnkYFDN`f
z7-SyEURe0T!W$O8CM@m_3=Hhx?gS$PI}_-<MkWS!CXfI#RGbA$vof$VfyPnU7}%LW
z<uyA4I}>QVEC&NS6Ucl{D9y#d&IGzwn;WW69?IuoU}pl|W6jIJ&IGzAm5+g)={z$7
z13v>hQyn)0g8&0N({~;Q20<t-#K6vUgP(yx7;26flop555>Q%_fgQA6o<Wg;oe6Zm
zuo6_C2vlAQN=rj&87M6arRAXNMWOl`7?_wntn@uu7}!|+Ts*@-w5Lm`BZ&4<2nYhv
z{$8%mAUZ@pARj~*XO`%L=z{#>Ob}fXpO^xoQ&NlKL3CMa5m-DYKOMWec#9;Ey3CUJ
z5)hrFSH=LML2<~yzyvy$6O=yoL(>fpxP8LF49>R<49svoD8Di=Fmt~JwO<&Rx!*u(
zs6K8`J_pHvg^GWH(x0L9D=7UEO22^8&!IGQ+=TlXln))3;D(MTaYM)TxZgqL|3Ya{
zeh1mB!^yy)01bB*23F8AWCjKXRu0g8?;tuSKi!ChfeoC!85!6>%V)vzzrf`QD+i1Q
zg$qbOi2n0m7;FxR54xxO_kRwseh}ZSG%p!sUP)$t9*9=R$xjE-MxfASVB>(s7Y8)H
zI8q?-zyXa1j-+^SJaB;W1IT@HV129{FdAe($Q}@l%N>jitYG(GqstO=N<r=c-CM}S
zz(%w^pnI4=_JZy=0QncxK*nZ1$Xy_DP&p5xVSH>f$o;$wTnr#{LHQHeJ)rZ+n4tcE
z(I9mob0GQ{!1_S_G?05h;>h;F+y@E>kT{5j=?Brox{nX)KBr;^24)5}&@l?&^g+md
zd<+oxfzEp&#(l)Phac*mR1)384|NY{zbG;80gWSp!U+_vAiA`)1XMn7fI1MM_yC>z
z1)^c{AQ}`epmYjS58BrQvj@Zn$s^Mk`2d{G5$TcG@Q`ER2d4vK)r0DFm^(n}0^}|b
z4a#pI8pa3FAph{O@Pf^Ur6-U$NF8qV!eI5px<`<K2do}sKFnPp8kAl^`JY&GL>TzM
z<`Ao1ltF+b^<oT=a3I!v1*pG>Rj){*dRG$FyF=Ay<{5(1F({pa(l3k##VaV>K{P1d
zLH7ZJ_@Ik`Vd)qY?;v?>bY`9rN#+_r?zsg=GdA;J_7s;S7J<rZ&^RM<`3Q42j0UL(
zxfeu(+%E*p@1Xf3V%(o!S^{b}aDc{xk?jGUpAQNr(78Au8e~4QJ)rwpK>Qr2f3W2X
zkUp6CpmAnW%m<Y>AoF{OG#|ZQBjkQjXgIAQ(tOao7%A=-gPMPau=(KhL&&`_^WG3J
zFC{-O6_jp3@rB&3A!NQd)V-jF5GdW?iqGVnY_NM_=?_MO>;uIYhz6xYkbNM&2ISmx
zg6TcKv?LAW?#!H2u=${KEI{c8MuW@+nFpdl=7Y{-2k}Astw8PtiQ~&pnR#gtbHViu
zD+jK04e}4jT+lv4kh!?Rp(?cq)E<ZW3(<ZA`5WYJLgqI>!v&Z5DTyVCpztsRyBASE
zs)6~q+l8Qh9LW8k^Rz(jCloH|_Q3eCb}J$K6rt$`v~H0U`(Wm)6s3awnUb5D3-T{$
z+!B<}K<62P!V%_v(0C*$enI_SkpE!yIVS@PxITlmb6_+`A4naHhKa*ym^dyPbT2Z<
z9iaFD)yJT80dfzFM%D*PkE+mc0FC<)6AmhA$vGhZ<`+ZKyGmLnxZjXjoB>X!Drxxz
zAo<ihNc*!WwHVxf&nbcMVeTRnKcIVMK;aM%SziH7uekdO==P*!rh)S%$iJZS1m=Gj
z4H5^L528Wg0J=v3#BV3kK9#g`a6Ok<0tp9V+YN#Ytl)SjR=pg98cFIk88}E%@5~?s
zRu4<JpmrT7y~5HhXkZ8wF0lLwOSho)1|V@54GKpPA4bE(VKht}7oA!JDVK`i{YyPi
zKcAxlnr=Yu0;OkM?u6wpP(lH@1LjUxzJbx`_QS+sG`c)GzdQ+&j$!U0HXgkgl)>Sw
zoS6&GA32%Hpz#il65VnzU6iVfwO>zcxts$THv{*NLGcH2H;4x1H&Fh^W3M47ze3cL
zULQcm>&UU!fD(I;5b|%ivIfX}czaY+*%-tJ&2NLsM`fsdW}cBINL<<209<|{#0^o!
zGa>p-!1Wj#M?hvCINahblECp-qE}J@G6&Y~Rfehu-OmiNFCa4y9KVFrTSCnTt#b#Z
zV^F++Xi&WYO8=nr4WeP~Oqe`Oya(FfMi*BuP&Ng*4|MM?$UUHR0Wt?RPJ&B4%stAP
z%4W#=l{1yiL3~(v2IQB5^DEqc@vgxjc@y+-DS+sM)uWL7%*LUb0vcCg;{dq_lx{)p
z0ns3N5DnvlXi#|#YNvq0h0ypF%%AA_6eNz#Ty{oAa6TngJqI%zN$NQnK_wF_2hrwp
zi-XMvtxH07FE00UGlIgAc=KW5NUVF5m>~5FD7_Km9wiP9u=|NMUztSnl}R*TlaYxe
z_h^x*UYkVqIwYz$AXPmxJ4yaEB+-0B64SpCBld9|T<O_}S%M_@8<XgMQxesiLDdtR
z{>>SgNpim>H2o8sUo2U;!R8a|9!n1F<9)co-<pZE^2!DpKE%4;mc;VbjzsnLB$l@h
zOpx-N*zj~DF@Bw)@k^}v&Rn2&3GwB*8;Rz-ap;2653%v(!9-el;z?qBc`-u5pIG<!
zK-Ci)o_^5u37x;>fX-iXK<6(xp!1g;(D_Ra==>!Ibp8@^J`6H{$pM|e<bcj!Vyc7p
zYrylD9MJhoj@OX+KlTS43=FXTH>h0$ny2JoWd>~n2DJzoLF1zg3{2UedwDn*m~%ku
zvEDE;=kPKxFxVjR<)M5~e<*>0IR`W@yn%r^2c%zukvRu6{t2RC`a$#yMu>SJ8Z@59
zz`&dXnon0?WX=KgKR`5S+)9O!IR`Yqy#z`9Uhw*EY0xkusA<Lkavx|u{R(J8hJk4s
zj0Q_GK-N8i*588GpMvf`V27T!4Kg1j4mn3jcowL=1LA{?LDGkA4lX{ZzY5a-ekCgp
zh=!@V4|cEcENHk-;{%`5gV=Wg3OA5?P<aTVJs8080^fH5GY2|PJPkT;3||)klgCB>
zf!Yu1KZ4fHL-aFE1D%Hn+D`&n=m4UVpy6TwR?h)CmXZN<-yzgpplyGkbTO?3>b@Od
ze{jIvu>s15xnnul9Ed+b_L_kC9C{%0kj(}0LH-8aI}UQk5~w+_brdV0d{BM^se_pd
ziYJh{7Ep7+d=^GV(8N3_o<Zk{BA>efYUhLWgVv*h?18xxcK-(GejboGc)JM$)3j9Z
z`ZVELpned@9@u$LU_J{YBj~;sWb;AdAoD@@JAllAnU6t(A_B>Lkh?(U!_J2S^H~@f
zLFYOnn-59nOw&N;!6KUvb3f>uMvyqV`$6d%WIky87qssN%x7T)E&pWz@uBX6?@xg8
z!S^MC%!AH{!q@NFL+_Pfhur(X2-?R3G6&2@I;RShk3r!9I{y!34(R+Q5DhaQq7QMe
z0Zbf5?*qrH@GMZggWNv{%;(Sp^P%f{PcSlPLDW;91dZ2%$`#N#HK2F^)eoTcypVJt
zzzEH^@Nfgm<GJSq)UO2jBL<S5^}u`<Mn-<fdQ?4#xBw$4o{{YVt%CxsZ-wTQNl<n0
zd#^z9AafI-=EC@(avIqjn0uk}(_rR+?PFn_23C)>u2}%;F0guNc<(_9Z_xT8Q22o6
z@j>=L(idj9faURo3uqh+<o*<>`@wvqaDj+J%tf{b<SyiJfvSUt3#c9fnVSJM7sdzG
zOUUNH!UZZn4Q38V9JC%AtR73afYn38WeZZcfa*g~xIp788=8)Cpy8Dx2o6_7{RK<8
zpzsIj1D#_6N^j6~2G4iGV12@~K=o-81EUgDo)I*@3<?LRcnPQ+1o4%@<Lu0gpm7_}
zeimr?#0XZ$!k7njA0uf06KH)KRGk=z4{`_8eE7X}@bVGtV5Vtc^(>6jAm;=M&jQ&8
z(g&I^1cfhDKSn-*mFr-2EQ}cS9LRXkz8O%u0hJ%H`^7->dZ74R1HPw$Ll4YnVPu4q
zn}~guuy6vkD?s4{m4}BDR2&{op!o>U`e9J}138?)>X5<-G=BgJC#X6x5Fg}UP&tR}
zU-WbdaWCQ?AyB&m<PXUH0uDVGAJoo4HV2gcK=BBbp9V7rY#$5bG_ZQ)bP27OVc`qb
z$HEBL2Ro+-v|bUkjuB-3@BbW(p!OJu4~kC^AC#V&7#Lk3^{xOTR6QdoU4z6y^T42e
zPf&e~pmq;v{W(}43nN4w3nN4vX}zvGG(AGh<p8gn1m!QVIV_CRAoacgd_6cwJt%xZ
z=SqO`1Ek%;JO{L|62u4PE0DXP?GEsIRS+L^F5UnC9N=}ZzyEXSfz`1vGJ@g-#0TX=
zQ2PU#F5vAKP`!d&KY`;JDSd;|3CKOrat@wuVd)K&{z2&tDi2R@P;q#A(}IQrD4!vx
zH?TUS^rnrfP7K6HwjZP32W1=vrfFbvk=zZk52O!Le+o>4)w@E_@&vRG57b@)#X}EL
zIR-Y40kj4b#A40_`5zR|pmGEh|KMf}0~5R*0hVWB1h)r3@dL^q*wQn!+?fQHC*qz#
zP&tcS4uH}HDF1-cE2!N8=Cd#|g6{7?wht8Fp!@@~527BC4>h6f7tpvj$Q&3S6kf>Y
zfbt2*9H>0F+ykvc2HVHNI1Q{GDIemp4-|hOcSFx>*Msq)G~8U!en(I`gvBo`-{LY?
z2kH+{dmiKu7#~W*%>}!kg>f3#K0NoUfc1g)oq@|)#C<rRc{$MiDxh`?C_X@`0z`wt
z2id)#eRIfsm^x5+fzlahpByNC=tA7B3C)KX^#oX+i1Y!9SCBhk^@JY8T=@M!U_J{Y
zqdr6&ZXbI3fZXSRNFShi22gx~`VpXTh4De_3_$4v-Q7_6X)trZ_OUQd1FOf9K49u#
z_o9L3CqVYW?oEL4p)}lFLg@qDTw{p8;rIH$_)r>dF4+AnjMKpOA*Bykxd=;-V0|o%
zaDA}!30h|gN*|#1Bq%;$@s6&aQ2IE-2=4!ifablhg)?Y=3nUIJXF=%?ls{ql1FVmQ
zaT-`1(m9DR_kd_n`vTPd0J#&EZb0kfLE#TtzzT|QFdwNu0})5urwB3!q#v2aW-e&{
z3uG?r{CzN=g^>}oz8d5YSUN&aj}Y~U^az?41DPWMJ?9<92d&>nHU}0SQ2A*vbHMho
zFir!jM@o;@ko2Jm3r|Asuz{#&1f9<b3MUxf79tKe2j&i_Jlq{%`&byKfz>0q0~UWU
z_rmgp9mHI4e;8yRj1Q&Z=7Rmp!Z;0V9}DBOjnIB7E_3an=7RdSAah}SC=EB4P<}&q
zFKE3xD4wAAD(J!ZP#SJ7*!?Vw)4=xO$!}nNp!><d@s22uLHDXqBfo+6vw_NEM@V>R
z!rJZV=>SyDg6ch3yf{JBGs5am7~dHp4mSsu4xsYz`Uq?v3*$7fdMxPx-9FGh5>U9p
z>Nyx6O2f@16kq7(g4X?j%!Sp<Fg}!qn+tY73*$7feR$#vtPflL364LGX_S_apnY+m
z_yV0%0g8Wc1B`(Qehv)-=)z0}rfIPH8dg3n29KWz&jKx+0O?0=_t_w|`ylNt=1fpK
z5u^^5e_-YrLi3dyB%T>z=Z=H<NaZD@Kg%>t0<sQs8thzjm^l!Ai18oTxE^R-6evDm
z=dpwNNan)y!`uNHF9oST0`2F6`AF(v{YcQgH=uUO35Ys)_(1ewx&yTD3S=(i-ggc?
zFdxZWQ2zi_{)a&QcL8cHWS^SwG|+lhQ2fBcALc(VXt+V{Rp8JA^O4MjwyUSX&IbnF
z{|Isi?EV259~LjLdaMNM4zN5@y_X0b#{}Is2QmkC?*W+4!pI0~kHO3XjgNxzIm~|0
z{l*}Dkb5{d^k96LdQg4>g~Jr6{a|?{`(f<@*!jeueJmjJVE0Xc`7Df#uzDY6F6iDR
zWOsn>F9YcVtv3ag*DyXzJ!qT;<PK2(4;0T}c_eqh%1f9#0-*5#yH^9uXJKT7m4`5M
zLF0eO?g)hHgWcZ&<HOX0##cb@xB?9iuso7GK<9LW(gn;NK~VFc=aK4x`AF#jrXH5w
zLFbr*!U1GHC>+3iB=zX-1>MgDQV;5Yg4DzKF!w_8JJYleQ1^o6Ss155%ol*(kIOL)
z=H5`Kdn>@@35On-k7Pf3`VE7suYszE@nQDE`V#_>b-vTU@<`zYOTVCVszLq$tp^7A
z1I$OV7c{O73U?i-IXBSq<5?&lJwJl(?E;zG0(Bplk7O=roC#zus2l*Lt2<D06e0O)
z+5;#b-P}m1zdNAjg84}1qK8uyRDBOrJ&X?vC(yVk$Q_{c3UUWn9!ofZ&Q%8aX9Cn5
zFdvV-pnJ|h>Zd@}!}u_JLE}gudqMsH*$bA(Vs9+e{WGBEfcY$pjIeQsZs<8@u=)#T
zKCB*trSCYXIWHjLst4vHxgRvo0CErLejbo}K<oTL`3YtYL?32(4my7o<WF>UQ1NMT
z(D^TzewaE?yn@>Gpm8pcy`b?<kiELl@e|PcaZq{$o5KRRR~fn9gqZ{LAE^BTatA2C
zf%Jj-Nd5!$mq7M_?!N)q1GztiV;amHh(1jJfzH_lnTxItDh~G_Og~H=%zvP9GLXGb
zp!o;pKiIuSU~`b%`3kBI8jtXCYLIy#bC79J_<_dVK;p1;e+ryVglB=)v4h<W=5y$Q
z`4rd#8b`xs4`}~A$euM&d%%1od!X&zX`u5bLE#R)e`VSis6V0i$-w;ytIt90Uy!-5
z`>4QtBy&Aj!2MaI_8BN-5cAR?9>~2Q8Wc{Tek+KN9!{WrJ0N=?=P`5Wf%!=G1VHTp
znFG>~j|SNT>feIYq1%%N&3}+{@i_Frd<yIV^>47*13DiR<R93*L0~?TJrPj<;7VUG
zcZ2*1@(-vVfz2LJyB=f@DBpte8<@|+$oPj5950~lYoK}UNwE9?%cr1y4Iq86dxyY$
zB=>>l2SD~^K;6j#NmuZE3eks|PeBuwAah~%!PG&;;rSFM4p+y(zyR_msDA;n7j&*2
zD4oLcDeQhHusKNXBsHIc+VLRsVc`qQr=auuK<<X!vjyg}FfzjW6VP~?gx)>}oi7E_
z2ih+M3V$#k$$g-CQ;>b2b0I<g;em!D%p8b5%y0xP00Ef`Dknkag84}1g3fgVnFpN@
zgXddNdI8yoOoPG|)E)+jqx&1QJ_2M9^jvj4FdsVacZGpD3)1dn&P1>0K;!8kc}RPZ
z8I*iL>1<j7q+XjQ1wDrX<_=uq^3Zk$hI(j!5?vgZdSb<4{vdU}UK;8jn0^^39~2H}
z7?`sl{Ut;=90$j<@GOx02?pjY(7YCCo&pvg!BG7$^Ms)FGfX|CU4m&IOg}nZ4{d*d
z_L+d<876-c>JC`?ohirwzMmVkj|4PN1UjD-RG)*=BWRqB*zpli{~DBTK=Wsy^a<mG
z_IDzi0~;TK%EQMS!1jU8p#+u3M2wGs_N9XC1GQ5?_QCj28g4GB<0GK`1t4=l@dq*&
z#)r~ybHVNhoi_({FYKNwP<sZH4`JiSV0~D|M<DY99MfR^0a*N?>qj3yhUHg?e&Jc5
z^Zh~b1&dFJI0wAH4;4o#4?y`JG=B+77qIbQ(0VbDzd`GgK>h~vq35L{n-7X7Q2P_+
zKV0U6=3kJ_2b~WHG9Pqa63BcoA9M~Fq&^XW)K`dkZCH4~{0rlw(#&vworb1sbaO-?
z`&i)WAmyj<ESNfU_mgWM%$yhk_JPh@0)-#UACPhuvTlrlfoT$~p9NC~GZ&^FM1$fF
zR{z7|4|EPAD12og<*go=&%(%<0C5kz-iO5>te*`s4-`+xG{}6=I1NY~W_|@Ioii{p
zszA*L^O5Qwn0YYsk<9~{1L9*dw-Rcu2Gm?IAIV&ZeFD=U@|fuZm$_9?b9JERg84}1
z!rTdw7l6-igUrL{-fF11p#A!wbPVQWF&7pdp!s8%c`zDVIMhJRh27H(=Cd#|g3dX@
zR(?X$#U!vip7lm`P;(*cpE&fueCT;zAah~!Ah2>7W-jQwFVK1gSp5N`OQH2j1Jqo|
z`XLTIFrS5y5mv9m${`r-1Fg?s=EK%^fZ8pfc~a1Mc%bwPTPFhMBZVty+zm7?1ykPy
zwI9^J1;qoHk4JqoR6VG_0#Xm=<53S<_X%<jsJ{wQ59Z@h-wHJ!Rv&@+c+`W=sRNl0
zt1rQPJnGw_=ELeGFds=hY#tOAJ{?f?p#4W6_k;O()Pv581Gxt@oDNbC=HpS{1vMYE
z{u87g%*Uf1bbuwueAs+1n2$$2=$tu_df0q4n2$$2=v*|A`W@hTd=5P@A4xqdzr(@@
zbRHT=J?Q*Fko&=WB=xX)E|_}IIc6aBpnEYv>cM<G>L)<M2h^?vsR#4%sGkT`54mrE
zLl4ZyqaJkdGRQrUdp9`rz<fOFCqvB#-ERwW515Zf{S>Hr*nA<Fk4ODfsCw8uDVUE(
zJ*YwkxgR#a3+CfdKOJg5Xq_;~{a`*G^)sOAVe^t;K9YJ!I|p%3?@Xxr70~np<|C<x
zm1D5<06L%=<bKfjAjmynK9YJ!I|pI@Y^eF5@j#GzFds=hq<luG2c4@0G9R|i70gFc
z4=HyM>Olu%gVe*;e}ef)>LKj{g!*|<_b5Q?M=&2rJ)|B&sGkp2?*LT~=HpSn0IJ>w
zsvgWoQV*#=5#}$1s*ixG2lMf$Uj$VTnvVj7Czy{%{bH#40I2z3J|6X;^Z^P_(0Mr^
z^TB*P>X$;z_kfxY=HpSn465D*svgY8qkcJ5J!oDJ<Q^~|Nj<FH3Y*6QrDKr$CBW@s
z4m}tjR{z4r2^t{n!)ai7EaTiOq4vr^%>na4=buB{?J)B|^Kqd18D{?~s6GX#J{TXS
z9!gJJ1GOJ4k7Pfr9RZt%Sq(K$1!^9ckK})J`$6pskpDsF%z(lR#)sMq-`{ZqYCl*W
zkNs<*_JihIK<0t@ER2j0zQ8nyy9A~|!bxBnWWGpX8f0EVU>aneKwuhVJ_j+r13G^L
z)c%2t2QW>8_5VTj2B;qiYmb8Fr$ONh<HKm!JP?eA-OC2*pMuN<jUTum-9H5LKO|iU
zOoPnJ3c%-YVe`2kpy5&o8IPH@4(fj>Jq<Sh+l(yF!Z;1quLQXd)Xqh}cLjPbB&fIt
zj}QHW+5=lB0K4~NJ=8v!dtvbl^Cygks)OGn0ct;j;vF=<28t&zAGAIfoNffB!OVf(
z`vIFDhux1c4Xh4!{{RC6*nA@V1wH2y?k_Gj@OUe{e-HE5Mk4(MRR{OiCa69Uu=_al
zz<kg;P%t0UU$FHDxcmh+ACJEv^QQvfdy7Ho4mLjuyAKGKPGR?;h(Y}eJ=YT+4$%0U
z#tBU)pm{8id9d;dlukhXB6L1X971FE^U=+bf!YsI&om9z&xfglxdSE-8+U=sXCd+<
zL>|*VEvWg>^Gf0VgO#H&|3T(kF#D;b`VZY41E~EF_aXcTQ-{lckohda{<DLc4?Tw!
z?mt-n73RMHs5%!Y4eIZJ;t%F7SiXdX3ncu7XC*+@M?h&}^%X$XXFzFU^+D#15b*#T
zSAmr?p!r=;Iz*k%#*BApxK4teJ39@uJ`LpG3aEQP``1AHo#1>ZJZm#3pRzDAc0lDD
zpfs`WhS>)_*LE6g{$m2v99a0m>;v`tK;yQs`F~!}0v86RY3SwHG^l>)Il1uoh0QO+
z;&%>I9rPSsxH{0dB*>pIb&z=xMEJtupVV}YZq6d8{V?-j`52}S8a{A$!SXR=K8SES
zhw7gMJs%hzzFVO7!scgS;ky+YpRn*X!V$iZaXdtLkel9M=0M#E_a~|0if+yxsQ=Kz
z6{ZfBUt#@ZSU!P-zrZxqc`3|%0x=hp1hqdv^Bmy))@;mOpnWa)?z4dIXU4eC0$L8u
zh23WX*|&?h&*A{Yf1qI^up{n3`P)J9$G|8L-G>8S7v99cXbBcaI@f<ER2^i$4+rBe
z2;UJbj-(E3I0F;oZiu`yXuJWG|Dfs_LE{ymeM1oY1YqhI_d?XWK+G3_na{WnBJK><
z2fFVE#0TBi1EE3FZ=iM(BWN8uXg?OjT#jjA`&k&Lf$hbzp9rKL6z&lBGBCV=h6iZB
zFlb*3WFL_LBWV2?XnzY>9BKa&Xx%o*K8QNZ`z#>)p%MF+K<YvEfyQ@0`;wsUWIPD+
z2Lq!##NQkcKGJ;ypmnC8{b&$(GL?h%voJEEibG^UBxJn+Y#xk(;S1DXhoJVr>^%(S
z!|Xi*;oE`LA>I3M6e<o@k0gE!A`agd2G!4a94ZbmSAY?!pAod~4-~FoeJqR+bu5e!
zainkst=9&HE7YCSz~+MP5dxWuB_2WQLE*~44sK71oCK+3V3dc(3*#vW-x4g2<o?qT
zad}Amaxj9{IW{pcI)cTqr025`_0C}PK<g7Be8zJS+67{t03%c#<9Vn!#C!pm`HUAJ
Z;?7`wEQ}C!pm`pUI8r!()=7ZE0RTNn(3}7O

diff --git a/posit_adder_verilog/work/_lib1_5.qtl b/posit_adder_verilog/work/_lib1_5.qtl
deleted file mode 100644
index ec84abf9f073d274804880260ddf672adbd32dc9..0000000000000000000000000000000000000000
GIT binary patch
literal 0
HcmV?d00001

literal 136919
zcmZQzU|?vedu<P*85lM|=>>3FAs-@>Q^gEn(2?B0#K7?XKgYDQ3=9lSER566K<OP|
zae-;JEFgK|SrErEXI=!!Gcd}p0LybQUV`%1AoE!m3m{%#Vsv6)U|?ZjW`y#~!1`Gj
z8BM_g%wTy22IfpK7m46uXJGjKpF_le8Dzh}+++q2Ej;hX|NsB_*_bDO|NsBL04sAh
zL<<w+zyJULGcYhQa)Jez7(w!X|8t~4EM{W73~^@<NL>>Hqd1t)!pL}qfq{XEfl>1Y
z*q_pj98mrL|Ns9FQU~Jx`!B$F6{1dF3E~b$kUReV=g@=dXZ-X3|9=o4s*Vw=PLG9w
zfdQl+YQ8ZK$Up`rMs#tQy&!3jdJz5Rzwlg;ItB)&x#heN{|i9OgNLs(G<>1)F)f}G
ztZo{}o`3%Z;QS@v_z{?94GvG?SuPNLSs?wO@W-VeYTq=Nep!h5VErI{63}=8g$Dxz
z^Bf6?`|?2U2gQdg#2&_LknopRfXFjK)lHLu@TbAjA<X??^(>5V_d?8LU;vp1vKJP<
zu<%9?U$8g}BO^>47QW#4VqpZQ4*@pjiQho!hK)J%@Bjb*d03g-VCjVkY$4Mm5ePjE
z<e}gHIi`Wq1p@=qv;&ZIFw2*bfuV_kkr7O@FoKkUQVL@Y0|SE!12dx^L|)Sd9PR>)
zpzs8Ri$9t;D84}AAnX487oNroVlXgGlY`P=b66Osf%UO4PCLN>&L7iOg3T446#zBQ
z0nA6(6Nn~`ZVw;Se3(68b66Osf%UO4PWypoPY~2R7c_f<(Ztd1;YYIvYz_<KG_XDv
z#%T(SsQv*d2Ze(mh=CLipo9-9ul7LGvj`Ju`i?;L8Q{<-gl3-txLgpPb_T4EW10^R
zeUdozorUVtV8-rFDX2b4aKdAnrU<p~0aPC-oI&Xh7SAB%pl|`@BTzb20|_uNO<TbT
z%J&@8UV!xpOk04%K1HZ{bbTM7`i|kyrwY{vODA8T>VAOraZJ-;!R|juer1}5ZXW|A
z{Y;C;q0b%7K3KeSK=rkw>4W9hU(j%LfTmk;{zl5LA&_*+2&U2UE3BRZg)g++h37w5
zxdbbxVCJL83oDwtVftX@>l~=NkoAGIfXWL{xdvi`Ft|KoW9|agE4)bM5}41%-1YYV
z|NoM#%xxgGpmJjcxI7Y=wggJU(m5>L*dgg^Rt!{L159&FL)QmWCjbp+n0gMVevp0t
z|1)%e?Pp`|dPBe+uzX6cJ46V$18hGVa~C+CS(!7x{{R193@Kbxp!L%Vs5$4rwD2qg
zsQ4@>AFPj!x$6s>ejBK|HDGlD(_s2NpyDw7V0~=NU7ykPgTf1hL!j!Pfb*%qv<Fan
z2ejOagVd|jVBvoUDh~@cbbT;&=;4d5?*KS|3QPmJ0aRYxMv6y}SOSgQg`WP<-F1S1
zyK<oJx&>)Bh(pRzPDU^vDc^cP+ZPad4!A#WpqalM(ter+QjeU^Dxmh<hS~!u=Q$a{
zd?b6kp!PuI5%yfbVGl?>$etFc`2lQ@^6?d@y}`gdts9)4glB=`0F<Ag;_V<l2v30O
zy90F>q@3ku1oM&H<pXsWM4n?BtXu|}0gCTGXzt_Y2GtY7vq1Vl?wbR(CjsidmpI%9
z6^FZT1ytW%sQVz{!pV3K!gm3SvoONjJ794ncl$xz4bjIj4cac6hATWl>Ot<^0=2gQ
z>fRSP+zS<lyY~Q8-+hSt#3A-_GJ^R??g~J27c3lcxeKHo<gPPN^I`G)9EZE0;_Ww}
z>bHQ?0is-a0u|qZCjJ2`4l8fK<qR8h*Qfvg|4Sg1cR^qWGiUyRs_%e?(=#0Ifr`V!
ziGu^2PDLI<!wHhFI2j*7_;yfnaQ_8V?>vTxJAuVn7#X4MJjN#waTl;Y7Dh&Jwamc8
z_!J`U43=kMWQ6Kxd<GG>1<SKA!p(mU5qAd5!_>1dGQNO_!^?%25WWjooQ07Qs*e#Y
zjugMp@L`1bi(?vC9Sh?$T;&BgI2jlip!%|4d~mq4F?W4L3x5S@_`%faK>4pB?h}XP
zQ*OpL5WW*soDtM}1%>BZh`1eC94Y_2gNR##^|3HALi-_%?;+w&P<e2z0W#+UMBEuH
z&%($EHkXBw@gqbWq8@Ax$fZn-pCICzH^3$c!27|UA>!6xeJqR+bu5gGUm)U^(Do)H
zSRD%^<5y7chk;QXEYHHo2zCcj_=iLD7p%PotKTXh_1~;-5Od+?fcY$pj39lWe1=}%
zf$d{qoCY(8P<>|sjgRjTd*JZ_>gO~uFgiiS;qmbkqRtL1juaoiAmWx_ec*5e#UK;o
zZ-}@PR303fp!oO$5qAd5voJD(&1GR^{0k9>s0W(^iI0B}ag6x*4-vNp>tkVL1gm3V
zWMqJ}Yb~Mect)@~P`+aXk8g;B<yjaR!R|nck7xq%!2~fEoPn7b7{$SS7Dh&pK2Ut1
z*Ylu^&V&>nxaxTqXgq*MhCt&iuzbM+vCj!A4vz;`h`0+_9x0sIAmVml^+@r<4iR?-
z>tkVL1gm3VWCV+|FhWP%m>3hF{s)CRG7f;cZx32I3>t^xVPMVz=>z#6rY8ofo&#bp
zJY8`@_)bu9xPQ1H;&xzhBzJQ|#4W-4K=B8*fQgX@BJK#*2lhWCy@90}m>78>^3GuW
zEQ~PqjC>GrC$KzNA4mriBR^CeY%Y?x07TptERW<aL5R3BSe}IuVlE3KqYy+K?q6XD
z-vunr!pI2K#|RcjN_U`e1ogKd@s4P>fYq}wPQ%r10qH{ycUXA~Du)>um^(ne17Q$f
zf{nTB1CI812{gW7<<J4Ncov2DgHZw6ev*OGU~v}4X)nMz8_^DgjjMsinLzz@SU(<J
zKgeyMb{R~)7{nfVs6Iw<C?7O_^7}srqXdNS0vQhwV1%k?1dSIoF)+G3fw&8%k5LMu
z-WjZqg%P5Tg%Ki-6#l8ubPq9?V;b087RG5{`&k&L-GP`3AO8iZ2ZehBG~8hA5ODai
zF?YR33+EoFx)W&OIs+;W(+}3i#@zJ|tsY$hRks03%R>AGZ@0-o_;yfnczZz}BJKnh
zM{19N#+RBH7+t~oSQr_>>R1>V!Qw28h0u6m1Pw&nz{jg0qR8YPsC$%P<tkW`iBTD1
zFFYTpK=@8jad^I0g^1gM#gX!t8bsU@tPh+YA^BS!Dh`%M64!u;J3-~asS#AqXhOuD
z!SXDOj9~j%7#X!7;t=&<b3hJfV$_C+W7IP`5OHg;J{CrZIu=GoU5L0Pbo_=9td50|
zQ4bm(V0jisMzA|r5aXes0vD9uVfhVKU&HEseTcbmbHIESMrc9JoM{71j}}lGY#&lR
z1M3evK;>cTu0Z((;1J?~_pf2@0QEaS`4wh9x_+2Bu<;;nR!INJ0P0>)C^9fG6~Xw~
z(0m2vvoIDx<r#Ar7#Kttm>HqzMhv75l%EVC=D^d95rppq6^ExAV~DsNSR5(cm_WoW
z!TP}Q3Q0GnP;sz4lDHW}+zBcV4i!+kF^7mdgXLKm8Nv3kFfv*|#3Aaz=74;}#Apc-
z$4EC;5OHg;J{CrZIu=GoYlt{J-GJ4xFf!Ue(+yajg^>~L4y1Hb3=L0Me4(csTZp-E
zbHIESMn;f6Q22U4;~zBM`~SbdG_ZYG(hX=_7bFi;hb!IKLG06ns)Lt1E>L^G@+^$g
zVD`eyjRcp2!m|{i{)MWCr3d)DK`u0%fYJ-d{UK0uq4rI~<t}@OyWr`_0m65Jio?^9
zBShQ|ERK|poFL+sV13|thNL5Bs5n?2N!$e@?gW*Grz2O0xHDLug^>|#9}6R+8$=wU
z9&8RI9l1lqG18F-MBEyzkA;yDtd50|(GwyLPe)*NER2j^&~OFIvoJD(-GP*jDhQ+_
zZ-}{YbHIESMn;f6P&`DS#RJ$rZ0QJM4o3P2fa>=F$+Iv{gT*V%T=et-RS!uYNd5q&
z4^TO30yPhoE}-dY8jKIs59dSs-_s65%P&|ugqp($G8g1e^l>_{Iu=H_Ik0jTHVzNV
zkLdb%!08=x-0=jo{=5K{KL@5E<6xi^0jhsN?f|ht7}gE|wGWWncOX8feP_brp1{Ds
z4lW)T8Q7UX<9nhE>`b8X1qG-$3j-@hL4I*23j-TRNqk}oh)#*ONCMHBCGjO7Iw`)4
z0Yod8D{Fx0;*!K75M83FYz(3e!2Mb_4!Ag!ubi1%0FuwiOoo`RTMnj+Qk9KB;vhdT
zGO%&L%+1U*(gcYs8ykS_%gi$Z(|F7=1gXa~#}LgNLqg^lAe)nupN`_bvc#NHkUXfJ
z#{_b3CdA(+P=BT*mL!7Y4WWExs61#MAEX{8Z-gdq3FYUPmZX97XXd1W-I<(|4W>cy
z4brb%plk|~H;1Z+@nQOvGnLIy<TI7cL3~)e6r~n}-Jes!z`zW0e*r`u=AQi060m(K
znQ35mz{1l+!O%pZTEWml!89dRp<1C@p~T3nR-szKD7jXl+CahBpjM$)!8ipWZDI+M
zHc3H}HcdrHn<avz%~Fx1&C?VN(-OgkTbL+Vm?)U1DOi|*ltI`qu7QF{ZLNYuqJn9v
z0a&%A0Z0*;G*B=$0lCD|3?U10p@JodG*B=$1<58F!DSOIKzhv*6-+D@k_;4#%oLJL
zKqT0A1`5WOAoWRR3Z^M(V7rpQc7aI)1yggdY%*N7B*{R*(g0+HWip6NGEqnZ`v7VI
zh;5)?3N|zu?g)?z!0s?mFaw*IYyo#)GAM);z@&kKIXIM4Oi}DJGE+!S1zDDoq>z#X
zWy3`hEfiAHKo)>W0|j%VT7}wLP_%-Ya3FdVkA}c#2#kinXb6mkz-S1JhQMeDjE2By
z2#kinXb6mkz`%xp7dvE9{t5?ZGC_dR8^lK%<V$9RY?@dDk)O5#N}qw!Fg{d2TpoRp
z4`vV497d42pusgB=-?q}5iw{nHCP=BBitO=AfEtK9b{1m$24?(Fm*aYV1G=5sYhQd
zdKt7xl#RLT5{O1xtfV3VS!@&p@;?KkI3!k>7=ziti|XZT1VQF<FoG6OHZd^DS3vop
z5P4UyI13|8JtJ5gY4b@sG#tU^A%$-SBLf2m12ZGkUNMk5P`JP*YbBum-U7ByU>Yo(
zVEzq**slpy2cHB`f$9UxgBG<z`O(lp&OoR?q3U4v!w3H}q3!~?59A)$q%+i>X}H`2
zGZ(5JVlI+@LFR%6jWwX|ftd$&$21rpsvpirPfsv=pyn`w%muj<Jzap+u`t5TfrT3^
zeGp1d1_aU*I32MucU?qFPX^HR6afuqSbB<t##ac$Tt?93I%v`>3QZg|83+;wEgA+*
z27%OpXs~`3#%W;nER66;L<M$G`V;_fwgIV&fG$c$U(Bn4rVeI4O#de6pgd?W6EqnK
z(~qtX7LL&n|H(tuGsZyqput+uq5-JC=Yr(_{}-4GRX-Q1ZZ1ro4YDY9UK~U}V=R=8
zhlC3wm}X(jgQf#U&?G7k12ZGkT=?W>AvE2B785ryFh)b|gJ@%5VoZdX7Y)_N2$N5O
zh&zMzu`oi^u`n_wL&d@JEQ~P!!Q3YfmS<sPgu2@i=5DCJ;O2we%fY~$1#%xKou)v{
zg}V>to>Ztfy8bkXxHDKE3nL>~9Sb94I#e7i4|5+2Biwypc@{>-42U{&uz&Hm7p#tj
z5gfiCcV<HLiG%&c!pI1o-C$sv1X{EYN+18YK<Q3++7z_%2^=0QjMHH9U~^a)r{zM+
zpDc*EjM)%c9>xbPwgxRG0gEFoI?9Ep(*&zST9law5qAdbV_{^3s$<NDh|5cW-NnIJ
z0OiX-`GpX^8&n*op0Nlb?gW;HnFpH0g^0U?)w3`%LhWTNfrvYU^}*D!Ffx`x#lh-8
ziw8h_7DmQ05Y52o43=kMgz0B2hltB7K-~vg3=XPiRG|Dyh`bwA9HySJ3L@?VmWP?g
z!pK++5qAZvN7&0)0}*!y>w~FdVPvd@ii6$9!U!>+g^{riDh`%sVT9>ttcQrZg5}Z0
zk?Or%XuSuv7pWcqWn|DI1Zepv22uw~Zw(N0HPOq*Ml^9)`3Ra^1C@8M@)4|`g>f2K
zJyQAj0KCbBaQO&Yw2N#W%zT)BSoxR%ZU2B4eSy@Y>tkUBw<qA~AC#X#n|Y>!7z|9)
z)<PGVHbMObH3wdQCqT_dw+F19foU34-!vU)euAloruS*`kj)ivb&vv(39h~wVy`9G
z9He{(GY7O-800UoIu^!hpv_<Z{|nCw0J~dYng^7Isn-Cz7qO@%98!LZL)9}v;~Cz*
z$Y*3=0F~dMbO4I?7^rzW!08>)&Vjl64%mEwY57q9hCtQB^xXi<BNoBI)WgCDrXJdE
zgNF}H9n2n>deCNxCI&`Js61#bDQG&75oV4E)PAr!r0|J=io?POrd|Lnju}2s^^ovE
z${(Qc0kxBS!1@s34Gm9t_(S!>`7nRLCf8x{05yjZWG*PY(c4L2b)dx}Q2Su@JF)E~
z6G(doXS*BRK4N3;LZ2j;U}RtbO_KLBGce$tBnO2)XfhQ#DPHYY4W2}<t<_WpO}-i$
zn}8=(L7OwcleoAi!?8|cSEm+hgUzWns0Gorn#y2xh9;0n+|*(Sjc0Nk>>g151WmFN
zoYYQ)Ov)QW{e?c659b>|?S-lbwW~n>%glpJvKJeo%EKnXVUzjAMyT>;Q2VM<i}FGK
zj4y#K8h}rxL+yc0exs{{O=2rU)mcEz%gjpyhZoHMFnQRdd1hW3$|S#XB4kn@)b0WK
z2WAhFJHU$_V0@T7lKo(L7(X*F4IKY4b7A2M(}(0P6Og}Q_Eu!(p}QL_53{!-GY{R}
zV0l<L=O*TX7j3}Q=OW?*tPU35Fm*8Z<|5(+tPT-QhVdZxrI*Bm7jNVt)PWZz!0gF^
zrN>0bVg;DF`33O!2CIjqm!f1ydMQeVEb_=HN`{3OSRKqi#c1NNbWoI<4t7s&D%2b}
zA7&n^y|8pqTm%;fFPeabdnz=XQlb9KNkvr$^Jg)dI4oXsa#7X8{8@|;2QSKisYhRI
z;RFr8)QSRdc%#cJ8=6^w;=#n&)DlD+SU?tWSj5MtgZQa=NjV@o8|n|`M2I{r9$kWi
zz~&ZZre}cEmBeS}foOO-#9_WAZu7zJfrX25qB58di#M2ksqrb`cuGryh7YDaVE-7R
z+XIaU<wRw$JS;sWrlf%VkqdP{+<qM98WAuT7EdsHVBrO~2U?!M@=JVv8OkCV<wQui
zYyfqykG~7peVKVs|H9-gA$(Z4<fNv7^J#p3DTIbD&cP**C!WCNg^@`-*xvNiJn-VJ
zoNQxQeuBuGLhMhC&j*X=q{f5GTbQ{exsY@RT8s=T|FEPts5u1eF^AX#3m0Px2)`t^
z0IWYgAHoN1vIN@$4Ig6*NI1gW1KQ+{CXZ6?fi|6?$%D&ZnEqgBc*5NQ4gb=d0<iyK
z;wI4gtSAqXt}x`G^=%O}+z|eV2QPxlONoapu7Z|xnDP++!tKKd4?k4*K-~#jlm*jg
z3Uy~neqJhazJ)H*LRw{I2wpv81X{CXpkN4D8f9#VScPR`0$LhmVh&z~WoQUqI%R4B
zUxsB0TF<3m3R?69QU~H%z`2lRSZ2lwrYR}lrBNkj;3Z#Xpyfse3P#4DwNd7vl~Yh@
z^JIk*h=VL34l)AUXMtpbg(X}GWZ{?*c)gaT0m2f5DVCrGXrLuqAd3=_lpst=gen2A
zs!BpqVriyOf-orws>}jpQc@DavP3h55`<~VhTw2Af-K)c@)@dW$<U={ki}X?xJ*j{
ztvoYOFg65PngWV6XgDPsDwKd-q>u`V9s>nq(3&lUR8TZPm84iGlz^>KNCm~Pfr2qO
zw9}A-(g5N^bC7Ek(m+vTpkM-aQyP+G7AX)PT7VQN7#cuqGyyxx(7+Pmcte9Ug%WU(
zD;OG@f&;<?965&IMS)O<85*W4lz>BB!4MR^)dmVCW*`q58kr-^N&`o;LW!Y)2`s)1
zjUW*YS~gg#U}%iwSwka`8x0gnEI>*W42>aPHifv+1eBbiwu3zbN?Qts&@^od4mv}V
zM1<vF;|xs=6iN(DLB3TmG=;<jh*zs%XbM`+2Q?6?7UW7(h`DA)V6U4(yl#e+2q0?B
zK#ANOVlFu7VXFiU&C}4`U=A|Z3>-g(7LXtXIi*&?&;s52h8C#`C5D!u1gKzW2{PV5
z!3-RkhL%VH3vq*`p+bqF1t^ph3@t%nW}sjO2`uDX0#R#ds!#%nDFs7tycsB%fzy&<
zB68$|qQKAy<X&*xD;Oq1a=95e3mYaOrE<e03xyKHq!fh`6Oeik1I&YogGv&^WKd`t
zD40X6O14xmN=^o?FHJ?tqhRe|dlU>)Avx3>l=W&A4AYFE8jK8(V-{7h1<22}3Py%V
zW<o4hFfxRg2MYCC1tTLvm~qJI4q`t@p@I=K%~^tyQ>}uLi3Lo9DRQiW0}!DY6gIUA
zMrNS(n$QGgWR?U<@gNKe2P1P(j2b9dg8ZdmWRBz+xJq;eSRcr5)dmWN1|SD17$K(@
zBa1YU@d_pA46sH^P_h7NgxHvv1oKETQrTf-nF@(LFawmOL1AH(oTyM@lnhFUphyJ?
zfuxW{z*eLfgR_Vsxa_V~FiL^Nu2C9NUIl4~8&9&)paQ*C!6*$WpBSZq{S9)0u>mO7
zKs6q?L^n3Tq0A5x`i903WroN>fMl8>D2pOYGejyrku5cXR-;hUjF2MJ*vMR=#Mm$y
zL>MZR7#o-=l%R-#T@9)VK#>YrbZl&llx~cTL3tV!cM8VFQ0G7jb7K<|M7d&Yk_3r3
zP{bIUfCEIK1nNjossP(#3Npt)!4OpY*D4sBA!l^sRD}{_QwRZ83|eyxa+C=qjLeaO
zGBs79B-KQr1RjkbTR{TG=AhCQlu*F=M#0z|Qd$^7N_S)ADj(cjP%yRttp_(yFa#B|
zkoCz2I2afh3r_Lnusvh^z*5Kdj8S@@<cvvY57;z92KqsJ>lg$W6%@b>W&=J3(C#y4
z2R;VS=|ao_d<<+13=GT(d<^U$x`2-Xw0o4ffsX-n`W^EGJ_arZ1_s6j%naNh`3-yw
zpn+PJ0}LD>dIRW?L6!>)93aUDV9p0*4x<1>9it#fj)_r-nNe7T0dyW23!{iO3rH8E
zDCqbBL1soV5eCo!V$6)<A`GCDAD9^>ppIpf<bt@CQ3~o@Mro*f8D*djW|ZZExR_B6
z;$&t<d2t5NATu+gf(8SlJm~N*7Djmn4v-k5JjgxFjEdq6phLQt8I?2`7!`#<`+67^
z88|><jEWE?%Hj;5bK961RWukFl|{iylo>ccVvNcVC92{KVxYibRMTK!R0YjEvoNYM
zaDc=ZRUt~$#Th`S)G;$^XfQCUgAVv&VN_?}0EscGLzHNWGk^~8VrJCRU|`gg23w@b
zzyT6t)PyL}7H0sRamUQ4qrt$aEelqn&A<T?W7LKy(G_O^9jnF6sHefes4EXvqRYSm
z5@XbbDA5;Z08K(OGa6_xFzSO2@nT`rXW#&dG3tYqurL}jfX?n<U^IeojTu<L&M<*+
zO&M6gR+>S$<_sL5w8Cfs;aW1VfNisaaIG0wz$SwZtz%$jwAEl>v;!Tu$INIi&Y%nq
zHV1JA6)?k5oB?z;7&D`jI0NXAFJ?w(aRzm;l#4h6XvT_}(N&y56D;B;&Y%TmxQjDr
zgBczg42<qNU@yBfaDc=Z-9e6EX7m(i&;={;(qLfp)B`K=WZ(daF?xcOurPY_@#uq6
zx+rLXgN4zDj|bFKW`uHm`FKDbY8cm#j|Vg^0pt4f@qoI;5H2%gfFuK`SI@#2h-zjK
zs+qy4W`>}e8H#FVm?Q&eB#N0aT$}+kq{GY@p~1iyZUOd6I0FYrj4>SK6=udraRyMY
zoS897gMl&93aliOfdeGQ7zt4lEzV#K4v-iP2F7R`u##v74v-ilXoUd-Gh?hcgDqG|
zoCX79tQ}ZMECUBfj4>8sO1wA&=qyiW#sm!p#(2;%W-N^H3>+Xa#(0R5L~#a3uqjCz
z42+536FeCc88|><jEN8>$>I#4lOvfKQ#2SDlfj2cGA1){fW#P+Axcuk!G|?6Gp1=U
zFs8bJ-IB_{0Xh(lF%_aDU7P{b1!iW<&|qLp2W{PAVN7S>0EscCLzHBSGkAjClBL1G
zm<c*niG?wffdeGQm<dsmEzaN#R+6K^z?co{bF(mJGjM>!7_%Wta>W^Z!AkNp7#MRw
z!__Q|xeOd2F-FkjC<8NNzBq$FSV@5f17kkuSRc?S5gZ^fM$r5R12bcxI71*<Ns&1C
zJQ!xiVsVCGut<p{LkO5rD#;KEW|T=Xgn=35;tZg}OPCoeG#D7mBf#NM&cFc@V=RX_
zuTq>L5-eWDzyV?~R)WM?7^@jr!1mWbxU~!{phA|h4#Z_<tk+;*Y={EuYlP}+0?Dv2
zHbeEbfVj+ztr`rBZJ+}?m>Ju}89*nxF*A0EGsJ?O*Qvq4*bxUdrGtS3B*xeQ(#FEr
z#m5s5&Rw9hE?5}5`FIkMxIKJ4NpLPRW3MCw=x7FJ#y)X|6tHRi3>+W^V;{t{2@EXY
z;GPKKPJ;SnGKkB}I7NejaVlu<84KeysJ`hS85YJFP<=B&TxP~u8VroH)4(pDBhHWx
zX3Ujj$N)3ui8FxqlQA>S*I;0r2Rf^Vg>fDO2S|)@9z_2FaR$)VYi7oU8VrmJK)Y;N
z7#A>bfW$x*CIbUA<05ee&~8W;#>KFr05pNd!nlMFbSNzYqbP{W%(zsN0W^-p%(zUP
z0kjK}g>gA7z(A)7urRKG1sH_85*A<}E;HjQNe0mR31-IC;tZh8%FK*wG#D6Hmw>}=
zH3J7ojBz!@(QCySO2HmnC(cj?W~`TFC<ik(NHT!7s4_Ed6lbUei)<2Sr~)%KOEOf0
z8C%2|K*tNRFm7ew05KRrhfXoDFm7XD0afda+d;OlFz$dx$xaA&7Xu5ZZfD#L;qGBz
z0a?ws7sA~KRlFa<JpfgF5W+nKReTu2J;J~OavS4O2=^G&-s2GN38+ygA>308EMUK%
zhH%e7WzRym=b*CZL0o3W3mOcJ7eTweSQsxsJ$D%-!@_t4YROdy_Zrlg>k#e@sC#ch
zxVND0y$#{sfhxWW;ogHPz7OF(fGU0n;XZ<T?lFY>1ZwY72=^J(z0V=s7f_R5g1F3#
zuQV7KU)O;n>5Vu;Jvi>)!cq|=+r5LOA_(_AEER#c%#0r-85+RaKZ-LnLKqqhj31l8
zCVgb!0Esbvgjn`ToS_-4<dX&i<0pucPYfI&F~(02C7;C^TEI#^YcMc=hA8>WzyT6t
z{0vd@MVz4(tmLZ(1LGHnk}nJ#ATh=-5GCKl89?V!GBbYHU|{?PI$Df{@f!mNNR06t
zM9B|v2GCJ0%#1%Z7#M$af}Q(=fdeGQ_yeNkmpDTg*rMN(44`A4nHm3xGxUH({%SBV
z{^<p4`oq8h5@Y-W(ezK8p%1L&zXk*2zkaZie+(QTF~)x&B`lx=j5t8;W+p~Pr~nfK
z3#b9j#K;U4U}0bZwT_q=S)l@KkVs`>WQPiHK%$k2krOJw1v7&iD!>EN!V4APV_*T*
z!%U3)Pyqp$3UEW4g^5v!fd%AHCPrbX3K0elP)nYPQ4}g5#=rt<3NtZ^g9MnF7$r0q
zm>4A|fX45c7^T=iq71WrcG_hAaJgN&an+l*MY|#=HzgY!+O=wioBiGQ0iq34RC3FI
z?R+_r(dcean1ZqCvR~ICYPR*A|F604%c1F8k1l?8o#m0SvV%+NXPLKpH<mQ$vsD<0
zd}OL)O4(;uGkLx4(P#fx*)xg?-Zy%@$?jF}5{Ac)m7H^4&1?|*ZdQ1>d$I8=x!>mn
z9i3DHPs*Lo348ZQY*+gJ10OSfJLsERPi=V>lY4PvfXKYZO3&ANe>94@xOm;c=8wnw
zc{KGimwj%QS{1)0dBIWVDy7af_3wEWv1y4+ejeu9yZzYYimg0fh0R`d=GPV+=k>m`
zO|O_C*7dR5)8?f4dvc`hW-L|N*ev$qvht)a59Y9(k6e9jvVi@IXO}Nd`c%LYKAoAZ
z{Ajyt>-Hso@76SP95t_8n67f%(`)g4<!0?7HxGpiTG~szf{ow2XPI^C07Jv7DT13h
zYztl;xU%Bzsos=3N-}e!*E{h4&-&7_YuA?C16+?AHk{R(ZR;t&kUeU~66L2svzBeK
z-CCL{EGW}dxR$?5<g1TXPO|o!*}e7J3E>ibsl6$Qe?E6?->|xRUH<d*4J%6hvX_bf
zII!i(zV#n1^>;H!?yQ<n+Inz7flB(^Ejl-Re2jm49&20BoEr9*=b2~>SL`W=Z;diP
zBJWDbG_+S~nH&4844d~_v3Y66Wi{{R2dC~3yYM|^<t-=KCo8tjlhcn~z5J&2J0X^`
zg`9l%jVm}_bj7n2w%YHya(`YolhqZkMIR5VFWmp(baUY`sgjLL_jXQFQJgBc_0=)8
zsA)ofL_a2-QB$9>&@g{eV2;qi8rPL8zFzt<<6Qro&&h9B31+=jEeJfD$L_Xuk*v}R
z2kio({nz`lUBqX(N;)L^wHY4=_hbbW1QZwqn3$(9=z}_pjQ(J9{eOGKgN#Z}%biv;
zIxTft>a-k8E>ntU+|8!wzLH5Pd<T=F(^3<cRg5Yw%N3&-_c5BduV7S*VBE>1<g|)W
z(P^nYW9SYheMaZijEWnS+?O~lRg7fZ%c$tSl2I{y2cy$c#h|T9o0ckWU8uNmq2k6B
zjEXxL!Ng+4!0n3_4=zy*+`+g+@sJJU1|3GHWhOy87*$->Ga85PW>VQ;3o=%hF>s4<
z;AUm#)r>|V`x%v7Rx=rf>|s(l>a<kRb&1nTM#Z3=j801wH#sd=4B5rFSaI`WMK8ux
z%x<e0-BvNWtzvRp#Td=Ji(S!qB_q0+QuuDBg^Cf3`xqB0A706*w389!Or?#B6gMqW
za$gSNAV_7WMT#L?6*qv4Qrw{EvOzI)`*P(SjLP9#omVi~GrH_z)MpG_$7sP3wu?!F
z!=G_2a|F{q7Nz5+?n_leS20>Lx$b1tWC~ry7`&fJ*@JNnqvFDqjEY{2>%s0^!4%K9
zgH<_vHxtOfwT!mRF57jP16MQJa)#|^)aCSNT*Vy0v>RsLa(iaiZTifi%Y*kYDZ8y=
zRCHd!s2IG1ak;Y7)}@MD6kV3Ot!0d4+{vUIxCvwjlQ-iECbtz#F--ed6vKBit!7kl
z+761uYl<6`Lboe!0L8d+@IFT6XvQ6kE~^<W_(FCuYVdh7u41y|jbz-#q{r*cxR%MD
zH=1!TlRmHeT1Fd2mnAxk?kkw=c%zthvgq;pFs)&+=Z#_7!=lgY!L)|ihB0(EqYk4F
z;|gXA#t5dpEE<eHOzYV!_@bG2vup7AGp}d2<BezD&#uSo$-Iu$f+>n=H>(Dd2g^z>
zJKlJfJzRRczAWoGESTb$_i$)1`LV3vj$_@;qjcQVn{frBYWQL+kQ=qYZnWS7xlw};
z<VH(AkQ+7m+}ASNF}f_#1G&+H59CG-K9CzN`9N;e<O8|U4&+8Xup6zwZqx$1(F*KF
zEk2ODtibNlV)A2N&0)b8%d(F{gU^R`1y?NV4sJCc#`T~$T*>Uow4TXrC1VuxeioG|
zrhUwwj4PR7c%fnp^B%TEiXM#1mMI18U{rKoq8PYoC8Nqt#$}AsjO~oNLQc!A1l(6J
zY6*mHvJ?o~rYYdEiqTRaXsf1x2jfa+3&AMH-OL(-9*pZ4Em#A0GitDUF|K5>6o_Qn
z&!Q>d!?cFgLNJDDC#!~_2g?d}E1n3Jz3f^%J}fIaEd*m&_Hbwjda<lwH;iW9$*vT^
zxQEeF;FzYsL3{qd&HDT&7!8B=GAajx&eR4i$QKY`Yy#K$pvEpEXq*8&(K%5-fpHoO
zXlMY$EhrFBU|<CGH9))#0uBW%pvF6h6CfZE;K0PP2E+z+O+GU=30z?8Wa8uz1&_C}
zKVuC1T*Lm1aoXDhHjEo&XV}J{C^L52#vEw({?MQQAiNmV7-L}YJ<iC`zJ)WH>HmLb
z_L}dI^<7Y785qFBZ@w=W80KC=mjPA4D>gARFnGi@sjxC!U&@dg$-@4mk!zC>E2Ezn
z^DA36rhgvHT@CC^c1EmRr5sFlH<`H>b28bLGIRarVzN8R!Zn+l$(ohLjEhlGMudrx
zp;D3Ii6f^%lRU^vrR+=#L4805MgbYdqnbQS+Y?xn&$9P3Oq5}pYsGS@1gvV}E2d1)
zMF$Lw4XYWSy74l7-^aSRF!CGY<i{$9co~bgvn{UYWy(Fnx?(2C1B@vKjIBAgXBfF>
zv*f7rFg~tjX#t&I$-rpyjnVusFH_h_*4L9k-e-KI!q^?b!=&59A}`9rC@jem2RbN<
zfpJzUqyO<a-MpO4Ds0Y?yi7A1SY<&+GBPmnu`&j-^-Hp6+C0u>n0g`hBr8Mp4hHrl
zJ}%SmOd9XO&6$+DOs(6ZD;V4#@pEnc&aD5BhpFH^%dCDrMrj?!vIxe7dxUcsuAdU%
zn!1cR19YMi1Jje)jIH*L7R(IZX$*Yl**^F4F`m_7d=UZm&(lqejiA0K17pA`M%PwW
zrUjPFW=U*Jf!~?mKbn7m;s0iKw!<N;r<Wd!V^H76zA%@MG4loEf{S3yr3)Arf`&R6
z7zG*_j|y@yZ5Lox))n(-xYxtUK23``%7u%mb2m$T^}ELmdRMvG6KAsYTRqQUW|)`5
zu<w*0tNSvx)3NMKt2<bwWw+@wyz>!aeZGph+l7_Mdlz$MDj(y`pNt1SfvvjqjIrV@
z<KAh%EEvj?gjuhDXMX*Ujj8(_^UoeW#+zD<2SBIPGcaCS#aI!_xOdsF2!^snBCOZT
zxu(8nT62z-k#!yOQ(r#DhbtI21b`}B#?VEK4?zPg42%;x82<<|Zq<L~#Sqpe$~xVi
zOSGP8&3iUR);G*gPx3K7T)?;id{72sXcOZ@(6}-K;{*;QJz}iW?OC()Sed%tGygot
z$9Quc<AE56t9LP`mNIp`ViLQ`xMsHqW4R0G&JIR4Sw4GlMs;6S?rt_FZ&l{XXg<c9
zt&9gi2UapLUJ_$W)n)34ViMcRxTac^vHS?<x(-G`*+u#c$9yDMx36Lg4`pIm#aQIZ
z%D8hU^V}ppM!|240dK(;e!9t6znQ6`T!iWVUCx)g8JAW2eUfBcex0p+J(Eiu<EOQ3
zj5{-!=N{l=6x_rZkP6XrlCgd=Q-!%G)BRY^f4dnsR@XmbxP6V2y>}i<yp9;tk!)7J
zW8zF^`&lH4j2?0^#(!k}{aJ$P+7-4VJ0zL5I<czvf>uMHV`h*Okzy5-W{y&qX6meE
zj{k1=n_>AE)`dU#88Z(tEocSXR?5M+5HwiLz$l==cr;LkX~6_`GXq(sz+m?GtKu9O
z(wkTnMFki$C7BjL@=Iw7<HD2R93?P;@u;C3(}Dmdvkmf0fx%1&4SbB5l8noh1sGFH
zn0CAbTjY9y@!&$Rz6%bFt`iiP76`MNnJF>_#<RZP6j#8I{)koaDj#F!Oh)V$DKTvf
zU{&8B#8e*4+_a3TFou=!UN_4JHddy)cFf-k^S&{#zhixPmXGn}3dRMXyFeHiOBXRN
zgrq5f4#uO5%1j##Sk()JnaVFiOkjhUzy>kl%`+8-zyAcb|7EoJ&B*Yak5TV0quOge
z#^k4r6HhT#Ol54a7GTtKVN#nZz?jU)G=+&VP(+ofN1ENwobgS)8soP!Ow&4)7@3t=
z0$mt?bTBnAs59yrF{zbGF(%(+p16szB9*D(wg#hK3!9px3}Z4Q`$Q(j3Rb2DX-!5w
z7G^a`Igqh1F)c<t78W&01;%7X)+tPk8LW(R*(^9z7($f=p1U#5=w)=^;$vK=&Zrj0
z$C%vDI8lzV!j`ciTYypT36t7Q0mkG;hzqsRU8s!3h0<7DD2v5~@>pD`h~z@1zb_dW
zcF8cTw`Sd##>eRSkMa3i0me@+nATqa$2`v>#=oIDOb2H$?=xijTqMGH<{Ia_JqnD=
zy;z@4W!$G;=cUVdd^z(bf2P-cqKtp^IQx7Q8Piv>7KJdbT(IyI6T_tl2DL?ctmWn0
zjqjK$&L}aiUCpx9jgQfBALEBwa5-}I2jfG~$;}Lm6CN>MJHj+~wlZ@%3yY#8A7dsX
z;{s-|qEZ&dg`jRS1EYWd<2wb$8IDXPO`ufD^q38-;H<np(@GvDNzig*1|~}*uwZ4G
z0n>r|jAyq$-owlwRmQOGzaeY*8RplWdQ9&XxaS54F|kczb}$uY+8fDazW-e|L);M~
z*7n`Z-f2QiE&rI!-U~AazGZrU>DW6~hPXWpcG<?P?oU}x-_~PV-@+{`A;rYT%;F#}
z&9qmR+1&hHHACDJ6V~?MEZ)!bnAZR2mVGD9#P*)W;k*>n-nGo;!JeO48Q$$@V6SC;
z_?n;b<rAg_CqO=6ES<!-5Hzj9z$oCsc(l=!X`=zNdVwBO`DO04+hv&2VmKOB$}-s|
zu$!NG*UP{z$@);4pYdf0(*n@Bundf)mlzi=1xFTGyBUghS%`KSu=W!^&MXY?IvLmn
zSsxk;Fun|7S^&Drg@Lg&2*n6<6eHxA(qfn!R?0KkCNLpfAb{Hi7AV@~A=>4@+E4f+
zxqy%HWeDT)9RiF+PE6}TV>%3sJRFRF87-L(8ZaL(&|}(uiCcGz4pUk*bHj37Cfgb&
z^DpoIGO+(-efXG<@#PoB<v#=%iw>dawL;OW3(>0s*89QuFgwG$pA76DSs#8DV0?dt
zY2glj#(XEHWsq{Ul7n$4q+C@{U|bq#%~U>tWwFjNABOPRtd8pZjQeVt%%Lrn?~HT*
z+c2@8VR4?qX3KEBg4OW>KjXg7Oy;1I;~5xj&NI&Kw`F42VQ~&=S!>6jo53x9fw5u%
zV?!VxquvBYHA8;J<Upn=ix>k#?3sFI3nZx-Grp^5UiHqDsqP(9*I64T>lG|!0gQ@k
z9AfPlmUeQp3o}NjF&>EJV_et4sK&t0m~6~6C5<ugjssIqw?Gn`3FA9^=2dxS5bd@|
z+8r5|cCw*q7hp^@X4+fM&lrA(>Do?k8tf`ybbHA7^|BMwkttl=nv64@Y#1fBvAETm
zGk!nG<T{zLRgI}N#+Ff`i^Yu9f=S$xskMl)=8HAc_1i4(wlhvlUUZ3J{&Lofar}&(
zeM|{5U_-xJGcE*;<1#P`ykk6i)|qMJ3QpcYCb>n7yF#rQHD|EwRTN+hFJQX(k)Lti
z1*U=x{EWMUnRYB^H1TH&n#ZKA%g?Bt$#m~B*s$$O!C5*rQlDvGBV!vg<3@HSJvnP8
zMt+vJ{QQg`b(khV8f2oI7!yIG`wWZ|PBQ+P%(zuw#+r%Yl^X+pKkG++KE{(ej1M3x
zziwh&2x+<roMJrM>cX_$f=9Xb#(M^#i&EPe<8qmgofcr6y_A{36J#~B+C)Zky<$(s
z!woL%dyRPAQkj@<TQTaiGKF(7DzXYNW?C{WC<eRL<O$=0lVH~Ai6E_va}5oc`~n!$
z7BHGQFkWb2e9QZdk8y^Ot^s3xkqi6L>%8apSurj4W8$9JY0j`YHDxv%!?Q~a;>%eN
z#`7_*>|s;@7i)~A#*7O=(+~`d0%sVHcDgccSKw9lJ=V_<F3aku&Cj?pl}X_g*xu6V
zj0-{2l?;pmF^or7x-o4`;8DNe%v3y?W3^UDfICC)WWI29#vgu+4Ly8}dRmNXu6&Hi
zI~gYyF;+ZfYPjvesMo@%Cdm(~KEU;+Cv%T93%K6&V*GZ7aaxB9BeN0@tlsoy)H7mK
zD|KT`zR5KaT5tM5jBsU4X5@v|hfJO5watUSVCR1Q!MG4o2M9c3JbKlaY5NRLW!oG1
z1Y^RNeXkK0I3_G9j|pG)qu064@3TaTi9nQ?*w@2o4w{l@V6-u2oLlb4#D0g>c}q*K
zKZEWIF0?Gp$Cw<*2+raGOg*#tlGKbC-_<j%dgsej_l~pctSgh<3SKTpKPKyqtY%4!
ziYE@WGQ@MThJns5XJDME%2??IF4GrGXIyF*$W-XZxxCkpNmzsRq$3~Wx($p11$>O$
zml;!*gTrr@FXM~ZjC1X`Su!*1N@G}mo^@j%AET!p<AYeR{MQ|f3qkWn42<CL4Px3j
zgFViWNivDi<EkIy*_o`RN_>nP%Nc*ZXDT`8$Fy}lYpWk0qvAS71Bia3os6rB7@40k
z7Toq@+S|%HRf-RE%zXhbICWo@WPAvk{bpdCkjA*{EaQpUjCZZAk{H$>W8FB1pV5<x
z=>g~{a|Xt*8jK4;vz-i#0*e@rh6XcjpTVVU>rx-Wu=XsQ_-w`sGscEmK1RJ0jB1U1
zjLC+KQ<4}1uY@x7%w_^7J!q3Hh>=-|4VLsc81;;p)k=dwNpH#~#*9?PxrcVYWMSAf
z2dPnmJ(-0uZJ)uXZ0k}V&an0@FE;xpCNWkVWonr1!KlZAk{u$L(6WOcW1$i&Zzvz5
z+Dt}vNV_{Gm9gv;<L)VpI+{!x&fbi5TN&G`gBg{Na0zxWRtPXP82T{k1u&{@3}Z|V
z<eRdHF~gN{Zrd&ihV{m*8_W0@J?}9-*b9li3Y6>-$+U3>uew4QlW;NL$?trOJMS<u
zfbMo;U<@i|ta-+?`)(MMb_d^1Mn1+!1I7c1U^BKJU{nN6-!L!=Ff;yTV>-{vB*+!U
zSg+0}7|+MZ*~^$94UWvOri=?gvzZKx0@oN{uVGvcDJxw!gJl~_44BGpF$Qd5e4DWF
z92-N_4Tk(ptcIlmjQ%&79xmo*d|$$}4AL&Cyu`Q@(k@Z(U|iZ5#gt&cv8^zgDewZz
z`!#oB92w?zv6YK5?$BanaN%Rr+s&w!F2I=hmuc^7e#Y=8OxGX<Xx9WrH$}#;!7)rn
zrm*6unEv=OmUjs-9#&`i=Lhy{$2`Uvpu?9L7_F<p&aQo8z|{Af@!5CA^!JQA&IdDc
zui@Go!N{{9ipji~L+=@*-~ol!ScX(fw)P^%h^LGPZu2p&YhhHA5MWGXX4)&x&loPl
zbPaS4H3K88s*GbgGDYB%MHJ&XCyrNB0+~9cIDUanz-C}vmc`h9m9b)1G}C=|mVf<>
z8^u$1Gcr6fW022hy?9=Lv2zVm0wh$wZf0BvnqX#N6gbCtv^Sn<y9Tpz?6LI>;jyfa
ztML@*2~67)n3d1E%ui%k%gZe;%?Qpq0*rbmnA92t7?TZ|U`dysQICZQxg<|w>XGJ7
zQj2DsS<iC!odDzXcT9n2_!;M|W-13QB4A+Lvz~EtJfpz6cqXoV=A`opOt056Pm5+u
z+@EE}%;1#H5Pya>Y$iBZI}I80iuf46UuT@N4jk|@af}Y&Nn6IPm5hp@dtMkA1)eh=
zy`Rjqy@yp<^oBiyP@&X!#<;gkpt^O-5@vnS;nfUG6_XjewYVLaj3*_t$BMJH=`sC^
zWvX7q$Jm|D`1CxZS6?*aNqv@DKLN(;>zOY4@iYEh$CMGvsI`mne+gs9k7y>}LoB6J
z1sIP@GJRCyXWUuFwDT<^%c*!KrRB^<@sUiHy*zWd`4|ON7z4nSIpfrcjA=#!jL*ZF
z_N)W@MJtYR{vJlNN~TwjBN;ot@cjKDz<BWx(*^Ll2F9sejESHnT?~v9v>A7WGoDz_
zcsF?3CdP=YwFjB%CnmEW6=d6{%rwiLY4<!nMs7aFr!tIQ8qtg=lUZu72{2xt&va3b
zpYdlNQ^sA&9GwVS8^ypl!JYASKjTe#CM!G8Fd$=CJ>$*y0*vS1Fo}T<ykKBd-vsuR
zYncJlzx#}A`x(F0F$Mf(eETWz9Xo^T9|rADrjOVeuKi^w|H;bs8El5;Q%1epe2nF-
zjB`LYdoVD{h%h>USC%nu4P;bY!~hx;6mVxeIzNSJI}e+(sEfWk!`fUv@!O0Q+h7H^
zB6!UOW4a>areHos&nb+rASQeYVBEZr(Z-pHW4Z^Uoh&1pHb0|sDwD`5#=zE8rXEXH
zzhcHW-@O>W-C=yclQA$UnX%(LtNeS$jpz9o<<~H7iv*{y^o?L&9W5|m`ge(uZ7Jh7
zFGi=SjF+_-|AaDi?DA%`b7N$io4}~d$t)<uSfRqy5b49H*TASIn8KJW$Tmd@T*fv<
zL7E}ow9;6wr!l?0lfu;9#rBhhkMX`0<FOLZ0!YStuNcd(GS1kY&cyA)6g)G9={F;r
zq!1sYyf~v9co7`qy;#Qb-HbERGnlylFa^I%Vfy`$P4W^Sqx^J6H+`@(VJb42xc@Ng
z{$RTEFop5y2R79Ye2nRr8Mi^I?nocTpEJQhyTE|4JCQNz{IS_ttlL>w7&)1Ogc$Fc
zrZdioV5-{4)K{Frn0AH9YiB0YZbv4SW=4NDCL<muInFdj8AYam;B=;jsZ1i;Oif{o
zb$c=x+q{{&+A^7}?U+<*7^QwNmV97b`sUOb2LIWth3b5aCu<oWfbXwl{Q8Y?A?T(j
z21bEfj7OJdGi|Kki2KOo^@vgWDj%clOhyk#b@n8c(E-x9?4Abpo~*qAlb<hR+APL&
z6UH5tS&ZBVm{&D0N^;5tGB9l7X4o&vI?;-cQO=FA95jE<z_>?}ajsGh6MGq-^MjV7
zxeU5H*u)DMD;_X5T!i+E5RIlhrk>e6NopyKGwa!I{R7)K@gHN{8$QPAuNh;mfm6er
z^^6X2;H0~CKciY6A7kQs#$ETp0&060+bb9=9%M7!`pkalTMpCedwgPh857H#elo;=
zU=94p$Jlw1F<}wdoUiVT3qh0N42%MDj7N3znKou{^Il|1*qp%lE0kr^E<PrIH^$d<
z1sMNwFm-_TOEWN<DKToeFbU7hVX9{2lN9D-loVxj0NroFz_>M(QE?SGLIuJZ|E^=&
z=99u?xQeYS6zmDZ6^wF@e2n578DqdFBr(o8%IMGqcJo$oMm0M=#zcR{UGu>LYBr1$
zDj0Krx`eSaM7?Inzrt#`laJBUk?}z@#N8~63qgws7#IbF7>}A3Fl~(BP+wrkR9?*7
z^o*(SPCnzkZtf3k`Am21xV{&D{>E_lKL`8XGc5KUoJ=gr%zfcpjA?UN5-b>x6)^IA
zh-7rV%#*sEkMUtF<Az;e3yjJbSG{2rxXC27iD`E!Cu7VF=It989~SU2zP!Y^U<p`_
zi5KI8sbJP=O|TE=IvOzfZD34GU^F|xc(H+LIwR9UAw$LtF{Wj<1x$h->}MPK7&jU)
z3M7Dai5_N5YzMO@@G|a_X1s6Ccq)0?A#O(TZLHa~f=sVZvdx~YQOU@_rpFKy&u~m{
zBl8idbfXyVEY7E#vD0}NPI~t-C?;nfa-A{VFsm>k*ndixLPhed+pjV&`*22;=9*a5
zboy*4_wu^hdFjulaKppiuh)Dt=e*3*I60`?<AL1VuG~q%9~-lNxW`+vvq&ED&e*Oh
zXBHDT|8SZP=M6U32`|1$bR{<AES>f#l;dJaBiExCj<}C+Cf|IPYB8t3vCW+=^5&Zp
zFZ?>Zl^hOM_zL|zUCxwd%(;E@&x0v?dh8neS{bypTKHEk^9*|E&bwv7rz?uVnd`6b
zu6`6)(vhx^{Bjx7{^#~qfxeao*>#(OW8`leObRgJ%Bb7Ya^=){{fAE!&M3S1&6%!!
zPv>IX)XsH_)tZ|8ew^7OV7Js|wLp4e%&wf8g{;D^la9_ZT(KZ$*4gP2zpu#MzSU;4
z;!y4TtKE%lvhKq7<e6O9l{r?%&(q&!b^fcwWu6z|F1L@r{BY>Rt$AyEUr*caw4rFb
z_%74SA&he#y<0G6dBwjScek{esUD76@%M4D`lJ@y&I38j+O5vdLPV^rGv|FwDrM0w
ziTNNSH6hs7N9GV;lcBP9lDN)p#q&o@N&}vLQMk3%aEta&R{M_`t3<R?J_T`2(ePY#
zQC<5+%#5E)iZkAsd!Fm#eDNZ7{<-V^H-GB|6#t*s^l0O{-<LO89cZnax8suI1-Hz;
z_O(ZLY^<HU#p>Rj$qqB3`#aZdEc<dy>d&lGNx7Fef4w=%T5#&g5#FZ`x|=>RXudMJ
zsS=oFAv7f+BlcA6oh!QhPgiu$St!VLqW{Lft4tyHRpVbiDUp0-^ey*8Y37&c<9<zy
zX}8||4=ajh;k)u;8Mos9^Y14w{PXYJln>r5J>u$H7<smzyv%s{_0#Fr)45N~y1R(u
zOU0d&`@d8?m7Q_!?-aHZ|NEadxKw`WRnXdyTy$Ulh~fHeVLzT8zT$O8m2=&U&H3LP
z_1a(a+&X3Y(DJd;G5>-L_r&OFyi)(ec3u9uZsE!IdyZVRy}ftm+vX+H^iFp#{+e~&
zcgCt0m(@2lrR?S_+U1mA&g-{tNqpQCC*jj_8?C#vrqA4Zd*O}=kJ!Hpf3kXc-TtRT
znf`%^H?upfwr&=jyYbeJGiTE(7@0x0Re%oo0NsuOqG1P{fJzL|!9K8qpFp=nfaH0g
z2dJ<^4i=g98??}eff0UN6zDcLCI&`%kUDG!KS9;cg{s3i_z84-91{cN7CI39A9A}K
z?DjawIUx*8jJgbvgF`^;tpEQP04-buxr7n4DjRf=8u)fO2F7TpeW0QPEDt)t19Tu1
zR3G?uJdi!0MOaM?jLu+vER5jW<(gO+89`HqAaSrf^tL*XdeCimpxfub^3YrFm>3ux
zq2h4+VD^LD3p)4-<UY{B8{k{xklY7z4=d<44hHCDb_|f)`#|=AZ;NAKbO!5VVPpiW
zgWVp-z~~H?hq(`Wiyz2+(A(u0!MD0GFq(tigU7vKbu5hF@CCUOeCrznqd3@KER2j`
zJ_{pwm;iJz8EE(jbU+DcQmu)B(H0zkpl}1#Z469|pf)1t02R>Ii~s)x7{ThGx50tj
z2bO1H1RvnV!oZvbG7n@gsFGk{V44IrhJguui!SKkoDE<BrfHA>W|}4fO^3XYa0A~m
z2QnAThnkDcywA{sd456Z2Vipqrh#ss1Kk!V0p<(Og5FjKyIpP?%zltFK<<%)s)M-~
zbn7w$12fpYpj*}cLd^#?7eRb+sCw{ib_|Gvv_M-IK=})_j|QX;Mnlz2>xCY81HSE!
zfst_m*c=W$FrS5yk%JX<0GGftm^o9S>Y?F2tsa!{8JOVmpk=ZD{|nE8`MaJG<O8N@
zJD~c&_OdWe+XV6`QuxFCD*&|*v|btH?kQmR2u#}u)h_{+e+oX3M|c*<bWnVPZiz(>
z9~S6su;BS#2BvAS@B!bthZH_Adtl)LqoL~H;RC+qkAabK3D`XxdSE^aBjXD&Utk){
z99a0k`~eFem^`8I0o%*MIBh$Q@R5P~9~K`op!UPUM+GX69zLI-x8j10Wnh}d4GkZd
ze_`PR8UbuV3ZE^|av7!$Mnl!X!$$;~Pga24!=VS}gKk9y^982C%z=du%pdjO495gN
zc(MU}a3jaGBhdH;+rz>*Z4cPti2MpmFR=Ir-GYc5|3Xmzf!4o*(ml+7prJry|AERq
zP&$M83r0iL!TkrmHIRXkaShl%9C~0r3nSwXFkfIA%p5}g(*XMm;XklFER56k;_x3>
z7z+W~UIi+D{{8>|p9ASu_#glO|L13A1}!57*GnLIP<aY5f{9TBoFSOzwnGm@1P4C@
z)7)MtAEfTjf8n`vKnw<^x#dvt0}y}C1?`0R|6h2X3?zKPqY@yc7EnHDs-TI1QN94I
zo`Vs3d!r>-9DExV<hCv7?TzxF{_g+(9E{SC^y3H?M^Xne8{~c&h`ck{JQhZndPeZ=
zhYXA^5c>oeq3RgHw;wVvx<JeqfU5`JhRDF^4A#fO2vNrZza<g0;Q<_Opb=$I{R1(V
zV;b087RG5{cd{@}%K`gO0DfyENIfV%Ans*gaDj#g_|`=RM&}BMdd3<k4HjoX+!_el
zJ_WK5q7HG;7{olLX$=s4aQi^&LG~#^!UZ1Qpj-Dq;ScdQ2l!U4CZu{5wB84FFdo$0
za<G0DMn+U|h%AT%?HmKy17d?P^ww_?@U4zW@eIDrk%18&pWxdV85r%r>Ok!tkY)xZ
zM)2*942*VQ^+@8-+a2NY2-VLBzTFWi9-;af!M83lFgk<vu`oi^VY!VFv}X(yuCRCn
zo6EvD4QvjUcm$~j`8Ng{f8bjoK?m}J!=D3kJ0t_6C0HEE{W{QifW$8c?Dj}UusD|V
ztOrr=tPP?;?IIA(z{m)`4U&P;1(FT~z_&z#$}8|Kiwuk|5c36K<}-qCNn~Jj2I~X0
zOF-tZFhayx5b4W~0dmkX#9WSPVEb7Zr$KMA#7JKt^`LM7-?oSp&!Ev5<n#sRqoprU
zc!Q&xfvF6vpM{YTnvTHf3}h}u9zkY6!y8u4fR;Ui${BEZ#>PDH`~Uy{1+bKB;AI&M
zOpGA;zyCSXpye4OXe_*mfw2cv{(){?2J=}M89}!}GBGe}-T=p^H2n7O|NsC02dM+O
z=-+<<Ml;AQd-9+G&;S308A0y&`=3J(s-N-C|Ns9%e5g7`s5(7Rdkmx>YQ8b3zXB@1
z(8WRhAdooBJ`jzq+y$)~{P$k~-Yy33QDk6dgr(<r@PT^5;DdSp{TG-9<HO1)*zLQ{
zkoeC6=?8^BF8xsZ;N_bv#Qa$x{UCiM(0Brc2Ll819MFX1zyAX8aud8kl7Sg=yCnmo
zyaEFQ11LP8>ZXCV=7QV_ONTJ`LT|&I26HbcJU|#^9>`u;_`<>)J$%99ER2jWaaj0*
z<BNq6oIV8Dm?wS%wS(B0Gyneo|DT7Ixeb<HVC@J<g~l`uv~mE{PX(n5Q2XHkxE&-s
z3)G(l<wr1$l)pe*Aq5ziA-6FyFlwFwr!N6UP<Vpk8GO4Vk~l0~fcyw*?}O*67?`Gk
zHYbDZgWl>m4XlraaoQOM$bp|L!R-g(S>RhD85lJ$pxFcJcQ+x4qua9#WFeA0U~@nR
zGeXLZX`ucy$low~JVD|NjG9-_>;c^h39<(!j&9F#G<(42urN*o>tkV@1}guN?SX|q
zcvP5yX&PvYEJz+4UkpssVBrtGt&)L}5lo|nKdjsVg(tNA0uN7EI)kM<nE5b&f}9J|
z2N^g(!~;wpEWa#3iwBTC5C+9RD4l}XAPi1lY|LGta)K8roq_pm%w1p64)g};VL)n!
zH9+&(7Vv$e0@E76G{>|SNIbyX%dqj1IZ$z!dh~<o!S=B+cY*qml1K;B_dx9d%`Sra
zw@`O5f^V&5V3h9xo67;a)mFX($_Gtwf^M0H-DV3_55AoixxE424b8v|F%K!eKs%p7
z<0(*k#X#yn;dch?Pl0I%p!5W=eF7N%1>b(lz^DmT2S3;rw5Sc#o(Ic=@(t7+KWM%I
z-PQ~8CsZ9H)P8vR1xgnncY)joa?b^*eyBauaJdI&E>u0lTqOU3%mt;-BWUJ9-2wM6
zR6m>#EuY}GnZoRWn!^Y(7vxUR3_Qr+pz`+re~xKjbu5f<b71KNmOfzV30)sd9b_Cp
zU>Zz4dU^t<BR1wPP`?{FJ<WioC(y0Cpm2tzC-7~%Na+cDTP_15JUxML-DO~O1&gyV
zGD6ieg2j>A7vN3r49pODr0@mpxCX@=)Lt==I#9Sk5AdHR1MXJ}OoNRlfVNSC!U^VI
z9jH7^-4{kkc?%n-gsFqx0;~yD2Tymf3kJaQER54&_QK2soooOa7XjUx3<?*hdPb;w
z;pq>wV;|%Xkbgk#huv}uwGXHJVdg^BL(D}AACS4A^as260cIZ59q{mh>WA~u(;v(p
zs5y)vb3yKe9rz0iSFk!3Mz}e!@B>X?fzl~xo){GV==xylAmc0oI1l^<r#m+0F3`9J
za{5~WO@BL}@d+Crf#r)CQ1KQh4La}u6fb+A{4G!#rXMyQ0drRlR30>52Xa5UKA5@!
zs5;24>KxP1^}*CZ#!CdI!PKMYGqC^In7csZ8_53L0QF}AxLgyMhVD;jzJngfjX2mk
z1*$#<O2hQS#wB3xg5PQkF0VMIq3eUG6M&j?1MFXpY3TZ3>LBAL0@GmX(ftYbAC?20
zLC1oC4sZsI7a||v47-IlKDD9*bbw+}VltSHFM}K)3%iBb0D91}g&FkVMiLKh)+<Ve
z+&*k*0Y2~&6t18Hn2VALnn&t^&0zD*p$B`y4jiq>%wqug4|Z^*In*DZe208sraAN=
z%8Jaqc#wL~#09dvg)zjvpdDl&@gn#EyAW}h`4(vAl;lDV*n}NWomv6m!_`9%xP%?3
z3JV|TL6(M~ldZtF4TDaiGK8KXWdS}<&=_>68(2=E#29qO9r$cR&_Pw8qtR*=ObrpI
zSehxAnt=pNL8pSj*oF#VF6hW9v)Wn(<Wv7Z2jEpJn1NIqC>WZ9kNPu1I?c-rba*7_
z=r9Ga%^)>kH<=@yA7-4QPy$j1Hbuc4db*W4_z*1%klRxrXJ&%bS(q!7Sbz=>QvjdB
zXrN$dVGKGm5&76lOLK)1b3=s^%QO%T3Xep{NrZ+LU^5euP9g-UMN$U}9gvxz6W>5*
zKrn!s4WONV79KsOW%D*4)bi*tjd-A7D8k;k5xnq;0Titaq@S(D*uV@qsS0|w7Rv$#
z@Xq`Rpfv$38z6@%9RPDKAafo-lzjlnFo72N35qa)4$xv@6w(GCF~BGc>Pk&#W)u+t
zAJWClC@KOzYm1pt40e*1IP}~vMhVzST9UAnw4`7sX-SJi58{&1U|^Ky2X$*1r5QND
z2Y5(>Y-eGVWdO}uFfhtNxbh5;qr4O#Tt(;^7fK*5Go!Kw1EUJ4m&Ob_91FArpBZve
z7U-BQ7DiPD4v-kqNm;^RD>e9dKwWy!fnf{`ER33bJfOq3AY5ifElKd1TP%#)3>=^l
zWk%4s{|qdQx(uL;8yFb%Kz4)9wPFF8#%KWH8ZsbpnHdc=7#NK}=XEhN8bc1~VqrAl
z;{hGd#V8Cq@rs4fl#d5=6c>zZ#>WHdUqiUejOLOIpkZPbMhgb;IS`DN5PPhk0Rrl4
zGq5n)pmJ>?hcz&Qmv*r*+B2|#`tFPlAid0tjv5S%PM}UI3!^ji7%tG=4Gb)du25s#
zKnhtH-J!;KK)9YzW4u6IW=3xf21Xyy4o)UUU&vVw)}pUAyq`K@T6M<8#VOf8I;_1P
zEVFu2*L*kBf5-FJW<Squ+`Q57)oahM&l?{~?(e#OH0Sj0D-lUSZjTOL)4!a3(0%vK
zi>Vz<Q@8dco8RSPxwxmTR{6H-#n+|0trO2Xl%*}twEA<-*5$o!#n!+S$6G5WdL45!
zw|}=e!2Jfx_nO{(qmw0J&$QPBJ<K^87M5Xr_~@MUoskVk{~YR-xsaZ??|f2~2mjjC
zFO8v#ms+HsvQ1pu{PE?wzZ>FI+_!gswBge`zxzq)pV!qwlRXx=g=@}O{eH>)-Loez
zUOMyt4ub-zm(MI?PTBhg`e*3Mujg_*qrPj6$&au7pw=M+1NiU&21Y+7Mm;RY2WSgB
zEq7YM<g~<TiPH*3r=^O~jC)u?TgjCO9v-mRUL<rMqrM32@Bqc|?cjq0HYhqRQVQC-
zNHKf|quB;Emz9jxj3L{!8J!jxx-L`<T^78XNy&q8E#nfU&5ACo7=4-6GDk4)V^NA=
z+`(wW=)RIshcR@6Eo0D5MqNgib&ST&+m+8UMl$YZ2J2GvVqC}M&9simZCMQSP8Ov&
z#{EndOztZgHJE~z*fWLfV$^2>?Xq@SWXlxAxPwWT$!U?X>q6zw^^C!Lm=%v(FnKbr
zX4GH`U2MS|vYSzZ*=;SO9aGp|Mm;8{#U`#BR6<uU1@C26LfuUb$`Ihh58liKS}dp_
zz&M*xg#|Q24yu&`0t6UmGcZCr>I@7M1Q_QOuz*g10!s=AFwS*gVu1`BFfcIcFp3B;
zE@hNp;sh15mf0(WzVQj0YG$txGD+tR^?9;p;g|B6o2Q(fWBP6T{D?pQLHI4`FeL_t
ziw_wY_W!K>3EomY=P;xN4YCA+LFGYjBLjmhc;*x$1|dONV+H5{6b}LEBa95!Eg4c1
zS=gU^<lpp(mC^4S)2qFpE!9k2;4Rf`NL#9{OIgfbF)H4uT*~molT%?5C}S~}sxvJF
zovFpZC@_cds3sRt8>qQaHc)dzHc)fp+Ca^PzJZ#X32g&47i0r97v=_PZpa2|ZrmHF
zzrr?9^KhAdXVd_1pk_pDpyuV;`khJt9~UEd12qpL$_8pa^bOQMkv35CFyh%jz1@;Y
zxnArw!@VPH?2Wsa{438rXD~m*-q^{@sHwoD0P3GIFqSqlE(FCE1ET;7<55X=rtJ)D
z%DiIy4DGV)54HFhU#2lF0F7iYFqY0@TnIXDkAYDjgYoD=4yNrJn3Z$I&NH;nWq+u}
z!}v0darqrS#?&sR9iXW|21Zv6#)F`<*BBTtEMRmE;AGl9nMGNDz7Z3{F?WXWURFnL
z9>$fbj0&KEGzLbroi_YT8xvU6FR(HdPi9)J<?X<*c@i6YtQhluTYjd+9?UBnco-{<
z7#mVSqiu{qCm1UxFz(ez@@8WA<-wponRTN%52I%c;{(X9tgi)(3qki1F)#`oVmvxk
zfN7%yo4NuUXo26wXG}_W_!%p@S@y8=Gr8I@A1^p^hMj@$2gC7CtchQE8DHLJTCfe2
z@)=9>7#H3JrEf-o9gIg41(~*gU{rqVa$ksHZ7&;a={OH$*98xJ*M%@s&uqv>Sys?S
z+4nOU1C<0A|Ch50{^McfJkOXgAMAy%wu}owM}RRf3VdUH{f2SD2{uNFMNHR0qo)jv
zOFO}e92rHJz8Nw)B{N<=#`vd~se?<D(N2w-Emn|Gxsy>uh%rNjajrx9Nfrk684TG<
z?CfvL+2{XbV`4wY?At5Iv{92$APDRg(dA5O@q$brdl}aYd;Vf%xM#<ZUeBudo`*5>
zE#rdQU^S&%7#D(0VPjwvxWIUHqZrfn0CwfIF7e_FYkPUIc^9@)UIMbogBQN%Ns_5Y
zni;(DL<+R$2^<9cjQ`7-;XwdOfsE)uupHDAV_<~um=FQ&m~h&{csYad&qc<LP11~Z
zVT^2h1sRn+83iXXR;VyGM9M%`DT^^C3$nvjDd)UoU{IG~$kyUuf1A!c|DG6Aav!@U
zFArm-CSyY|*srEb7}X+q7}FaWcMJ0|>WVP6X)rp*&R@?U?aRr|yOJf|S&ZrNR(8!Q
z9>&V!jP3LJ7*%<gEI>2342-UZj0Zt;JPeE%jxg4AGH#W6pUub+rNi(fnnCW<cheFv
zuGhB@$MP-Z-Erbdnftay)u&Dt{4q!hULi6&x8J^JMVf)tl!`AGCZD^zheyEqN1^NX
z`?C|O6=uW;l~{<L;A<`nH}(Epux_%0oS8EJq=)Y>vhgyjH%T3pwwq%6%;JZ@Ma8Ts
zQs-)XmP##=zi+p2_V1RcCb#YJ_vfkEWYxFtv7O6xxpKmcb<gWsHLky972?>Qwouun
zFnXG9d!FLH<?W}{K3@#pV%Yua?U|(Tx@+^pmDoMSg3kW&m|ML@J$PTkxt~{svNv4z
zdh;iwS4oPktpCc%AD%7z9~bMuMzTROuArF&FpV@)1FFAR7?>GBGc}+Q_6l$h95Iss
znu$RcM<01V49aXsBm7`<SQw{)^|3Hc106vM8d-;pe1q%*jo=U(u?EffAdf(RH}5ha
zRuY&nfk(_lQXuY~2AZ)1jgWw@_ympkz(!zTBLbkAU644sda&~un5Kbd>_GCMrZZ@S
z2Bsc10{;(sH6TnKC`mFfAg&+-o%0D=AqJI)*0xOGnPQN+U%)du0@J|ikgnJQ&DetE
zK{FH}8fNbYuzJK5Td=F!K*!U8{E4m)=1<twZLkp|bbT;&per0f_QBMnkC1@FpN+W-
zG}DP351_Lxe*fpd2=^RlJkUGb9Uv<!EeVA?Slu89_Y!D0;R<(fcw-rv0(Ct>BU7N2
zSjZz&#idE$5g7Cl8cXQN3g~E2&<IQ-+Q^P2bR-3|!UiOt1eJ%5q#zAm7=i~b454SV
zTY?7<49yWoh(m7ZfL$2^KA0XnXix!a6&IZ1D}Pm@H8u5BiI)4lm6yN!&GiEvMhMDw
zpbCYE)3`<8r*VV2z|0NM^RFjBJM9a^A*Xb2&|p{qnw|#@A%Lc17l4dsJ|GT0vzz&X
z2EzgH0Koys$N_jvf%$<XcyNH}1Ef=!Qo`WJvue7#--`oXI#>IJdG`qJ2&#18b3Rht
z*%A3P??ku$E`7lr!UmIlD-LZ7pWmEq&sp-(dEcAR2hz_JiXR@<Re!1@9L5~JLh;Ip
zKKTtlkE@tJib~Xb#>>?6@K=h}?CD+Qh4x&l4lb_>Q7K$f!*7<|d^%v#UG{rC_e^!0
z!Z%1LnM;?l7u}xCuMTQUz`AMzjOJK+YFZLb%bk`xEpl3<7`B5^(R~T%IJ3=)n?R?X
zDLOAzjAGoy1U7|(K>&0B6sY6|@xhA+K;eHufbmcPix!B<z`*d}fB@s61SZb)Pb@%v
zkO*c6P9`=kc@Jg>PVsC84dn!0hO=ioOcUJJFfv))Z~yZjgjqoo*9;7d*BBY(r&+3j
z`yev4kg^eKF7#NZ-aiZsvcJ(~KykDJbl#`O4<{FPhU+gGQV+7QKiSB(sR+~uVSKfh
zjq#rcV^;$^qqPyESqh`#5#hNE*EKk}rY13F9048T$oQn2sa4uriGk0Z?elyN#<M((
zFF?z`7#N=#VVqXPXqLpr82Fv>{iD7M4BHp6H->O9+Rb7#hqRe(G8yL{W@lpG!swjB
zc9-G$4pzqm4#s_-8IjLA;ACRdVX=!~`mzz^8OFzn;4nRVk&9{n1V#=6R>tgL#;j$G
zGaWWfVc4(DI?;`TQEne&IXFu&?)k|$_YpS}<2M$&H%wn{LQH_{^Ez9|#kBtdBgY0d
zxCsU=JPfYZoX5);Z@gtZaEgO*-7-eC7!JndZpJBMjDa@1Og-MrNo|~v0};3x>)tVU
zon>dVUcm@HPoc<{VarU0M{?^KClpKFn!+*p>Vh+o67LS}U@2y-Y}%!_im$15|Azd=
z+=o%y8n^K<#Ce1&UR}RC<72SdN1KB(%b5yWrki9<^<nqA7H+wPU6FnBjH62*Hq3G^
zy(YZSrzOtCH0F<`-3CQ%lZx!Aw{}bR@qWE7^kVjRi?cT!bNcSpX?u4lh^+mWKk@dW
z+{>L^v~G!<1t&PfT>5BB1iY4dv?T(b(-)ovYgwg0YhYN55u9wO&;kUvFc=s|Y)b@o
z=^au_1bpe50q&LvM4r5sh>-#QmWYu7eEI^`68UzlMs(AYV>P0?Z%Kc?aBs$X&=Ja@
z=m%9pgQ_Kx{Qmel?c426;uVY5TR%EkHFrnA>NI=JdG4(ly|+Y6vpQ!;oJ%^mCrEjT
zrK!*+v7RmRMK=pJ1a!`jFpytv>@v0QuksRm6(zs+H3`T6{|ZX@+h)!%O?;!)ayio<
zYqUOHm@mFis`2fu6IN^NXHC0OI_Uv}t&YNMhl^V`S-PsoH{M=$)LrPsMM;}W5^F)#
z8~%ofjwIy`ku#_bkuwQQoQJ}Gff^#;Ud}Lj_w3~iqYHMj!DntPPj)HDp5GJwyX2j^
zeB|H%Abc0Hd|~54Muz&zpFhB@kU5|wG@!VJWAI35I|G9(Xj2Vb6vO~U{|eA?(jE#v
zN0=C{M>3=?WMO|&$Oah+9c_hB-U=~YD^!xVB!B)hrRa$Ao%~gYxJ)mzg-fq1T3lOw
zMSgO{qcE;5{5_i1w@aj@^E)Fd&g(A9oLBRDYvdP;o16{~`p3%FA7@mqd2ZX;mGY+H
z+ieZ;DU6dHW<TNVG$`OdHgR)$XkNP0VF}*zF?Y>lqmItGw}dG*WSYe3UYF)$@gaf#
zjvSZaxu(&uSxG&2lL?Ev0|Ns)IAJp~urq;r3rtWxGn8h5(yR>ZOrTaK8v{F&G6Mqx
zJCx>NU}pj?66J)_Tny|?phd^rP<`@HJ`a@UWngCl-A}^Dz|M4!fq{Xaft?AoNJD^u
zoe4B<C<vv67}%NCGchm-L(LI`(&A8B0!m9Vu!HvUF(@*yGl6a_QG)6dfyzrkX=x}e
z1Epo5v>a4Ds7ndb&%nUM>|v$v$-=<K;^*QS2BJM(LLEW0k3v8Yi1zn#bq3KP`T_YM
zx;V2$A4C`A7lUqTWhseIOabvzQj0)cQkJsRBCvQ)emZt_dS#%+2B2OYXcNSKP*!AM
zVA>B_M9sj!%ncfX0MVeKA`lH)%m||IGNRtD4KaWfe3=mg11krp7s$xK3W`<+T=bv+
z!W`EbxENR%SUF%77C1pLuyWi1xdy7A2}*<1f!qht2U^_D$^q(%|NhT`VGp=f&%g?n
z0ND>pKQR3unppSUVBi6}4{QMm{<_J)3)Tng`osJMn#u+F2ef$zM1%YTau0|G`RfK~
zs+ob61GK0L<S&puko#f&0jUG&gAEyg<U!$0thu1u4)B=^8lVLYMG$N5O{jaJLv$P<
zeIWP3XqbCJ`4;4E(56^04b=yd2l@LNln?VaNE{R{lfaAeA?XODk63eH{se^^CFUUe
z3uMj|GTk%O{6&2JU<apjV%6VbfT&l7-u7+?ZJ2`M36ze}%|T8_pmYUF7ohZViwR;5
zw7leimX{pR@{$8uUUERoOAcsx$pI}dIiTew2eiE8fR>jW(DIT4T3%wRgOryXpdmsA
z24?mNkVT{5;sKOC;6s7X+pR(F1uY%}m8Tp?i^4%mO&A!MvO(n%2Lp2sXdXL-fjI{>
zKqP|1hlzuR9wiu<b3n^hRItc{)FnX0k!jGKZww5~IiN))CJf9upoJY449q#8@&ZJI
z=Fmfs^nngj`u|@VGOf+P03NslO%<E~d4z#!8jJ>Wp__R@L-3$e%|S!<?A)LZukb8L
zeZVx01={fgHT*#8K+QZ5jjo?q{`-}zJjnV%r>}tQg~rD;KJcPM;aMPmf`-UJ=?An~
z7?Qr2rh)Ek15Jg%?1L@#1$7)j;*4O0Ow&@K?gnu|Q#PP;*Z=?L0PUe-XkuXmF9HVf
zq2@4x!asz88GMi#M2xy5C|!W!0pbn;_@;1S$YxFOrsyUHMkS~`BWS%2XcG#^|4j^x
zCD3pOyEA}+8GJhoXi+jWp1@nSn^+k0K<YvIK<f!WQ&J#(Obm=-AU?AF7~ux?F4Huy
zde9<t@FG#+SzuuX2Jkh3K3KvBl&(SMg4_W*905dwHur<V9pnxOp98$f3KZ_3#qXea
z1?_1CO^Lz$3(6ND^-%Xu0?V^7P6Mq#1Em)jT?*Yq4oZ)pcm;(kC?3Il&>;wrsSHh+
zxgdFDb3uI2lua|#f1r#HG6!To$Q&3SRE}YDA5<Q^c?)C?XtQz?3*$7fdKSiMu&E)C
zI#7Cqg)@i`QU|jirVf<OK~qtnadnVCA>qw54Wu4fKj;ECWIjwCC_jMm59s1FP`DO?
zr@Vw`fzq=BQhb5@2Z|?H_`|{(X1^hL6T0v$P<aLl574G6P<Vj(EQrGiK<0w{?FkJ(
zkh?+Zp;KjgFh0zDC=K3h4{|?Po`rE5#C(BiF#D6B`4tpCAoC!b&pGtKd?fo}>S68$
z-4O;-zXa@W4m~g*Nj<uIL5mJS>UTiZ!}w5lP6DNWP&|O<S3vFs%d;>}gP4!$UQqf6
znFreJ4hk<YpM{YT79TKk(cJ-x50E~{fG&p~j1N-}$~Pc)fb0di11t}^e-0e+Oc>z?
zTJZ=n?+DaiU_J{YxV$hxN(W%=SO`%40!kk+|AVeS1EnjFzd-iG!krgdKY-e~*u+6o
zwAjQ!{?}n(&H|YOvIkl|Obdo?Rs_jwFfeC<>NSu&x;r3FW`dWSFn&F>Jb;-8Is^k`
zKP3Gi;wu@NzG3P>Q@<c}Ape2VGss<_e2A_Nv^fu?4ic`I=E2G(n7N>P;y~dBi^rLQ
zkopwVUI3MUpn+3RJ_RizMJ``J=^S4<1<Eg=_yv^%pmYY~gO;!&n*&NGAakJd@Nx=l
z9}D9&uzEb@6j&b%BU~S>oB~}92?`%j{spB=Sojkvr(od%E2jz|?JW^l_`t#)W<FFL
zC7%EM7oK(tY$4OMPH4FUY8QdRV+u6AgZW7L9u%ISc!g|A5uOD~-yrp{@B{Pls0X<V
zq#hKWpzsFsk<^3upzwg14@$Qn^`QISK<dGKB=rz?3QU8k2esQk>OuVzka{p5k9yFW
zVUT*zYDbWIFdvV4P<{fbp8*XYFds=hEWBav0j)X)sR!NG1~MPaXJKSSu1|Ovn6p6c
z1m#aic`pFo91IF)P`UxputQBC<tsuSRE{CbL*sc8bbJCH-!+i*0X{Ggl+Iv>fPmUV
zAos!af&2q94^;1i)WK+|I`|<Vpmq;P-wJ3rfcY$pjF55{;Xc?QATW2s4uOH$13Lr;
z=5Ji`VEb7Z;pr8WY(eQ4cK8X1j}3$D0fj5LpTWl51sboxx7qm$G@M}N55zyhvp}~m
zgZvAok(MZd#@RsaK@g3tya4sfk;Osz2UL!L+zN94br1t-i4xcx7RG5{eW1<3Xq#+7
z@*w|!{efpwEhzqxm&QQ*Cv#zkowPvwDFR9N0@Gmm2$tSp`4Co4LGlwq9W33Us{<{u
z0r?kd9=JaM@-HO6KsK*}+G{X-Vdla%1w!&UqCSA>gPI3#2SW0bz%*EXL)Qm$Hza)u
zOoOS%wUo$&#XSLe+!fT`0gXR`@+H<KEol8%^nN>R911qh1sgwtE@4SQTB2g2P+|z$
zougoAQL9jGpkM@|A-BeZMn^#N3?e;KBtJ8<^-Pi66=V=Jv1y`FB{<y>y|V@XIu+2e
z6i`No?Q8*cP8q<fP*^TNwrM;7wHrVSRX%_!CMHG!$fA^a3%?j;9lL&}YxPgz{coA=
zIrAr2ooKw3G)<}2@Za+nZddlX7oCwh)N{nG%GZ2Dm(RYiz-OLGjrYvA{=Cp+p75}B
z%cDsrSC*dmy-#6N)*HUW=R#@I&;PvEF<(XZR*YamQC#t!yBnW$Pd<LYecye@zm<jE
z?PgI4?dRUfI;@rg7g^8^1A>eWSXQCvNjWWdTEz%ihO(Pc(G|2FC1|5!=r*S%M$QYB
z0v8)OZ&U)^$)||Af*#~akdNW_kxdX_ycPhzj%-7N0OK_WCQfEnP~?=JU0_%=DfR3E
z!wu^sO%7Z!Z5DBkzAkWUS6b1s`woBqgYZ+(I4%Rj!R3q$^Ghusf@gtbK0_*TXiUP^
zqC8+=ki}Rj4|2>3(22et5@9Zk4A(UoQXN5SQ8+h|y%yyb595DO?qy(HW5l?(gq11a
z7UQ+0Y>X2t81w)3y=T~dhrMwH2cumQqxn&g6B%tf8Rs&yGqIa6I;YIO%OJdxon1DO
zss1u6)77a=_pR8Nn%x+^8<&YQ$mlSvU0<Gdx8miQl@}|%Nq4<o@Zg`q3^u06>6gAq
zr7nyJ%>KaZXr!cSyU@#I=JjCy_cp(7Y+=w_BDH;Q^&fku-CDlW-gh#Un*=Q9ITp{<
zx9^b9y4wM&S0WTd)}2e2;a-$G`&*`e|8|$V0>9f1>zc=gC|-38@zmP5?BD402?N9E
z^ocOXeKMy{?n8&$X+3@NfXwNWhh$ElfHp6HhG9V!3chI$ShWQ%TNzk6K+SE?^a8GF
z8JM}SX$6oz&~P-UWCPKlf&-)<R{eqGLBrXE%zX%r2T=0>*}WkB$mW8YNg(raO`m|K
zGm-rbau0}4>huZB9GE{r{-eYkWPgFoA$9r$<{nsl4i$fahVx+Yhl>VHV?2OPhY+j&
z5mY^_8D<3C8xD#mP<lf*2RR+V+yS!p(IA~Zfv;aeYj%RWHKWrf;Nf*pcNLTm$e~B4
zPe8+Iqthqg=@AA-_%sJ-m<rT=1(g?|=`yhV==8}n*z^l%*bg*}3**D`A8c3>He8Q9
z+%-CV!ZZmqECm{7gH88<r$ZPR86m@D9C|Q5EZu?nf1q-0bovBTP6^KfO)r4b9jF5f
z8ZI84J^_Ub$28b93vBoj(*9wZHadL*-jN9}w_xD{E2qevK6wN#@P_X632gWjHeCT4
z?gR~ULxxK^^uT<i=?&O0EKEIkx&(AqK6DxY%*Uf1RPKSyhYbI6=z;lo)Ptu>7#JBL
z!@wMRVE*Xz34D5p)aesgdIcq0(DcJlpFRPN*MRCp(DV?922GEErWZit0w5YXjx!Cm
z!y6<&9H&phq0_hM(;$$1gP68~O^ZPCH~ptiaP?!+`|YrCC~~Gxj6lbifwwe*Mn^y+
z^pgwbN(h@qHx|s5*zb3!v`}cBFlgHiC=-AhRuu29A$$HL-mg=*>-dq+#n#h5-nd!+
zZDzVy<p00IpXM!@m!5O3!Y}9+--D-Jr??jIzeriWgGb~0k%}_MCr_s@os)TkC0Mg~
zjmj&LwGW;@%-*)_nsS}`)gMoq`#%>vbzf>ZD{MROs{84Gu8VgD3tevTmDn`1CfBf0
zF}msD<i02EHuHMGO-uaKC(@KopMbI-D3m7%Fy0Gb0Ufsh;(?}5?l~}Vz5&I}6ycqw
zb?q&}J547PDQ&+H;P<4H$=gyU;#6myihRP~{~-Jqd<8GV$MuX1|5JY+1kaw#*#xP>
zK?XuFXa=h{nSnv}D7p+Nl2^=SW?=AOh&#f-a9xriRgs1LNg*fsduXUQdvbXy(@iTj
zrhYfZ;Kpg<3^h6oOV8ZwxVT+z&%)q{U&rd!>|?s86ZXjYZ|kRDR~jF<ZT9|icxkcC
zaoM#k7jB%~sQ>tr+{{&v_*PsA{*x*v?^k+`r-OGT-_8qyJKsGEK9lwT&WFD1^A1jR
zJ7ltmp*dF6!FRevFW<z_l#BP|kKa8n9ysghl=y9#YV|XB!D@BzIS>qtjG)ClphXK{
zK2j|WI}ZY;9<)dlRI5XFF>>gE`AF(v=R&~LgU|V3U}S`x|G=RK<|C<xEC%3!FX{mA
zmStdMgq%yjp$F!J7K1@|OM({vfO=D~^9vy9foWPibnzP~{z0_^<fLDLX(iA}b(lKn
zqDJ^)379x2MS=9e=>5=AHU`aJ(BcY^{V;tndqJa)ApM}pc91-D)E90K^jruyAEqB>
zAB=|Ci`<JAU_dMy34po>lJ1$nCmw@(MX*I9pw=zOe$XB3AR2U{Fo=f9L(f&229pP!
z=#DH83pdzJIxzph_~4?1foT$G(E;+!Gjky4pkORI0iVBubh8f3UYLJjG*lgY(Fy1T
zd{8<AEgl1<OE8~>kr8&T3d|hXq7#_EV2es%@~~DDEL?EO!@?CN54*Vu>@F6@Y45=i
z23b5ui`LW^X!yZ;bFjrIpyCh|4qzH-F$(Co1khp>Z|GuO=#6slllMU<L4y`&z{JrP
zqdbTD2iBSbo5R954Xh8#c{w2a$Z1V=fD<a>yqqNve~N%kJ_jwn20Md+i4k=2IOv8A
z&<X3Hn{hykY(ad`iQXW-C&ZtwU~v{kn0iLAIMPYkplS#dE@1PJ!V7fX4@ewpuNX)j
z$Ul&BPXK%}KPVr-@(ZjSgSDbu!JDxd#i8mMq2|C(uvTMWU;v$94YCJhE@W3X!dzHB
z!(}d1J;YojcZ19Yxf@d6BFuxj1MY69emEZ-><mos6Vzeuhnm9(G8g1dNVzO94dyPe
zI?!SyXgLG(KcqYs0N>aKDsRyB!PG(WtH3mvdSVyhfJR?Ii*P{hLSBReYem90m4M@c
zjRV$-G=}cl&MyEhkYVFUhHU=h0OfzsCa&c8;!@Dbz_3;(Y<3d5I42cpagM1%iJ^r;
z3G^Hp6UYJ`WBBfE&_(W`R*X>CQgNf8Zwz5e#eaE4Obs}+>I?K<JqA$aLqrP`db(?Y
z2;{_=2IxVs6QJk7F5qGSm5R(8pz02YGk|Ir<_pj>WFAO@&zNQY068^=g;4-{(5WEE
zeilX{2JoUDMqvn7gaL9AE$E0v1{Ov!1{TnQbVhNIEHk5o1_PrcXay7#qZDMJ&+X~X
zUsqq)^3kGu>V@+w7awS3JmnUBQ}Uk57w+VEfxC?%3Ty%1vXjN#R@9u^BkD58Z-aOB
zT<*9HR(mq%ZU_<(`<a})dJ&K6Vn-g49nYB$#waJWAG!6v?laGmX|7#Qls~3zRCv8N
zT<m|c)d%^GMA6j0+w^mv&zsVxd|Y~AmW0o-zwC|1obkNb1~WcfG~NIHSH_lDu?wK%
zo)}=;zoi+Yv8@1-aa!)Qn$c-7cnwel<9<fPEsE|d7@^0}I4xJYthhlDbeN~9>oV2g
zP3A#c)m>IGnp{;m%V=^<C2$pE_#S4o#X+F4S<o_aMo4kN!0<qT@!0}+>y}Xn#Gk;#
z$p=mtl?P1@h5cfxJZKW2q(9@T#}n3%qS_M|M0D;sq;fy|?|%?(1r@Xm42)|T8RQcz
zPl4OFGD{)36;c+0NKpLu`Y<ra&PJC3#o7u*Q2Vwb4f&)RF39R2&}o^llWOdY7`aM0
z7_DzInr&iK%n&}xaD4_R*Hk9P3`h!i!VNjFCOnLlZSs7kY~$(04BMWtHQ(T5)NNwa
zU<Fytn99l62wM2ez!;#y=o-n!w4jmEOo*K^P@M6-QeOb%@ET6^!)rKD53k`wKfH#E
zNkE4+%#)jG;zHJZZ`m>yhCB0l80PY@l}j@2Fk)mV;bhdi&8W7VlQFrLamp{oz$d&+
zJ-=C!p0P2$`_H)Q9Xn&)JI1cFT#VK$Sixt^IK=WXEbU}t7iNr5V>}Sc$+)hAQH_C<
zG1-`LN*ZI}9e$>sZk8lAHpX}MjH~jX+PUG{<9!)scW|)tDlx@}vNE;LWcF3!Vp>+t
z#_*4u$@V;}`J^~QhBt`}j9wr12GsrByTIJkv$oWxCA1*PC{^M4&CixTA7kgwT9tct
zvh2i-#hHI6$Lu=C`SQm!?SrQ~&V4%iU2K{89l_KoTV)wj#fvtmrI#AJnI7$(#LURy
z%zfr#fP!<X+e*7NhD(p!j=a3T{VB&$mq#%tcGT9dYIj;-qkrXqY=M;L#%UaWpOR}j
zXGEWR?q!wFHL0!Ea+mgngDfoWXU|Cw^lB*3=nQBxIp{=x&}Q;pCI$x3W^xb>+DyKg
z%+2H=eWYwA2dM|uBSUR7`6DWACjUa_YADdA6VU1uP(cS;g##*uV5=oSG`KLJ!e;WX
zWUhw#PUdQ;uh7*+pz$G)zd-#LWdDHt30hSIJIOyjzbp;ZUI2-MHm!onThOW!LaUg*
zLG6L98p34{to;F!C&t|GP;)_xn~~iQ(hu?n$ekefgGNC?G_KWApP}Z!!U3cY6yLa3
zNBsh~vml$!LFz#2L91q9=D_?3N*5q`T;>pR53;{N=8(EN3g(`n<}Xl-4i<m7Xi#{6
zg|2oYR{akKZt!MwSo_$7%+2LL2FdEE8Ia8jpjFynf5G|#hT!zc#zBu&QJ_ufpxG;A
z8nju8(5CVTA~uy@0A(x&rfD!5%pF0S$|0K!@oy@RAY$_+B-}_RA>$&<nGkp2UKItE
zr`e`*sQK_&YtXnVXw?I#d<Bgwg6bjAP3oYn8lcUQFrR|bB`r3UL)`-(PXg69)L0b-
zGZzx>OyEuTAag-{(D)0no62G4fW{3#tF}M|L=ywjrc2Ox(dedf_$njNrc=;3A}k)E
zG|8LFL91~<<FTOl0&T{Hnggo-K_&7u*eWN`kOIg)$T%i+Q#sN&FsN4zO4p#-Nl-cm
zt7l=H25Jw0#+MSI<N2T-ILI8x=3(e+DbRcXWLzJ<2^N$OK<Ni&KX?;410y47GaSgh
zFh0z^p!@`~A2c5TvL7tZ!U!Lqhpje(ZK?;QGmtw#H<E(P1M{h{IUM9Kko_?GV5^%z
ztL;JJ@{n+t1`~(fREn-1<bOh&!$I<(b}VSz59WW^CUr=80vX>1g$s<2zBwFpq%Fu@
zkaU39ya!v21S_vV^Bo{{Ape2l1?CTQb)a!~kUB`XVwwjV4~LnHYjqQBvp(qjZ_ws&
z@MduaMom~b0ZOl+asn3rAU?=lu<`~p#sP|7&?-kz{KEL4O_HE-beK7ycn6sSm4|Qg
z2HOWclmWCF0X7~ADwjb1gRPnb>!ac3aL_ym$p0{Z!qN#W{9*BmOoQe#et-oKtDC@^
z+!+`dA*+Bm^uT<ic{`Z75P1RkybstIX!`}5xuA9vD4Zdy6*%<3d?a&W@dBGa1aC5D
zU}S{F3z&~bJ;+@k^Fi?hibpUXNj-=UDqmpcgYpANJ!CZnhaQ-Zq@L7OQxJb4HmQSG
zNii@oLN?KI=z;l2=A)|z<ztY0K=X$n_k;O()Pq+^F)%X1R#}1hcvek8R-Ga?ZG+M`
zC?CM)2O;IPz%*EXgO$fHd04tapZ^2R6N1t?RDK$@Hl0J~hxK5a&LQPF!u?aB^RzH`
zqtD;L{0kEYg(t|ruz5ky{1+&{!qPt|{esdhwO32sf%IeGhw(t%OZ;YY^zsMP-$yQ&
zK=}q#F2URn8qWch;~@WmXwYgXkUzotK=V70`jg_-QqX=2e6x8E#GfLN^eZq8mcC)>
z43__3<s&3tAk@Lq8?3y7se^4chnff9Yz|5Hh|T6Odtv6{S}g_B2Q`o6&E}AFN&04U
zP<sY6J_(8!Z1Y^Oel2?c9oP62j#X1A_a-Qm7$$-)UNlUG%xRf`PAmqmnks<ajj+Mx
zuSn6PW&akr{1xdrB=l8a+KTygprl0ng^K9g&Y_c8(CZP1T{iVWgMm>1RA(|V3PPr%
z%#Kf=_xg5Ak+6YYzV$=Pop*QT-nX#+tom2~)27#~i@K8k8#glgS*eDU_y_Z)tbbb(
z{qb0J#)tg&&f6g(=Y8U~|7@vPBX{sna!9M5<&wo#3Q5~vo9S5`yJGjQZ2y5}>wbm&
ztYcmK_FCBX85}3F&(*riP5Q#VN&2?VmUFMp9(--R7t+LpuAUNNbip$9q%8+Md3YtG
zV%UDr=5xi+ZHlhT!Mo3mofj$xE;b6;$*APAf+=(#6WSaT$hV-@7$aor7<@<D=LGl#
zZlD!Zp93HlvTaP4G+OuE)@fn7q>;fl9p`gL=B0L4NLQb^ePi>w<?^wA|ATNW^cdm=
zj12YFKmUNInC5`4&V)uNgaS`7O=n<`)rA!G5K#~ba?A=}&=k{xV%*0NbC7Zw0>%<5
z_gjpIcN|Y)@W0K*wz!ppo83*CLEV&nVKoP1-4Vv^ogjBG+6XYtHDqUE4`6g&GuxLz
zxRae-R*|Vbn3V~>j*0`ej;bhY|0c%0``5MY`|Vh^%ANQAq~O?NYGNNv^prD=zG{Z|
z&WP{+Y*#GzNc--hO17=yvG3pTpZHS3cW$|6>%`rk?mKo!B!)fAWOQMxNoP`vG2AnW
zNyhI+viOe~dSBN54K+Burgz5C=w$z$OYSM3T*a(>JuY4NXJgb#%_j7j7tlx@F~|6-
zLyz$V_4Fw@#uv0Q1vK+w#>~I~nt2IkW&qE;fN0Rn%Xwz<j`0QQBW30Vq#jhV4YipU
zVJ7Uy_=0LG&<H&!K5>oE!o)!%wSUQ+dHD++nFlSI1dZ^6lRosgUy%8r><OYl<phWZ
z&EA7X%0cQtG_mgc&j4Cyz{&wK52O#KA7m~*e}dG5>;<U@`ICW>ffuG9Bo4b18q{(I
z%|PJVKmHHu&q_$k1Aa^{viTtWMQC?4gXBT(BV_)6sQI8C2`C(yKy@1f#J?bSg4~a4
ze!L$!Gd-Xg4UqpqEiz<#VD`c60kyP1_TidI0rliT=D@-Oqz}Xg4W)qef%qUAW)8?+
z5FaECQU{_z@c}aj=5J7Vf#k_G2iadBb8yYb3^#w_%15B^gry%)cr!3EaDc)E6#gJ_
zP<07PpTz2CVq{PzML*0Q5Dl{bKXgV2)<QHTa)t-pA54r)?8xpIsAGg-;Rijwmi-{4
z2LUdYK<-OSDh3_n&VgPo5Hs^L1?@QD3D6lC5DgmfgB>RfItCcjGX#kfqCq1Tu$dnh
z=yAdx(Bp(bG-w}H3z9v!juVF7BsdL5gW?y|Q-jfi?Kojj4-9!^36!2d?lpjv=kSqJ
z*hm*>r6y=ZfDx<^^EhEpPZ2c20g?udB!fnTL42tH!N+d4&|&5W;tt$1KTvtRGd~R!
z948DlA3pN~4>xc?;6F~d221#W(luy=7Zjev948zAi9ZqWes=~&O{jZt&iugQ7wkOf
zNFOL2fcT)16Hq-2;=_)a1a&k(BU+#l3Q+ul`AEkM!|VgegGMkw^(ej>A;?GqhaRYa
z0GSIacR?c|Fmpg7e;{+9^6(K_T*nOKvJceG0+|nL2ZG!U<3nk<xzK&@@c4s`Sl}`j
zHWLGKC&*kFA4<c`1-qYxaT?e@7RG6tpvSL*#6kHA77t*3SVojV`4u$M36clJ2Pl3(
zG`fD!N?By{VCpo$`#gnb<v`O1D1NYoGqOKHBTTRndl+2`Jzf?(!-F)E3+A&hGJ-}#
zkj(|z1BySGxsZ`xfoZUjdQkcX#S3&~Ru9Gpg(tE(AU?<(sQfgTIbi!(7^i{cLGFdc
z7kapW^|3I*^}*D^R!UPEuE;w)VvzDN$X%fP3-dpyd<4ZCY-S5Q)4{;V2s(Bjlzw1*
zn0hEZtp*Zr)4=j9jME@HJ2<AnX4b$n7N8M+@JeqEJushzkr7rNK+Ty1OGmKdqQNs5
z42+DR86J@RU_R0aHE0DHXoMB!UQm7o#TRHL2S`1P4>KQ9|1(VkjmUx0Ay}S;5q=Xc
z%zoHR9C#)IG~x|)H<-`D$OucPFmpla8rdD7dK=`91yFr3K1@BRyaa_aXk-@T4zN7Z
zj36xDVeSCWM1V&2q2_`4&>6u1r1*s7CrlEg9u&_o|HEeDV8=qkMnGXRf1nXvkh@^v
z0TYKE*9>zXOdQmX0j&%Gm0uuxVCe|?xM&Y592X7Ri2!mJsGk4|Z<xE$XZk=p13>EL
zfWr$iVhbvt(A9x<c!AU{fvSV~3#JZMuE6|*Yeo>{Z&14el<q<87Eu2YxqKj0&Vbr+
zp!5PAk%sJ#XJBLm&){IGC!y-$<ptP27RG5{^>~hp2J6F8&Ok<(Ii`_X&cMP2R(^xZ
z36TF`H#tL6BGWVl=*%)KJ;B5mKxb57`2rUHF#S+*czX||4^;1i?}1`K%pii&A1M4F
zI|vc`|3Uc=<R0)$6;e2&#|MZHN>8wIA2ebPG7mDs&!Gq7gJ*`2%z>1jOyKq!@`@0!
zeJqUA!0M6W1L~hi==Ooa1!NznTmqF_Fg}!q*VCld|KMYVLFERxe&x`E@u4)_T(JLG
z7^i{lL#qEl{sZL?Sp5&yho%09?wrC%Kj`{F<s`Cs=;;R*KCt=|HggJ|QDR_Zgyjz~
zAE_QdR}UJ;0EH*4-UjoL)WgCbW<IDM0jY<~<Z$SL`FPZWhR;FjVd(?R$D<y!(+H#<
z7QbLV9`&H{T9A5BxdKWLU_Ku8p!^3?530{V>cM<G>NP<tmKYep?QW2IFds=hBp)GW
zmO(r6K<0yH{6Xr$d_3y4q2@z&LUQPV`FPZW#v?)ILw1&N=z;lo)aydchwL!p&;#?4
z)WgaVSp4ci)kAiYap-~hNa|tf7p7hxsvfcfmqQQC$D<z9F9o?Dv?2r)K43nQdRTb|
zGaoeb22u~%S<Ima=HpRs1a%Ln{sWm0<|C<xmESP)jiKrxJL5R?z<fM2^`LSaRQ|#8
zBd8t&)t9iD_!-dp5tJ`L>LBegfoU*#SiVJ{sb2#bUxBaKfX&o{t_=kFAEpmfE`ZDh
z_18e{A{Y%-2j8g+y0aRj546)06b@iM3nL?_oCoQLnFE`thq)UzBM);wY(^gDZ<su6
zCob4t7RG6?dInbSP<y5xG%yV+4`J;LP<s%x;?fQpe_$HR4o&dRc`Wr1XnqG<y94Ha
z(D*+nd_m<shz6U(!Z;1A56ezmP&viGz?@0yvGCAwCiqPK7Dze}F$b;NV_<|dM;VwH
zLDxfqcHV+kbbxl=T0;CS4^4lJRuH}`Se%6srXC`Wv=bLpZ-I8Mg3Ut;FHn8O!@$f4
zwO0(J4&)z5J{5rPXosa|kUS{e!}?#4{3b9BT|Fo~LE#74K`by$2ilH-sRyM)P`v)*
z0-c{FJPoD}mcL-?ts(xigvvvPuNau1CnN|=1GU3J=^Ct#g>jk-)E-DViMc};R?fiO
zZw3u-sCrm9z;_aZ>QMm(W=2prfx-n+-VqKLNVz324P8C1aDk~OHe5jSdQA+B7~ujl
z2No`1bx7d?sh<$x0#lD3F1C<x*M!z{@D(1A`buCLSe}J(8qB<CXt=||6RHjpo=E8p
z6rP}T2&tD4?tq3re8)6YKb#Ls7l)zaE--tb<}iZH1;r1f{zB}a2CD<Duz{A(uy}`*
z`-FE;L&{O|cTj`c4Ja#1aEza$&mX|%@zBTF5q#A7GUyKKG^8EWW(p;SmLLpTZDM2q
zS#4qpUu^>0LH%@=kp9*D@3VyT!>46?ZI20l)C5VS44}R+5gVwfvety<1M+<bi~<@A
zjDnysASOm3$jTFzU(+A^EW6FKG_>0M)}mZb>sP_WXQmpkZ#??AVp3;CufK7hvRwYH
z^&c-ze9V2sK3SGIk!iNi{ewr(S>%hoPTb-*(P*lJ{-p9-pC@z|PM=$Kea-Q-Wex^R
zX7lT>EZzT6?U2`72g|DK8}GB4)%QggTiI*HU-wG*xKh?lWD=LN?)7Z}T<7P3&P#xA
zd=_T(!Ls^9N8V|<(;7ynB~B|C6~p#2LAE_B25nRd-R88K(IjXOqlzcvdM4wb{fx@q
zj4N1<#W3w*!?FqmGy;Nr4Z@!S_%#Rz8Uz^sBrtJqIRV<~?AAR`<ZW2@JdrCI7bk~E
z=vFleWNzvASnT@NL_hP-e-OT%4UW>iZy6cVAD%n`UWD=o)Fc24!w68D!q=UFVJ>L!
z7A6R$K`CJcA7~MZTFnwBhU=jWsXJNNpEz=Fn#9WJC&u*3mW}D32U}MIJCn5$hgk}v
z;t}Dw4A(U{xTYpCWgGzwnle4<#<9VfgAvaL=k1Mb%KT#74DF)q53M*D|G6=42Q3a|
zV6>59oU6*t#9qPS{KLqVoniJ%2H}J3?6Mm<>x)^Lj$dWovYP2Z7^v7_JPo=#nSpVx
zFDH}y3Puk{HpbT**|L+FwjN_j>t%G21}kbd0X4N4d8;{@?2j^X%w}WEW@XFbVw@?#
zq{0y5%^<?3;cD|$^v4G?qrk@FLPuU7)I9OaMl5bx^s6HwB|-mgp7`rBWAC=bDNm*e
z-V>Dwik-mrt1U^5`=DdL?(_i5esjm1FU%501bH~E|8pvBnE3PBoBc^O{ZF3rf3W8?
z<!Ta~u{(NRf`qctxubVhcrT3p{rA%E^IMAcN;?=e>oMBK&q`zrulL%el(Yzai3>QJ
zKyPrycT+W}hy>kK4XVm0S>kdMdQ-Ix6J&`?By@8zhz2cjsV8%DF-RXNOI$$ehvE_!
z(9j%ml>o}<pebw6PymPqO`(9MvOzfynFc3Q=u#BW)H6FH0~csY7N!n#(+TL14UjsJ
zIfQPkW@m)lSPkl$gO*Of%z@D$b3pck(ieyZ<zkq9AevZraxgORfYigx1r;VB_kqj>
z(Zrg=iMG@Qw%8KnPLMgEAwJNO3|vcIKx=J4?f_jS3-T{GzhhaFLh7bqPG~qnj|c|!
zSim=o!^{Wy8{{s~5DSRLwRD6HY7WeOAblYBlDc#RW)93fpzr~i14?&b`@v0KR*u2u
z9%9o02O|T@QVCdkB33^aBLg=mJV4<KO4rKJo1e{~R~Mk01B*vcID*uJ^nvmp7uu2y
zQ2qtU57g2PkUK&70Je0)4br6qXETt0lk@Y+KufIRjglEaG%-syV$hasfcT)HWDpIS
zl7lVTfZYHM5{Jp-qCrzRuq7J?&?Orn8kB!QH$sDk-~*sbHb9$paV^;Z4F!O@m@pa?
zFCadQMqjc4Nhc%g2Iv4HroJHIMLG!@A_jH2K%4eJU2upAOw-7@0osFtB^xmNVMFks
zp&HQ80w}+N(gP^oac$NGO}RnbjUYkk8sslfy-duK4d@aP_>v8%d%#1-peb)izGRvN
zUeYmog#mnN259O56i={tgTw&jMs&~+A83dgG&O}hHE)5Go<Zd;D4t;94-04Ll)yCD
z6gjBf0vZy94gG`pNJGP*atf4wVN>LwDL#;T=#Vka8=yhkH9+nMtA}nj1epV~A2u}&
z$|oT6KubA6L$Y8#3nL@Ue=v8Wy92x=1L<l47$2q{l&(SP8`K{HxdSYZG)0c?4)9V7
z21Z86W>LiEU68$?&8U#@$4x@UB$+dz_D_RNk%Oj$K=#AzgH3sZ%4KZgF#AC2LGcI6
zr^r*`8c0*&==MQeNO*HBsQnAF9}@1En^|G@f;O9h)Iq`pQypyR8KxfB6gQ~c08Md=
zK<Zr)Q2GQ7HN(mSP`o3T2OvHuy}+ha!AnDsmgK<r;H4l8m{+4f<>6D5VEb4Yr-9WY
zO{sz!03iRt@)=kkmMKb5egqBeg3>c6UBSW`T|Y=2vU#v@(Ev}O3eN%!8G`aHG~OnG
z;u&NvY>FFZE;0?8vgQICgqX4hFJ%EOi2<9-p$Fz8<y)A!przKJaD>{2F%^z(E~p#;
z<wwY7GY&m4AIV%;yuhZ4z)M<?;swmdqaM`00hteq4^Vyw^O4lU+zm4yl&?VQLHQV@
z9?VBl4+?Kk_`%eJ^n=ucHtU1bgZW76A^t*4O@i80AoZYp3Q`Z|<53T4e}UA)rf$J}
zJnF$qDnLtspz#Fe<C#i>l&gqMqoD8y#UG)mGDAqd!k8)pl_wzgLgOEP#S3US3zUvw
zG*lgYstmjY0cpw{%x8gJ1%q%OY^n_AZrD^9$UKm{VN+!wK4=LWEWLr!Hz-|GdrAy6
zItR*suyP4h&VuSo@KOo}Mn*7=G~^B%?*R?DgQjkf%Og<w1eHf1d1P@=`Ui=_+z%Qj
z0EHuLN(^ic3*$7fJ{HDl(D4KK=2eh5s9Yy?N(|%=1_s38w4f<xP&ooghlow7u=D~-
z_n>?M8`6iQZ-hEmXnKK_J1})1e}Mc8%_s1w4am|1foWiQ7RG5Xdtv6%YV#^&$p-10
zS3%`8X#5LQULcPrq4)F9`?s)h6WBNhbm|}(Y3jfjG-Y6D3Yju6gCFY;Dv3ZN&dChY
zdZP9&g$&Yq?R|_n<va<8L7gp7f&n#3@XQ&AFo1F+a{_4d69WTt0c2k)O9KN3sC8Tb
z(#<jfa$+iI<r4$*1`UP-ptZtG7a-FBX=k1t>REoma$0J6>7IN4{~Vn0uzc_B#FZCj
z1_-dfu2h?8IY(#ak}tWjfw@f85*|LsE|pbBzui(0c#7jr-U3Nsd)r<MjlM&RGk!M9
z+P&MhR;>DL>y)eIB9kx7iI=XPQEL8TYQi4P>r#5Wn{}V8a}k~9;`ZwJgx^~P!KDji
z-{}KJQ!E4jdJ0a<omPSmINbr>bh;3{;S_Y;@v-n-==)4T!2mK6ewJy2023n{;;8<H
z1Q6eWiSrC7z*82Raa;P8EH>kwo^vHlYeMg>qE{Yf(e9f9Ev@sX{P_>U#h}C085rJP
zVq`czzta>v#$OW!u2Vq~1H~YFeK#>M%uPd=0fqgFTc9!izBW|`hU=^hsgf-0PZ&8i
z34zA=8DH75G5+>o+%}O>QD4}b;d%=@*HkOUj1o|Dn(@hNrq<iuix~La**?!_XT-k4
z^jZo7`ziK^t?Z2dEEu<gn$HZ3HjfzRUS(rqpTX#CGdq_-_#_*<>_XVC(tBDUM>1^>
z2ZvPZUJj-oo{W3vducHo31_&YEExE5gUid0zI%2ZX1Y<+a!vZF*~$Ye;-<7s75XL^
z(Y%N)qsg7~$n~{zzm&Rfl6>=Tc?i#xkWRyB*H@+|EAM!GUiI^O%G=d@zW3yIb!@#-
zeDBx;q4qpBhZYS-R&nEsuIi%#cBS(qHg$ISe!X?*A@^tFCi}~&pw=XEZQ}!;_!O81
z3RqAn38SIv;I++G$b>3m3s^me9+=O<$T$Ve7nlY!2UgoGfr{6If`EYuyzmTE+JRcD
zAo>VcUU(MR9u~%F;!t&<ct);uVCKLUc0eY`F&CylM~&dEr$A=N#Z91~2l)%OFl8Im
zA5ig0poPAmS`g-M7!6ei_y2aNz7DXvIrPAM7DmQ3V7>tO=p&Fhg!~VhwL<nk*d9>t
z3y1&rK+T8wzXr;OwKgE(C@}3QbYc7ns60%41C$R_5A*l8|Ns9ZAGsd^4KGkOLJlv`
zkrzxzM=in3g@qH0hN^>y*G_17^?>c?&;#>X7#Y#s2MaHlKMAE5Sb89&ZWqLU%{gHE
z1sGwig57B1uvP?Q0f7L#Hv-nr!Z;1A9;r71>ScjyUr_P>|3AXqy-;&u;xKdHfLoOa
zbHVyq7^i{NBbf_Z@BnfrC>_Dv1Jl0|x^Mv0YWV%113n6Yt`F8q*asdZXOxGkXWS3v
zgIamN|AXcdKsk+RE@&a!|NjDWq3Y*C)y)OTf?6|dyx;{c^A1AvGlE(<p!9GE;$KEE
z&BB-mPQDCG;ByB+3v!_57D3Gc9}y2a(*7_+Uo_M{h&Bc$M$pktO$?0DP<@OrdC(Q|
zpiwNaJ{CrZIu=I8V-Rzk!SXDOF#o~aCk~cpVPu55+Y#n&n0sL6gIa$a49r=ug;2*K
z=EB_va}VgK7?AtW^@C;;LGA<V1Ep_}eilZ?Qy`jw(HSfca~}&M+<jnq7DmR?5OwBY
z_uz3aSRD%^IDA2^Q_vAtO$?0UV1KbNGJ^R?3#LE|N<ppWvk>!b!QsHd$Oz5fjOQTY
zmeBmf2v*0!$ao$S&*ETt7Dh%;ub72_ISXVS$X;0a0SyOmc?&A9K}UIk$_+?_GEEbK
zEC`<kI?@GH-@wcT^P%Q~%ma(FFitCmst1=NER54&=7H=1nGfrQ!0i8uR({1p%P-KV
zC&(SJ^8O;kKk%agLG=wNf5FNv7!6eiFTXB9!iRAJxcuPI1M^uJ8MlD>0@GmTz{)RJ
zxrSaY?O+1sAC75|a$0y6E_LYj!exm480FU$G;vrt;{&z_QO<z%voKBrt4Atlu0r+0
z$|+EJ1L`eZLlcLY>w#u2SU(HnG_ZOka|6)S!Q2DW4=cYw3;2-BFLZsd^6NSz-sGX`
z89^Iam>3x4K?}mLm0wWxbD`=m%CB1x^B8YJ>Dv(hGJ<KO@(Z-!30wIES`ZB?zd)mM
zpmG3eA0)XmFfrbRm<KPvVDg{~*Foh2SRV@`L>&twBj|{8kT_VLg%RdInES-R@+^#u
zP<K1R+zrYPp!kBB4{|T4`~tZTl%GIH{UN&#R(?H%x({7H=wd&RJHYx_7#YFpSQr@}
zL)1Hi<zen)VT8L6EYAYDim8c#(H!g^JnjW8Yy*uhg4_#o=TnIJ;$VNVFfxMqNaYu3
zK{=@WdInKv3l0aQ@(Xn33}^u%to#D2V}V@t1iA_aEYHHo2wG?kD!)MHf$W8qAJA}s
zmtT_Lm}G(<RR$}+UP9~#Um*oD7tDv63o;M15Dzpv11rD4<p>MoG?;lHdyva75FZ-`
z)yp9Bz_Xfc%w6Eo2c!$yVeSTv9)QZXJJ9kDeH2BVk%0kJ-hyeQQ54Xu5-9zG794`w
zFR*qIET3ya^kI}YAh&|t&j$@3(C7lley}+#jMKpSSQw{;LN^G)E=&Y<eL(iW${CnF
z+E9C7;;`}uW)DA_Jz#TK7^i{tu`o_+MY9LwFHpFF!;6i%>(BrH|9O$Z4a{d_?gA}b
zmt<vb1K9)0Kd=Rxu>J&SR0z~AhssX_9fSd@C-TANz3?p9!e5v;Ourvg9?~xom<Cga
z>#7=1KLyzx7r+%VV$>)D60agRpbI{6xevCX4dy=3k#HdQUV-X^>4()TuyPeN`UX-5
zI^rDUUvzyib+Co=5n%H;rlISDse|-~1g62%qx&1|UpD40P=5p2-xW}QzJ`>?pg|xI
zixJe`1*IEU`h=yAw~%}YPoJ>#1ZyXQ)Pej3*3ZH?4XhrietHMhkDl&82Ofd!gNeh;
z1(^df4`wb{KlJJ@&?qU)|LE;+n0sLQVf7xg9Zs;_4$=>*=b-8tKY~Jqfl(e*K47c&
zpz7yB)nU|opd;-;?e$L(a~MJH1(gqA8mZm`Z8*VJ?}0X!fXa=pknoF!+6T_1pnL?n
zssL2q!0H*8{C9|YXRtmNMn<qY7Dh%;{~uJ}faO^jVg6%;x=$P|&%($Eb+;o_9Bv=X
ze2{xV^&ZH5p#1+6VjtXnF!z8CmI1jBny(pQ`hP>zJA?JHFfxMGu`n`%@;AtRV0oDP
zSQz2%1Ix28GJ-Z*fa*Q4d3f9lR>#7~2y!pTouGq@K<#$0zmR4nK<N(DE`n_+K+pH!
z@L*w_29pPy!@@W%7h3*+4oU#UD`<5VC_TgY(DpDRXy*ZFBL-L;WG|!~b_I*GFfu~*
zF@iS4G$GZ)pp8+WdKfH^RG)yt7nClb`Bx024&*;rxd<DlAXKh`maT&FnGUr52-6Qr
z2cYr^md{>6(yusFJtNd!_(liN1}l(zK<)y$16B^Bha)r{V3hAJQ2Sx-fa%BO4ybyF
zJCMQ!<PK1H8K9X5b@w!w`B43EJ|ux7u2_Tl7itb8$Xt-WRG{X<!WFCzw6O;&4ht_0
zDE|Yr{6^OYQwJHJ5ts&3k6wO*%WXF1E>M39x%>u|`=Hqk$ZAEzh9A&HPoVUNUVejC
z^&yLc(mSa9fb|n#<t$h~3*$7fdZh9jG>Ze$4=blZ>OuNJBN@ozFmqx34v;!f`U2}`
zVVnk5k7O=-{{!Y8n0{FK4ef`(%Wrgju<!(}rUaGWQ1y(U*)$LzSHA_SelAoUM)}Rd
z$iM(9zqz3_FC^bGf@!4k8?=!MTlo#zSOm%!p!OT69E92jNp1{GjG*=wNF0=JK;v03
zdC)-spmGqbkA)GUj)jp?5MsYGSe}Iu=08TL`^3TWER2j$cRNDG;r7AI2e}tieuLZx
zO4mXVbE9GMF!u;U#i99@5hf2>r2ujtSRV@`BUl{^Bcmupy)#%I<~|lixck8JER2j|
z5OwBY^YFMAtd4~dyde$bPS7|tsQd=|3sjDR9mX^X<X(K`H#j_y%5ShaNaZ(ZyaQBz
zgZd4iavH{$g1FNKEY1Qh#z7?^BWTq>DBXkAu`t4C0c9ZSox%E87-8xdVeLWKcrdK|
z06U+7X&QQa66PP6K3F>vbTA}nwpJEmk33Wzqa2hE+E@u{r^rM2ZcuSXsCq^Ph`1A2
z9_Bt4Mn*-5xGPva=->+opHT@yJA?JX)Uhx!DnrG={$*i=n9st<2wJ@XN*7>x7DkwU
zMpcM<SFk*~I8uEF+DHg0zrpq*mFuAV49Z8)@=gq-4wN21yNp2P3wpR-fR?{|pfs$V
z&H}El1*U<nXa(tm>4%LI!O9T@G<BeO2Bk09Dj1kLHHg17q2|HU!5^r-V0jkCX)t?X
z=E7!!nIYwhI8;3&)PL}L6|^xE<ZqDwLH<>Nx{Fx<YCzRP-8T)UAKkw&cR<xc+<_GS
zAa{V`<p<PXF!P}9hKDCqKb#LKco6j}%pRyYj39GC{_2663t1(>F%7Jag%NHJEW9T`
z)kT15j%n!n;Oe0MhN(xdSHblu8*`Tli+chCboUD*13MFFJQ_4B4w?-`o)s@jO$Q%b
zms(K(reRl<Dwiv3fL24-rB)Px==l8TR1jUP?Nkh+tqfu{LA0`wxh04O<#*6kWN>pp
zr3nKYhf^`+%F|RRt(=()HZLbLITNI>M7JDF7o{p2fcP+Tl%cE7&7rH$Q{(f&tFvL^
z76wprVea;eF9GS#g<i1=cZVND9bBIwgkJ<T4?4>a+8~&!P_0m{P-0}EP-2v-P-1LY
zt5B_A483C29CXF1f{7_&H2_E*M2!jb$ZB)YiU0*u15`B-vlL29&0*%E+hJw|Sy5nN
zP^(a@U}lNXZ)OB?2eNvF5|9|oOk+cIKFH1H&`pgNhP4W{;3Kp_qbi^Y^G7ktlE#6b
zKg1|Y&g(Aj4V;s$0$OGP3UttT0iG2Ke9#pNuw9L~u7!oDW7z;%xd6Hdh=Jt-0}E)a
z!UK>Mpbd?nz5}BGh{wz*sKLM}1ln4_%qR@KI+jrcdUq_Ns5k?toyE*3roq4{3R=j?
z!YInX0TN?`-V`g&zyTU+XOsXL$HFKHv5HX&!j)!V0WH&Gl!0(%AxkJ2<se*nsA5pr
z#lXU-$iM<NSqUPm43!0yEDS7+s!*fUAhPOESx~vaz{02r)vE=O)rQJ~wrex6FzQ0}
z>Oo}np|S=Lt|3&f5s1soXsp4&XaZW4%EV|2S=>?St*o-@zz!45siNEO9(M_<+;8`r
zfgwq4`D*@+>9Y#Mp5<TvcIx4Sp5(xbCm$75M|Lk14Lq^CuzJG&PkIS_lJhSKB$b?%
zwJ!>9(Ab!K<6C~=&P!^sKLp#S=>2OvX(iceD41`4_};~3(Gfw%t&IXS>hGP{!%}r(
z_P#6Yto2(B_0w~9<oM_8;6K0YQP51T4|1)$H|^DDdYGd9;*;)%oyI)tEAAXrHILre
zo4fHpcsvoZ9)!`1@hg@EA-al?ouJE|mV!5eZcubzrno_IgHrfTMq~GtjLN}_%|doE
zsyQt-ab2tux{@(?C$o|V<9bF#=Vgk)+m<SZ?`1T1U&*K*xYU|4Y&WAeqX*+UMk~e$
z#(j)hj4n$p8J!nuGI}tsV76wAXWYlE&FIUxp2;w57n7nl(;8N5#wg~UY}$-I%xl=J
z7-N|CuxT-Ru&iXaW{hCj$*#@l!MuvqFp_C6i=qe1S`KT*c$Qt9+Kis8YdNhLBU$%y
zYBBn<uHv$0jAh-$rOoKevYNv%j(H!uq95~m&N!BR+=_u4z+u3_ARxfl!~lv&(8^Qz
zf|d^gOpLr25V!LxJOBwCVB%y1Wl-nP^+I2{Wr9Q33ze*R73#wix_4`#Wyti?3s-&J
zzCPm5e-M5R+6u+MaQ7i2!}6b=Kfy~{{v3wXNT93?!Jus6+sME$7u3au2ti3uI$I$I
zTGArcyOfdPx+z0yB@6qLgV1fOtdMQ1%#dxX%oy8NLE0IgbThU}M=LS7hjDUkozJ9U
z2U@7am{QN!`pNqP1K(q|&(}E^PtRd`slmzkE{SP6Xdae<v8IbrmX(!>-ICS0?%GQR
z_6zI}H*zq(3}9LSY6mhfmO3#m1dYUk&evx=%E-pF-GEs+SL{4P`&{;iTAYk8)0h^V
z0eO_MbQa@6&><cSi~<>qM-Q?yZQsDGoT(Db#t?m)VR8!_yQ~#UeJU%{;-9Q5A8{~N
zeq(BQ3)X0QgHdrKC?FUG5*hy-V%*y6CdI(;iiv@ro%N$U2jh7jriUDyjQ^CFw!46p
z*>o|^W#wXGx8QQFY5B{|pnHNtd;(*I0%JoU52M}$Mm0kY#>7CTy_-21LqnK;tYGYP
z;AOJe#9NobSaXw=acLv#QXvk;bTOulww#P{9!%dSf{opyz_{6wQD71mBfmIToF3!H
zfTS%9@>#4Gk8&{f?_f$y;AH&wnQ1#{{(*tf<{abPUOpy94R&`&R;J4vSv`w5824Xi
zV%*2c80pWHHIHe!E*DdA1{dc+4o3TpOpL{xjCNO<%vXc0vI%8ex{8U-g_S9AH>+<t
z2jlj?OoFdC8Reccm4oI<85sA>Wn5y-$XYG_n1TBWJG<^|7E?2(oE*l8ldOzy7qXfL
zaWJ}1XZmQ*$+**psd)yIgAub%3Zv3ZK1Rt#w!6X{j1xte0zj1v1LM?S#>8b{YbW?K
zzMIe3XU`Z>&-D2{AJgtPY%@=AFv>4tat!5UTsf0T!3Z29rRj{z?sG7T_b@$@VA=y3
zs9|98O=7xsm5=G;3^rZ`4o350Cc*EVjGT9w5_W^Nd@W^M_KSm2{20@x9>$fTNp=iV
z>KXVuzN{_`|14cqJilGzs#X5cb+VIJAFNnAL&<B})I}-xn{!q@=$?4byqBqLVfI&_
z78UNVn)5WIFS$-V92ny)r=RSo${549+In_be;dQu#_h|5o-Qui&bNT|paIX}h>uQN
zX8+upwcn)b)~sjVUxdu}he|~WZC>TSgY!*L{g$B4SBv?%`1ZW{a=JTW>9w+Bh5wE{
zms54&IQ{RX@4`UEUWw|Kx2J=6V-{Y|-=tHuQx!BY0_vDmfKLEd1K(}W$e;!uFaZq&
z!#Yy1hTlDCgBq%y@ji%;)Q|;Dpn@8*pp!B{=76?QfN0QwJcx$zq59$Wfx;6s@d7(R
z0%i}?97d42poT2yq%4p*Fn58~u`t5Tfw>dB7?ME^yfBNAK@NP<9I`%`I?&0FAaR&_
z^oA^KfCx0f!obFy32xXTO|*apm_P$XnoQt{Da{?=0V%}787(w%*Z>YFeStbiApd}9
zuznWCX<+q8130jOI+!}pf(UFKCD;itFm*8VVft~Mh>ETc7B1RM;DH%=P`d@x;ROxs
zfd+a&O%o6wl(j$$Xg~u_panKi^>d->=7K992Bx{N1vYvR^B8rZv_2$U7{N5sKo4kQ
z7&OoWH5b0X1~h>S>ZpMR3_$}rQ2QXshJlIE5Mo|5R39Tu-UuS@4A#fO2vNtv$Y=}|
z2g`#dJfZG_x=$P|&%($E8rW=NV6;Lv7wR8JsCu|N!0MqB;Gh8@kh?+Y))Zo2G|XKv
z^UR>)(C~!2+Z-b94A#fO$Ou*kIzb334wi?xn}rb;uHqITc@{=SOAyV#Xa%;9g^>|v
zA1-%;)kED4a<3Iczc|=`ER2lS5WY27KWHEVvhWMO@B}uH9L5b=5F$Jabo@XQ(!x5}
z2}H1b3p?2orVngB3*$7fJ{HDlVEd5<kZd9LGZsMd7yRTSusHPOKjZ=R4N!G3_2~H;
zoR6_|P(c$>pbjeNWLM-4s!MPXxMP}=ng;IR#rwqvgF1Skcms7fVI4b5V@Ss?3EJ_5
zE$1%DEr4_!(^K<6`qJ`?l0bBRN~JQ0HZnB>cRY<vOdzzf5x7HZrfdMw2knSjnnTRb
zgqp9MsB8?<ZvgFx21CWsmX8}6B6@R%7NARxKp50ZGlcdUEsa6FJ|hDKqvT{zhZA|h
zxrIWBi7^BlCZp0Okj3aQL(D;4J(T__SOZ8}!6X&jzce-g^)JEwK~UxZH8&M?x}}4^
za?2R&bW87T-=JgIl3ECEo{)Z}68;V$^9FGSP%n?=00Rez-T-Puf;xtvW+Rr4Aq%4*
zw6BKTPXw*0W@Z#NGytvfXJHg!U;!~0g~5h_dWImQ89^;u1{Owfs4Svq2(pV2(K7_=
zMf428dJ#QCuq>iyh|E=DU;!&u2HDHZsG`BZs0u2cSs2xzy-{_L3=5+MwBM%*;c7wk
zX@j`Tj5-<&jJlvsEfb?2q@!o%-(Gm?=nKo!drzN<c=*5NzSs5{{U_w-&pvG%>}40J
zzw-R@Lng<bE%R6!c5c%Sv0tZJH4~#^*e3o=J6<pJU0|WivHHmeW3I}cPU{Xi>1pD9
z{@V2<cA=sc@k=Ws#b>A(zkBMZ=9>4cWN&!Lf`_6h50Y|S)8|c{{Jqs!F-tN~Xum$A
zF^AJlO$mt)7c{chUV5=5J61FiT%kaFboz`Nu=VJaot8VTWprBPv`8^*o8ksV_a%xO
z6c;M(VpI&@=DLc}#A&ID^CHvWO{$)Z>ls0PD`lr;ipPVtn7S`fU2Yh*k5SQO4Wk94
z(-I9v*X4%MjQg1sJsDRsnFjA+RP|w8&1@LOw2MX2k7*Ta&=%7;#{JByevIo_;+S@_
zDIc|BbYH=w#TdMU(Uvh}u`Z+2b_+(=MH-BuOM`bYDWP`8K!FWv2S8UlGBAMpUb2jW
z@O!KnWiJRYG0J{`++@|5JKZ>Q-}TIixzmjeHoPr5!LluOLbCJ??%M~pWiHPT{QDn-
zFZ+OsB8Ih#85!JbJ3oMXV>K6`^%7VLM1Xr^%NQ8u`k~8!62povP;X3ct|}A5bytSe
znV<~@JV+Z1{&_H>-ekoAIYfvt;|SP4;6sF>l{g^1F-8qL@DK~MH^u?&jh&st_yV+f
zh=K8G5o050;S>X7z$r%8R#v9%mW;~v?5{bdU1dAGi<5D!8>0qjVFm+Zsx)IGcu1Nt
zAcxWQBpcKAg^bGnV%;3jgNI(aF)o0pES19OkTEJ}iUhMU96QYrK9$wcnuBqrE2Bae
z$p4I`;*1NyQ@e};F^or7axq;`U~#;_$+&VdqXKAXnSrs?pK;-QP{=U~*f9R7VBGq*
z{2jyTb6o7F*D=?Dj<aQ8T(_ICt(@8O9~;y8^NeNlz>4?TGcKuT;(o`<#Qu)S=pJa?
zi8+5CsNi6{n#avF^Br^D8CIr)s~OKnGcRIT9L~dDJ%@3($%`6>qVJb~GhY9~a^(jP
z<K#n(DWIbT85sX?Gj3<&VG6Nhe3#3~`0xc|?*Ser#Z8Rtr96zvHyQ0VgS``#%6Q>4
z<L)V}Ru;UBMgBj#nXa>Pv46H;=1kyW^!&`|@r>!}T{fog9gK_&JdBaXj9F<+)9-RI
z6?ZUmGV(B58Zt5@gAEEg${5nkGzEN}8}kZn#=|Z=OuKe5S)_0=>HcQk`kaT+@ekvN
zpJ26{J}~Zh$Y^^$yqoc+q^=snZ>QH27(N@bv)>J2`oDvVX|WUY$|;<Tl~RlireF<0
z8H^Pd827ID=f%X}<-u@dGQ;MmwcDSxuC;3EzqZm{R;B*djk{8p%H@_kHRWq?j_=>-
z?te4jpwH$g&!lUNg*VTZHfAMVO8R}Kz1Gc9qJP#kE8|yhf3BF}^LKUMs?N(<8_qd~
z%`iQ!Yq!Q#uaw<bdG4}AjiS!8KX!1gQBYc*)V2BMzJz>3j#F>i@@%%sK3<jZ=j+e*
z30f*@%c}pqi#-TiaxmI6Vqh5U83}-Uj-VdeXwL}TBOL7+F@byfqdg<&9dfXqQBh`k
z2B;@e67L7@DZzRoupWw`38d#jYR|~r1ky7yw=f0wh?I>D%|Z4kLwh`M^PzWpq4$i8
zpi^xy_n`NTjF5UppaVIR6iN(}z&)cBNPor91l%(+#MU#ig!G0$y(7aE2pdL2dqyxr
zz$bg6_KZLpP<lp2@R>4L&uIRH$x@ShCrp;wRqy2YQMf2*v|Gf&C=cyY(Yaf6^ZT_R
zu46Yfws>vliN3%wW2vwbcg(TSBbpz+SBh##3G8||gW*Hz)rn^ARjjhpAGT<$d~~^V
zbw%;jwWR@1J3sYaFG<LlomP1))5~A{^V5GX9}7*1SbgKdipvuxf4ytP@qO+6M{k}x
zDVewbp2u|dqWZ0K%b$BkU0WILKaqD`RQA5oUfu8B6{U}NnV3u#I-Xu|q~PBCo3jXa
zi?r07mOHJ3c8j(v4uNhF=qOB3D;m@-GGY{Dfo%R@V1RUsj6N`NF8wV58aG&AyxzQY
z_MVFyjMtkBlsx--qv6~hQ3Y-*@yH7^OI6nw{QVEYuR%u;F)-X+&B(Ak*YhH{XY^+>
zs3{7v1ct#qBSi*=xen+u;GWSmP|rwg6Y@M2FXVVt@Yd@g+cV-ExSkQmJ0@F5&!`a6
zGkTfF&GhgcbKe<OCdE~Z{1MGd85T$Iuva%R?&f&G!cfG1S(@>>3Cop=f$bSZcQeg~
z^o+J?GyZqsVLG&n=|l<_)7f9lrB8Sm5B_HS@C}rP7&pCR+;NxDc6)d=<ISJC&lr9m
zBC=;R8QwEm{qymRA6_w9J-pwycDykukNA1;s&cxR+7Zozg~8#x6QUb5;%+PZC)OyR
zig;MV{aRl0Nz?recbDmZUgmRZ<%ha0m!I3;KV)!7c3Di%<LV~?hbI?&n(KPjxGve{
zZs%n^{|4@cKbZ%#<|VVNJG5%Unwy0N4M~sn7PxTq8KzrzGQE@ep}p`|)2d^uSKes(
z>JM7w2WkpK@(k0oc<8Q1P&Xemc>`KC36h7c=7OmMP2zyWVSE@3%5xxnFnT|DRgv&4
z&{;1m49r=evuZ$t(J*siyTBp(F-ed<kb2lIBhcgx11obTX!3@imAM<DgNYF&4_aLd
z<AYXHf+jQCp}T=Wu?OP!Lir$dfBp;4^#n^W%`JzDg9a}^lOLca&Hw+x^L&`VyYeBM
zm>3usGN63W3@&KZBWQOh=$Zgm$m)Dcus91NBXpM&qZ>qA{t9?-orBRG!gmCVBdG(q
z2jqTG!3J6l2sV#}5vHEe6Qa)rVxIsbR2`!iR2*Wy09?H{MBEvykA)GUj)jpCERHm}
z2P%j`lY0<zIi`WlWnr8Kb|(wtG|(<xP(0g0(*;O9C_W(WWnid)h6iY<3gm9kZbs0m
zT+r@85DgYbvJZ643CKQ(Iu7t|Hc)zjn8!2?)Jj6O52PMsALvqd<nRWay$j+){LR4#
z<|D0&1g(-2VF0a(1DVWJ4%QEvL}6fHK!}6nAQ-gk4rC96$H345^_M>+9Oa?m1HK*(
z+1@~iyd78_3u3iv5L6sA=>XbA2u@}UOpKr=YZC(_JRYI?89|eLAaRI)1Q?<E89}?$
zK;a73$HE9v$HE8^M+#Tat`bnVLfttHY%UAqG_W~X;t`}C<lh-ke}+NaD-Vqq#&8JV
z5-g77FVLha$p4V|<zS42sB;91V@c0Z5P4^?c`S@D^^DOFaTkbv0*p{~jG*y1P&h!$
z7l5meg{XH1>tkVrsAFMd1dAhu1L*nzP&h!$<(LLGmxXZ}*qtnl80ib79uy975cA>j
z91rC~;)8<`%tuRKAbTL`g{cgzA2fLf7GQ?2(gbsnh!xQAhLtm*t_!G~0hecN%o9Po
z&jhfPYoKWXQ279o|NEZ<dYB9o_)Nnl2F4yx`3G9%4VsJxr8meV6{F@2aK4ph<N&*Y
z30y9L)PcDF{tGaI$~h(mMtM*J?f-vaMvy!H{^!tx>SqM)Mg;Mp>cH1N{Qobk2dW=H
z`l03<gJvl}?n4)c*$cA|L}M#=L4(cz{tJN5r~#P+T5S&sUs!sM=LAnef=|2n_g`Qd
zj1McHtfBP`XmviQo&o6x#VaoTQ2XHJn=HiqSs?u&eLJA>1PTuZ2Ie`S-EIH=3&2+y
zgLX%N+y{z3P<{YSf`Y;Ws%{!+(h=lNSUQBc7p$IzaT?6Mpzr`;ka-|`q4A&x3vcxB
z1&f1rH$&pp7#6<Z_+nvX1f>rFHs*=odXkM9a!n4>?ox2)f`Mrgq(Wnw21>V}#Rt&(
zY8q%U0%)~7sD1}c_JV1o`~|xH2XsA4DkOhsf_9UE%6U+Dg5oU=O&pdkKz;=6$_)Y+
zgiPQwzd+?a*c=wdX<&UUjMG-4?Uqf4ng`nbhingM@d;=*0Zbg-o?tY4z~-<pP6O*>
zVVnlq#SQW|Z1*oLTz*0IL3asE1BW966Fgi%LlK~G0n=#V0!j}se?r?8aDT$$AC?|q
z=ELlV>GOix58Jg2(+5k(pv5i7?gr@tVNkq)(hrCY!i07gqp#uy%|e1E$w6l=B2SY0
z_`86Ien9mr69XGZPJX%(Xs8Bc2WXPKEHS4P#0SMANFKWCIZ?qdEfKuxIZYu=AyFX>
zH2MN!K$r#!#zvO4kQKzBngi7Hvuk)QzDcLywfLo94C^ki7yRu4*+FQ!m@$AEx_+3C
z0W>AUQoz6gq7y(}JeCH?tmy<WX8|&214J2k88OoZ<_C~TW|j}yETEPvqW~yB1T!-V
zihw7VnHhzk2dFU$Lrzd*W)y)fDi(z-DrRC7gUq#FdfxR%`{v43vle!(GQD*0yNiy%
zQ(opbQyZf#w0Qr;)iL}C+LPmQujlI3pDkr)pUEvUSoh-Texb$s<&IIJ#eAO?PyC;|
zqUs&rmIM0=UC!LQvG~n9!!SNo#nasZ{8fi`NJ>s!{IA+~p@e9Y^z}6bpTDh&I{&_>
z-o!uQ^7*TI>Mh@%Toc>oYgVME7W7E8@-#SMLnm3q8I!S0vg&I%Eq7Y(v_vU%2a}@v
zY9^)d{fvrEOHEvstGKLURE%QW&1~YnhDj}gaW9jS(<(+qrzJL8q5Bziw47Hnf@WkF
zDuwT2RP<n60aCmdvVH`*NEK9VfhWg7<r*kH4+t<Zx-$yF2LKclKmrWV-AW7$pi|g9
z3s{^$Y8e<90t5t@7`+^rSU{KOfW!(w3W5@tI1h#W0;L-J#q6ev`it33Kcs(IaOqEv
zoITfXW9J+5FDfp1pZfPd2s?w?$P5g<>lhi>(>afT2McO;g7X>3L?{MDyKe~t!`xTs
zGN8n>;t*)CVBc|7W`^sj45>F+*q=1AL8ei`cd`8TU<RGjrX5+##8Bza^kgolf)(gk
zNXF7~riGv#+YF2XcNmYZWM|r*z@mJXy`N>G4BK2QmP;jIRTEz^WrA8742%t{8K1gw
zFn!<0y0|d%8_VR!Du+1Oinp^ZuIFINJ;S<UCdhS+DF%$KIksomxM#EEsIxOZu4QQf
zZ3kvxwE4zp{+EL(>?G^!$smt0K2l-qj$mifZDNrZWoHzYWQj8dn=mVt(f|0IZVpCf
z6*lKc4yG9mtg_(NG7}#wV<20<Bul2v<6Nex7nn}4GF0ziU{B)YGX2h^@g8)10aMCd
zrq*rI6%6i=xVW}{XVU-2&Qx%oWmZ2YqqGiVSp?(4J<K@_^KP?UUdGATTgRC32W;ol
z-;9l*UDXVX0q+=H&$2RYU&*8#FSeSYJ(T_7E-pr#Q@(7FHMr@JfepSEZdOHWX8tOf
zk30;r7g@>|G460-WSGIpsK?BxCeF#2EXz2>iZL*Sm#ODBbJ8<b#&`djR=s0ms(Z)W
zb(WjSdIhst0HflXB436rGa3Fqx4Ez;=H;~&RVzM}G+KSgymr3hOZfVoD?k5=o-u#w
z=8Wya>k<PFU5L@Gc*E%OY=5t`|E{9=owMxk9AM*oao4Ndw7`1V>K3ywWvRElCxd6+
z=jPT4SzK~vulED5eXjbS#HM}-P%xY|>3Fo&E@p-2k&>TYHb!1b6knJ-rC>^XYlb2p
zv&i9i>&4}@$!h6qAHnLe*N{b+jIjD2R?mY{Cli)>9<=xg)Gr2?`*`a6GHCq@D&Ii$
zFRZ@jg-n4kf@!3BZ4m<lg9HOJBOgRwa}K!OApk#XogYmc=6+D(1J(B#pqOVs)c0U>
zSQw{)^|3Hc1D*1OT%QR*&0BzG59l~ZP<;;*N4F;v%^t8hER55@`dApJfleDhwg+4+
zGB8bo)%T!&FGwD}z88YHhY?I8g$rl_0djpGhh{&l-qry71JbVsnU7xI$K%i^0o4aO
zYZ+M|C_Z=?n6p6kgX;UUkW=&^-DCzPMwq|OfEi5FPC?IP1*Z?Bc8oC8Um%)^1sa{8
zNP}X~S*)a({{?)y6{4L48chSWlRz{!^B02cVa_Dh{h!gy7lpbXL}N1_6iy)bgRNm;
zU;yU}Jg2RE`v3nw@@{d6KBl>_@uC&1kZ~Z`cp1DM2aYGib>6TkWl(z<6mRJ2VY^X5
zTLwV=2B<p5cZ}dENO@4Z9JCm>0ctK-9Sh?$usjRnwDr(_4#<7~|1)%e-Oa|_^%2ee
zJD~0bsRNCBf!dp(aV>CiV_=#K6Nk~TMZKWi_n_U|??L4c10&-{h`S&((xP6_q!oDa
zE_hr^0KTYq5_k%cneh`uAN+JFsJ)C(bH%~(ER2j$`y!#@5M>NZj3Dzt{Wy?$pm_WY
zH4mbIi4p1_#xD?YXRtidI0e)^aj-lKBjZ<yI&o;Z2ea1^st#f-0~16Y3nSQmkiDQ`
zUr>J;tPW}SG^n2kieK3276#Dx0`&tyi&nw@LfSnIHV0|(>vxEI7$K+C2u$-~1odwP
zrh&zg^uf~84~TkAs5<zr*$}8cusjRnG?;mQNa+MQ{$cTrPQ&6KR33ujAG8n%ME{1^
z%Lt~C;vZBlVT=E%(D(<{_@G7Iuy}&n#|Sl794rqy#RQVhL_y|(;v1wN6z+c^_JW3c
zK+9j@@eR`tmPgYM()SOdPaLd{g!lsc8!6nu<{-t_e~7t^G0^aa#=|tQIFdeCd@(@E
zCye+Cfa(X!gRZ@Y@?qw>LF3H@Dh}$eg3=RAAIx5uJswbXknwbmY3TZ3>RO=b6Q&-0
z@ie&nfS#HG+7tmU&smu>KcJN>YoPYQu343V)-SMK-q3i4k8gk~By9CI6Eu8a^*N{<
z1dSiS7I}k~{(;7U!TLeh9)r{)ZK8nf{)f2(<}P&od!VO~g0BAsnO6XHKe~Q&cQb?R
zV_<~0cVO)e7Bq2~yFu3pBbyJ_&%!tjtRBhTpxtQ5>R|4I>4$CVfGwt<0d+6BKF}IM
z<njmPeo%X>99)tyO<M~c&tiqT7itc?+~|O&2arBcI}m0cSUn3Pd|ZAuSe@`JkUCI(
z15OWY%w6F0!N!~ku9sPvGvA}7lM~SThaI}a2&5krzo5(7K=ob?*jx@qPKf*DE1-NX
z2;UVf4%*}Z;WL88k;Y%AL(3Vkc}VRt&>8cf%_dNL#X#yn<$?`3UkOa(0Mi`Pa=`Wp
zO!I*5iihQsKVZJVG<5Y)_fO*i>qlI#3{#IDA24;`=wM)iU&qW1@sA}`9(0%yxO{_|
za|CKXSRK+e!mv{p&`%LK09Ma24d#9}Sa?I+$p{Sx__*av1_lOD_<+I*6fPbRb?|U8
z!4WPFP<80)afJ&^eF4}!j%o5>S{NRF=;6YHBV1tSz`_Nr4k=t*pzeZ&3rsz(aDl3a
zgbPx-0fh^wJr6x)0e*@CdbmUN!{uTAfNgSvg+J6BMv%Fna7Hhe!RlBT;pV{N4|e(n
zX#NRQ?xX92sp|oUr@%Crdi3%foFCbkyTJLAjX4v2yw8$>fdMq$2RhvuZM+Y>Ifc+T
zpR$nwc*&N91!S{|xj{U5oG?8#54;H`C)*Hg4s0oxIdo}PW*%h8SXoXAsyuW_SAJ;;
zL>%3GV;tr~Pm`$DFth=UL)rvu>Vjy$YJ*x3U8@OopDDy%*f=I=J`FTpsZ8vt5$Nu*
zz~LU~<{b+oh<*8`CE#!=%RuAjK=`omu`q`4Vd_EkKFEDAKIqhU5Fa|eY5^Z#O;Jcu
zuuuRkNdq$=OaldDQ-j)C1w&)#3O6Hj#F988(54*)@BtGB3dW!#9cmSfk&mB91sx{=
z8n876EoB3#0WV@xFoEqPGBr^!O@SV2VV0y&Vg|O}3^d3KQf&&}F=PQ#Z3$AH0v-%5
zu}o4ZNdz5A0k_}62(%P05$R|OkeVa|g_0yQxLT+?EI=EYAfv`77#SEq<A1T!n)xm!
z`%i1;Yb%fB+kCp{0_gT9P^knOwj+4#1Y-g-c-R<pF$QRe1vFv|qG1<ffX9+SCwMV{
z4xRuFEJHX47&t)6z-M7HJz)L-875|76wn4ANWmxw>Sq`*GYW|?fOZHlgNBVkQ)A4G
zBCzpeQRsmdjAD>+X~ek`EFhJP;N3;cjFK7*j8dS{c@{=#$k7+L&zu1318+29W>nB%
zU{nOPT9_G?BtfMZ12dztI0I;-3NxdMIQaMgW=2(U2GA{9pm8$>P*aAPQC*S&bYB%S
zqlP2{=y(Cp2^5fnFc2qDfV40oPM`p3WCWi;!OW<y!N6z$x;To3(Gcof@aYpQjK&Ns
zV1*{2h+$zgWnclFV896K5HqkanlrF~O|^i?S~9SJT*_z#;xaQ@YcMd{fV##^jJA+L
zb|bw@7d@U-uG-@3bCToLo~8e;tj?B;lC8fZq@eQeq5N_84=gdfjMKjdv@CJmlBoSP
z`q0fsFH4*>mpcdty-Iw1ckR|`=D7hYW;eB|-QYjDec~Nwng035+U6|i(-uCp%xl5D
zZQ8fp_Nc5j`aI{DXr;!?y}SO$?a&Q+ykGR+96`A+eJ8efcDud(qieluw)BnA|1&4;
ziSjP3C@eiO!C=$L%MpAWkCj<gv6)U5@!&d~s`)DnJRBpSAfUj&z-Y(#h(RBeKp6eO
z<of^iiU%2Ww4IhaEp=Mrv{Wg4H^G5;WynChm2T*MMlD_E)r?B+%M}+YhVNih-Q>L7
z++~q^$i|TUjEcw2-B&THhitZBbXlaqcx*`|<1S_u_mxb_SDcnBMl$Ya1l^kMvQcq^
zV%SzorbU`ePD_k~b}%ZttYk6{+R3Qww2m=!H?!h#YbN(qjM_}0TTC{nxUXk4-NC5p
zvWm$hmT@PGia+BDR?`T^9W1JzjB8mUnRc-$2W|v=7&;38iaAhPg5R#rC@R3j7{jOr
zzhK?K03^V`=nTra3=9kf0!)l?1uUS|Dj*T?G(fxq6H6zE2in&z#|WAk$Y2y_;#~M0
zToCM>ubsQecjtWV&D!6KSCl-hbA5ViD*yF$cXC(jPyG8Igl~gZSuil{oy^FPE_>1d
zJQMH-)U$$`3ZcL=0m~T}=7RcK5FscDN{lP|Kr;bqca|dE%FY5=HVB>x_~(Iqyu|^(
z!z>JyGnt+kaw;T&hx$v8GcD`~na3y~!+2DagQy7sPLv4&PRN7+C$0$r4)h5DPV@-@
z4#<Q62j+wTCuBl^6ZeFG1#Ciq3+sdcH)KM912Q4N1)C7qQSHslz?{K6?=suvZCs4K
zaf}&zKy4?+r`6ae1(?B;0^Ecq1#-pCGvDiBXK&PE_K%$}%F4j7m}!wmRSU~JZe}+&
zF2)u+CV9}k<qXUce;K{Mb^c^C{!{&y@$w0F_PL8#7+slKX0tMyvM{N$1j{luYp}7~
zCb2jlVPc!f${5JV<OQA*VLZml=*!LYiJg^crw!9A&^ZSTjA@@31)ebG9{E0(ZKjD_
z4NKWC*0%4=W&gMs&zxtvHJ|C69v9>GJVqJNam5Uba=RJx${3eFVee*R@HxQ3ws$j&
zc{-!;d2kTe&0%!c;$~Wy&S-s)g(;$+WwRXPOC46mA6ZQQuQEojW_%XLxDnLBWnip|
zW<0-yn`vVeqsdn$+234DqDL9Ex|r^O4hdvn+zdK$fPpdBk%wvBM#g2yjN6Vg9`9%T
z!N<mUOON@G4?E-PRm@_cjET$MMY62e<DbK}|N6gOjOyjA3;%O7W}abOFcXw*8A}Zq
z7lIZPFfa-nU_3gJmuZ0jtC;~GQ(!Rb`&DrcjCPaxS;IuxZdnU3b-J*{x9;I*VT{#b
z`5wVIWdRqXSuvyI6OhN4mYilZY!hViv1fdg&&oLS4b%6Vj8hhIF`AV#I=+FZxXmcH
zozX8J#9>@{mr)XQiwOf`LOCP%JH~{wyo|3_vMzGsXUyKlHZ6xS@#=x~ER0KYSlDke
zmMrFC{8qwv@HI#)(~>KUhC76qe4H5{O=o4CDZ_MEgNt!u5@Wy-kTm1eZpJh=F2+lC
zjGf@?4wxMNFna%BeDIKu@zn>`MIQthvoEntTf&&=dVfCSY+ZJC-VB!bgZxZKHnQ^-
z2{0*MXX9VQ9LT7=fsMU3m}UQV0Vekt_ANV@9)N}u7#L4K1_#^Rt2|8dGZ;M-`50dp
zvSvSKdVGw@a}Gb_4sJF!HeSYZd)A;j#+M)de_`u<)_a3#_kOo5hCA08Hg$>LeX>OT
zy2ujAk7pO(pLAySg{$h9LzYN>ynNM0U0v{oqG~D|yXl|pj2w~Iy$&2*cRce^z#)zY
zZ)R*4Uj9vWt^#x5HEZeou7ppyyo)mDx+IEMezCY^c<K@Vv9dM)yBlMk+jebw=eOY7
zZEbzI#hV>wKR0Ba6u^IMQuo{Me(6pJ6xwrZ?wZFX`P=<l!jzUHv+H%QOT)jb-wOX7
zIik{5!qKo<@lY7(=pj&l1bXWRc-;)>FcHu?8PIqJY<-|TsAywg&IFw*59;TG);odL
zM_z)g<B(qi>8~<^`AGeF(1b6j{{`00z*Gj-&%($EK8c5cnGqz<z`zVzb^%cWC3)B(
z<MN;rum1lRm<w88L&<uo%Mf?M*HeM{ER2kx*;ytA!t1F(hniqpPX*NvUrz;9N451-
zpr#*aoENr^71Y%FhqRswRI!4>7aAY%^;4i0B50iwY`$s<IDQ1+<9DF-te|mOkbY43
z<I)ecZyHQLY&{i7KS-YhG@fv+r*egk=YVhNU|^I7jiX~*PX$YdF!zGhV_8oHG7n@g
zXx#y5y&5dMVe_dVe}l%Oz~WfeQ-R|P%RDQ19tqF<DrleuG%g2f*n!5?VDqcK(D7q1
zjWq56TF;}xz|08RybT&Bg^oKxC*+tI{UQ1^Vd5}%gOWdJybsh$2H68!F9bG+g>f2K
z9}DBO6VUly*t{dCwF9yTI!*$&ClG26OdQ=FP+J|@9<VtqjMKpSSQw{)4*dZ68)gq^
zofpU+=y(a-9?-fhWN~zRK%D?&d%)(fFir#O104zi9dCx&0}BUGp9NVTXdxk}yn>C7
zfaW2Q-A70tY`h6{$P6}ppyn*HeXu((LG!L4d!g&b;dgYx>;v5ei%s8IaK7W120HZ*
zn|+`=VL|#NLG>8}(=<i!_>b_k2T*;WaK@$&l#f9AAh$&^O@oX#b4+^y)+aCx)Sbnq
z4|1m{(=>E_AE5e<L2g69@E_<jP>_AF^!Np;4l*9bF-?aBTwY`7gXC9C`#?QcQ2heh
zmw?TkpmplV_QB#EGR`Y7tsTuiP({svH17{u&H^&`8`}IbXkHC8e+{P5@++tug~cbd
z+{IYu2P>yw=A)-q(4r`0cf-mvSot~!E#HFd17T2k0V>x(Y!C*QM|kG7-=dAngAU~c
zg(vKeRaiQQg&S;q5ws2)qz-hO7AQTV>w~ES9ijqK2UCwe4hgoOjk)U$0e8UiDY@<t
zfsO;?atGLc=&c^0buys!5MoG&eyKp~rxj3h&Vgy+Sq4z?Sx`P$A9Vf$S-%Zb9qe{R
zn0^naI7~li-b4&KE{m)m6qg_z0#y%cK!d{d0d)QcbO;$Jox<kXVBrtyUVzlW!VO&?
zOdWdoqU(c<mkYq>eQra_msub;fp7wi+=ZV0(A{+cTHb)%0Lm}u?#h9>3v}BOXr3NY
zj&g!;p=v_Px1jYspnMCFN9;SffoA@4$UdN1Aoa-ktO9Be`1UOZMsY|v&k4Vc3+g`b
zJ{yod5P5_>u+z1n>ZZZ$fvinr&TN62AHW7FA76pXBj#z{&~yjV2bzC_inoLKAUpx8
z542wb<bOyx%gqSpBl#b+4+rEfh&;zMSh)-`0~D@*(87TmdgvEOA1EB=K<!C@y6+_p
z_d&(s?pp!X2fb|!9&X@U#26S|z~U^7@b&>%9Le3F@p_QEVc`jCXM)^|D?CB!LGIlG
zwYLE3-WNFB3l)dE7j*YA=<q+#p>?3}gxJdox%~{uU7&qE$nJuLBQAG=)Pvl02I?+Y
zJU_?bE~t3>4XAq9Eq<_a<q1@L2RMBQOoNGkfQrM)8_@g@sJsEK6OuqG??C&4K<@bi
zRo?*(r)N0a0~Lpd6KMDw6i(pV*BBVZA^D1v5q$d^1EU>O96sL+zI}~>(FrULx}5<W
zU`&jleK4T?K45)F>vzDnt1&P-gXLKmVYj<6f=(@MVqmld%Ol+)0KPqqfzcT(4^t1l
zT@4hEF!zCPLt|ic0o{TI+9w0m#|RcjieG5>FhcZkOarT9VVs7mya0QLfq?<4FAK&8
zhdXqA7IOG2aDekUXk-+uR0qlj-4X+GFC?FG!)}*yf{HVO?!X20TfnzPF)-SJ#gX#Q
zJ4pZ45_Fpr$bZm&2qS0>auWli6I32ttANY_?cV_HF9XZ7FfxM8Wnl#0as*co-q!_k
zCKDs*79ddj9eT?Gd|wghwx1>jMr+VIOOU-_bu5gGp#2%3TkoLlP4F#AAbHR&OH2%m
z;$V5uIxvvCk-{IeUksGLVC^+n{Z;{8*8o~a3~Haj%mMRR7{UA2K<OI2z5}acVVnjt
zhfsZI0gVsvtxpV$;;{Gt^>aYs0u_hH2l!Sd21YxuI8uComSuzd57q|`M^FqhF@kSv
zVqkQF%ERLWd|ML(qcd2Zg^>|#F5>nkh<dO&koW-KsstLB0Gl8Hj}P#zNeqnEu-lx#
z>JYakSwh?Kj9_)3d<R*FB@Q~$85BQYcOb<FXdxE9_yFI+#J~vN011j$FrS4Hyw495
zAL#WwXyF6s7D8Bj;Hu|cpz#12h(*p9pj#h6@c<Qv#{>8_9tK7iusl*Yfp6zwV6+3P
zM~WZtEjtX1&R~5kjErD)ER2j`aTdmWP$+})320v($o~*Nf((GV4|W?gtQ-c7LxI-m
zg7ks>57Q9?RS!D66WKqYTU|i@fr`WZ1G;6WiGk4$ERN)E&}}ZDbOqK2ia)RgOpKuQ
zrcDftj$nOY|3lInSek(eatjXwqcd1P^cERVJ_6sG!@%eSy`=|kKlHX8C$PC-^FSss
zF@n~cfy!a9Jd(S>x9Ko2!f)FFo6Ew;2)^|ODIbAvzhPi>0gEGU>4Dvf0~$sFuZsbN
zBPiS<`47=<0o%jEI1N|31*8u-++pP{Xq_7a19Jz+7a$DcORzC_fz~x)Ymb*e;|o>}
z9YCvZK?Cog@Kb=cpJbpkSe%7%+6!>LM6?58`~E<)$DsB+tRIiAALKSrI|rs7e5(%w
zBmD4Q@NGN{jPjuI6VQ5L(EfZ-Jqj5Q5P;nl#0VNM0Es_=xQh|0j}d&^4g;ezSRV@`
zL>&twL>wvKgZ6cS!XIKT$271zSQw{)&0%4jb_ZhaG}!nrNIfXr8=&C^YlnctmyNmW
z{r~^}k;AzMs_q0@xXyrz!}Npou`zeO`~Uwxay_~Ps%`_6hThr(Z)bvU=V4&9gNno3
zBjDS77#N+v;z;cg@U1-zjPP51!0K2SA-4drFcw1Ng%LCmZ3Ew54iQBr_dwkPx|Ii1
z9zx`pV7CCl>l5(pJ`9Xb%8>Clc)o+){$mFgN6KHIBMd?L9;^?XA0hc0e0wSbqa|1#
zNgQ-^I;dQM%7arQs5}GTg37??43-Do(hahog^>|_dnp5>6Ieai9FWVI7{RxhVyS09
zhf#y-eXu?jMu<Al;l&{H7#J;~<2Q_8bu5gGdeC}C7bMTZ$Ov`^3nM(gg9=<wdV}RR
zSp5L2_w_;g7!c-w`7Dgkf|@xKbl5PcKMXoF8AOBaL#p>-{b2`ax`nB`0_B4ybU@=B
zFm*6@fchPv{0cK4T|dkm*mw{(bloZF&~8xq018D02BsnyKN~vU4d$~j!q?A(*7<<W
zCxE6KF_1b?elmpk8=h`J2i$|=6)Fx-H=x~Jp!xzVj+Aadhk}FB4OkyIULolQbbcd9
z94wC{ZU(W>2`UdyH|7v=XRtiz&}|SObci*GW?+P<2b%-(F%zRDL|hZSy=Vmyw+0;>
z+5|e60mKI#N)4is(hXQ03nQZqG~HN(<XIRQ!R|mxH^tEKgvA$ny0Hc6V?dY#=Cd#|
zg7ksH7qSjiU>az=7nE+m_F+jkpmAN0JWL&~bYlmxPZO#RUhcqdQ3T7gFhbX#3QU8U
z8woB4g=c|o7Xy_WQ1y(^^Z?H%po=;{=>(KsK<*ELreCOi({Q=V9^x)|IszSy1WHFx
zad<j%gs8IvizB5YCy2NuSRXi^A?e5&Dh`%M5(lkc1ep(&ho>V~h<azRJPRWu*gh6U
zMmLDK6Ieai97sBHhlpdOBhY$wQ27Ja2RbhWq>hD=5w!mrl&)dr4_F=O9296e0?V^7
zGJ@TKl#W1?`}oQqZ;<&6jEtat+MxIW^H~@fLHa=P0J&ugk&eLXu%#o2IT-0902+^=
zwI`tYRam^j%tcQhQ1y`Xf#eTR`T+R@ba*{-dWEJZcshsbhx5VH3k-<c$6)CYY7QgF
zT#!G}$LYZ8SQz2vz{*e9I6N#rqU+-Ur+3V8#}m-{6STq(<bGJY8k8D97*zj)+yP>P
zFsvN_Y9AoC??8M|`wo5mD`<QVwEh(|zJR>`wIIJ3d}~-qd}0b{{b)+OMG}b4EP>nt
zmK0wGS)U4BcUoMMSOk(Ufvz(J?RNpK(}atITCogl9C+55qU@(vhMJq1X9T$g%@}f6
zd}f{z#9rL%RSiMz0EGo;Up~SdLo{=s>vD0M13s)CW)8u1z?qQswV+!RLH<rjEJ*~p
z19bZ<h_4Kl2hHPy_%M0U?ZO~FOdhlz8N|;oElC6E&&){$`!_i!8%%@Z8ziq>plk|~
z2OS-a%!lb$&Qvx-k<U~HuTzG_OHpbu_`HIg63BX6<pPL2%ssI6$0?a<V0Xa60lMzi
z&;qgY)yNFAM%D<rHWhRNzJhTILfXU<By9p(8f&0n43;)cMM#?^f~3trYjI)H=4tR%
zy%r`47A6YjX$lsgTjHQ>7}r3-q_$SUB2mFK)d0M#))I6rn*x|L0N*QDt6*t{kOjF=
z!4gD*)&+x?+Zw@T6D`2*NK`PfR7f&VFfvm}G69ib-x(+vgKwuxGE*>3Ndw!J1hxxI
z8Yq~8E(cIZ0$nTsku6CwP_Q%r8DW_WB9lxMlE6MNgIEA!8z`894NZnS0^|a)I}8-e
zz$PYJz}=S&3LynBX`ldEtegT`tc<YF$V?$Q6=YdTl0r%nlnob2v`|O^Elh@x1`6h&
z^~T_<3P4RbQ1p)C(GVC7fzc2c4S~@R7!85Z5Eu=C(GVC7fzc2c4S~@R7#tx0TTD8-
z`2uuE4(`Kj!HfB^9A*n%%+J6$y7>aKxC`SjThQWb&|oomGsWoU3;1G0@Fofd#?j3e
zpvj8S%@@-^izz^hGGL1~V2dI~H(!A2NhbK>I@qMo=;jO5Lj}<{QJ^o1gH4*jHZhED
zzJM%}g)IUa-FyLR{|HQ@)}|2j^oKq<i)&FPD7S;~=;jMZ`UG#bKw8`g<|9qUgEmos
z+E?g{7(rnOs!wn&Vg%^}O>&QJzF=TL-pm8qOaTf{$mR^h;>yv@7vMu=M>k(UG8V(=
z<_mCoupHfd0WLqlm*0REzk)YcjBdVwx7Tsi^P`(D!1-r%^96WO;^^iJ=%x+WCW_I`
z7tlqTqnj_l<;3Xb3vf9hFb%dDVs!Hb2rw~%H&-w)T8?hMU|;|(njhVK0UF2QW(04d
zU|@6tZ?a$jT^b8XFW}7(42+|jFTj_Ja7=@3{sM2d7~Oo4i9Sv@y7>aK2?4gqe{}N&
zsGJ3DI)Q}C=;jNBT7}Wg7lUo{1?br3mn)tdhW(P(`Mu(~;kPA==b6ZUwE>-p0jdZ=
z$1TH8aA!1NW&mxjWp;oZpw1EiIbIxeU?Brb0t4vaF$T!7?G4DB2@qwVbM6?JHZUI$
z0bdu&azPt>%K8J)`6$~#=eL8-c4uZ3fZPMh%qYkOyZDm}c7i(>1Lzob@Wr2?UH8n4
zqOjxC#bC#&i^Gmnmk@^@r!FZDJx*PU3w)e9Gov&Y1L%BoW=0ut2GH>@%#5<)44`XQ
znHl9I8N@&ro-)dFF^Gd13S11Jo2D2U6{Q$JM+Y)7DlsxDOEG|s17u=UVP;h2Vvq)%
zhs~%a#Q?f<n1xZDK?8K_CL`!1K?cz2?HZsH=o#Usw`+ioE<~K(t^x8C<n(qHMtuei
zuxX&vR2e`gxI<*&C%7YVL9JRwQ)vcS(8gOvGX{vU=AbxZVzgjnw3KE5odL+gXa#i-
z=p+LM7DgKeh<j{7Dp?rqpf=irxJ--=jEs&_4Dw(zoftGg0mbMHk#b>Xbmd|IooC3%
z=qAMgI-rn=(VdymgNp%l$R{JCr!<2ySfdw%23W)!qS1$m(U%RxWYGTJV{?9nyH-W@
z37>zDrZ^mIxRQG!&OP^vf8vjc>edp;#($Fz#vEV&{K1Oz?M_VQe>|4+2WkIa=kfNT
zty%e3w@8f*g@MnuUOVwZN}qAg^iyruc%O?OeH0mZc-B28vnTJLzP<m;uw=>ZK;D)=
zRT%~4%QF4C*K<_;{-wL&XZ`UvlZ2LK#!uP2*G^zw!HXX@DcR!eF-bM*n{AKGds^C2
zw)fTjh}TDKBwgR1TB34n*39Le4wLfU8@;@lciH*sJtsH88y{8Q%NSW%UgAuDs+0M2
zXV<ZJrxm7ih8`;BjC2Vvus&A!-u9;7wpA89uHG>hDwL+#aeL|dm2#R1e`8TSIsv>j
z8hQl19}}Z4mNV#;oR%vEZdY_)$)ps%g9&^I>?%eTm*t94jQbc(+*dHFMKJD!UIM$=
zo-uSEqdp_(64(t&?u(q3Dn>HyWmH_K=)RIs(P@!l&}Qfn^GX{Om#BC!uVZyu8pF7Q
z1#I*N#R$edjDZ^zF9dE-a$n7;6t;^|X`uzP2jeP6P38#3U5u8@UX1G*wV0zA_cK~C
z9oA+F+-${sNRRojHKWTC9mbHY_RN8sG+53ssRr+0RyydsiqV24XqyI0&{m~GiW{t1
zK_=<2MlkMXv|;sPT*0Kz8p*hu(VE$HsSb1aZbqdGCm1bR7izKw?POH)WL(1ta}b=Z
zw9MFpahZA~;~qvu&<Z>T2Iz72psWebM3BQS85kH<1QZy38FfL076Su=gMdQ;3#iTo
z@dE?|0vwoFAp6W27#Kwug#|7!ihvJV0Le2-3NR`$Ffp1zcHS~DN(nG3Gcd7$Hd2G+
z1q1{bRT&sTO<xd~QAU7Kol$@VbS@=`U%(*1s5ya&@c>M_tN^1W1ItM$SAYS;Wn#Py
z<ul3&Fj^<DfKCYn={8^xV6<^yVg#Lz3gR=$3otq}u*iaw3IhX3w=)A1i$07mAi(I#
zzzFKzgH<aEFuE}?v4HjzgZLn)x-&5PLRB*=3ov>lut2UpfEeb*z{JD}F7{VGHw^sD
z$ozBVb3+5$uQmslET8A^ID4M)tuI#sm%VrT`yYfCLr!z^UCzkRUc&hpd|rPI=!QIy
zZEy@eum1%D!`w?yPr_wDnSRA4(0ToFO)9Jm*OxM+MzXMfZxmk4ZBoU+P|L^gMxN8a
z4piJQhSoDZ1RaLNz&PO#<IxwaOxqu^D4%3+XPC&tHdl(}k_lMV#4MDHdf8y-@-t3;
zta6Br5qwcE8|JzEjNprUS<%nsXJbOUsFxLTE<Y>gx%_OL;EQ_MFwf;@2VK<5F!e(0
zN$5qr>|Cbb88zO61}hm;?lM9z>g9l(%g@RPzNnWSb}s)O;T(qRr#QK$E@R5@0fiUi
zli7@|_Kp_J3}I;u!sl5|_OmnY)L~=*T`b7JXu6qEF&z}Xi~?sFk9Ko0El^=Li{NGo
zY-WDX)x^N?NSKG^rwHqQ)qimeb?bOp59YI;zbv<j;dvUX;vEjg%x<Oypp#=57)x~+
z7e+!1+Q4|UkdJBm1y<#?$NU+>=dwC#b1<$?Wm3Gw$(Y&Bv;cI(5CdbW4C6x3CSL|d
zfda;(ANd(kG_W(SOl4F6U3SXASUR0?p+3au7{;S31(+5ju$o;EWD1<j{9Y^0fx&LF
z5UZmo%Xy`jZ<rVk#V{tV7H0XG%Dn%$%`C<}Y9bu2b?nE#<n^#K^gIw{u>Hhk{)Exu
z1S3NuJENW<qgpXLWAatTiK`eZLYW$Nb293=FsaStWK3pcn!>~wC?dwxBh8Ye#>M!q
zo_W<fZpfX(JWO^gSh*Ypnd~+)a}|p-*<EGk+AYCk=gPu0TZqY;mBoyUQBlT#iIG80
zk)hd<<>e%H#-C!04WL6)7#K~X7!|id{FBLe^spq;^(|~};Cpo$cV1?+T*kn_$iS%J
z&pKxwW2xb`a)!%qSUgX#Gwxi>$N)MOfq~JqpHUH%dKnl6Y#EPcOEF!4!sZ6LK!<^G
z=VV4pH53D`mNUHiE6wr$1ZQi545P9Uzd@-aqyJ6Tdz%<PX5Kx<@N2#_$9*2oqa3n~
zi<S5dLZle|XS3cjWBizEI-iYU-zA2_%UJS!K*u;UzMRc8(+uqJggi#ovvN%3D;XC@
ziD$7gRIXuM6U9-vZ>Ksl!-rBXrrS4IzH9)gWV(~Y`1RzAY1~Y&<yfZJfQ4kL7)yV=
z_$tiw`UcAsh$@*R#?linrt&bomSCF#>h&=&frQQ^u(L3nY+(AtkOFEGy%d&Xc`3p;
z0kn*Yfl(xgF?GdD7p6@epjH=SCNmH7OH;N9S)f9LN#q)1>VlUc0-IL!FmN$2W;*k*
zzud|;0ep-fOp<BS3b3&OLCkO0Jmq6zxE&zRG<OoagNX>^`e=5!?Tm#<j}Iy^{oBC4
zG1vbb<ICwQ_c1b@G-I5T#~yJ9bl@-J-c`a(j%m!h&oF-L5N5on#Qfg(v>Xe=(I%$n
zZ0u^hjOo&hmMX#=vDM7?zBA2zU~z<t$$K~R<#b8L-+x#oU&t|LK4#=Q#u(VJfR&rE
z+Jbp=q7<X`2iBP%<rp(BF!C*64D^}P%NQiVbWc%^aZ4d%{X@{XHB4U*fT@R*6`3B1
zu<Tc@_fz0Jwx0c9@VZTmTIF)A`FELSua;wyPGRx`R~$?$CNj$Ei+eLh&b=(k$k40I
z5E&}M(K(YNL`jLMxty)`pEBd+^8y$9RG9koSYl!szitwJ&&;r`hT-xr7SAW_j5~ib
zGJtM8WneUY&!`AGOOt_7U@zm*Y*nV~PuPh{duoizM#2WAs*L_O*}!RU-!X>6eJq(g
z?2JFP85_bt(Z*=Hj!`idlt>r_b}}AKR%hD&g+=*k?0tsod)eKp8TWo?T>Mv<Bl86F
zwuwx>I!k;Rm1Z#6De^D|7qT9D%((P~#}sw8)zYk%=1d&*s*Jv8*-E?R7!Rs4egGZY
z!N9m_8slDFCMz#Nrs(C&pZu7X_oy-nYq6blm1EqwgOLGrRuBVY&|}8DV~i{33Nq$#
zuuNbSWa2hpPA*hsoPCk)<|aADnPH3$kh?oBMKe}xXWW}MXEhr`^$`uWySv#Bq=_)D
z`p2&PMw2Q3HQS2g>WuY!SoT#g8vgZv&-U`-|7{GNNlF(OL>4owHIBVH)wN*t{Eu1J
zecZL?EERvd*{E{y-Og`cW-R|MvcT)dwM!XHqIx&Ze7Cb)n6qxx0cWW>isElM_XgSf
zEu1xTTXK|>^`qrt%)y~?=bl=!EIEI5gQAG$l8*GSAYWC!8zMjNr}kgy+IA^ZNPhi+
zxp_0hwiZsZchgH$t=jG)$iki5GIy#`vMKkU+ZRIvoon7~T+^DLef@Qa=TkPTIh(aZ
zYa>5BG7Mt1HHbVe6FTQ(q3VPiufJ?~f9lYoik;u5SzkCbjjcu0_=C;LQg&Gu!Qxxr
z__aR;$@X4z=Dw}C$l{gxkyM*j>F;?<r3KS@?g*Er=!z~DR(7k7p7wrb>=CArduAT&
zvfM9bL@%t2+xn~ez@b}Do1JT~Kl7abT~uen<VRVJ>PgRH7js@>(>x$>?3kYT`NUo`
zZQF(YYbR?<-FtWGhUU@w18relP3xb2vQZW}kiM<JRZQociBW1!z^RzOb^qlr^tG+~
R(<U$@Jp7Al|C;sQ>j0{41eyQ<

diff --git a/posit_adder_verilog/work/_opt__lock b/posit_adder_verilog/work/_opt__lock
deleted file mode 100644
index 8ef94a4..0000000
--- a/posit_adder_verilog/work/_opt__lock
+++ /dev/null
@@ -1 +0,0 @@
-xl6u21@SRV02039, pid = 17388
diff --git a/posit_adder_verilog/work/_vmake b/posit_adder_verilog/work/_vmake
deleted file mode 100644
index 37aa36a..0000000
--- a/posit_adder_verilog/work/_vmake
+++ /dev/null
@@ -1,4 +0,0 @@
-m255
-K4
-z0
-cModel Technology
-- 
GitLab