From c0daddf6e07fbf9ea6d770b6632ecdfbc6a6db34 Mon Sep 17 00:00:00 2001 From: dam1n19 <d.a.mapstone@soton.ac.uk> Date: Thu, 5 Jan 2023 16:15:17 +0000 Subject: [PATCH] Renamed testbench and python model --- hdl/verif/{tb_engine.sv => tb_message_build.sv} | 4 ++-- model/py/{builder.py => message_build.py} | 0 2 files changed, 2 insertions(+), 2 deletions(-) rename hdl/verif/{tb_engine.sv => tb_message_build.sv} (99%) rename model/py/{builder.py => message_build.py} (100%) diff --git a/hdl/verif/tb_engine.sv b/hdl/verif/tb_message_build.sv similarity index 99% rename from hdl/verif/tb_engine.sv rename to hdl/verif/tb_message_build.sv index 0c3dc30..f749505 100644 --- a/hdl/verif/tb_engine.sv +++ b/hdl/verif/tb_message_build.sv @@ -11,7 +11,7 @@ `timescale 1ns/1ns `include "message_build.sv" -module tb_engine; +module tb_message_build; logic clk; logic nrst; @@ -171,7 +171,7 @@ module tb_engine; initial begin $dumpfile("engine_sim.vcd"); - $dumpvars(0, tb_engine); + $dumpvars(0, tb_message_build); data_in_drive_en = 0; cfg_drive_en = 0; data_out_drive_ready = 0; diff --git a/model/py/builder.py b/model/py/message_build.py similarity index 100% rename from model/py/builder.py rename to model/py/message_build.py -- GitLab