diff --git a/hdl/verif/tb_engine.sv b/hdl/verif/tb_message_build.sv
similarity index 99%
rename from hdl/verif/tb_engine.sv
rename to hdl/verif/tb_message_build.sv
index 0c3dc309799a400ec0f9df6b9ed322ee1a085efb..f749505810847640ad0aa8314f89dc352a68d74f 100644
--- a/hdl/verif/tb_engine.sv
+++ b/hdl/verif/tb_message_build.sv
@@ -11,7 +11,7 @@
 `timescale 1ns/1ns
 `include "message_build.sv"
 
-module tb_engine;
+module tb_message_build;
     
     logic clk;
     logic nrst;
@@ -171,7 +171,7 @@ module tb_engine;
     
     initial begin
         $dumpfile("engine_sim.vcd");
-        $dumpvars(0, tb_engine);
+        $dumpvars(0, tb_message_build);
         data_in_drive_en = 0;
         cfg_drive_en = 0;
         data_out_drive_ready = 0;
diff --git a/model/py/builder.py b/model/py/message_build.py
similarity index 100%
rename from model/py/builder.py
rename to model/py/message_build.py