diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/component.xml b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/component.xml index ae447c2beab6758f44b93cb19ab244bd7312b197..8b1054692e746f6c07edeebdb9718ef729fa8d6f 100755 --- a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/component.xml +++ b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/component.xml @@ -346,7 +346,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>viewChecksum</spirit:name> - <spirit:value>e3d2a4c3</spirit:value> + <spirit:value>e4d9de63</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -362,7 +362,7 @@ <spirit:parameters> <spirit:parameter> <spirit:name>viewChecksum</spirit:name> - <spirit:value>e3d2a4c3</spirit:value> + <spirit:value>e4d9de63</spirit:value> </spirit:parameter> </spirit:parameters> </spirit:view> @@ -829,7 +829,7 @@ <spirit:file> <spirit:name>src/ADPcontrol_v1_0.v</spirit:name> <spirit:fileType>verilogSource</spirit:fileType> - <spirit:userFileType>CHECKSUM_5aaa5c19</spirit:userFileType> + <spirit:userFileType>CHECKSUM_4c40ce83</spirit:userFileType> </spirit:file> </spirit:fileSet> <spirit:fileSet> @@ -882,8 +882,8 @@ </xilinx:taxonomies> <xilinx:displayName>ADPcontrol_v1.0</xilinx:displayName> <xilinx:autoFamilySupportLevel>level_1</xilinx:autoFamilySupportLevel> - <xilinx:coreRevision>23</xilinx:coreRevision> - <xilinx:coreCreationDateTime>2023-02-19T20:54:26Z</xilinx:coreCreationDateTime> + <xilinx:coreRevision>28</xilinx:coreRevision> + <xilinx:coreCreationDateTime>2023-03-17T11:11:19Z</xilinx:coreCreationDateTime> <xilinx:tags> <xilinx:tag xilinx:name="ui.data.coregen.dd@1d457846_ARCHIVE_LOCATION">c:/Users/dflynn/pynq/ip_repo/ADPcontrol_1.0</xilinx:tag> <xilinx:tag xilinx:name="ui.data.coregen.dd@37c19d7d_ARCHIVE_LOCATION">c:/Users/dflynn/pynq/ip_repo/ADPcontrol_1.0</xilinx:tag> @@ -1328,13 +1328,90 @@ <xilinx:tag xilinx:name="ui.data.coregen.df@94baf8f_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> <xilinx:tag xilinx:name="ui.data.coregen.df@2c237466_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> <xilinx:tag xilinx:name="ui.data.coregen.df@7477dd9e_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@59c3564f_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@230c6865_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@73dd3fa0_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@38c0e7a6_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@361aa0bf_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@693cd002_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@90cf9bd_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@56759d72_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@76489f89_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@23cddfea_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@61951253_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@2ef492f8_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@11384e6_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@43229f8c_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@560889a6_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@7b9e8239_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@77274317_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@7fede95d_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@7adf648c_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@6f2d3a75_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@6b8943f6_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@46de7d7d_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@1064cd98_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@55fee084_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@4f4a40b1_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@246290e0_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@4c03aa24_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@6a5175be_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@243298c_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@4d32cec8_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@26e6b9dc_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@71f2c9c_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@766d3322_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@53d9d8f3_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@1bc31527_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@a7d1a87_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@554e8355_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@f387ef1_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@2d26d3c1_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@4a493133_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@2ed57f64_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@33917459_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@256b8cbb_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@ef978f7_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@854b85c_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@15a5cb4c_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@5e7bf60a_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@75ba979_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@2550dd2_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@5bb22db_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@6a777a31_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@13fd8c0e_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@5ab5d13a_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@a6d43c7_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@2de47456_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@1fd6a6_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@41e4b0cb_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@70b02a59_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@366f8d4f_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@76029335_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@70b9dabf_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@49a7f7e5_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@5d4805a4_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@11351177_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@5bfb7bd1_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@18e46c62_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@2cc869b1_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@33f4180_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@3afeb273_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@9c5e63_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@4a35a7c_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@cd72db6_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@28cdf041_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@eb4cd13_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@5f536198_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@59f8726d_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> + <xilinx:tag xilinx:name="ui.data.coregen.df@3a228f4c_ARCHIVE_LOCATION">/home/dwf1m12/soclabs_git_new/nanosoc/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0</xilinx:tag> </xilinx:tags> </xilinx:coreExtensions> <xilinx:packagingInfo> <xilinx:xilinxVersion>2021.1</xilinx:xilinxVersion> <xilinx:checksum xilinx:scope="busInterfaces" xilinx:value="cf8749a1"/> <xilinx:checksum xilinx:scope="addressSpaces" xilinx:value="2ed9224a"/> - <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="84af976f"/> + <xilinx:checksum xilinx:scope="fileGroups" xilinx:value="6203a1ee"/> <xilinx:checksum xilinx:scope="ports" xilinx:value="2212c402"/> <xilinx:checksum xilinx:scope="hdlParameters" xilinx:value="cd165264"/> <xilinx:checksum xilinx:scope="parameters" xilinx:value="35656c35"/> diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPmanager.v b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPmanager.v deleted file mode 100755 index f4747ae3006625177bd065d731cdf0604d7334e9..0000000000000000000000000000000000000000 --- a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPmanager.v +++ /dev/null @@ -1,786 +0,0 @@ -//----------------------------------------------------------------------------- -// soclabs ASCII Debug Protocol controller -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2021-2, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - - -//`define ADPBASIC 1 - -module ADPmanager // AHB initiator interface - #(parameter PROMPT_CHAR = "]" - ) - ( input wire HCLK, - input wire HRESETn, - output wire [31:0] HADDR32_o, - output wire [ 2:0] HBURST3_o, - output wire HMASTLOCK_o, - output wire [ 3:0] HPROT4_o, - output wire [ 2:0] HSIZE3_o, - output wire [ 1:0] HTRANS2_o, - output wire [31:0] HWDATA32_o, - output wire HWRITE_o, - input wire [31:0] HRDATA32_i, - input wire HREADY_i, - input wire HRESP_i, -// COMIO interface - output wire [ 7:0] GPO8_o, - input wire [ 7:0] GPI8_i, -// input wire COM_RXE_i, - input wire [ 7:0] COMRX_TDATA_i, - input wire COMRX_TVALID_i, - output wire COMRX_TREADY_o, -// input wire COM_TXF_i, - output wire [ 7:0] COMTX_TDATA_o, - output wire COMTX_TVALID_o, - input wire COMTX_TREADY_i, -// STDIO interface -// input wire STDOUT_RXE_i, - input wire [ 7:0] STDRX_TDATA_i, - input wire STDRX_TVALID_i, - output wire STDRX_TREADY_o, -// input wire STDIN_TXF_i - output wire [ 7:0] STDTX_TDATA_o, - output wire STDTX_TVALID_o, - input wire STDTX_TREADY_i -); - -wire COM_RXE_i = !COMRX_TVALID_i; -wire COM_TXF_i = !COMTX_TREADY_i; - -//wire adp_rx_req = COMRX_TVALID_i & COMRX_TREADY_o; -//wire std_rx_req = STDRX_TVALID_i & STDRX_TREADY_o; - - -wire STD_TXF_i = !STDTX_TREADY_i; -wire STD_RXE_i = !STDRX_TVALID_i; - -`ifdef ADPBASIC - localparam BANNERHEX = 32'h50c1ab01; -`else - localparam BANNERHEX = 32'h50c1ab02; -`endif - -localparam CMD_bad = 4'b0000; -localparam CMD_A = 4'b0001; // set address -`ifndef ADPBASIC -localparam CMD_B = 4'b1000; // Binary upload (wordocunt) from addr++ -localparam CMD_M = 4'b1010; // set read mask -localparam CMD_P = 4'b1011; // Poll hardware (count) -localparam CMD_V = 4'b1100; // match value -localparam CMD_Z = 4'b1101; // Zero-fill (wordocunt) from addr++ -`endif -localparam CMD_C = 4'b1001; // Control -localparam CMD_R = 4'b0010; // read word, addr++ -localparam CMD_S = 4'b0011; // Status/STDIN -localparam CMD_W = 4'b0100; // write word, addr++ -localparam CMD_X = 4'b0101; // exit - - -function FNvalid_adp_entry; // Escape char -input [7:0] char8; - FNvalid_adp_entry = (char8[7:0] == 8'h1b); -endfunction - -function [3:0] FNvalid_cmd; -input [7:0] char8; -case (char8[7:0]) -"A": FNvalid_cmd = CMD_A; -"a": FNvalid_cmd = CMD_A; -"C": FNvalid_cmd = CMD_C; -"c": FNvalid_cmd = CMD_C; -"R": FNvalid_cmd = CMD_R; -"r": FNvalid_cmd = CMD_R; -"S": FNvalid_cmd = CMD_S; -"s": FNvalid_cmd = CMD_S; -"W": FNvalid_cmd = CMD_W; -"w": FNvalid_cmd = CMD_W; -"X": FNvalid_cmd = CMD_X; -"x": FNvalid_cmd = CMD_X; -`ifndef ADPBASIC -"B": FNvalid_cmd = CMD_B; -"b": FNvalid_cmd = CMD_B; -"M": FNvalid_cmd = CMD_M; -"m": FNvalid_cmd = CMD_M; -"P": FNvalid_cmd = CMD_P; -"p": FNvalid_cmd = CMD_P; -"V": FNvalid_cmd = CMD_V; -"v": FNvalid_cmd = CMD_V; -"Z": FNvalid_cmd = CMD_Z; -"z": FNvalid_cmd = CMD_Z; -`endif -default: - FNvalid_cmd = 0; -endcase -endfunction - -function FNvalid_space; // space or tab char -input [7:0] char8; - FNvalid_space = ((char8[7:0] == 8'h20) || (char8[7:0] == 8'h09)); -endfunction - -function FNnull; // space or tab char -input [7:0] char8; - FNnull = (char8[7:0] == 8'h00); -endfunction - -function FNexit; // EOF -input [7:0] char8; - FNexit = ((char8[7:0] == 8'h04) || (char8[7:0] == 8'h00)); -endfunction - -function FNvalid_EOL; // CR or LF -input [7:0] char8; - FNvalid_EOL = ((char8[7:0] == 8'h0a) || (char8[7:0] == 8'h0d)); -endfunction - -function FNuppercase; -input [7:0] char8; - FNuppercase = (char8[6]) ? (char8 & 8'h5f) : (char8); -endfunction - -function [63:0] FNBuild_param64_hexdigit; -input [63:0] param64; -input [7:0] char8; -case (char8[7:0]) -"\t":FNBuild_param64_hexdigit = 64'b0; // tab starts new (zeroed) param64 -" ": FNBuild_param64_hexdigit = 64'b0; // space starts new (zeroed) param64 -"x": FNBuild_param64_hexdigit = 64'b0; // hex prefix starts new (zeroed) param64 -"X": FNBuild_param64_hexdigit = 64'b0; // hex prefix starts new (zeroed) param64 -"0": FNBuild_param64_hexdigit = {param64[59:0],4'b0000}; -"1": FNBuild_param64_hexdigit = {param64[59:0],4'b0001}; -"2": FNBuild_param64_hexdigit = {param64[59:0],4'b0010}; -"3": FNBuild_param64_hexdigit = {param64[59:0],4'b0011}; -"4": FNBuild_param64_hexdigit = {param64[59:0],4'b0100}; -"5": FNBuild_param64_hexdigit = {param64[59:0],4'b0101}; -"6": FNBuild_param64_hexdigit = {param64[59:0],4'b0110}; -"7": FNBuild_param64_hexdigit = {param64[59:0],4'b0111}; -"8": FNBuild_param64_hexdigit = {param64[59:0],4'b1000}; -"9": FNBuild_param64_hexdigit = {param64[59:0],4'b1001}; -"A": FNBuild_param64_hexdigit = {param64[59:0],4'b1010}; -"B": FNBuild_param64_hexdigit = {param64[59:0],4'b1011}; -"C": FNBuild_param64_hexdigit = {param64[59:0],4'b1100}; -"D": FNBuild_param64_hexdigit = {param64[59:0],4'b1101}; -"E": FNBuild_param64_hexdigit = {param64[59:0],4'b1110}; -"F": FNBuild_param64_hexdigit = {param64[59:0],4'b1111}; -"a": FNBuild_param64_hexdigit = {param64[59:0],4'b1010}; -"b": FNBuild_param64_hexdigit = {param64[59:0],4'b1011}; -"c": FNBuild_param64_hexdigit = {param64[59:0],4'b1100}; -"d": FNBuild_param64_hexdigit = {param64[59:0],4'b1101}; -"e": FNBuild_param64_hexdigit = {param64[59:0],4'b1110}; -"f": FNBuild_param64_hexdigit = {param64[59:0],4'b1111}; -default: FNBuild_param64_hexdigit = param64; // EOL etc returns param64 unchanged -endcase -endfunction - -function [63:0] FNBuild_param64_byte; -input [63:0] param64; -input [7:0] byte; - FNBuild_param64_byte = {byte[7:0], param64[63:08]}; -endfunction - -function [31:0] FNBuild_param32_hexdigit; -input [31:0] param32; -input [7:0] char8; -case (char8[7:0]) -"\t":FNBuild_param32_hexdigit = 32'b0; // tab starts new (zeroed) param32 -" ": FNBuild_param32_hexdigit = 32'b0; // space starts new (zeroed) param32 -"x": FNBuild_param32_hexdigit = 32'b0; // hex prefix starts new (zeroed) param32 -"X": FNBuild_param32_hexdigit = 32'b0; // hex prefix starts new (zeroed) param32 -"0": FNBuild_param32_hexdigit = {param32[27:0],4'b0000}; -"1": FNBuild_param32_hexdigit = {param32[27:0],4'b0001}; -"2": FNBuild_param32_hexdigit = {param32[27:0],4'b0010}; -"3": FNBuild_param32_hexdigit = {param32[27:0],4'b0011}; -"4": FNBuild_param32_hexdigit = {param32[27:0],4'b0100}; -"5": FNBuild_param32_hexdigit = {param32[27:0],4'b0101}; -"6": FNBuild_param32_hexdigit = {param32[27:0],4'b0110}; -"7": FNBuild_param32_hexdigit = {param32[27:0],4'b0111}; -"8": FNBuild_param32_hexdigit = {param32[27:0],4'b1000}; -"9": FNBuild_param32_hexdigit = {param32[27:0],4'b1001}; -"A": FNBuild_param32_hexdigit = {param32[27:0],4'b1010}; -"B": FNBuild_param32_hexdigit = {param32[27:0],4'b1011}; -"C": FNBuild_param32_hexdigit = {param32[27:0],4'b1100}; -"D": FNBuild_param32_hexdigit = {param32[27:0],4'b1101}; -"E": FNBuild_param32_hexdigit = {param32[27:0],4'b1110}; -"F": FNBuild_param32_hexdigit = {param32[27:0],4'b1111}; -"a": FNBuild_param32_hexdigit = {param32[27:0],4'b1010}; -"b": FNBuild_param32_hexdigit = {param32[27:0],4'b1011}; -"c": FNBuild_param32_hexdigit = {param32[27:0],4'b1100}; -"d": FNBuild_param32_hexdigit = {param32[27:0],4'b1101}; -"e": FNBuild_param32_hexdigit = {param32[27:0],4'b1110}; -"f": FNBuild_param32_hexdigit = {param32[27:0],4'b1111}; -default: FNBuild_param32_hexdigit = param32; // EOL etc returns param32 unchanged -endcase -endfunction - -function [31:0] FNBuild_param32_byte; -input [31:0] param32; -input [7:0] byte; - FNBuild_param32_byte = {byte[7:0], param32[31:08]}; -endfunction - - - -function [7:0] FNmap_hex_digit; -input [3:0] nibble; -case (nibble[3:0]) -4'b0000: FNmap_hex_digit = "0"; -4'b0001: FNmap_hex_digit = "1"; -4'b0010: FNmap_hex_digit = "2"; -4'b0011: FNmap_hex_digit = "3"; -4'b0100: FNmap_hex_digit = "4"; -4'b0101: FNmap_hex_digit = "5"; -4'b0110: FNmap_hex_digit = "6"; -4'b0111: FNmap_hex_digit = "7"; -4'b1000: FNmap_hex_digit = "8"; -4'b1001: FNmap_hex_digit = "9"; -4'b1010: FNmap_hex_digit = "a"; -4'b1011: FNmap_hex_digit = "b"; -4'b1100: FNmap_hex_digit = "c"; -4'b1101: FNmap_hex_digit = "d"; -4'b1110: FNmap_hex_digit = "e"; -4'b1111: FNmap_hex_digit = "f"; -default: FNmap_hex_digit = "0"; -endcase -endfunction - - -// as per Vivado synthesis mapping -`ifdef ADPFSMDESIGN -localparam ADP_WRITEHEX = 6'b000000 ; -localparam ADP_WRITEHEXS = 6'b000001 ; -localparam ADP_WRITEHEX9 = 6'b000010 ; -localparam ADP_WRITEHEX8 = 6'b000011 ; -localparam ADP_WRITEHEX7 = 6'b000100 ; -localparam ADP_WRITEHEX6 = 6'b000101 ; -localparam ADP_WRITEHEX5 = 6'b000110 ; -localparam ADP_WRITEHEX4 = 6'b000111 ; -localparam ADP_WRITEHEX3 = 6'b001000 ; -localparam ADP_WRITEHEX2 = 6'b001001 ; -localparam ADP_WRITEHEX1 = 6'b001010 ; -localparam ADP_WRITEHEX0 = 6'b001011 ; -localparam ADP_LINEACK = 6'b001101 ; -localparam ADP_LINEACK2 = 6'b110010 ; -localparam ADP_PROMPT = 6'b001110 ; -localparam ADP_IOCHK = 6'b001111 ; -localparam ADP_RXCMD = 6'b010000 ; -localparam ADP_RXPARAM = 6'b010010 ; -localparam ADP_ACTION = 6'b010011 ; -localparam ADP_READ = 6'b010001 ; -localparam ADP_SYSCHK = 6'b010100 ; -localparam ADP_STDIN = 6'b010101 ; -localparam ADP_SYSCTL = 6'b010110 ; -localparam ADP_WRITE = 6'b010111 ; -localparam ADP_EXIT = 6'b011000 ; -localparam STD_IOCHK = 6'b011001 ; -localparam STD_RXD1 = 6'b011010 ; -localparam STD_RXD2 = 6'b011011 ; -localparam STD_TXD1 = 6'b011101 ; -localparam STD_TXD2 = 6'b011110 ; -localparam ADP_BCTRL = 6'b011111 ; -localparam ADP_BREADB0 = 6'b100000 ; -localparam ADP_BREADB1 = 6'b100001 ; -localparam ADP_BREADB2 = 6'b100010 ; -localparam ADP_BREADB3 = 6'b100011 ; -localparam ADP_BWRITE = 6'b100100 ; -localparam ADP_POLL = 6'b100101 ; -localparam ADP_POLL1 = 6'b100110 ; -localparam ADP_POLL2 = 6'b100111 ; -localparam ADP_ZCTRL = 6'b101110 ; -localparam ADP_ZWRITE = 6'b101111 ; -localparam ADP_ECHOCMD = 6'b110000 ; -localparam ADP_ECHOCMDSP = 6'b110001 ; -localparam ADP_UNKNOWN = 6'b101000 ; -localparam ADP_STDOUT = 6'b101010 ; -localparam ADP_STDOUT1 = 6'b101011 ; -localparam ADP_STDOUT2 = 6'b101100 ; -localparam ADP_STDOUT3 = 6'b101101 ; -reg [5:0] adp_state ; -`else -// one-hot encoded explicitly -localparam ADP_WRITEHEX = 48'b000000000000000000000000000000000000000000000001 ; // = 6'b000000 -localparam ADP_WRITEHEXS = 48'b000000000000000000000000000000000000000000000010 ; // = 6'b000001 -localparam ADP_WRITEHEX9 = 48'b000000000000000000000000000000000000000000000100 ; // = 6'b000010 -localparam ADP_WRITEHEX8 = 48'b000000000000000000000000000000000000000000001000 ; // = 6'b000011 -localparam ADP_WRITEHEX7 = 48'b000000000000000000000000000000000000000000010000 ; // = 6'b000100 -localparam ADP_WRITEHEX6 = 48'b000000000000000000000000000000000000000000100000 ; // = 6'b000101 -localparam ADP_WRITEHEX5 = 48'b000000000000000000000000000000000000000001000000 ; // = 6'b000110 -localparam ADP_WRITEHEX4 = 48'b000000000000000000000000000000000000000010000000 ; // = 6'b000111 -localparam ADP_WRITEHEX3 = 48'b000000000000000000000000000000000000000100000000 ; // = 6'b001000 -localparam ADP_WRITEHEX2 = 48'b000000000000000000000000000000000000001000000000 ; // = 6'b001001 -localparam ADP_WRITEHEX1 = 48'b000000000000000000000000000000000000010000000000 ; // = 6'b001010 -localparam ADP_WRITEHEX0 = 48'b000000000000000000000000000000000000100000000000 ; // = 6'b001011 -localparam ADP_LINEACK = 48'b000000000000000000000000000000000001000000000000 ; // = 6'b001101 -localparam ADP_LINEACK2 = 48'b000000000000000000000000000000000010000000000000 ; // = 6'b110010 -localparam ADP_PROMPT = 48'b000000000000000000000000000000000100000000000000 ; // = 6'b001110 -localparam ADP_IOCHK = 48'b000000000000000000000000000000001000000000000000 ; // = 6'b001111 -localparam ADP_RXCMD = 48'b000000000000000000000000000000010000000000000000 ; // = 6'b010000 -localparam ADP_RXPARAM = 48'b000000000000000000000000000000100000000000000000 ; // = 6'b010010 -localparam ADP_ACTION = 48'b000000000000000000000000000001000000000000000000 ; // = 6'b010011 -localparam ADP_READ = 48'b000000000000000000000000000010000000000000000000 ; // = 6'b010001 -localparam ADP_SYSCHK = 48'b000000000000000000000000000100000000000000000000 ; // = 6'b010100 -localparam ADP_STDIN = 48'b000000000000000000000000001000000000000000000000 ; // = 6'b010101 -localparam ADP_SYSCTL = 48'b000000000000000000000000010000000000000000000000 ; // = 6'b010110 -localparam ADP_WRITE = 48'b000000000000000000000000100000000000000000000000 ; // = 6'b010111 -localparam ADP_EXIT = 48'b000000000000000000000001000000000000000000000000 ; // = 6'b011000 -localparam STD_IOCHK = 48'b000000000000000000000010000000000000000000000000 ; // = 6'b011001 -localparam STD_RXD1 = 48'b000000000000000000000100000000000000000000000000 ; // = 6'b011010 -localparam STD_RXD2 = 48'b000000000000000000001000000000000000000000000000 ; // = 6'b011011 -localparam STD_TXD1 = 48'b000000000000000000010000000000000000000000000000 ; // = 6'b011101 -localparam STD_TXD2 = 48'b000000000000000000100000000000000000000000000000 ; // = 6'b011110 -localparam ADP_BCTRL = 48'b000000000000000001000000000000000000000000000000 ; // = 6'b011111 -localparam ADP_BREADB0 = 48'b000000000000000010000000000000000000000000000000 ; // = 6'b100000 -localparam ADP_BREADB1 = 48'b000000000000000100000000000000000000000000000000 ; // = 6'b100001 -localparam ADP_BREADB2 = 48'b000000000000001000000000000000000000000000000000 ; // = 6'b100010 -localparam ADP_BREADB3 = 48'b000000000000010000000000000000000000000000000000 ; // = 6'b100011 -localparam ADP_BWRITE = 48'b000000000000100000000000000000000000000000000000 ; // = 6'b100100 -localparam ADP_POLL = 48'b000000000001000000000000000000000000000000000000 ; // = 6'b100101 -localparam ADP_POLL1 = 48'b000000000010000000000000000000000000000000000000 ; // = 6'b100110 -localparam ADP_POLL2 = 48'b000000000100000000000000000000000000000000000000 ; // = 6'b100111 -localparam ADP_ZCTRL = 48'b000000001000000000000000000000000000000000000000 ; // = 6'b101110 -localparam ADP_ZWRITE = 48'b000000010000000000000000000000000000000000000000 ; // = 6'b101111 -localparam ADP_ECHOCMD = 48'b000000100000000000000000000000000000000000000000 ; // = 6'b110000 -localparam ADP_ECHOCMDSP = 48'b000001000000000000000000000000000000000000000000 ; // = 6'b110001 -localparam ADP_UNKNOWN = 48'b000010000000000000000000000000000000000000000000 ; // = 6'b101000 -localparam ADP_STDOUT = 48'b000100000000000000000000000000000000000000000000 ; // = 6'b101010 -localparam ADP_STDOUT1 = 48'b001000000000000000000000000000000000000000000000 ; // = 6'b101011 -localparam ADP_STDOUT2 = 48'b010000000000000000000000000000000000000000000000 ; // = 6'b101100 -localparam ADP_STDOUT3 = 48'b100000000000000000000000000000000000000000000000 ; // = 6'b101101 -reg [47:0] adp_state ; -`endif - -reg [31:0] adp_bus_data; -reg banner ; -reg com_tx_req ; -reg [7:0] com_tx_byte ; -reg com_rx_ack ; -reg std_tx_req ; -reg [ 7:0] std_tx_byte; -reg std_rx_ack ; -reg adp_bus_req ; -reg adp_bus_write ; -reg [7:0] adp_cmd ; -reg [31:0] adp_param ; -reg [31:0] adp_addr ; -reg adp_addr_inc; -reg [31:0] adp_sys ; - -assign GPO8_o = adp_sys[7:0]; - -// ADP RX stream -wire com_rx_req = COMRX_TVALID_i; -wire [ 7:0] com_rx_byte = COMRX_TDATA_i; -assign COMRX_TREADY_o = com_rx_ack; -// ADP TX stream -wire com_tx_ack = COMTX_TREADY_i; -assign COMTX_TDATA_o = com_tx_byte; -assign COMTX_TVALID_o = com_tx_req; -// STD RX stream (from STDOUT) -wire std_rx_req = STDRX_TVALID_i; -wire [ 7:0] std_rx_byte = STDRX_TDATA_i; -assign STDRX_TREADY_o = std_rx_ack; -// STD TX stream (to STDIN) -wire std_tx_ack = STDTX_TREADY_i; -assign STDTX_TDATA_o = std_tx_byte; -assign STDTX_TVALID_o = std_tx_req; - -//AMBA AHB master as "stream" interface -reg ahb_dphase; -wire ahb_aphase = adp_bus_req & !ahb_dphase; -wire adp_bus_ack = ahb_dphase & HREADY_i; -// control pipe -always @(posedge HCLK or negedge HRESETn) - if(!HRESETn) - ahb_dphase <= 0; - else if (HREADY_i) - ahb_dphase <= (ahb_aphase); - -assign HADDR32_o = adp_addr; -assign HBURST3_o = 3'b001; // "INCR" burst signalled whenever transfer; -assign HMASTLOCK_o = 1'b0; -assign HPROT4_o[3:0] = {1'b0, 1'b0, 1'b1, 1'b1}; -assign HSIZE3_o[2:0] = {1'b0, 2'b10}; -assign HTRANS2_o = {ahb_aphase,1'b0}; // non-seq -assign HWDATA32_o = adp_bus_data; -assign HWRITE_o = adp_bus_write; - - -`ifndef ADPBASIC -//reg [63:0] adp_bctrl64; -reg [31:0] adp_bctrl; -reg [31:0] adp_val; -reg [31:0] adp_mask; -reg [31:0] adp_poll; -reg [31:0] adp_count; -reg adp_count_dec ; -wire adp_delay_done; -wire adp_bctrl_done; -wire adp_bctrl_zero; -wire poll2_loop_next; -`endif - -// ADP_control flags in the 'C' control field -wire adp_disable; -wire adp_stdin_wait; - -// commnon interface handshake terms -wire com_rx_done = COMRX_TVALID_i & COMRX_TREADY_o; -wire com_tx_done = COMTX_TVALID_o & COMTX_TREADY_i; -wire std_rx_done = STDRX_TVALID_i & STDRX_TREADY_o; -wire std_tx_done = STDTX_TVALID_o & STDTX_TREADY_i; -wire adp_bus_done = (adp_bus_req & adp_bus_ack); - -// common task to set up for next state -task ADP_LINEACK_next; // prepare newline TX (and cancel any startup banner) -// begin com_tx_req <= 1; com_tx_byte <= 8'h0A; banner <= 0; adp_state <= ADP_LINEACK; end - begin com_tx_req <= 1; com_tx_byte <= 8'h0A; adp_state <= ADP_LINEACK; end -endtask -task ADP_PROMPT_next; // prepare prompt TX - begin com_tx_req <= 1; com_tx_byte <= PROMPT_CHAR; adp_state <= ADP_PROMPT; end -endtask -task ADP_BUSWRITEINC_next; // prepare bus write and addr++ on completion - begin adp_bus_req <=1; adp_bus_write <=1; adp_addr_inc <=1; end -endtask -task ADP_BUSREADINC_next; // prepare bus read and addr++ on completion - begin adp_bus_req <=1; adp_bus_write <=0; adp_addr_inc <=1; end -endtask - -task ADP_hexdigit_next; // output nibble -input [3:0] nibble; - begin com_tx_req <= 1; com_tx_byte <= FNmap_hex_digit(nibble[3:0]); end -endtask -task ADP_txchar_next; // output char -input [7:0] byte; - begin com_tx_req<= 1; com_tx_byte <= byte; end -endtask - -task com_rx_nxt; com_rx_ack <=1; endtask - -function FNcount_down_zero_next; // param about to be zero -input [31:0] counter; - FNcount_down_zero_next = !(|counter[31:1]); -endfunction - -always @(posedge HCLK or negedge HRESETn) - if(!HRESETn) begin - adp_state <= ADP_WRITEHEX ; - adp_bus_data <= BANNERHEX; - banner <= 1; // start-up HEX message - com_tx_req <= 0; // default no TX req - com_rx_ack <= 0; // default no RX ack - std_tx_req <= 0; // default no TX req - std_rx_ack <= 0; // default no RX ack - adp_bus_req <= 0; // default no bus transaction - adp_cmd <= 0; - adp_param <= 0; - adp_addr <= 0; - adp_addr_inc <= 0; - adp_bus_write<= 0; -`ifndef ADPBASIC - adp_count <= 0; - adp_count_dec<= 0; - adp_val <= 0; - adp_mask <= 0; - adp_sys <= 0; -`endif - end else begin // default states - adp_state <= adp_state; // default to hold current state - com_tx_req <= 0; // default no TX req - com_rx_ack <= 0; // default no RX ack - std_tx_req <= 0; // default no TX req - std_rx_ack <= 0; // default no RX ack - adp_bus_req <= 0; // default no bus transaction - adp_addr <= (adp_addr_inc & adp_bus_done) ? adp_addr + 4 : adp_addr; // address++ - adp_addr_inc <= 0; -`ifndef ADPBASIC - adp_count <= (adp_count_dec & adp_bus_done & |adp_count) ? adp_count - 1 : adp_count; // param-- - adp_count_dec<= 0; -`endif - case (adp_state) -// >>>>>>>>>>>>>>>> STDIO BYPASS >>>>>>>>>>>>>>>>>>>>>> - STD_IOCHK: // check for commsrx or STDOUT and not busy service, else loop back - if (com_rx_req) begin com_rx_ack <= 1; adp_state <= STD_RXD1; end // input char pending for STDIN -// else if (com_tx_ack & std_rx_req) begin std_rx_ack <= 1; adp_state <= STD_TXD1; end // STDOUT char pending and not busy - else if (std_rx_req) begin std_rx_ack <= 1; adp_state <= STD_TXD1; end // STDOUT char pending and not busy - STD_TXD1: // get STD out char - if (std_rx_done) - begin com_tx_byte <= std_rx_byte; com_tx_req <= 1; adp_state <= STD_TXD2; end - else std_rx_ack <= 1; // extend - STD_TXD2: // output char to ADP channel - if (com_tx_done) begin adp_state <= STD_IOCHK; end - else com_tx_req <= 1; // extend - STD_RXD1: // read rx char and check for ADP entry else STDIN ** - if (com_rx_done) begin - if (FNvalid_adp_entry(com_rx_byte)) - begin ADP_txchar_next(8'h0A); adp_state <= ADP_LINEACK; end // ADP prompt - else if (std_tx_ack) - begin std_tx_byte <= com_rx_byte; std_tx_req <= 1; adp_state <= STD_RXD2; end - else adp_state <= STD_IOCHK; // otherwise discard STDIN char and process OP data if blocked - end else com_rx_ack <= 1; // extend - STD_RXD2: // get STD in char - if (std_tx_done) begin adp_state <= STD_IOCHK; end - else std_tx_req <= 1; // extend - -// >>>>>>>>>>>>>>>> ADP Monitor >>>>>>>>>>>>>>>>>>>>>> - ADP_PROMPT: // transition after reset deassertion - if (com_tx_done) begin adp_state <= ADP_IOCHK; end - else com_tx_req <= 1; // extend - - ADP_IOCHK: // check for commsrx or STDOUT and not busy service, else loop back - if (com_rx_req) begin com_rx_ack <= 1; adp_state <= ADP_RXCMD; end - else if (com_tx_ack & std_rx_req) begin com_tx_byte <= "<"; com_tx_req <= 1; adp_state <= ADP_STDOUT; end -// else if (std_rx_req) begin com_tx_byte <= "<"; com_tx_req <= 1; adp_state <= ADP_STDOUT; end - -// >>>>>>>>>>>>>>>> ADP <STDOUT> >>>>>>>>>>>>>>>>>>>>>> - ADP_STDOUT: // output "<" - if (com_tx_done) begin std_rx_ack <= 1; adp_state <= ADP_STDOUT1; end - else com_tx_req <= 1; // extend stream request if not ready - ADP_STDOUT1: // get STD out char - if (std_rx_done) begin com_tx_byte <= std_rx_byte; com_tx_req <= 1; adp_state <= ADP_STDOUT2; end - else std_rx_ack <= 1; // else extend - ADP_STDOUT2: // output char - if (com_tx_done) begin com_tx_byte <= ">"; com_tx_req <= 1; adp_state <= ADP_STDOUT3; end - else com_tx_req <= 1; // else extend - ADP_STDOUT3: // output ">" - if (com_tx_done) begin adp_state <= ADP_IOCHK; end - else com_tx_req <= 1; // else extend - -// >>>>>>>>>>>>>>>> ADP COMMAND PARSING >>>>>>>>>>>>>>>>>>>>>> - ADP_RXCMD: // read and save ADP command - if (com_rx_done) begin - if (FNexit(com_rx_byte)) adp_state <= STD_IOCHK; // immediate exit - else if (FNvalid_space(com_rx_byte)) com_rx_ack <= 1; // retry for a command - else if (FNvalid_EOL(com_rx_byte)) begin adp_cmd <= "?"; adp_state <= ADP_ACTION; end // no command, skip param - else begin adp_cmd <= com_rx_byte; adp_param <= 32'hffffffff; com_rx_ack <= 1; adp_state <= ADP_RXPARAM; end // get optional parameter - end - else com_rx_ack <= 1; // extend stream request if not ready - ADP_RXPARAM: // read and build hex parameter - if (com_rx_done) begin // RX byte - if (FNexit(com_rx_byte)) adp_state <= STD_IOCHK; // exit - else if (FNvalid_EOL(com_rx_byte)) -`ifndef ADPBASIC - begin adp_count <= adp_param; adp_state <= ADP_ACTION; end // parameter complete on EOL -`else - begin adp_state <= ADP_ACTION; end // parameter complete on EOL -`endif - else - begin adp_param <= FNBuild_param32_hexdigit(adp_param, com_rx_byte); com_rx_ack <= 1; end // build parameter - end - else com_rx_ack <= 1; - - ADP_ACTION: // parse command and action with parameter - if (FNexit(com_rx_byte)) - adp_state <= STD_IOCHK; - else if (FNvalid_cmd(adp_cmd) == CMD_A) - begin if (adp_param == 32'hffffffff) adp_param <= adp_addr; else adp_addr <= adp_param; - adp_state <= ADP_ECHOCMD; end - else if (FNvalid_cmd(adp_cmd) == CMD_C) begin - if (adp_param[31:8] == 0) // report GPO - begin adp_state <= ADP_SYSCTL; end - else if (adp_param[31:8] == 1) // clear bits in GPO - begin adp_sys[7:0] <= adp_sys[7:0] & ~adp_param[7:0]; adp_state <= ADP_SYSCTL; end - else if (adp_param[31:8] == 2) // set bits in GPO - begin adp_sys[7:0] <= adp_sys[7:0] | adp_param[7:0]; adp_state <= ADP_SYSCTL; end - else if (adp_param[31:8] == 3) // overwrite bits in GPO - begin adp_sys[7:0] <= adp_param[7:0]; adp_state <= ADP_SYSCTL; end - else // 4 etc, report GPO - begin adp_state <= ADP_SYSCTL; end - end - else if (FNvalid_cmd(adp_cmd) == CMD_R) - begin ADP_BUSREADINC_next(); adp_state <= ADP_READ; end // no param - else if (FNvalid_cmd(adp_cmd) == CMD_S) - begin adp_state <= ADP_SYSCHK; end - else if (FNvalid_cmd(adp_cmd) == CMD_W) - begin adp_bus_data <= adp_param; ADP_BUSWRITEINC_next(); adp_state <= ADP_WRITE; end - else if (FNvalid_cmd(adp_cmd) == CMD_X) - begin com_tx_byte <= 8'h0a; com_tx_req <= 1; adp_state <= ADP_EXIT; end -`ifndef ADPBASIC - else if (FNvalid_cmd(adp_cmd) == CMD_B) - if (FNcount_down_zero_next(adp_param)) adp_state <= ADP_ECHOCMD; else adp_state <= ADP_BCTRL; // non-zero count - else if (FNvalid_cmd(adp_cmd) == CMD_M) - begin if (adp_param == 32'hffffffff) adp_param <= adp_mask; else adp_mask <= adp_param; - adp_state <= ADP_ECHOCMD; end - else if (FNvalid_cmd(adp_cmd) == CMD_P) - if (FNcount_down_zero_next(adp_param)) adp_state <= ADP_ECHOCMD; else adp_state <= ADP_POLL; // non-zero count - else if (FNvalid_cmd(adp_cmd) == CMD_V) - begin if (adp_param == 32'hffffffff) adp_param <= adp_val; else adp_val <= adp_param; - adp_state <= ADP_ECHOCMD; end - else if (FNvalid_cmd(adp_cmd) == CMD_Z) - if (FNcount_down_zero_next(adp_param)) adp_state <= ADP_ECHOCMD; else adp_state <= ADP_ZCTRL; // non-zero count -`endif - else - begin ADP_txchar_next("?"); adp_state <= ADP_UNKNOWN; end // unrecognised/invald - -// >>>>>>>>>>>>>>>> ADP BUS WRITE and READ >>>>>>>>>>>>>>>>>>>>>> - - ADP_WRITE: // perform bus write at current address pointer (and auto increment) - if (adp_bus_done) begin adp_state <= ADP_ECHOCMD; end - else begin ADP_BUSWRITEINC_next(); end // extend request - - ADP_READ: // perform bus read at current adp address (and auto increment) - and report in hex - if (adp_bus_done) begin adp_bus_data <= HRDATA32_i; ADP_txchar_next("R"); com_tx_req <= 1; adp_state <= ADP_ECHOCMDSP; end - else begin ADP_BUSREADINC_next(); end // extend request - -`ifndef ADPBASIC - -// >>>>>>>>>>>>>>>> ADP BINARY UPLOAD >>>>>>>>>>>>>>>>>>>>>> - ADP_BCTRL: // set control value - begin com_rx_ack <= 1; adp_state <= ADP_BREADB0; end // read next 4 bytes - ADP_BREADB0: // read raw binary byte - if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[31:24] <= com_rx_byte; adp_state <= ADP_BREADB1; end - else com_rx_ack <= 1; // extend stream request if not ready - ADP_BREADB1: // read raw binary byte - if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[23:16] <= com_rx_byte; adp_state <= ADP_BREADB2; end - else com_rx_ack <= 1; // extend stream request if not ready - ADP_BREADB2: // read raw binary byte 0 - if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[15: 8] <= com_rx_byte; adp_state <= ADP_BREADB3; end - else com_rx_ack <= 1; // extend stream request if not ready - ADP_BREADB3: // read raw binary byte 0 - if (com_rx_done) - begin adp_bus_data[ 7: 0] <= com_rx_byte; ADP_BUSWRITEINC_next(); adp_count_dec <= 1; adp_state <= ADP_BWRITE; end - else com_rx_ack <= 1; // extend stream request if not ready - ADP_BWRITE: // Write word to Addr++ - if (adp_bus_done) begin // auto address++, count-- - if (FNcount_down_zero_next(adp_count)) adp_state <= ADP_ECHOCMD; else begin adp_state <= ADP_BREADB0; end - end else begin ADP_BUSWRITEINC_next(); adp_count_dec <= 1; end // extend request - -// >>>>>>>>>>>>>>>> ADP BUS READ LOOP >>>>>>>>>>>>>>>>>>>>>> - ADP_POLL: // set poll value - begin adp_bus_req <= 1; adp_bus_write <= 0; adp_state <= ADP_POLL1; end - ADP_POLL1: // wait for read data, no addr++ - if (adp_bus_done) begin adp_bus_data <= HRDATA32_i; adp_count_dec <=1; adp_state <= ADP_POLL2; end - else begin adp_bus_req <= 1; adp_count_dec <=1; end - ADP_POLL2: - if (FNcount_down_zero_next(adp_count)) adp_state <= ADP_ECHOCMD; // timeout - else if (((adp_bus_data & adp_mask) ^ adp_val) == 0) adp_state <= ADP_WRITEHEX; // exact match - else adp_state <= ADP_POLL; - -// >>>>>>>>>>>>>>>> ADP ZERO MEMORY >>>>>>>>>>>>>>>>>>>>>> - ADP_ZCTRL: // set control value - begin adp_bus_data <= adp_val; ADP_BUSWRITEINC_next(); adp_count_dec <= 1; adp_state <= ADP_ZWRITE; end - ADP_ZWRITE: // Write word to Addr++ - if (adp_bus_done) begin // auto address++, count-- - if (FNcount_down_zero_next(adp_count)) adp_state <= ADP_ECHOCMD; else begin adp_state <= ADP_ZCTRL; end - end else begin ADP_BUSWRITEINC_next(); adp_count_dec <= 1; end // extend request -`endif - - // >>>>>>>>>>>>>>>> ADP MISC >>>>>>>>>>>>>>>>>>>>>> - - ADP_UNKNOWN: // output "?" - if (com_tx_done) begin ADP_LINEACK_next(); end - else com_tx_req <= 1; // extend stream request if not ready - - ADP_EXIT: // exit ADP mode - if (com_tx_done) adp_state <= STD_IOCHK; - else com_tx_req <= 1; // extend stream request if not ready - - ADP_SYSCHK: // check STDIN fifo - begin // no upper flags so STDIN char - if (std_tx_ack) begin std_tx_req <=1; std_tx_byte <= adp_param[7:0]; adp_state <= ADP_STDIN; end - else begin ADP_txchar_next(8'h0A); com_tx_req <= 1; adp_state <= ADP_LINEACK; end // newline and prompt - end - ADP_STDIN: // push char into STDIN - if (std_tx_done) begin adp_bus_data <= adp_param[7:0]; ADP_txchar_next("S"); com_tx_req <= 1; adp_state <= ADP_ECHOCMDSP; end - else std_tx_req <= 1; // extend - - ADP_SYSCTL: // read current status - and report in hex - begin adp_bus_data <= {GPI8_i[7:0],adp_sys[7:0],adp_param[15:0]}; ADP_txchar_next("C"); com_tx_req <= 1; adp_state <= ADP_ECHOCMDSP; end - - ADP_ECHOCMD: // output command and (param) data - begin adp_state <= ADP_ECHOCMDSP; ADP_txchar_next(adp_cmd & 8'h5f); adp_bus_data <= adp_param; end // output command char - ADP_ECHOCMDSP: // output command space and (bus) data - if (com_tx_done) begin adp_state <= ADP_WRITEHEXS; ADP_txchar_next(" "); end // output space char - else com_tx_req <= 1; // extend - - ADP_WRITEHEX: // output hex word with prefix - begin adp_state <= ADP_WRITEHEXS; ADP_txchar_next(" "); end // output "0" hex prefix - - ADP_WRITEHEXS: - if (com_tx_done) begin adp_state <= ADP_WRITEHEX9; ADP_txchar_next("0"); end // output "0" hex prefix - else com_tx_req <= 1; // extend - ADP_WRITEHEX9: - if (com_tx_done) begin adp_state <= ADP_WRITEHEX8; ADP_txchar_next("x"); end // output "x" hex prefix - else com_tx_req <= 1; // extend - ADP_WRITEHEX8: - if (com_tx_done) begin adp_state <= ADP_WRITEHEX7; ADP_hexdigit_next(adp_bus_data[31:28]); end // hex nibble 7 - else com_tx_req <= 1; // extend - ADP_WRITEHEX7: // output hex nibble 7 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX6; ADP_hexdigit_next(adp_bus_data[27:24]); end // hex nibble 6 - else com_tx_req <= 1; // extend - ADP_WRITEHEX6: // output hex nibble 6 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX5; ADP_hexdigit_next(adp_bus_data[23:20]); end // hex nibble 5 - else com_tx_req <= 1; // extend - ADP_WRITEHEX5: // output hex nibble 5 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX4; ADP_hexdigit_next(adp_bus_data[19:16]); end // hex nibble 4 - else com_tx_req <= 1; // extend - ADP_WRITEHEX4: // output hex nibble 4 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX3; ADP_hexdigit_next(adp_bus_data[15:12]); end // hex nibble 3 - else com_tx_req <= 1; // extend - ADP_WRITEHEX3: // output hex nibble 3 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX2; ADP_hexdigit_next(adp_bus_data[11: 8]); end // hex nibble 2 - else com_tx_req <= 1; // extend - ADP_WRITEHEX2: // output hex nibble 2 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX1; ADP_hexdigit_next(adp_bus_data[ 7: 4]); end // hex nibble 1 - else com_tx_req <= 1; // extend - ADP_WRITEHEX1: // output hex nibble 1 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX0; ADP_hexdigit_next(adp_bus_data[ 3: 0]); end // hex nibble 0 - else com_tx_req <= 1; // extend - ADP_WRITEHEX0: // output hex nibble 0 (if not startup banner then scan to end of line before lineack - if (com_tx_done) begin - if (banner) begin ADP_LINEACK_next(); end - else begin ADP_txchar_next(8'h0A); com_tx_req <= 1; adp_state <= ADP_LINEACK; end // newline and prompt - end else com_tx_req <= 1; // extend - - ADP_LINEACK: // write EOLN - if (com_tx_done) begin - begin ADP_txchar_next(8'h0D); adp_state <= ADP_LINEACK2; end - end else com_tx_req <= 1; // extend - ADP_LINEACK2: // CR - if (com_tx_done) begin - if (banner) begin banner <= 0; adp_state <= STD_IOCHK; end - else begin ADP_txchar_next(PROMPT_CHAR); adp_state <= ADP_PROMPT; end - end else com_tx_req <= 1; // extend - default: - begin ADP_txchar_next("!"); adp_state <= ADP_UNKNOWN; end // default error - endcase - end - -endmodule - -////AHBLITE_ADPMASTER instancing -//ADPmaster -// #(.PROMPT_CHAR ("]")) -// ADPmaster( -// .HCLK (ahb_hclk ), -// .HRESETn (ahb_hrestn ), -// .HADDR32_o (ahb_haddr ), -// .HBURST3_o (ahb_hburst ), -// .HMASTLOCK_o (ahb_hmastlock ), -// .HPROT4_o (ahb_hprot ), -// .HSIZE3_o (ahb_hsize ), -// .HTRANS2_o (ahb_htrans ), -// .HWDATA32_o (ahb_hwdata ), -// .HWRITE_o (ahb_hwrite ), -// .HRDATA32_i (ahb_hrdata ), -// .HREADY_i (ahb_hready ), -// .HRESP_i (ahb_hresp ), - -// .COMRX_TREADY_o(com_rx_tready), -// .COMRX_TDATA_i(com_rx_tdata), -// .COMRX_TVALID_i(com_rx_tvalid), -// .STDRX_TREADY_o(std_rx_tready), -// .STDRX_TDATA_i(std_rx_tdata), -// .STDRX_TVALID_i(std_rx_tvalid), -// .COMTX_TVALID_o(com_tx_tvalid), -// .COMTX_TDATA_o(com_tx_tdata), -// .COMTX_TREADY_i(com_tx_tready), -// .STDTX_TVALID_o(std_tx_tvalid), -// .STDTX_TDATA_o(std_tx_tdata), -// .STDTX_TREADY_i(std_tx_tready) - -// ); diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/soclabs.org_user_ADPcontrol_1.0.zip b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/soclabs.org_user_ADPcontrol_1.0.zip index 5ae9d96e74bbcd831a65923ed1cbf388a7dc8b62..3abb2fcb7d874d62c253813441c291e06284c7ab 100644 Binary files a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/soclabs.org_user_ADPcontrol_1.0.zip and b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/soclabs.org_user_ADPcontrol_1.0.zip differ diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPcontrol_v1_0.v b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPcontrol_v1_0.v index 7b8967c18e34a77bb98084ede844e99853f3e47c..07c4c8aeabd268a31f2de71e26a8d0f0c2038df9 100755 --- a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPcontrol_v1_0.v +++ b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPcontrol_v1_0.v @@ -6,10 +6,9 @@ // // David Flynn (d.w.flynn@soton.ac.uk) // -// Copyright � 2021-2, SoC Labs (www.soclabs.org) +// Copyright � 2021-2, SoC Labs (www.soclabs.org) //----------------------------------------------------------------------------- - module ADPcontrol_v1_0 # ( // Users to add parameters here diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmanager.v b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmanager.v index f4747ae3006625177bd065d731cdf0604d7334e9..5c6ec0be32e8ca76a5537aa727ae65a67208560c 100755 --- a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmanager.v +++ b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmanager.v @@ -6,10 +6,9 @@ // // David Flynn (d.w.flynn@soton.ac.uk) // -// Copyright � 2021-2, SoC Labs (www.soclabs.org) +// Copyright © 2021-3, SoC Labs (www.soclabs.org) //----------------------------------------------------------------------------- - //`define ADPBASIC 1 module ADPmanager // AHB initiator interface @@ -63,23 +62,23 @@ wire STD_RXE_i = !STDRX_TVALID_i; `ifdef ADPBASIC localparam BANNERHEX = 32'h50c1ab01; `else - localparam BANNERHEX = 32'h50c1ab02; + localparam BANNERHEX = 32'h50c1ab03; `endif localparam CMD_bad = 4'b0000; -localparam CMD_A = 4'b0001; // set address +localparam CMD_A = 4'b0001; // set Address +localparam CMD_C = 4'b0010; // Control +localparam CMD_R = 4'b0011; // Read word, addr++ +localparam CMD_S = 4'b0100; // Status/STDIN +localparam CMD_W = 4'b0100; // Write word, addr++ +localparam CMD_X = 4'b0101; // eXit `ifndef ADPBASIC -localparam CMD_B = 4'b1000; // Binary upload (wordocunt) from addr++ -localparam CMD_M = 4'b1010; // set read mask -localparam CMD_P = 4'b1011; // Poll hardware (count) -localparam CMD_V = 4'b1100; // match value -localparam CMD_Z = 4'b1101; // Zero-fill (wordocunt) from addr++ +localparam CMD_F = 4'b1000; // Fill (wordocunt) from addr++ +localparam CMD_M = 4'b1001; // set read Mask +localparam CMD_P = 4'b1010; // Poll hardware (count) +localparam CMD_U = 4'b1011; // (Binary) Upload (wordocunt) from addr++ +localparam CMD_V = 4'b1100; // match Value `endif -localparam CMD_C = 4'b1001; // Control -localparam CMD_R = 4'b0010; // read word, addr++ -localparam CMD_S = 4'b0011; // Status/STDIN -localparam CMD_W = 4'b0100; // write word, addr++ -localparam CMD_X = 4'b0101; // exit function FNvalid_adp_entry; // Escape char @@ -103,16 +102,16 @@ case (char8[7:0]) "X": FNvalid_cmd = CMD_X; "x": FNvalid_cmd = CMD_X; `ifndef ADPBASIC -"B": FNvalid_cmd = CMD_B; -"b": FNvalid_cmd = CMD_B; +"F": FNvalid_cmd = CMD_F; +"f": FNvalid_cmd = CMD_F; "M": FNvalid_cmd = CMD_M; "m": FNvalid_cmd = CMD_M; "P": FNvalid_cmd = CMD_P; "p": FNvalid_cmd = CMD_P; +"U": FNvalid_cmd = CMD_U; +"u": FNvalid_cmd = CMD_U; "V": FNvalid_cmd = CMD_V; "v": FNvalid_cmd = CMD_V; -"Z": FNvalid_cmd = CMD_Z; -"z": FNvalid_cmd = CMD_Z; `endif default: FNvalid_cmd = 0; @@ -252,54 +251,54 @@ endfunction // as per Vivado synthesis mapping `ifdef ADPFSMDESIGN -localparam ADP_WRITEHEX = 6'b000000 ; -localparam ADP_WRITEHEXS = 6'b000001 ; -localparam ADP_WRITEHEX9 = 6'b000010 ; -localparam ADP_WRITEHEX8 = 6'b000011 ; -localparam ADP_WRITEHEX7 = 6'b000100 ; -localparam ADP_WRITEHEX6 = 6'b000101 ; -localparam ADP_WRITEHEX5 = 6'b000110 ; -localparam ADP_WRITEHEX4 = 6'b000111 ; -localparam ADP_WRITEHEX3 = 6'b001000 ; -localparam ADP_WRITEHEX2 = 6'b001001 ; -localparam ADP_WRITEHEX1 = 6'b001010 ; -localparam ADP_WRITEHEX0 = 6'b001011 ; -localparam ADP_LINEACK = 6'b001101 ; -localparam ADP_LINEACK2 = 6'b110010 ; -localparam ADP_PROMPT = 6'b001110 ; -localparam ADP_IOCHK = 6'b001111 ; -localparam ADP_RXCMD = 6'b010000 ; -localparam ADP_RXPARAM = 6'b010010 ; -localparam ADP_ACTION = 6'b010011 ; -localparam ADP_READ = 6'b010001 ; -localparam ADP_SYSCHK = 6'b010100 ; -localparam ADP_STDIN = 6'b010101 ; -localparam ADP_SYSCTL = 6'b010110 ; -localparam ADP_WRITE = 6'b010111 ; -localparam ADP_EXIT = 6'b011000 ; -localparam STD_IOCHK = 6'b011001 ; -localparam STD_RXD1 = 6'b011010 ; -localparam STD_RXD2 = 6'b011011 ; -localparam STD_TXD1 = 6'b011101 ; -localparam STD_TXD2 = 6'b011110 ; -localparam ADP_BCTRL = 6'b011111 ; -localparam ADP_BREADB0 = 6'b100000 ; -localparam ADP_BREADB1 = 6'b100001 ; -localparam ADP_BREADB2 = 6'b100010 ; -localparam ADP_BREADB3 = 6'b100011 ; -localparam ADP_BWRITE = 6'b100100 ; -localparam ADP_POLL = 6'b100101 ; -localparam ADP_POLL1 = 6'b100110 ; -localparam ADP_POLL2 = 6'b100111 ; -localparam ADP_ZCTRL = 6'b101110 ; -localparam ADP_ZWRITE = 6'b101111 ; -localparam ADP_ECHOCMD = 6'b110000 ; -localparam ADP_ECHOCMDSP = 6'b110001 ; -localparam ADP_UNKNOWN = 6'b101000 ; -localparam ADP_STDOUT = 6'b101010 ; -localparam ADP_STDOUT1 = 6'b101011 ; -localparam ADP_STDOUT2 = 6'b101100 ; -localparam ADP_STDOUT3 = 6'b101101 ; +localparam ADP_WRITEHEX = 6'b000000 ; +localparam ADP_WRITEHEXS = 6'b000001 ; +localparam ADP_WRITEHEX9 = 6'b000010 ; +localparam ADP_WRITEHEX8 = 6'b000011 ; +localparam ADP_WRITEHEX7 = 6'b000100 ; +localparam ADP_WRITEHEX6 = 6'b000101 ; +localparam ADP_WRITEHEX5 = 6'b000110 ; +localparam ADP_WRITEHEX4 = 6'b000111 ; +localparam ADP_WRITEHEX3 = 6'b001000 ; +localparam ADP_WRITEHEX2 = 6'b001001 ; +localparam ADP_WRITEHEX1 = 6'b001010 ; +localparam ADP_WRITEHEX0 = 6'b001011 ; +localparam ADP_LINEACK = 6'b001100 ; +localparam ADP_LINEACK2 = 6'b001101 ; +localparam ADP_PROMPT = 6'b001110 ; +localparam ADP_IOCHK = 6'b001111 ; +localparam ADP_STDOUT = 6'b010000 ; +localparam ADP_STDOUT1 = 6'b010001 ; +localparam ADP_STDOUT2 = 6'b010010 ; +localparam ADP_STDOUT3 = 6'b010011 ; +localparam ADP_RXCMD = 6'b010100 ; +localparam ADP_RXPARAM = 6'b010101 ; +localparam ADP_ACTION = 6'b010110 ; +localparam ADP_SYSCTL = 6'b010111 ; +localparam ADP_READ = 6'b011000 ; +localparam ADP_SYSCHK = 6'b011001 ; +localparam ADP_STDIN = 6'b011010 ; +localparam ADP_WRITE = 6'b011011 ; +localparam ADP_EXIT = 6'b011100 ; +localparam STD_IOCHK = 6'b011101 ; +localparam STD_RXD1 = 6'b011110 ; +localparam STD_RXD2 = 6'b011111 ; +localparam STD_TXD1 = 6'b100000 ; +localparam STD_TXD2 = 6'b100001 ; +localparam ADP_UCTRL = 6'b100010 ; +localparam ADP_UREADB0 = 6'b100011 ; +localparam ADP_UREADB1 = 6'b100100 ; +localparam ADP_UREADB2 = 6'b100101 ; +localparam ADP_UREADB3 = 6'b100110 ; +localparam ADP_UWRITE = 6'b100111 ; +localparam ADP_POLL = 6'b101000 ; +localparam ADP_POLL1 = 6'b101001 ; +localparam ADP_POLL2 = 6'b101010 ; +localparam ADP_FCTRL = 6'b101011 ; +localparam ADP_FWRITE = 6'b101100 ; +localparam ADP_ECHOCMD = 6'b101101 ; +localparam ADP_ECHOBUS = 6'b101110 ; +localparam ADP_UNKNOWN = 6'b101111 ; reg [5:0] adp_state ; `else // one-hot encoded explicitly @@ -315,42 +314,42 @@ localparam ADP_WRITEHEX3 = 48'b000000000000000000000000000000000000000100000000 localparam ADP_WRITEHEX2 = 48'b000000000000000000000000000000000000001000000000 ; // = 6'b001001 localparam ADP_WRITEHEX1 = 48'b000000000000000000000000000000000000010000000000 ; // = 6'b001010 localparam ADP_WRITEHEX0 = 48'b000000000000000000000000000000000000100000000000 ; // = 6'b001011 -localparam ADP_LINEACK = 48'b000000000000000000000000000000000001000000000000 ; // = 6'b001101 -localparam ADP_LINEACK2 = 48'b000000000000000000000000000000000010000000000000 ; // = 6'b110010 +localparam ADP_LINEACK = 48'b000000000000000000000000000000000001000000000000 ; // = 6'b001100 +localparam ADP_LINEACK2 = 48'b000000000000000000000000000000000010000000000000 ; // = 6'b001101 localparam ADP_PROMPT = 48'b000000000000000000000000000000000100000000000000 ; // = 6'b001110 localparam ADP_IOCHK = 48'b000000000000000000000000000000001000000000000000 ; // = 6'b001111 -localparam ADP_RXCMD = 48'b000000000000000000000000000000010000000000000000 ; // = 6'b010000 -localparam ADP_RXPARAM = 48'b000000000000000000000000000000100000000000000000 ; // = 6'b010010 -localparam ADP_ACTION = 48'b000000000000000000000000000001000000000000000000 ; // = 6'b010011 -localparam ADP_READ = 48'b000000000000000000000000000010000000000000000000 ; // = 6'b010001 -localparam ADP_SYSCHK = 48'b000000000000000000000000000100000000000000000000 ; // = 6'b010100 -localparam ADP_STDIN = 48'b000000000000000000000000001000000000000000000000 ; // = 6'b010101 -localparam ADP_SYSCTL = 48'b000000000000000000000000010000000000000000000000 ; // = 6'b010110 -localparam ADP_WRITE = 48'b000000000000000000000000100000000000000000000000 ; // = 6'b010111 -localparam ADP_EXIT = 48'b000000000000000000000001000000000000000000000000 ; // = 6'b011000 -localparam STD_IOCHK = 48'b000000000000000000000010000000000000000000000000 ; // = 6'b011001 -localparam STD_RXD1 = 48'b000000000000000000000100000000000000000000000000 ; // = 6'b011010 -localparam STD_RXD2 = 48'b000000000000000000001000000000000000000000000000 ; // = 6'b011011 -localparam STD_TXD1 = 48'b000000000000000000010000000000000000000000000000 ; // = 6'b011101 -localparam STD_TXD2 = 48'b000000000000000000100000000000000000000000000000 ; // = 6'b011110 -localparam ADP_BCTRL = 48'b000000000000000001000000000000000000000000000000 ; // = 6'b011111 -localparam ADP_BREADB0 = 48'b000000000000000010000000000000000000000000000000 ; // = 6'b100000 -localparam ADP_BREADB1 = 48'b000000000000000100000000000000000000000000000000 ; // = 6'b100001 -localparam ADP_BREADB2 = 48'b000000000000001000000000000000000000000000000000 ; // = 6'b100010 -localparam ADP_BREADB3 = 48'b000000000000010000000000000000000000000000000000 ; // = 6'b100011 -localparam ADP_BWRITE = 48'b000000000000100000000000000000000000000000000000 ; // = 6'b100100 -localparam ADP_POLL = 48'b000000000001000000000000000000000000000000000000 ; // = 6'b100101 -localparam ADP_POLL1 = 48'b000000000010000000000000000000000000000000000000 ; // = 6'b100110 -localparam ADP_POLL2 = 48'b000000000100000000000000000000000000000000000000 ; // = 6'b100111 -localparam ADP_ZCTRL = 48'b000000001000000000000000000000000000000000000000 ; // = 6'b101110 -localparam ADP_ZWRITE = 48'b000000010000000000000000000000000000000000000000 ; // = 6'b101111 -localparam ADP_ECHOCMD = 48'b000000100000000000000000000000000000000000000000 ; // = 6'b110000 -localparam ADP_ECHOCMDSP = 48'b000001000000000000000000000000000000000000000000 ; // = 6'b110001 -localparam ADP_UNKNOWN = 48'b000010000000000000000000000000000000000000000000 ; // = 6'b101000 -localparam ADP_STDOUT = 48'b000100000000000000000000000000000000000000000000 ; // = 6'b101010 -localparam ADP_STDOUT1 = 48'b001000000000000000000000000000000000000000000000 ; // = 6'b101011 -localparam ADP_STDOUT2 = 48'b010000000000000000000000000000000000000000000000 ; // = 6'b101100 -localparam ADP_STDOUT3 = 48'b100000000000000000000000000000000000000000000000 ; // = 6'b101101 +localparam ADP_STDOUT = 48'b000000000000000000000000000000010000000000000000 ; // = 6'b010000 +localparam ADP_STDOUT1 = 48'b000000000000000000000000000000100000000000000000 ; // = 6'b010001 +localparam ADP_STDOUT2 = 48'b000000000000000000000000000001000000000000000000 ; // = 6'b010010 +localparam ADP_STDOUT3 = 48'b000000000000000000000000000010000000000000000000 ; // = 6'b010011 +localparam ADP_RXCMD = 48'b000000000000000000000000000100000000000000000000 ; // = 6'b010100 +localparam ADP_RXPARAM = 48'b000000000000000000000000001000000000000000000000 ; // = 6'b010101 +localparam ADP_ACTION = 48'b000000000000000000000000010000000000000000000000 ; // = 6'b010110 +localparam ADP_SYSCTL = 48'b000000000000000000000000100000000000000000000000 ; // = 6'b010111 +localparam ADP_READ = 48'b000000000000000000000001000000000000000000000000 ; // = 6'b011000 +localparam ADP_SYSCHK = 48'b000000000000000000000010000000000000000000000000 ; // = 6'b011001 +localparam ADP_STDIN = 48'b000000000000000000000100000000000000000000000000 ; // = 6'b011010 +localparam ADP_WRITE = 48'b000000000000000000001000000000000000000000000000 ; // = 6'b011011 +localparam ADP_EXIT = 48'b000000000000000000010000000000000000000000000000 ; // = 6'b011100 +localparam STD_IOCHK = 48'b000000000000000000100000000000000000000000000000 ; // = 6'b011101 +localparam STD_RXD1 = 48'b000000000000000001000000000000000000000000000000 ; // = 6'b011110 +localparam STD_RXD2 = 48'b000000000000000010000000000000000000000000000000 ; // = 6'b011111 +localparam STD_TXD1 = 48'b000000000000000100000000000000000000000000000000 ; // = 6'b100000 +localparam STD_TXD2 = 48'b000000000000001000000000000000000000000000000000 ; // = 6'b100001 +localparam ADP_UCTRL = 48'b000000000000010000000000000000000000000000000000 ; // = 6'b100010 +localparam ADP_UREADB0 = 48'b000000000000100000000000000000000000000000000000 ; // = 6'b100011 +localparam ADP_UREADB1 = 48'b000000000001000000000000000000000000000000000000 ; // = 6'b100100 +localparam ADP_UREADB2 = 48'b000000000010000000000000000000000000000000000000 ; // = 6'b100101 +localparam ADP_UREADB3 = 48'b000000000100000000000000000000000000000000000000 ; // = 6'b100110 +localparam ADP_UWRITE = 48'b000000001000000000000000000000000000000000000000 ; // = 6'b100111 +localparam ADP_POLL = 48'b000000010000000000000000000000000000000000000000 ; // = 6'b101000 +localparam ADP_POLL1 = 48'b000000100000000000000000000000000000000000000000 ; // = 6'b101001 +localparam ADP_POLL2 = 48'b000001000000000000000000000000000000000000000000 ; // = 6'b101010 +localparam ADP_FCTRL = 48'b000010000000000000000000000000000000000000000000 ; // = 6'b101011 +localparam ADP_FWRITE = 48'b000100000000000000000000000000000000000000000000 ; // = 6'b101100 +localparam ADP_ECHOCMD = 48'b001000000000000000000000000000000000000000000000 ; // = 6'b101101 +localparam ADP_ECHOBUS = 48'b010000000000000000000000000000000000000000000000 ; // = 6'b101110 +localparam ADP_UNKNOWN = 48'b100000000000000000000000000000000000000000000000 ; // = 6'b101111 reg [47:0] adp_state ; `endif @@ -364,8 +363,9 @@ reg [ 7:0] std_tx_byte; reg std_rx_ack ; reg adp_bus_req ; reg adp_bus_write ; +reg adp_bus_err ; reg [7:0] adp_cmd ; -reg [31:0] adp_param ; +reg [32:0] adp_param ; reg [31:0] adp_addr ; reg adp_addr_inc; reg [31:0] adp_sys ; @@ -411,16 +411,12 @@ assign HWRITE_o = adp_bus_write; `ifndef ADPBASIC -//reg [63:0] adp_bctrl64; -reg [31:0] adp_bctrl; reg [31:0] adp_val; reg [31:0] adp_mask; reg [31:0] adp_poll; reg [31:0] adp_count; reg adp_count_dec ; wire adp_delay_done; -wire adp_bctrl_done; -wire adp_bctrl_zero; wire poll2_loop_next; `endif @@ -476,6 +472,7 @@ always @(posedge HCLK or negedge HRESETn) std_tx_req <= 0; // default no TX req std_rx_ack <= 0; // default no RX ack adp_bus_req <= 0; // default no bus transaction + adp_bus_err <= 0; // default no bus error adp_cmd <= 0; adp_param <= 0; adp_addr <= 0; @@ -506,7 +503,7 @@ always @(posedge HCLK or negedge HRESETn) STD_IOCHK: // check for commsrx or STDOUT and not busy service, else loop back if (com_rx_req) begin com_rx_ack <= 1; adp_state <= STD_RXD1; end // input char pending for STDIN // else if (com_tx_ack & std_rx_req) begin std_rx_ack <= 1; adp_state <= STD_TXD1; end // STDOUT char pending and not busy - else if (std_rx_req) begin std_rx_ack <= 1; adp_state <= STD_TXD1; end // STDOUT char pending and not busy + else if (std_rx_req) begin std_rx_ack <= 1; adp_state <= STD_TXD1; end // STDOUT char pending STD_TXD1: // get STD out char if (std_rx_done) begin com_tx_byte <= std_rx_byte; com_tx_req <= 1; adp_state <= STD_TXD2; end @@ -532,9 +529,12 @@ always @(posedge HCLK or negedge HRESETn) else com_tx_req <= 1; // extend ADP_IOCHK: // check for commsrx or STDOUT and not busy service, else loop back - if (com_rx_req) begin com_rx_ack <= 1; adp_state <= ADP_RXCMD; end - else if (com_tx_ack & std_rx_req) begin com_tx_byte <= "<"; com_tx_req <= 1; adp_state <= ADP_STDOUT; end -// else if (std_rx_req) begin com_tx_byte <= "<"; com_tx_req <= 1; adp_state <= ADP_STDOUT; end + if (std_rx_req) begin com_tx_byte <= "<"; com_tx_req <= 1; adp_state <= ADP_STDOUT; end + else if (com_rx_req) begin com_rx_ack <= 1; adp_state <= ADP_RXCMD; end + +// if (com_rx_req) begin com_rx_ack <= 1; adp_state <= ADP_RXCMD; end +// else if (com_tx_ack & std_rx_req) begin com_tx_byte <= "<"; com_tx_req <= 1; adp_state <= ADP_STDOUT; end +//// else if (std_rx_req) begin com_tx_byte <= "<"; com_tx_req <= 1; adp_state <= ADP_STDOUT; end // >>>>>>>>>>>>>>>> ADP <STDOUT> >>>>>>>>>>>>>>>>>>>>>> ADP_STDOUT: // output "<" @@ -547,7 +547,7 @@ always @(posedge HCLK or negedge HRESETn) if (com_tx_done) begin com_tx_byte <= ">"; com_tx_req <= 1; adp_state <= ADP_STDOUT3; end else com_tx_req <= 1; // else extend ADP_STDOUT3: // output ">" - if (com_tx_done) begin adp_state <= ADP_IOCHK; end + if (com_tx_done) begin if (com_rx_req) begin com_rx_ack <= 1; adp_state <= ADP_RXCMD; end else adp_state <= ADP_IOCHK; end else com_tx_req <= 1; // else extend // >>>>>>>>>>>>>>>> ADP COMMAND PARSING >>>>>>>>>>>>>>>>>>>>>> @@ -556,7 +556,7 @@ always @(posedge HCLK or negedge HRESETn) if (FNexit(com_rx_byte)) adp_state <= STD_IOCHK; // immediate exit else if (FNvalid_space(com_rx_byte)) com_rx_ack <= 1; // retry for a command else if (FNvalid_EOL(com_rx_byte)) begin adp_cmd <= "?"; adp_state <= ADP_ACTION; end // no command, skip param - else begin adp_cmd <= com_rx_byte; adp_param <= 32'hffffffff; com_rx_ack <= 1; adp_state <= ADP_RXPARAM; end // get optional parameter + else begin adp_cmd <= com_rx_byte; adp_param <= 33'h1_00000000; com_rx_ack <= 1; adp_state <= ADP_RXPARAM; end // get optional parameter end else com_rx_ack <= 1; // extend stream request if not ready ADP_RXPARAM: // read and build hex parameter @@ -564,12 +564,12 @@ always @(posedge HCLK or negedge HRESETn) if (FNexit(com_rx_byte)) adp_state <= STD_IOCHK; // exit else if (FNvalid_EOL(com_rx_byte)) `ifndef ADPBASIC - begin adp_count <= adp_param; adp_state <= ADP_ACTION; end // parameter complete on EOL + begin adp_count <= adp_param[31:0]; adp_state <= ADP_ACTION; end // parameter complete on EOL `else begin adp_state <= ADP_ACTION; end // parameter complete on EOL `endif else - begin adp_param <= FNBuild_param32_hexdigit(adp_param, com_rx_byte); com_rx_ack <= 1; end // build parameter + begin adp_param <= {1'b0,FNBuild_param32_hexdigit(adp_param[31:0], com_rx_byte)}; com_rx_ack <= 1; end // build parameter end else com_rx_ack <= 1; @@ -577,14 +577,14 @@ always @(posedge HCLK or negedge HRESETn) if (FNexit(com_rx_byte)) adp_state <= STD_IOCHK; else if (FNvalid_cmd(adp_cmd) == CMD_A) - begin if (adp_param == 32'hffffffff) adp_param <= adp_addr; else adp_addr <= adp_param; + begin if (adp_param[32] == 1'b1) adp_param <= {1'b0, adp_addr}; else adp_addr <= adp_param[31:0]; adp_state <= ADP_ECHOCMD; end else if (FNvalid_cmd(adp_cmd) == CMD_C) begin - if (adp_param[31:8] == 0) // report GPO + if (adp_param[32]) // report GPO begin adp_state <= ADP_SYSCTL; end - else if (adp_param[31:8] == 1) // clear bits in GPO + else if (adp_param[31:8] == 1) // clear selected bits in GPO begin adp_sys[7:0] <= adp_sys[7:0] & ~adp_param[7:0]; adp_state <= ADP_SYSCTL; end - else if (adp_param[31:8] == 2) // set bits in GPO + else if (adp_param[31:8] == 2) // set selected bits in GPO begin adp_sys[7:0] <= adp_sys[7:0] | adp_param[7:0]; adp_state <= ADP_SYSCTL; end else if (adp_param[31:8] == 3) // overwrite bits in GPO begin adp_sys[7:0] <= adp_param[7:0]; adp_state <= ADP_SYSCTL; end @@ -592,26 +592,30 @@ always @(posedge HCLK or negedge HRESETn) begin adp_state <= ADP_SYSCTL; end end else if (FNvalid_cmd(adp_cmd) == CMD_R) - begin ADP_BUSREADINC_next(); adp_state <= ADP_READ; end // no param + begin ADP_BUSREADINC_next(); adp_state <= ADP_READ; +`ifndef ADPBASIC + adp_count_dec <= 1'b1; // optional loop param +`endif + end // no param required else if (FNvalid_cmd(adp_cmd) == CMD_S) begin adp_state <= ADP_SYSCHK; end else if (FNvalid_cmd(adp_cmd) == CMD_W) - begin adp_bus_data <= adp_param; ADP_BUSWRITEINC_next(); adp_state <= ADP_WRITE; end + begin adp_bus_data <= adp_param[31:0]; ADP_BUSWRITEINC_next(); adp_state <= ADP_WRITE; end else if (FNvalid_cmd(adp_cmd) == CMD_X) begin com_tx_byte <= 8'h0a; com_tx_req <= 1; adp_state <= ADP_EXIT; end `ifndef ADPBASIC - else if (FNvalid_cmd(adp_cmd) == CMD_B) - if (FNcount_down_zero_next(adp_param)) adp_state <= ADP_ECHOCMD; else adp_state <= ADP_BCTRL; // non-zero count + else if (FNvalid_cmd(adp_cmd) == CMD_U) + if (FNcount_down_zero_next(adp_param[31:0])) adp_state <= ADP_ECHOCMD; else adp_state <= ADP_UCTRL; // non-zero count else if (FNvalid_cmd(adp_cmd) == CMD_M) - begin if (adp_param == 32'hffffffff) adp_param <= adp_mask; else adp_mask <= adp_param; + begin if (adp_param[32] == 1'b1) adp_param <= {1'b0,adp_mask}; else adp_mask <= adp_param[31:0]; adp_state <= ADP_ECHOCMD; end else if (FNvalid_cmd(adp_cmd) == CMD_P) - if (FNcount_down_zero_next(adp_param)) adp_state <= ADP_ECHOCMD; else adp_state <= ADP_POLL; // non-zero count + if (FNcount_down_zero_next(adp_param[31:0])) adp_state <= ADP_ECHOCMD; else adp_state <= ADP_POLL; // non-zero count else if (FNvalid_cmd(adp_cmd) == CMD_V) - begin if (adp_param == 32'hffffffff) adp_param <= adp_val; else adp_val <= adp_param; + begin if (adp_param[32] == 1'b1) adp_param <= {1'b0,adp_val}; else adp_val <= adp_param[31:0]; adp_state <= ADP_ECHOCMD; end - else if (FNvalid_cmd(adp_cmd) == CMD_Z) - if (FNcount_down_zero_next(adp_param)) adp_state <= ADP_ECHOCMD; else adp_state <= ADP_ZCTRL; // non-zero count + else if (FNvalid_cmd(adp_cmd) == CMD_F) + if (FNcount_down_zero_next(adp_param[31:0])) adp_state <= ADP_ECHOCMD; else adp_state <= ADP_FCTRL; // non-zero count `endif else begin ADP_txchar_next("?"); adp_state <= ADP_UNKNOWN; end // unrecognised/invald @@ -619,53 +623,58 @@ always @(posedge HCLK or negedge HRESETn) // >>>>>>>>>>>>>>>> ADP BUS WRITE and READ >>>>>>>>>>>>>>>>>>>>>> ADP_WRITE: // perform bus write at current address pointer (and auto increment) - if (adp_bus_done) begin adp_state <= ADP_ECHOCMD; end + if (adp_bus_done) begin adp_state <= ADP_ECHOCMD; adp_bus_err <= HRESP_i; end else begin ADP_BUSWRITEINC_next(); end // extend request ADP_READ: // perform bus read at current adp address (and auto increment) - and report in hex - if (adp_bus_done) begin adp_bus_data <= HRDATA32_i; ADP_txchar_next("R"); com_tx_req <= 1; adp_state <= ADP_ECHOCMDSP; end - else begin ADP_BUSREADINC_next(); end // extend request + if (adp_bus_done) begin adp_bus_data <= HRDATA32_i; adp_bus_err <= HRESP_i; ADP_txchar_next("R"); adp_state <= ADP_ECHOBUS; end + else begin + ADP_BUSREADINC_next(); +`ifndef ADPBASIC + adp_count_dec<= 1'b1; +`endif + end // extend request `ifndef ADPBASIC // >>>>>>>>>>>>>>>> ADP BINARY UPLOAD >>>>>>>>>>>>>>>>>>>>>> - ADP_BCTRL: // set control value - begin com_rx_ack <= 1; adp_state <= ADP_BREADB0; end // read next 4 bytes - ADP_BREADB0: // read raw binary byte - if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[31:24] <= com_rx_byte; adp_state <= ADP_BREADB1; end + ADP_UCTRL: // set control value + begin com_rx_ack <= 1; adp_state <= ADP_UREADB0; end // read next 4 bytes + ADP_UREADB0: // read raw binary byte + if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[31:24] <= com_rx_byte; adp_state <= ADP_UREADB1; end else com_rx_ack <= 1; // extend stream request if not ready - ADP_BREADB1: // read raw binary byte - if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[23:16] <= com_rx_byte; adp_state <= ADP_BREADB2; end + ADP_UREADB1: // read raw binary byte + if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[23:16] <= com_rx_byte; adp_state <= ADP_UREADB2; end else com_rx_ack <= 1; // extend stream request if not ready - ADP_BREADB2: // read raw binary byte 0 - if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[15: 8] <= com_rx_byte; adp_state <= ADP_BREADB3; end + ADP_UREADB2: // read raw binary byte 0 + if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[15: 8] <= com_rx_byte; adp_state <= ADP_UREADB3; end else com_rx_ack <= 1; // extend stream request if not ready - ADP_BREADB3: // read raw binary byte 0 + ADP_UREADB3: // read raw binary byte 0 if (com_rx_done) - begin adp_bus_data[ 7: 0] <= com_rx_byte; ADP_BUSWRITEINC_next(); adp_count_dec <= 1; adp_state <= ADP_BWRITE; end + begin adp_bus_data[ 7: 0] <= com_rx_byte; ADP_BUSWRITEINC_next(); adp_count_dec <= 1; adp_state <= ADP_UWRITE; end else com_rx_ack <= 1; // extend stream request if not ready - ADP_BWRITE: // Write word to Addr++ + ADP_UWRITE: // Write word to Addr++ if (adp_bus_done) begin // auto address++, count-- - if (FNcount_down_zero_next(adp_count)) adp_state <= ADP_ECHOCMD; else begin adp_state <= ADP_BREADB0; end + if (FNcount_down_zero_next(adp_count)) adp_state <= ADP_ECHOCMD; else begin adp_state <= ADP_UREADB0; adp_bus_err <= adp_bus_err | HRESP_i; end end else begin ADP_BUSWRITEINC_next(); adp_count_dec <= 1; end // extend request // >>>>>>>>>>>>>>>> ADP BUS READ LOOP >>>>>>>>>>>>>>>>>>>>>> ADP_POLL: // set poll value begin adp_bus_req <= 1; adp_bus_write <= 0; adp_state <= ADP_POLL1; end ADP_POLL1: // wait for read data, no addr++ - if (adp_bus_done) begin adp_bus_data <= HRDATA32_i; adp_count_dec <=1; adp_state <= ADP_POLL2; end + if (adp_bus_done) begin adp_bus_data <= HRDATA32_i; adp_count_dec <=1; adp_state <= ADP_POLL2; adp_bus_err <= adp_bus_err | HRESP_i; end else begin adp_bus_req <= 1; adp_count_dec <=1; end ADP_POLL2: - if (FNcount_down_zero_next(adp_count)) adp_state <= ADP_ECHOCMD; // timeout - else if (((adp_bus_data & adp_mask) ^ adp_val) == 0) adp_state <= ADP_WRITEHEX; // exact match + if (FNcount_down_zero_next(adp_count)) begin adp_state <= ADP_ECHOCMD; adp_bus_err <= 1'b1; end // timeout + else if (((adp_bus_data & adp_mask) ^ adp_val) == 0) begin adp_state <= ADP_ECHOCMD; adp_param <= {1'b0, (adp_param[31:0] - adp_count)}; end // exact match else adp_state <= ADP_POLL; -// >>>>>>>>>>>>>>>> ADP ZERO MEMORY >>>>>>>>>>>>>>>>>>>>>> - ADP_ZCTRL: // set control value - begin adp_bus_data <= adp_val; ADP_BUSWRITEINC_next(); adp_count_dec <= 1; adp_state <= ADP_ZWRITE; end - ADP_ZWRITE: // Write word to Addr++ +// >>>>>>>>>>>>>>>> ADP (ZERO) FILL MEMORY >>>>>>>>>>>>>>>>>>>>>> + ADP_FCTRL: // set control value + begin adp_bus_data <= adp_val; ADP_BUSWRITEINC_next(); adp_count_dec <= 1; adp_state <= ADP_FWRITE; end + ADP_FWRITE: // Write word to Addr++ if (adp_bus_done) begin // auto address++, count-- - if (FNcount_down_zero_next(adp_count)) adp_state <= ADP_ECHOCMD; else begin adp_state <= ADP_ZCTRL; end + if (FNcount_down_zero_next(adp_count)) adp_state <= ADP_ECHOCMD; else begin adp_state <= ADP_FCTRL; adp_bus_err <= adp_bus_err | HRESP_i; end end else begin ADP_BUSWRITEINC_next(); adp_count_dec <= 1; end // extend request `endif @@ -682,23 +691,23 @@ always @(posedge HCLK or negedge HRESETn) ADP_SYSCHK: // check STDIN fifo begin // no upper flags so STDIN char if (std_tx_ack) begin std_tx_req <=1; std_tx_byte <= adp_param[7:0]; adp_state <= ADP_STDIN; end - else begin ADP_txchar_next(8'h0A); com_tx_req <= 1; adp_state <= ADP_LINEACK; end // newline and prompt + else begin adp_bus_err <= 1'b1; adp_state <= ADP_ECHOCMD; end // signal error then echo comand end ADP_STDIN: // push char into STDIN - if (std_tx_done) begin adp_bus_data <= adp_param[7:0]; ADP_txchar_next("S"); com_tx_req <= 1; adp_state <= ADP_ECHOCMDSP; end + if (std_tx_done) begin adp_bus_data <= {24'b0,adp_param[7:0]}; ADP_txchar_next("S"); adp_state <= ADP_ECHOBUS; end else std_tx_req <= 1; // extend ADP_SYSCTL: // read current status - and report in hex - begin adp_bus_data <= {GPI8_i[7:0],adp_sys[7:0],adp_param[15:0]}; ADP_txchar_next("C"); com_tx_req <= 1; adp_state <= ADP_ECHOCMDSP; end + begin adp_bus_data <= {GPI8_i[7:0],adp_sys[7:0],adp_param[15:0]}; ADP_txchar_next("C"); adp_state <= ADP_ECHOBUS; end ADP_ECHOCMD: // output command and (param) data - begin adp_state <= ADP_ECHOCMDSP; ADP_txchar_next(adp_cmd & 8'h5f); adp_bus_data <= adp_param; end // output command char - ADP_ECHOCMDSP: // output command space and (bus) data - if (com_tx_done) begin adp_state <= ADP_WRITEHEXS; ADP_txchar_next(" "); end // output space char + begin ADP_txchar_next(adp_cmd & 8'h5f); adp_bus_data <= adp_param[31:0]; adp_state <= ADP_ECHOBUS; end // output command char + ADP_ECHOBUS: // output command space and (bus) data + if (com_tx_done) begin adp_state <= ADP_WRITEHEXS; ADP_txchar_next(adp_bus_err ? "!" : " "); end // output space char, or "!" on bus error else com_tx_req <= 1; // extend ADP_WRITEHEX: // output hex word with prefix - begin adp_state <= ADP_WRITEHEXS; ADP_txchar_next(" "); end // output "0" hex prefix + begin adp_state <= ADP_WRITEHEXS; ADP_txchar_next(adp_bus_err ? "!" : " "); end // output space char, or "!" on bus error ADP_WRITEHEXS: if (com_tx_done) begin adp_state <= ADP_WRITEHEX9; ADP_txchar_next("0"); end // output "0" hex prefix @@ -732,6 +741,7 @@ always @(posedge HCLK or negedge HRESETn) else com_tx_req <= 1; // extend ADP_WRITEHEX0: // output hex nibble 0 (if not startup banner then scan to end of line before lineack if (com_tx_done) begin + adp_bus_err <= 1'b0; // clear sticky bus error flag if (banner) begin ADP_LINEACK_next(); end else begin ADP_txchar_next(8'h0A); com_tx_req <= 1; adp_state <= ADP_LINEACK; end // newline and prompt end else com_tx_req <= 1; // extend @@ -743,10 +753,14 @@ always @(posedge HCLK or negedge HRESETn) ADP_LINEACK2: // CR if (com_tx_done) begin if (banner) begin banner <= 0; adp_state <= STD_IOCHK; end +`ifndef ADPBASIC + else if ((FNvalid_cmd(adp_cmd) == CMD_R) & |adp_count) //// non-zero count + begin ADP_BUSREADINC_next(); adp_count_dec <= 1'b1; adp_state <= ADP_READ; end // +`endif else begin ADP_txchar_next(PROMPT_CHAR); adp_state <= ADP_PROMPT; end end else com_tx_req <= 1; // extend default: - begin ADP_txchar_next("!"); adp_state <= ADP_UNKNOWN; end // default error + begin ADP_txchar_next("#"); adp_state <= ADP_UNKNOWN; end // default error endcase end @@ -756,8 +770,8 @@ endmodule //ADPmaster // #(.PROMPT_CHAR ("]")) // ADPmaster( -// .HCLK (ahb_hclk ), -// .HRESETn (ahb_hrestn ), +// .HCLK (ahb_hclk ), +// .HRESETn (ahb_hrestn ), // .HADDR32_o (ahb_haddr ), // .HBURST3_o (ahb_hburst ), // .HMASTLOCK_o (ahb_hmastlock ), diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmaster.v b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmaster.v deleted file mode 100755 index c2caf1521648331612a7936d021eaa20c62d91d3..0000000000000000000000000000000000000000 --- a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmaster.v +++ /dev/null @@ -1,728 +0,0 @@ -/* -* ASCII Debug COntroller -* A joint work commissioned on behalf of SoC Labs. -* -* Contributors -* -* David Flynn (d.w.flynn@soton.ac.uk) -* -* Copyright © 2021, SoC Labs (www.soclabs.org) -*/ - -//`define ADPBASIC 1 - -module ADPmaster // bus master interface - #(parameter PROMPT_CHAR = "]" - ) - ( input wire HCLK, - input wire HRESETn, - output wire [31:0] M_HADDR32_o, - output wire [ 2:0] M_HBURST3_o, - output wire M_HMASTLOCK_o, - output wire [ 3:0] M_HPROT4_o, - output wire [ 2:0] M_HSIZE3_o, - output wire [ 1:0] M_HTRANS2_o, - output wire [31:0] M_HWDATA32_o, - output wire M_HWRITE_o, - input wire [31:0] M_HRDATA32_i, - input wire M_HREADY_i, - input wire M_HRESP_i, -// input wire COM_RXE_i, - input wire [ 7:0] COMRX_TDATA_i, - input wire COMRX_TVALID_i, - output wire COMRX_TREADY_o, -// input wire COM_TXF_i, - output wire [ 7:0] COMTX_TDATA_o, - output wire COMTX_TVALID_o, - input wire COMTX_TREADY_i, -// STDIO interface -// input wire STDOUT_RXE_i, - input wire [ 7:0] STDRX_TDATA_i, - input wire STDRX_TVALID_i, - output wire STDRX_TREADY_o, -// input wire STDIN_TXF_i - output wire [ 7:0] STDTX_TDATA_o, - output wire STDTX_TVALID_o, - input wire STDTX_TREADY_i -); - -wire COM_RXE_i = !COMRX_TVALID_i; -wire COM_TXF_i = !COMTX_TREADY_i; - -//wire adp_rx_req = COMRX_TVALID_i & COMRX_TREADY_o; -//wire std_rx_req = STDRX_TVALID_i & STDRX_TREADY_o; - - -wire STD_TXF_i = !STDTX_TREADY_i; -wire STD_RXE_i = !STDRX_TVALID_i; - -`ifdef ADPBASIC - localparam ADP_ID= 32'hADB0DF03; -`else - localparam ADP_ID= 32'hADC0DF03; -`endif - -localparam CMD_bad = 4'b0000; -localparam CMD_A = 4'b0001; // set address -`ifndef ADPBASIC -localparam CMD_B = 4'b1000; // Binary upload (wordocunt) from addr++ -localparam CMD_M = 4'b1010; // set read mask -localparam CMD_P = 4'b1011; // Poll hardware (count) -localparam CMD_V = 4'b1100; // match value -localparam CMD_Z = 4'b1101; // Zero-fill (wordocunt) from addr++ -`endif -localparam CMD_C = 4'b1001; // Control -localparam CMD_R = 4'b0010; // read word, addr++ -localparam CMD_S = 4'b0011; // Status/STDIN -localparam CMD_W = 4'b0100; // write word, addr++ -localparam CMD_X = 4'b0101; // exit - - -function FNvalid_adp_entry; // Escape char -input [7:0] char8; - FNvalid_adp_entry = (char8[7:0] == 8'h1b); -endfunction - -function [3:0] FNvalid_cmd; -input [7:0] char8; -case (char8[7:0]) -"A": FNvalid_cmd = CMD_A; -"a": FNvalid_cmd = CMD_A; -"R": FNvalid_cmd = CMD_R; -"r": FNvalid_cmd = CMD_R; -"S": FNvalid_cmd = CMD_S; -"s": FNvalid_cmd = CMD_S; -"W": FNvalid_cmd = CMD_W; -"w": FNvalid_cmd = CMD_W; -"X": FNvalid_cmd = CMD_X; -"x": FNvalid_cmd = CMD_X; -`ifndef ADPBASIC -"B": FNvalid_cmd = CMD_B; -"b": FNvalid_cmd = CMD_B; -"C": FNvalid_cmd = CMD_C; -"c": FNvalid_cmd = CMD_C; -"M": FNvalid_cmd = CMD_M; -"m": FNvalid_cmd = CMD_M; -"P": FNvalid_cmd = CMD_P; -"p": FNvalid_cmd = CMD_P; -"V": FNvalid_cmd = CMD_V; -"v": FNvalid_cmd = CMD_V; -"Z": FNvalid_cmd = CMD_Z; -"z": FNvalid_cmd = CMD_Z; -`endif -default: - FNvalid_cmd = 0; -endcase -endfunction - -function FNvalid_space; // space or tab char -input [7:0] char8; - FNvalid_space = ((char8[7:0] == 8'h20) || (char8[7:0] == 8'h09)); -endfunction - -function FNnull; // space or tab char -input [7:0] char8; - FNnull = (char8[7:0] == 8'h00); -endfunction - -function FNexit; // EOF -input [7:0] char8; - FNexit = ((char8[7:0] == 8'h04) || (char8[7:0] == 8'h00)); -endfunction - -function FNvalid_EOL; // CR or LF -input [7:0] char8; - FNvalid_EOL = ((char8[7:0] == 8'h0a) || (char8[7:0] == 8'h0d)); -endfunction - -function [63:0] FNBuild_param64_hexdigit; -input [63:0] param64; -input [7:0] char8; -case (char8[7:0]) -"\t":FNBuild_param64_hexdigit = 64'b0; // tab starts new (zeroed) param64 -" ": FNBuild_param64_hexdigit = 64'b0; // space starts new (zeroed) param64 -"x": FNBuild_param64_hexdigit = 64'b0; // hex prefix starts new (zeroed) param64 -"X": FNBuild_param64_hexdigit = 64'b0; // hex prefix starts new (zeroed) param64 -"0": FNBuild_param64_hexdigit = {param64[59:0],4'b0000}; -"1": FNBuild_param64_hexdigit = {param64[59:0],4'b0001}; -"2": FNBuild_param64_hexdigit = {param64[59:0],4'b0010}; -"3": FNBuild_param64_hexdigit = {param64[59:0],4'b0011}; -"4": FNBuild_param64_hexdigit = {param64[59:0],4'b0100}; -"5": FNBuild_param64_hexdigit = {param64[59:0],4'b0101}; -"6": FNBuild_param64_hexdigit = {param64[59:0],4'b0110}; -"7": FNBuild_param64_hexdigit = {param64[59:0],4'b0111}; -"8": FNBuild_param64_hexdigit = {param64[59:0],4'b1000}; -"9": FNBuild_param64_hexdigit = {param64[59:0],4'b1001}; -"A": FNBuild_param64_hexdigit = {param64[59:0],4'b1010}; -"B": FNBuild_param64_hexdigit = {param64[59:0],4'b1011}; -"C": FNBuild_param64_hexdigit = {param64[59:0],4'b1100}; -"D": FNBuild_param64_hexdigit = {param64[59:0],4'b1101}; -"E": FNBuild_param64_hexdigit = {param64[59:0],4'b1110}; -"F": FNBuild_param64_hexdigit = {param64[59:0],4'b1111}; -"a": FNBuild_param64_hexdigit = {param64[59:0],4'b1010}; -"b": FNBuild_param64_hexdigit = {param64[59:0],4'b1011}; -"c": FNBuild_param64_hexdigit = {param64[59:0],4'b1100}; -"d": FNBuild_param64_hexdigit = {param64[59:0],4'b1101}; -"e": FNBuild_param64_hexdigit = {param64[59:0],4'b1110}; -"f": FNBuild_param64_hexdigit = {param64[59:0],4'b1111}; -default: FNBuild_param64_hexdigit = param64; // EOL etc returns param64 unchanged -endcase -endfunction - -function [63:0] FNBuild_param64_byte; -input [63:0] param64; -input [7:0] byte; - FNBuild_param64_byte = {byte[7:0], param64[63:08]}; -endfunction - -function [31:0] FNBuild_param32_hexdigit; -input [31:0] param32; -input [7:0] char8; -case (char8[7:0]) -"\t":FNBuild_param32_hexdigit = 32'b0; // tab starts new (zeroed) param32 -" ": FNBuild_param32_hexdigit = 32'b0; // space starts new (zeroed) param32 -"x": FNBuild_param32_hexdigit = 32'b0; // hex prefix starts new (zeroed) param32 -"X": FNBuild_param32_hexdigit = 32'b0; // hex prefix starts new (zeroed) param32 -"0": FNBuild_param32_hexdigit = {param32[27:0],4'b0000}; -"1": FNBuild_param32_hexdigit = {param32[27:0],4'b0001}; -"2": FNBuild_param32_hexdigit = {param32[27:0],4'b0010}; -"3": FNBuild_param32_hexdigit = {param32[27:0],4'b0011}; -"4": FNBuild_param32_hexdigit = {param32[27:0],4'b0100}; -"5": FNBuild_param32_hexdigit = {param32[27:0],4'b0101}; -"6": FNBuild_param32_hexdigit = {param32[27:0],4'b0110}; -"7": FNBuild_param32_hexdigit = {param32[27:0],4'b0111}; -"8": FNBuild_param32_hexdigit = {param32[27:0],4'b1000}; -"9": FNBuild_param32_hexdigit = {param32[27:0],4'b1001}; -"A": FNBuild_param32_hexdigit = {param32[27:0],4'b1010}; -"B": FNBuild_param32_hexdigit = {param32[27:0],4'b1011}; -"C": FNBuild_param32_hexdigit = {param32[27:0],4'b1100}; -"D": FNBuild_param32_hexdigit = {param32[27:0],4'b1101}; -"E": FNBuild_param32_hexdigit = {param32[27:0],4'b1110}; -"F": FNBuild_param32_hexdigit = {param32[27:0],4'b1111}; -"a": FNBuild_param32_hexdigit = {param32[27:0],4'b1010}; -"b": FNBuild_param32_hexdigit = {param32[27:0],4'b1011}; -"c": FNBuild_param32_hexdigit = {param32[27:0],4'b1100}; -"d": FNBuild_param32_hexdigit = {param32[27:0],4'b1101}; -"e": FNBuild_param32_hexdigit = {param32[27:0],4'b1110}; -"f": FNBuild_param32_hexdigit = {param32[27:0],4'b1111}; -default: FNBuild_param32_hexdigit = param32; // EOL etc returns param32 unchanged -endcase -endfunction - -function [31:0] FNBuild_param32_byte; -input [31:0] param32; -input [7:0] byte; - FNBuild_param32_byte = {byte[7:0], param32[31:08]}; -endfunction - - - -function [7:0] FNmap_hex_digit; -input [3:0] nibble; -case (nibble[3:0]) -4'b0000: FNmap_hex_digit = "0"; -4'b0001: FNmap_hex_digit = "1"; -4'b0010: FNmap_hex_digit = "2"; -4'b0011: FNmap_hex_digit = "3"; -4'b0100: FNmap_hex_digit = "4"; -4'b0101: FNmap_hex_digit = "5"; -4'b0110: FNmap_hex_digit = "6"; -4'b0111: FNmap_hex_digit = "7"; -4'b1000: FNmap_hex_digit = "8"; -4'b1001: FNmap_hex_digit = "9"; -4'b1010: FNmap_hex_digit = "a"; -4'b1011: FNmap_hex_digit = "b"; -4'b1100: FNmap_hex_digit = "c"; -4'b1101: FNmap_hex_digit = "d"; -4'b1110: FNmap_hex_digit = "e"; -4'b1111: FNmap_hex_digit = "f"; -default: FNmap_hex_digit = "0"; -endcase -endfunction - - -// as per Vivado synthesis mapping - -//localparam ADP_WRITEHEX = 6'b000000 ; -//localparam ADP_WRITEHEXS = 6'b000001 ; -//localparam ADP_WRITEHEX9 = 6'b000010 ; -//localparam ADP_WRITEHEX8 = 6'b000011 ; -//localparam ADP_WRITEHEX7 = 6'b000100 ; -//localparam ADP_WRITEHEX6 = 6'b000101 ; -//localparam ADP_WRITEHEX5 = 6'b000110 ; -//localparam ADP_WRITEHEX4 = 6'b000111 ; -//localparam ADP_WRITEHEX3 = 6'b001000 ; -//localparam ADP_WRITEHEX2 = 6'b001001 ; -//localparam ADP_WRITEHEX1 = 6'b001010 ; -//localparam ADP_WRITEHEX0 = 6'b001011 ; -//localparam ADP_READEOL = 6'b001100 ; -//localparam ADP_LINEACK = 6'b001101 ; -//localparam ADP_PROMPT = 6'b001110 ; -//localparam ADP_IOCHK = 6'b001111 ; -//localparam ADP_RXCMD = 6'b010000 ; -//localparam ADP_READ = 6'b010001 ; -//localparam ADP_RXPARAM = 6'b010010 ; -//localparam ADP_ACTION = 6'b010011 ; -//localparam ADP_SYSCHK = 6'b010100 ; -//localparam ADP_STDIN = 6'b010101 ; -//localparam ADP_STAT = 6'b010110 ; -//localparam ADP_WRITE = 6'b010111 ; -//localparam ADP_EXIT = 6'b011000 ; -//localparam STD_IOCHK = 6'b011001 ; -//localparam STD_RXD1 = 6'b011010 ; -//localparam STD_RXD2 = 6'b011011 ; -//localparam STD_TXD1 = 6'b011101 ; -//localparam STD_TXD2 = 6'b011110 ; -//localparam ADP_BCTRL = 6'b011111 ; -//localparam ADP_BREADB0 = 6'b100000 ; -//localparam ADP_BREADB1 = 6'b100001 ; -//localparam ADP_BREADB2 = 6'b100010 ; -//localparam ADP_BREADB3 = 6'b100011 ; -//localparam ADP_BWRITE = 6'b100100 ; -//localparam ADP_POLL = 6'b100101 ; -//localparam ADP_POLL1 = 6'b100110 ; -//localparam ADP_POLL2 = 6'b100111 ; -//localparam ADP_UNKNOWN = 6'b101000 ; -//localparam ADP_STDOUT = 6'b101010 ; -//localparam ADP_STDOUT1 = 6'b101011 ; -//localparam ADP_STDOUT2 = 6'b101100 ; -//localparam ADP_STDOUT3 = 6'b101101 ; -//localparam ADP_ZCTRL = 6'b101110 ; -//localparam ADP_ZWRITE = 6'b101111 ; -// one-hot encoded explicitly -localparam ADP_WRITEHEX = 46'b0000000000000000000000000000000000000000000001 ; -localparam ADP_WRITEHEXS = 46'b0000000000000000000000000000000000000000000010 ; -localparam ADP_WRITEHEX9 = 46'b0000000000000000000000000000000000000000000100 ; -localparam ADP_WRITEHEX8 = 46'b0000000000000000000000000000000000000000001000 ; -localparam ADP_WRITEHEX7 = 46'b0000000000000000000000000000000000000000010000 ; -localparam ADP_WRITEHEX6 = 46'b0000000000000000000000000000000000000000100000 ; -localparam ADP_WRITEHEX5 = 46'b0000000000000000000000000000000000000001000000 ; -localparam ADP_WRITEHEX4 = 46'b0000000000000000000000000000000000000010000000 ; -localparam ADP_WRITEHEX3 = 46'b0000000000000000000000000000000000000100000000 ; -localparam ADP_WRITEHEX2 = 46'b0000000000000000000000000000000000001000000000 ; -localparam ADP_WRITEHEX1 = 46'b0000000000000000000000000000000000010000000000 ; -localparam ADP_WRITEHEX0 = 46'b0000000000000000000000000000000000100000000000 ; -localparam ADP_READEOL = 46'b0000000000000000000000000000000001000000000000 ; -localparam ADP_LINEACK = 46'b0000000000000000000000000000000010000000000000 ; -localparam ADP_PROMPT = 46'b0000000000000000000000000000000100000000000000 ; -localparam ADP_IOCHK = 46'b0000000000000000000000000000001000000000000000 ; -localparam ADP_RXCMD = 46'b0000000000000000000000000000010000000000000000 ; -localparam ADP_READ = 46'b0000000000000000000000000000100000000000000000 ; -localparam ADP_RXPARAM = 46'b0000000000000000000000000001000000000000000000 ; -localparam ADP_ACTION = 46'b0000000000000000000000000010000000000000000000 ; -localparam ADP_SYSCHK = 46'b0000000000000000000000000100000000000000000000 ; -localparam ADP_STDIN = 46'b0000000000000000000000001000000000000000000000 ; -localparam ADP_STAT = 46'b0000000000000000000000010000000000000000000000 ; -localparam ADP_WRITE = 46'b0000000000000000000000100000000000000000000000 ; -localparam ADP_EXIT = 46'b0000000000000000000001000000000000000000000000 ; -localparam STD_IOCHK = 46'b0000000000000000000010000000000000000000000000 ; -localparam STD_RXD1 = 46'b0000000000000000000100000000000000000000000000 ; -localparam STD_RXD2 = 46'b0000000000000000001000000000000000000000000000 ; -localparam STD_TXD1 = 46'b0000000000000000010000000000000000000000000000 ; -localparam STD_TXD2 = 46'b0000000000000000100000000000000000000000000000 ; -localparam ADP_BCTRL = 46'b0000000000000001000000000000000000000000000000 ; -localparam ADP_BREADB0 = 46'b0000000000000010000000000000000000000000000000 ; -localparam ADP_BREADB1 = 46'b0000000000000100000000000000000000000000000000 ; -localparam ADP_BREADB2 = 46'b0000000000001000000000000000000000000000000000 ; -localparam ADP_BREADB3 = 46'b0000000000010000000000000000000000000000000000 ; -localparam ADP_BWRITE = 46'b0000000000100000000000000000000000000000000000 ; -localparam ADP_POLL = 46'b0000000001000000000000000000000000000000000000 ; -localparam ADP_POLL1 = 46'b0000000010000000000000000000000000000000000000 ; -localparam ADP_POLL2 = 46'b0000000100000000000000000000000000000000000000 ; -localparam ADP_ZCTRL = 46'b0000001000000000000000000000000000000000000000 ; -localparam ADP_ZWRITE = 46'b0000010000000000000000000000000000000000000000 ; -localparam ADP_UNKNOWN = 46'b0000100000000000000000000000000000000000000000 ; -localparam ADP_STDOUT = 46'b0001000000000000000000000000000000000000000000 ; -localparam ADP_STDOUT1 = 46'b0010000000000000000000000000000000000000000000 ; -localparam ADP_STDOUT2 = 46'b0100000000000000000000000000000000000000000000 ; -localparam ADP_STDOUT3 = 46'b1000000000000000000000000000000000000000000000 ; - -reg [45:0] adp_state ; -reg [31:0] adp_bus_data; -reg banner ; -reg com_tx_req ; -reg [7:0] com_tx_byte ; -reg com_rx_ack ; -reg std_tx_req ; -reg [ 7:0] std_tx_byte; -reg std_rx_ack ; -reg adp_bus_req ; -reg adp_bus_write ; -reg [7:0] adp_cmd ; -reg [31:0] adp_param ; -reg adp_param_dec ; -reg [31:0] adp_addr ; -reg adp_addr_inc; -reg [31:0] adp_sys ; - - -// ADP RX stream -wire com_rx_req = COMRX_TVALID_i; -wire [ 7:0] com_rx_byte = COMRX_TDATA_i; -assign COMRX_TREADY_o = com_rx_ack; -// ADP TX stream -wire com_tx_ack = COMTX_TREADY_i; -assign COMTX_TDATA_o = com_tx_byte; -assign COMTX_TVALID_o = com_tx_req; -// STD RX stream (from STDOUT) -wire std_rx_req = STDRX_TVALID_i; -wire [ 7:0] std_rx_byte = STDRX_TDATA_i; -assign STDRX_TREADY_o = std_rx_ack; -// STD TX stream (to STDIN) -wire std_tx_ack = STDTX_TREADY_i; -assign STDTX_TDATA_o = std_tx_byte; -assign STDTX_TVALID_o = std_tx_req; - -//AMBA AHB master as "stream" interface -reg ahb_dphase; -wire ahb_aphase = adp_bus_req & !ahb_dphase; -wire adp_bus_ack = ahb_dphase & M_HREADY_i; -// control pipe -always @(posedge HCLK or negedge HRESETn) - if(!HRESETn) - ahb_dphase <= 0; - else if (M_HREADY_i) - ahb_dphase <= (ahb_aphase); - -assign M_HADDR32_o = adp_addr; -assign M_HBURST3_o = 3'b001; // "INCR" burst signalled whenever transfer; -assign M_HMASTLOCK_o = 1'b0; -assign M_HPROT4_o[3:0] = {1'b0, 1'b0, 1'b1, 1'b1}; -assign M_HSIZE3_o[2:0] = {1'b0, 2'b10}; -assign M_HTRANS2_o = {ahb_aphase,1'b0}; // non-seq -assign M_HWDATA32_o = adp_bus_data; -assign M_HWRITE_o = adp_bus_write; - - -`ifndef ADPBASIC -//reg [63:0] adp_bctrl64; -reg [31:0] adp_bctrl; -reg [31:0] adp_val; -reg [31:0] adp_mask; -reg [31:0] adp_poll; -wire adp_delay_done; -wire adp_bctrl_done; -wire adp_bctrl_zero; -wire poll2_loop_next; -`endif - -// ADP_control flags in the 'C' control field -wire adp_disable; -wire adp_stdin_wait; - -// commnon interface handshake terms -wire com_rx_done = COMRX_TVALID_i & COMRX_TREADY_o; -wire com_tx_done = COMTX_TVALID_o & COMTX_TREADY_i; -wire std_rx_done = STDRX_TVALID_i & STDRX_TREADY_o; -wire std_tx_done = STDTX_TVALID_o & STDTX_TREADY_i; -wire adp_bus_done = (adp_bus_req & adp_bus_ack); - -// common task to set up for next state -task ADP_LINEACK_next; // prepare newline TX (and cancel any startup banner) - begin com_tx_req <= 1; com_tx_byte <= 8'h0A; adp_state <= ADP_LINEACK; end -endtask -task ADP_PROMPT_next; // prepare prompt TX - begin com_tx_req <= 1; com_tx_byte <= PROMPT_CHAR; adp_state <= ADP_PROMPT; end -endtask -task ADP_BUSWRITEINC_next; // prepare bus write and addr++ on completion -input[31:0] data; - begin adp_bus_req <=1; adp_bus_write <=1; adp_bus_data <= data; adp_addr_inc <=1; end -endtask -task ADP_BUSREADINC_next; // prepare bus read and addr++ on completion - begin adp_bus_req <=1; adp_bus_write <=0; adp_addr_inc <=1; end -endtask - -task ADP_hexdigit_next; // output nibble -input [3:0] nibble; - begin com_tx_req <= 1; com_tx_byte <= FNmap_hex_digit(nibble[3:0]); end -endtask -task ADP_txchar_next; // output char -input [7:0] byte; - begin com_tx_req<= 1; com_tx_byte <= byte; end -endtask - -task com_rx_nxt; com_rx_ack <=1; endtask - -function FNcount_zero_next; // param about to be zero -input [31:0] counter; - FNcount_zero_next = (counter[31:0] == 32'h00000001); -endfunction - -function FNcount_zero; // param about to be zero -input [31:0] counter; - FNcount_zero = (counter[31:0] == 32'h0000000); // exactly 0 -endfunction - -always @(posedge HCLK or negedge HRESETn) - if(!HRESETn) begin - adp_state <= ADP_WRITEHEX ; - adp_bus_data <= 32'h50c1ab01; - banner <= 1; // start-up HEX message - com_tx_req <= 0; // default no TX req - com_rx_ack <= 0; // default no RX ack - std_tx_req <= 0; // default no TX req - std_rx_ack <= 0; // default no RX ack - adp_bus_req <= 0; // default no bus transaction - adp_cmd <= 0; - adp_param <= 0; - adp_param_dec<= 0; - adp_addr <= 0; - adp_addr_inc <= 0; - adp_bus_write<= 0; - adp_val <= 0; - adp_mask <= 0; - adp_sys <= 0; - - end else begin // default states - adp_state <= adp_state; // default to hold current state - com_tx_req <= 0; // default no TX req - com_rx_ack <= 0; // default no RX ack - std_tx_req <= 0; // default no TX req - std_rx_ack <= 0; // default no RX ack - adp_bus_req <= 0; // default no bus transaction - adp_addr <= (adp_addr_inc & adp_bus_done) ? adp_addr + 4 : adp_addr; // address++ - adp_addr_inc <= 0; - adp_param <= (adp_param_dec & adp_bus_done & (|adp_param)) ? adp_param - 1 : adp_param; // param-- - adp_param_dec<= 0; - - case (adp_state) -// >>>>>>>>>>>>>>>> STDIO BYPASS >>>>>>>>>>>>>>>>>>>>>> - STD_IOCHK: // check for commsrx or STDOUT and not busy service, else loop back - if (com_rx_req) begin com_rx_ack <= 1; adp_state <= STD_RXD1; end // input char pending for STDIN -// else if (com_tx_ack & std_rx_req) begin std_rx_ack <= 1; adp_state <= STD_TXD1; end // STDOUT char pending and not busy - else if (std_rx_req) begin std_rx_ack <= 1; adp_state <= STD_TXD1; end // STDOUT char pending and not busy - STD_TXD1: // get STD out char - if (std_rx_done) begin com_tx_byte <= std_rx_byte; com_tx_req <= 1; adp_state <= STD_TXD2; end - else std_rx_ack <= 1; // extend - STD_TXD2: // output char to ADP channel - if (com_tx_done) begin adp_state <= STD_IOCHK; end - else com_tx_req <= 1; // extend - STD_RXD1: // read rx char and check for ADP entry else STDIN ** - if (com_rx_done) begin - if (FNvalid_adp_entry(com_rx_byte)) begin adp_state <= ADP_LINEACK; end // ADP prompt - else if (std_tx_ack) begin std_tx_byte <= com_rx_byte; std_tx_req <= 1; adp_state <= STD_RXD2; end - else adp_state <= STD_IOCHK; // otherwise discard STDIN char and process OP data if blocked - end else com_rx_ack <= 1; // extend - STD_RXD2: // get STD in char - if (std_tx_done) begin adp_state <= STD_IOCHK; end - else std_tx_req <= 1; // extend - -// >>>>>>>>>>>>>>>> ADP Monitor >>>>>>>>>>>>>>>>>>>>>> - ADP_PROMPT: // transition after reset deassertion - if (com_tx_done) begin adp_state <= ADP_IOCHK; end - else com_tx_req <= 1; // extend - - ADP_IOCHK: // check for commsrx or STDOUT and not busy service, else loop back - if (com_rx_req) begin com_rx_ack <= 1; adp_state <= ADP_RXCMD; end -// else if (com_tx_ack & std_rx_req) begin com_tx_byte <= "<"; com_tx_req <= 1; adp_state <= ADP_STDOUT; end - else if (std_rx_req) begin com_tx_byte <= "<"; com_tx_req <= 1; adp_state <= ADP_STDOUT; end - -// >>>>>>>>>>>>>>>> ADP <STDOUT> >>>>>>>>>>>>>>>>>>>>>> - ADP_STDOUT: // output "<" - if (com_tx_done) begin std_rx_ack <= 1; adp_state <= ADP_STDOUT1; end - else com_tx_req <= 1; // extend stream request if not ready - ADP_STDOUT1: // get STD out char - if (std_rx_done) begin com_tx_byte <= std_rx_byte; com_tx_req <= 1; adp_state <= ADP_STDOUT2; end - else std_rx_ack <= 1; // else extend - ADP_STDOUT2: // output char - if (com_tx_done) begin com_tx_byte <= ">"; com_tx_req <= 1; adp_state <= ADP_STDOUT3; end - else com_tx_req <= 1; // else extend - ADP_STDOUT3: // output ">" - if (com_tx_done) begin adp_state <= ADP_IOCHK; end - else com_tx_req <= 1; // else extend - -// >>>>>>>>>>>>>>>> ADP COMMAND PARSING >>>>>>>>>>>>>>>>>>>>>> - ADP_RXCMD: // read and save ADP command - if (com_rx_done) begin - if (FNexit(com_rx_byte)) adp_state <= STD_IOCHK; // immediate exit - else if (FNvalid_EOL(com_rx_byte)) ADP_LINEACK_next(); // dummy command - else if (FNvalid_space(com_rx_byte)) com_rx_ack <= 1; // retry for a command - else if (FNvalid_cmd(com_rx_byte) == CMD_R) begin ADP_BUSREADINC_next(); adp_state <= ADP_READ; end // no param - else begin adp_cmd <= com_rx_byte; adp_param <= 0; com_rx_ack <= 1; adp_state <= ADP_RXPARAM; end // get optional parameter - end - else com_rx_ack <= 1; // extend stream request if not ready - ADP_RXPARAM: // read and build hex parameter - if (com_rx_done) begin // RX byte - if (FNexit(com_rx_byte)) adp_state <= STD_IOCHK; // exit - else if (FNvalid_EOL(com_rx_byte)) adp_state <= ADP_ACTION; // paremeter complete on EOL - else begin adp_param <= FNBuild_param32_hexdigit(adp_param, com_rx_byte); com_rx_ack <= 1; end // build parameter - end - else com_rx_ack <= 1; - - ADP_ACTION: // parse command and action with parameter -// if (FNexit(adp_cmd)) adp_state <= STD_IOCHK; - if (FNvalid_cmd(adp_cmd) == CMD_A) begin adp_addr <= adp_param; ADP_LINEACK_next(); end - else if (FNvalid_cmd(adp_cmd) == CMD_C) begin adp_sys <= adp_param; ADP_LINEACK_next(); end - else if (FNvalid_cmd(adp_cmd) == CMD_S) begin adp_state <= ADP_SYSCHK; end - else if (FNvalid_cmd(adp_cmd) == CMD_W) begin ADP_BUSWRITEINC_next(adp_param); adp_state <= ADP_WRITE; end - else if (FNvalid_cmd(adp_cmd) == CMD_X) begin adp_state <= ADP_EXIT; end -`ifndef ADPBASIC - else if (FNvalid_cmd(adp_cmd) == CMD_M) begin adp_mask <= adp_param; ADP_LINEACK_next(); end - else if (FNvalid_cmd(adp_cmd) == CMD_V) begin adp_val <= adp_param; ADP_LINEACK_next(); end - else if (FNvalid_cmd(adp_cmd) == CMD_B) begin if (FNcount_zero(adp_param)) ADP_LINEACK_next(); else adp_state <= ADP_BCTRL; end // non-zero count - else if (FNvalid_cmd(adp_cmd) == CMD_P) begin if (FNcount_zero(adp_param)) ADP_LINEACK_next(); else adp_state <= ADP_POLL; end // non-zero count - else if (FNvalid_cmd(adp_cmd) == CMD_Z) begin if (FNcount_zero(adp_param)) ADP_LINEACK_next(); else adp_state <= ADP_ZCTRL; end // non-zero count -`endif - else begin ADP_txchar_next("?"); adp_state <= ADP_UNKNOWN; com_tx_req <= 1; end // unrecognised/invald - -// >>>>>>>>>>>>>>>> ADP BUS WRITE and READ >>>>>>>>>>>>>>>>>>>>>> - - ADP_WRITE: // perform bus write at current address pointer (and auto increment) - if (adp_bus_done) begin ADP_LINEACK_next(); end - else begin ADP_BUSWRITEINC_next(adp_param); end // extend request - - ADP_READ: // perform bus read at current adp address (and auto increment) - and report in hex - if (adp_bus_done) begin adp_bus_data <= M_HRDATA32_i; adp_state <= ADP_WRITEHEX; end - else begin ADP_BUSREADINC_next(); end // extend request - -`ifndef ADPBASIC - -// >>>>>>>>>>>>>>>> ADP BINARY UPLOAD >>>>>>>>>>>>>>>>>>>>>> - ADP_BCTRL: // set control value - begin com_rx_ack <= 1; adp_state <= ADP_BREADB0; end // read next 4 bytes - ADP_BREADB0: // read raw binary byte - if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[31:24] <= com_rx_byte; adp_state <= ADP_BREADB1; end - else com_rx_ack <= 1; // extend stream request if not ready - ADP_BREADB1: // read raw binary byte - if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[23:16] <= com_rx_byte; adp_state <= ADP_BREADB2; end - else com_rx_ack <= 1; // extend stream request if not ready - ADP_BREADB2: // read raw binary byte 0 - if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[15: 8] <= com_rx_byte; adp_state <= ADP_BREADB3; end - else com_rx_ack <= 1; // extend stream request if not ready - ADP_BREADB3: // read raw binary byte 0 - if (com_rx_done) - begin adp_bus_data[ 7: 0] <= com_rx_byte; ADP_BUSWRITEINC_next({adp_bus_data[31:8],com_rx_byte[7:0]}); adp_param_dec <= 1; adp_state <= ADP_BWRITE; end - else com_rx_ack <= 1; // extend stream request if not ready - ADP_BWRITE: // Write word to Addr++ - if (adp_bus_done) begin // auto address++, count-- - if (FNcount_zero_next(adp_param)) ADP_LINEACK_next(); else begin com_rx_ack <= 1; adp_state <= ADP_BREADB0; end - end else begin ADP_BUSWRITEINC_next(adp_bus_data); adp_param_dec <= 1; end // extend request - -// >>>>>>>>>>>>>>>> ADP BUS READ LOOP >>>>>>>>>>>>>>>>>>>>>> - ADP_POLL: // set poll value - begin adp_bus_req <= 1; adp_bus_write <= 0; adp_param_dec <=1; adp_state <= ADP_POLL1; end - ADP_POLL1: // wait for read data, no addr++ - if (adp_bus_done) begin adp_bus_data <= M_HRDATA32_i; adp_param_dec <=1; adp_state <= ADP_POLL2; end - else begin adp_bus_req <= 1; adp_param_dec <=1; end - ADP_POLL2: - if (FNcount_zero(adp_param)) ADP_LINEACK_next(); // timeout - else if (((adp_bus_data & adp_mask) ^ adp_val) == 0) adp_state <= ADP_WRITEHEX; // exact match - else adp_state <= ADP_POLL; - -// >>>>>>>>>>>>>>>> ADP ZERO MEMORY >>>>>>>>>>>>>>>>>>>>>> - ADP_ZCTRL: // set control value - begin ADP_BUSWRITEINC_next(32'h0); adp_param_dec <= 1; adp_state <= ADP_ZWRITE; end - ADP_ZWRITE: // Write zero to Addr++ - if (adp_bus_done) begin // auto address++, count-- - if (FNcount_zero_next(adp_param)) ADP_LINEACK_next(); else begin ADP_BUSWRITEINC_next(32'h0); adp_param_dec <= 1; end - end else begin ADP_BUSWRITEINC_next(32'h0); adp_param_dec <= 1; end // extend request -`endif - - // >>>>>>>>>>>>>>>> ADP MISC >>>>>>>>>>>>>>>>>>>>>> - - ADP_UNKNOWN: // output "?" - if (com_tx_done) begin ADP_LINEACK_next(); end - else com_tx_req <= 1; // extend stream request if not ready - - ADP_EXIT: // exit ADP mode - adp_state <= STD_IOCHK; - - ADP_SYSCHK: // check STDIN fifo - if (adp_param[31:8] == 0) begin // no upper flags so STDIN char - std_tx_req <=1; std_tx_byte <= adp_param[7:0]; adp_state <= ADP_STDIN; - end else begin adp_sys <= adp_sys ^ adp_param; adp_state <= ADP_STAT; end - ADP_STDIN: // push char into STDIN - if(std_tx_done) ADP_LINEACK_next(); - else std_tx_req <= 1; // extend - ADP_STAT: // read current status - and report in hex - begin adp_bus_data <= adp_sys; adp_state <= ADP_WRITEHEX; end - - ADP_WRITEHEX: // output hex word with prefix - begin adp_state <= ADP_WRITEHEXS; ADP_txchar_next(" "); end // output space prefix - ADP_WRITEHEXS: - if (com_tx_done) begin adp_state <= ADP_WRITEHEX9; ADP_txchar_next("0"); end // output "0" hex prefix - else com_tx_req <= 1; // extend - ADP_WRITEHEX9: - if (com_tx_done) begin adp_state <= ADP_WRITEHEX8; ADP_txchar_next("x"); end // output "x" hex prefix - else com_tx_req <= 1; // extend - ADP_WRITEHEX8: - if (com_tx_done) begin adp_state <= ADP_WRITEHEX7; ADP_hexdigit_next(adp_bus_data[31:28]); end // hex nibble 7 - else com_tx_req <= 1; // extend - ADP_WRITEHEX7: // output hex nibble 7 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX6; ADP_hexdigit_next(adp_bus_data[27:24]); end // hex nibble 6 - else com_tx_req <= 1; // extend - ADP_WRITEHEX6: // output hex nibble 6 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX5; ADP_hexdigit_next(adp_bus_data[23:20]); end // hex nibble 5 - else com_tx_req <= 1; // extend - ADP_WRITEHEX5: // output hex nibble 5 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX4; ADP_hexdigit_next(adp_bus_data[19:16]); end // hex nibble 4 - else com_tx_req <= 1; // extend - ADP_WRITEHEX4: // output hex nibble 4 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX3; ADP_hexdigit_next(adp_bus_data[15:12]); end // hex nibble 3 - else com_tx_req <= 1; // extend - ADP_WRITEHEX3: // output hex nibble 3 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX2; ADP_hexdigit_next(adp_bus_data[11: 8]); end // hex nibble 2 - else com_tx_req <= 1; // extend - ADP_WRITEHEX2: // output hex nibble 2 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX1; ADP_hexdigit_next(adp_bus_data[ 7: 4]); end // hex nibble 1 - else com_tx_req <= 1; // extend - ADP_WRITEHEX1: // output hex nibble 1 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX0; ADP_hexdigit_next(adp_bus_data[ 3: 0]); end // hex nibble 0 - else com_tx_req <= 1; // extend - ADP_WRITEHEX0: // output hex nibble 0 (if not startup banner then scan to end of line before lineack - if (com_tx_done) begin - if (banner) begin ADP_LINEACK_next(); end - else begin adp_state <= ADP_READEOL; com_rx_ack <= 1'b1; end // discard any param - end else com_tx_req <= 1; // extend - - ADP_READEOL: // read flush until EOL - if (com_rx_done) begin // RX byte - if (FNvalid_EOL(com_rx_byte)) begin ADP_txchar_next(8'h0A); adp_state <= ADP_LINEACK; end // parameter complete on EOL - else com_rx_ack <= 1; - end else com_rx_ack <= 1; - - ADP_LINEACK: // write EOLN - if (com_tx_done) begin - if (banner) begin banner <= 0; adp_state <= STD_IOCHK; end - else begin ADP_txchar_next(PROMPT_CHAR); adp_state <= ADP_PROMPT; end - end else com_tx_req <= 1; // extend - - default: - begin ADP_txchar_next("!"); adp_state <= ADP_UNKNOWN; end // default to hold state - endcase - end - -endmodule - -////AHBLITE_ADPMASTER instancing -//ADPmaster -// #(.PROMPT_CHAR ("]")) -// ADPmaster( -// .HCLK (ahb_hclk ), -// .HRESETn (ahb_hrestn ), -// .M_HADDR32_o (ahb_haddr ), -// .M_HBURST3_o (ahb_hburst ), -// .M_HMASTLOCK_o (ahb_hmastlock ), -// .M_HPROT4_o (ahb_hprot ), -// .M_HSIZE3_o (ahb_hsize ), -// .M_HTRANS2_o (ahb_htrans ), -// .M_HWDATA32_o (ahb_hwdata ), -// .M_HWRITE_o (ahb_hwrite ), -// .M_HRDATA32_i (ahb_hrdata ), -// .M_HREADY_i (ahb_hready ), -// .M_HRESP_i (ahb_hresp ), - -// .COMRX_TREADY_o(com_rx_tready), -// .COMRX_TDATA_i(com_rx_tdata), -// .COMRX_TVALID_i(com_rx_tvalid), -// .STDRX_TREADY_o(std_rx_tready), -// .STDRX_TDATA_i(std_rx_tdata), -// .STDRX_TVALID_i(std_rx_tvalid), -// .COMTX_TVALID_o(com_tx_tvalid), -// .COMTX_TDATA_o(com_tx_tdata), -// .COMTX_TREADY_i(com_tx_tready), -// .STDTX_TVALID_o(std_tx_tvalid), -// .STDTX_TDATA_o(std_tx_tdata), -// .STDTX_TREADY_i(std_tx_tready) - -// ); diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/xgui/ADPcontrol_v1_0.tcl b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/xgui/ADPcontrol_v1_0.tcl old mode 100755 new mode 100644 diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb index 7109345de811fa0a708cb4b9550c695166eccf14..991e408815402687db3dd0161b9d9454c36fc95d 100755 --- a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb +++ b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb @@ -4,11 +4,11 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "# Downloading Overlays\n", + "# nanosoc ADP io test\n", "\n", "This notebook demonstrates how to download an FPGA overlay and examine programmable logic state. \n", "\n", - "## 1. Instantiating an overlay\n", + "## 1. Setting up and checking the overlay\n", "With the following overlay bundle present in the `overlays` folder, users can instantiate the overlay easily.\n", "\n", "* A bitstream file (\\*.bit).\n", @@ -121,7 +121,7 @@ { "data": { "text/plain": [ - "'2023/3/14 10:6:56 +300702'" + "'2023/3/15 14:49:29 +450152'" ] }, "execution_count": 3, @@ -137,7 +137,10 @@ { "cell_type": "markdown", "metadata": {}, - "source": [] + "source": [ + "## 2. Examining the overlay\n", + "Uncomment the #PL.ip_dict command to see the full details" + ] }, { "cell_type": "code", @@ -151,7 +154,7 @@ "output_type": "stream", "text": [ "/home/xilinx/pynq/overlays/soclabs/design_1.bit\n", - "2023/3/14 10:6:56 +300702\n" + "2023/3/15 14:49:29 +450152\n" ] } ], @@ -162,6 +165,13 @@ "#PL.ip_dict" ] }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Interrogate the HWH database for interface addresses" + ] + }, { "cell_type": "code", "execution_count": 5, @@ -171,16 +181,23 @@ "name": "stdout", "output_type": "stream", "text": [ - "0x80020000\n", - "0x80060000\n" + "ADPIO stream interface: 0x80020000\n", + "UART(2) interface: 0x80060000\n" ] } ], "source": [ "ADP_address = PL.ip_dict['cmsdk_socket/axi_stream_io_0']['phys_addr']\n", - "print(hex(ADP_address))\n", + "print(\"ADPIO stream interface: \",hex(ADP_address))\n", "UART2_address = PL.ip_dict['cmsdk_socket/axi_uartlite_0']['phys_addr']\n", - "print(hex(UART2_address))" + "print(\"UART(2) interface: \",hex(UART2_address))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Set up interface functions for ADP" ] }, { @@ -194,13 +211,6 @@ "import time\n", "from time import sleep, time\n", "\n", - "#ADP_address = 0x80020000 # nanosoc FT1248 com channel\n", - "#ADP_address = 0x80030000 # streamio TX/RX loopback (unbuffered)\n", - "#ADP_address = 0x80040000 # streamio TX/RX loopback (FIFO buffered)\n", - "#ADP_address = 0x80050000 # local test ADP controller COM channel\n", - "#UART2_address = 0x80060000 # nanosoc UART2 com serial (9600 baud?)\n", - "#ADP_address = 0x80070000 # uart TX/RX loopback\n", - "\n", "# HARDWARE CONSTANTS\n", "RX_FIFO = 0x00\n", "TX_FIFO = 0x04\n", @@ -230,8 +240,12 @@ "# sleep(1)\n", " self.uart.write(CTRL_REG, 0)\n", " sleep(1)\n", + "\n", + " def monitorModeEnter(self):\n", " self.uart.write(TX_FIFO, 0x1b)\n", - " sleep(1)\n", + "\n", + " def monitorModeExit(self):\n", + " self.uart.write(TX_FIFO, 0x04)\n", "\n", " def read(self, count, timeout=1):\n", " # status = currentStatus(uart) bad idea\n", @@ -287,9 +301,7 @@ "\n", "SOCLABS: ARM Cortex-M0 nanosoc\n", "** Remap->RAM2\n", - "\n", - "\r", - "]\n" + "\n" ] } ], @@ -304,13 +316,41 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "Also check the UART2 RX channel for any boot message" + "### Enter ADP monitor mode ('ESC' char)\n", + "And check the ']' prompt appears" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "\r", + "]\n" + ] + } + ], + "source": [ + "adp.monitorModeEnter()\n", + "print(adp.read(4))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Also check the UART2 RX channel for any boot message" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "metadata": {}, "outputs": [ { "data": { @@ -318,7 +358,7 @@ "''" ] }, - "execution_count": 8, + "execution_count": 9, "metadata": {}, "output_type": "execute_result" } @@ -334,12 +374,15 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "Now check adp Address pointer reets to zero" + "### Demonstrate basic ADP console functionality\n", + "\"A\" command with no parameter simply prints current Address pointer\n", + "\n", + "Here, check adp Address pointer resets to zero" ] }, { "cell_type": "code", - "execution_count": 9, + "execution_count": 10, "metadata": {}, "outputs": [ { @@ -361,12 +404,15 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "Do a couple of auto-incrementing 32-bit reads from ROM1 space" + "Do a sequence of (4) auto-incrementing 32-bit reads from ROM1 space\n", + "\n", + " * \"A hexparam\" sets adddress pointer\n", + " * \"R hexparam\" performs number of 32-bit reads auto-incementing address pointer" ] }, { "cell_type": "code", - "execution_count": 10, + "execution_count": 11, "metadata": {}, "outputs": [ { @@ -377,20 +423,24 @@ "\r", "]R 0x30000368\n", "\r", - "]R 0x10000335\n", + "R 0x10000335\n", + "\r", + "R 0x1000033d\n", + "\r", + "R 0x1000033f\n", "\r", "]\n" ] } ], "source": [ - "adp.write('A 0x10000000\\nR\\nR\\n')\n", + "adp.write('A 0x10000000\\nR 4\\n')\n", "print(adp.read(100))" ] }, { "cell_type": "code", - "execution_count": 11, + "execution_count": 12, "metadata": {}, "outputs": [ { @@ -408,7 +458,7 @@ } ], "source": [ - "adp.write('A 0x30000000\\nR\\nR\\n')\n", + "adp.write('A 0x30000000\\nR\\nR \\n')\n", "print(adp.read(100))" ] }, @@ -416,12 +466,14 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "Write patterns to RAM3 base" + "Write patterns to RAM3 base\n", + "\n", + " * \"W hexparam\" writes 32-bit data and auto-increments address pointer" ] }, { "cell_type": "code", - "execution_count": 12, + "execution_count": 13, "metadata": {}, "outputs": [ { @@ -452,7 +504,7 @@ }, { "cell_type": "code", - "execution_count": 13, + "execution_count": 14, "metadata": { "scrolled": true }, @@ -465,14 +517,16 @@ "\r", "]R 0x11111111\n", "\r", - "]R 0x22222222\n", + "R 0x22222222\n", + "\r", + "R 0x00000000\n", "\r", "]\n" ] } ], "source": [ - "adp.write('A 0x30000000\\nR\\nR\\n')\n", + "adp.write('A 0x30000000\\nR 3\\n')\n", "print(adp.read(100))" ] }, @@ -480,12 +534,16 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "Next try writes to map with bus errors - get a \"!\" warning on faulting accesses" + "Next try writes to map with bus errors\n", + "\n", + " get a \"!\" warning on faulting accesses\n", + " \n", + "(0x5xxxxxxx address range is illegal and faults in nanosoc address map)" ] }, { "cell_type": "code", - "execution_count": 14, + "execution_count": 15, "metadata": {}, "outputs": [ { @@ -509,7 +567,7 @@ }, { "cell_type": "code", - "execution_count": 15, + "execution_count": 16, "metadata": {}, "outputs": [ { @@ -520,14 +578,14 @@ "\r", "]R!0x00000000\n", "\r", - "]R!0x00000000\n", + "R!0x00000000\n", "\r", "]\n" ] } ], "source": [ - "adp.write('A 0x50000000\\nR\\nR\\n')\n", + "adp.write('A 0x50000000\\nR 2\\n')\n", "print(adp.read(100))" ] }, @@ -535,12 +593,20 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "Run a poll cammand (no match), followed by a poll with match" + "Poll bus address (no auto-incrment)\n", + "\n", + " * \"M hexparam\" sets up MASK pattern to use\n", + " * \"V hexparam\" sets up VALUE of masked pattern to match\n", + " * \"P hexparam\" Poll command reads from address and tests <param> times\n", + "\n", + " * match when (mem[A] & M) == \"V\"\n", + "\n", + "Run a poll cammand (indicated '!' for failure to match), followed by a poll with match (and returns the number of poll iteration until matched)" ] }, { "cell_type": "code", - "execution_count": 16, + "execution_count": 17, "metadata": {}, "outputs": [ { @@ -571,12 +637,15 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "Fill command - set a pattern value, base address and Fill number of words" + "Fill command - set a pattern value from current base address pointer\n", + "\n", + " * \"V hexparam\" sets up VALUE of data pattern to write\n", + " * \"F hexparam\" Fill command writes <param> number of 32-bit words\n" ] }, { "cell_type": "code", - "execution_count": 17, + "execution_count": 18, "metadata": {}, "outputs": [ { @@ -604,7 +673,7 @@ }, { "cell_type": "code", - "execution_count": 18, + "execution_count": 19, "metadata": {}, "outputs": [ { @@ -613,24 +682,66 @@ "text": [ "A 0x90000000\n", "]R 0x87654321\n", - "]R 0x87654321\n", - "]R 0x87654321\n", + "R 0x87654321\n", + "R 0x87654321\n", "]\n", "A 0x90000ffc\n", "]R 0x87654321\n", - "]R 0xffffffff\n", - "]A 0x90001004\n", + "R 0xffffffff\n", + "R 0x00000000\n", + "]?\n", + "]A 0x90001008\n", "]\n" ] } ], "source": [ - "adp.write('A 0x90000000\\nR\\nR\\nR\\n')\n", + "adp.write('A 0x90000000\\nR 3\\n')\n", "print(adp.read(100))\n", - "adp.write('A 0x90000FFC\\nR\\nR\\nA\\n')\n", + "adp.write('A 0x90000FFC\\nr 0003\\n\\nA\\n')\n", "print(adp.read(100))" ] }, + { + "cell_type": "code", + "execution_count": 20, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "S!0x00000031\n", + "\r", + "]?\n", + "\r", + "]\n" + ] + } + ], + "source": [ + "adp.write('S 0x31\\n\\n')\n", + "print(adp.read(100))" + ] + }, + { + "cell_type": "code", + "execution_count": 21, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n" + ] + } + ], + "source": [ + "adp.monitorModeExit()\n", + "print(adp.read(100))\n" + ] + }, { "cell_type": "markdown", "metadata": {}, diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb old mode 100644 new mode 100755 index aa609edadad1fd6d8cb2c48b5641dcfe4be54389..991e408815402687db3dd0161b9d9454c36fc95d --- a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb +++ b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb @@ -4,11 +4,11 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "# Downloading Overlays\n", + "# nanosoc ADP io test\n", "\n", "This notebook demonstrates how to download an FPGA overlay and examine programmable logic state. \n", "\n", - "## 1. Instantiating an overlay\n", + "## 1. Setting up and checking the overlay\n", "With the following overlay bundle present in the `overlays` folder, users can instantiate the overlay easily.\n", "\n", "* A bitstream file (\\*.bit).\n", @@ -27,7 +27,7 @@ }, { "cell_type": "code", - "execution_count": 3, + "execution_count": 1, "metadata": {}, "outputs": [ { @@ -99,7 +99,7 @@ }, { "cell_type": "code", - "execution_count": 4, + "execution_count": 2, "metadata": {}, "outputs": [], "source": [ @@ -115,16 +115,16 @@ }, { "cell_type": "code", - "execution_count": 5, + "execution_count": 3, "metadata": {}, "outputs": [ { "data": { "text/plain": [ - "'2023/2/20 17:44:40 +479858'" + "'2023/3/15 14:49:29 +450152'" ] }, - "execution_count": 5, + "execution_count": 3, "metadata": {}, "output_type": "execute_result" } @@ -137,7 +137,68 @@ { "cell_type": "markdown", "metadata": {}, - "source": [] + "source": [ + "## 2. Examining the overlay\n", + "Uncomment the #PL.ip_dict command to see the full details" + ] + }, + { + "cell_type": "code", + "execution_count": 4, + "metadata": { + "scrolled": false + }, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "/home/xilinx/pynq/overlays/soclabs/design_1.bit\n", + "2023/3/15 14:49:29 +450152\n" + ] + } + ], + "source": [ + "print(PL.bitfile_name)\n", + "print(PL.timestamp)\n", + "\n", + "#PL.ip_dict" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Interrogate the HWH database for interface addresses" + ] + }, + { + "cell_type": "code", + "execution_count": 5, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "ADPIO stream interface: 0x80020000\n", + "UART(2) interface: 0x80060000\n" + ] + } + ], + "source": [ + "ADP_address = PL.ip_dict['cmsdk_socket/axi_stream_io_0']['phys_addr']\n", + "print(\"ADPIO stream interface: \",hex(ADP_address))\n", + "UART2_address = PL.ip_dict['cmsdk_socket/axi_uartlite_0']['phys_addr']\n", + "print(\"UART(2) interface: \",hex(UART2_address))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "### Set up interface functions for ADP" + ] }, { "cell_type": "code", @@ -150,13 +211,6 @@ "import time\n", "from time import sleep, time\n", "\n", - "#ADP_address = 0x43c00000 # nanosoc FT1248 com channel\n", - "#ADP_address = 0x43c10000 # streamio TX/RX loopback (unbuffered)\n", - "#ADP_address = 0x43c20000 # streamio TX/RX loopback (FIFO buffered)\n", - "ADP_address = 0x4sc30000 # local test ADP controller COM channel\n", - "UART2_address = 0x42c00000 # nanosoc UART2 com serial (9600 baud?)\n", - "#ADP_address = 0x42c10000 # uart TX/RX loopback\n", - "\n", "# HARDWARE CONSTANTS\n", "RX_FIFO = 0x00\n", "TX_FIFO = 0x04\n", @@ -186,8 +240,12 @@ "# sleep(1)\n", " self.uart.write(CTRL_REG, 0)\n", " sleep(1)\n", + "\n", + " def monitorModeEnter(self):\n", " self.uart.write(TX_FIFO, 0x1b)\n", - " sleep(1)\n", + "\n", + " def monitorModeExit(self):\n", + " self.uart.write(TX_FIFO, 0x04)\n", "\n", " def read(self, count, timeout=1):\n", " # status = currentStatus(uart) bad idea\n", @@ -225,7 +283,7 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "Inspect the ADP banner after reset (0x50CLAB02 expected)" + "Inspect the ADP banner after reset (0x50CLAB03 expected)" ] }, { @@ -234,34 +292,65 @@ "metadata": {}, "outputs": [ { - "data": { - "text/plain": [ - "' 0x50c1ab02\\n\\r\\n\\r]'" - ] - }, - "execution_count": 7, - "metadata": {}, - "output_type": "execute_result" + "name": "stdout", + "output_type": "stream", + "text": [ + " 0x50c1ab03\n", + "\r\n", + "\n", + "\n", + "SOCLABS: ARM Cortex-M0 nanosoc\n", + "** Remap->RAM2\n", + "\n" + ] } ], "source": [ "adp = ADPIO(ADP_address)\n", "# Setup AXI UART register\n", "adp.setupCtrlReg()\n", - "adp.read(20)" + "print(adp.read(100))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ - "Also check the UART2 RX channel for any boot message" + "### Enter ADP monitor mode ('ESC' char)\n", + "And check the ']' prompt appears" ] }, { "cell_type": "code", "execution_count": 8, "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n", + "\r", + "]\n" + ] + } + ], + "source": [ + "adp.monitorModeEnter()\n", + "print(adp.read(4))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Also check the UART2 RX channel for any boot message" + ] + }, + { + "cell_type": "code", + "execution_count": 9, + "metadata": {}, "outputs": [ { "data": { @@ -269,7 +358,7 @@ "''" ] }, - "execution_count": 8, + "execution_count": 9, "metadata": {}, "output_type": "execute_result" } @@ -285,245 +374,372 @@ "cell_type": "markdown", "metadata": {}, "source": [ - "Now check adp Address pointer reets to zero" + "### Demonstrate basic ADP console functionality\n", + "\"A\" command with no parameter simply prints current Address pointer\n", + "\n", + "Here, check adp Address pointer resets to zero" ] }, { "cell_type": "code", - "execution_count": 9, + "execution_count": 10, "metadata": {}, "outputs": [ { - "data": { - "text/plain": [ - "'A 0x00000000\\n\\r]'" - ] - }, - "execution_count": 9, - "metadata": {}, - "output_type": "execute_result" + "name": "stdout", + "output_type": "stream", + "text": [ + "A 0x00000000\n", + "\r", + "]\n" + ] } ], "source": [ "adp.write('A\\n')\n", - "adp.read(20)" + "print(adp.read(100))\n" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ - "And do a couple of 32-bit reads" + "Do a sequence of (4) auto-incrementing 32-bit reads from ROM1 space\n", + "\n", + " * \"A hexparam\" sets adddress pointer\n", + " * \"R hexparam\" performs number of 32-bit reads auto-incementing address pointer" ] }, { "cell_type": "code", - "execution_count": 10, + "execution_count": 11, "metadata": {}, "outputs": [ { - "data": { - "text/plain": [ - "'R 0x00000000\\n\\r]'" - ] - }, - "execution_count": 10, - "metadata": {}, - "output_type": "execute_result" + "name": "stdout", + "output_type": "stream", + "text": [ + "A 0x10000000\n", + "\r", + "]R 0x30000368\n", + "\r", + "R 0x10000335\n", + "\r", + "R 0x1000033d\n", + "\r", + "R 0x1000033f\n", + "\r", + "]\n" + ] } ], "source": [ - "adp.write('R\\n')\n", - "adp.read(15)" + "adp.write('A 0x10000000\\nR 4\\n')\n", + "print(adp.read(100))" ] }, { "cell_type": "code", - "execution_count": 11, + "execution_count": 12, "metadata": {}, "outputs": [ { - "data": { - "text/plain": [ - "'R 0x00000000\\n\\r]'" - ] - }, - "execution_count": 11, - "metadata": {}, - "output_type": "execute_result" + "name": "stdout", + "output_type": "stream", + "text": [ + "A 0x30000000\n", + "\r", + "]R 0x05f5e100\n", + "\r", + "]R 0x00000000\n", + "\r", + "]\n" + ] } ], "source": [ - "adp.write('R\\n')\n", - "adp.read(15)" + "adp.write('A 0x30000000\\nR\\nR \\n')\n", + "print(adp.read(100))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ - "Verify the address pointer has auto-incremented two words" + "Write patterns to RAM3 base\n", + "\n", + " * \"W hexparam\" writes 32-bit data and auto-increments address pointer" ] }, { "cell_type": "code", - "execution_count": 12, + "execution_count": 13, "metadata": {}, "outputs": [ { - "data": { - "text/plain": [ - "'A 0x00000008\\n\\r]'" - ] - }, - "execution_count": 12, - "metadata": {}, - "output_type": "execute_result" + "name": "stdout", + "output_type": "stream", + "text": [ + "A 0x30000000\n", + "\r", + "]W 0x11111111\n", + "\r", + "]W 0x22222222\n", + "\r", + "]\n" + ] } ], "source": [ - "adp.write('A\\n')\n", - "adp.read(15)" + "adp.write('A 0x30000000\\nW 0x11111111\\nW22222222\\n')\n", + "print(adp.read(100))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ - "Now set adp address to high memory and write a couple of patterns" + "Read back the patterns from RAM3" ] }, { "cell_type": "code", - "execution_count": 13, + "execution_count": 14, + "metadata": { + "scrolled": true + }, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "A 0x30000000\n", + "\r", + "]R 0x11111111\n", + "\r", + "R 0x22222222\n", + "\r", + "R 0x00000000\n", + "\r", + "]\n" + ] + } + ], + "source": [ + "adp.write('A 0x30000000\\nR 3\\n')\n", + "print(adp.read(100))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Next try writes to map with bus errors\n", + "\n", + " get a \"!\" warning on faulting accesses\n", + " \n", + "(0x5xxxxxxx address range is illegal and faults in nanosoc address map)" + ] + }, + { + "cell_type": "code", + "execution_count": 15, "metadata": {}, "outputs": [ { - "data": { - "text/plain": [ - "'A 0xc0000000\\n\\r]'" - ] - }, - "execution_count": 13, - "metadata": {}, - "output_type": "execute_result" + "name": "stdout", + "output_type": "stream", + "text": [ + "A 0x50000000\n", + "\r", + "]W!0x11111111\n", + "\r", + "]W!0x22222222\n", + "\r", + "]\n" + ] } ], "source": [ - "adp.write('A c0000000\\n')\n", - "adp.read(20)" + "adp.write('A 0x50000000\\nW 0x11111111\\nW22222222\\n')\n", + "print(adp.read(100))" ] }, { "cell_type": "code", - "execution_count": 14, + "execution_count": 16, "metadata": {}, "outputs": [ { - "data": { - "text/plain": [ - "'W 0x11111111\\n\\r]'" - ] - }, - "execution_count": 14, - "metadata": {}, - "output_type": "execute_result" + "name": "stdout", + "output_type": "stream", + "text": [ + "A 0x50000000\n", + "\r", + "]R!0x00000000\n", + "\r", + "R!0x00000000\n", + "\r", + "]\n" + ] } ], "source": [ - "adp.write('W 111111111\\n')\n", - "adp.read(15)" + "adp.write('A 0x50000000\\nR 2\\n')\n", + "print(adp.read(100))" + ] + }, + { + "cell_type": "markdown", + "metadata": {}, + "source": [ + "Poll bus address (no auto-incrment)\n", + "\n", + " * \"M hexparam\" sets up MASK pattern to use\n", + " * \"V hexparam\" sets up VALUE of masked pattern to match\n", + " * \"P hexparam\" Poll command reads from address and tests <param> times\n", + "\n", + " * match when (mem[A] & M) == \"V\"\n", + "\n", + "Run a poll cammand (indicated '!' for failure to match), followed by a poll with match (and returns the number of poll iteration until matched)" ] }, { "cell_type": "code", - "execution_count": 15, + "execution_count": 17, "metadata": {}, "outputs": [ { - "data": { - "text/plain": [ - "'W 0x22222222\\n\\r]'" - ] - }, - "execution_count": 15, - "metadata": {}, - "output_type": "execute_result" + "name": "stdout", + "output_type": "stream", + "text": [ + "A 0x10000000\n", + "]M 0xf0000000\n", + "]V 0x00000000\n", + "]P!0x00004000\n", + "]\n", + "A 0x10000000\n", + "]M 0xf0000000\n", + "]V 0x30000000\n", + "]P 0x00000001\n", + "]\n" + ] } ], "source": [ - "adp.write('W 22222222\\n')\n", - "adp.read(15)" + "adp.write('A 10000000\\nM 0xF0000000\\nV 0\\nP 4000\\n')\n", + "print(adp.read(100))\n", + "adp.write('A 10000000\\nM\\nV 30000000\\nP 2000\\n')\n", + "print(adp.read(100))" ] }, { "cell_type": "markdown", "metadata": {}, "source": [ - "Reset the address pointer and verify same patterns read back" + "Fill command - set a pattern value from current base address pointer\n", + "\n", + " * \"V hexparam\" sets up VALUE of data pattern to write\n", + " * \"F hexparam\" Fill command writes <param> number of 32-bit words\n" ] }, { "cell_type": "code", - "execution_count": 16, + "execution_count": 18, "metadata": {}, "outputs": [ { - "data": { - "text/plain": [ - "'A 0xc0000000\\n\\r]'" - ] - }, - "execution_count": 16, - "metadata": {}, - "output_type": "execute_result" + "name": "stdout", + "output_type": "stream", + "text": [ + "A 0x90000000\n", + "\r", + "]V 0x87654321\n", + "\r", + "]F 0x00000400\n", + "\r", + "]A 0x90001000\n", + "\r", + "]W 0xffffffff\n", + "\r", + "]\n" + ] } ], "source": [ - "adp.write('A c0000000\\n')\n", - "adp.read(20)" + "adp.write('A 90000000\\nV 0x87654321\\nF 400\\nA\\nW FFFFFFFF\\n')\n", + "print(adp.read(100))" ] }, { "cell_type": "code", - "execution_count": 17, + "execution_count": 19, "metadata": {}, "outputs": [ { - "data": { - "text/plain": [ - "'R 0x11111111\\n\\r]'" - ] - }, - "execution_count": 17, - "metadata": {}, - "output_type": "execute_result" + "name": "stdout", + "output_type": "stream", + "text": [ + "A 0x90000000\n", + "]R 0x87654321\n", + "R 0x87654321\n", + "R 0x87654321\n", + "]\n", + "A 0x90000ffc\n", + "]R 0x87654321\n", + "R 0xffffffff\n", + "R 0x00000000\n", + "]?\n", + "]A 0x90001008\n", + "]\n" + ] } ], "source": [ - "adp.write('R\\n')\n", - "adp.read(15)" + "adp.write('A 0x90000000\\nR 3\\n')\n", + "print(adp.read(100))\n", + "adp.write('A 0x90000FFC\\nr 0003\\n\\nA\\n')\n", + "print(adp.read(100))" ] }, { "cell_type": "code", - "execution_count": 18, + "execution_count": 20, "metadata": {}, "outputs": [ { - "data": { - "text/plain": [ - "'R 0x22222222\\n\\r]'" - ] - }, - "execution_count": 18, - "metadata": {}, - "output_type": "execute_result" + "name": "stdout", + "output_type": "stream", + "text": [ + "S!0x00000031\n", + "\r", + "]?\n", + "\r", + "]\n" + ] + } + ], + "source": [ + "adp.write('S 0x31\\n\\n')\n", + "print(adp.read(100))" + ] + }, + { + "cell_type": "code", + "execution_count": 21, + "metadata": {}, + "outputs": [ + { + "name": "stdout", + "output_type": "stream", + "text": [ + "\n" + ] } ], "source": [ - "adp.write('R\\n')\n", - "adp.read(15)" + "adp.monitorModeExit()\n", + "print(adp.read(100))\n" ] }, { diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1.tcl b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1.tcl index 86dc53c42d54c57d4a0f1d00c6b70aedb45e54f2..e315668f358e9835ae7579d1b495e30e84673413 100644 --- a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1.tcl +++ b/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1.tcl @@ -89,13 +89,13 @@ if { $bCheckIPsPassed != 1 } { ################################################################## -# Hierarchical cell: nanosoc_socket -proc create_hier_cell_nanosoc_socket { parentCell nameHier } { +# Hierarchical cell: cmsdk_socket +proc create_hier_cell_cmsdk_socket { parentCell nameHier } { variable script_folder if { $parentCell eq "" || $nameHier eq "" } { - catch {common::send_gid_msg -ssname BD::TCL -id 2092 -severity "ERROR" "create_hier_cell_nanosoc_socket() - Empty argument(s)!"} + catch {common::send_gid_msg -ssname BD::TCL -id 2092 -severity "ERROR" "create_hier_cell_cmsdk_socket() - Empty argument(s)!"} return } @@ -190,13 +190,13 @@ proc create_hier_cell_nanosoc_socket { parentCell nameHier } { # Create instance: axi_uartlite_0, and set properties set axi_uartlite_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_uartlite:2.0 axi_uartlite_0 ] set_property -dict [ list \ - CONFIG.C_S_AXI_ACLK_FREQ_HZ {20000000} \ + CONFIG.C_S_AXI_ACLK_FREQ_HZ {19752890} \ ] $axi_uartlite_0 # Create instance: axi_uartlite_1, and set properties set axi_uartlite_1 [ create_bd_cell -type ip -vlnv xilinx.com:ip:axi_uartlite:2.0 axi_uartlite_1 ] set_property -dict [ list \ - CONFIG.C_S_AXI_ACLK_FREQ_HZ {20000000} \ + CONFIG.C_S_AXI_ACLK_FREQ_HZ {19752890} \ ] $axi_uartlite_1 # Create instance: axis_data_fifo_0, and set properties @@ -235,6 +235,8 @@ proc create_hier_cell_nanosoc_socket { parentCell nameHier } { CONFIG.Byte_Size {8} \ CONFIG.EN_SAFETY_CKT {true} \ CONFIG.Enable_32bit_Address {true} \ + CONFIG.Memory_Type {Single_Port_RAM} \ + CONFIG.Port_A_Write_Rate {50} \ CONFIG.Read_Width_A {32} \ CONFIG.Read_Width_B {32} \ CONFIG.Register_PortA_Output_of_Memory_Primitives {false} \ @@ -503,38 +505,114 @@ proc create_root_design { parentCell } { set pmoda_tri_o [ create_bd_port -dir O -from 7 -to 0 pmoda_tri_o ] set pmoda_tri_z [ create_bd_port -dir O -from 7 -to 0 pmoda_tri_z ] + # Create instance: cmsdk_socket + create_hier_cell_cmsdk_socket [current_bd_instance .] cmsdk_socket + # Create instance: nanosoc_chip_0, and set properties set nanosoc_chip_0 [ create_bd_cell -type ip -vlnv soclabs.org:user:nanosoc_chip:1.0 nanosoc_chip_0 ] - # Create instance: nanosoc_socket - create_hier_cell_nanosoc_socket [current_bd_instance .] nanosoc_socket - # Create instance: processing_system7_0, and set properties set processing_system7_0 [ create_bd_cell -type ip -vlnv xilinx.com:ip:processing_system7:5.5 processing_system7_0 ] + set_property -dict [ list \ + CONFIG.PCW_ACT_APU_PERIPHERAL_FREQMHZ {666.666687} \ + CONFIG.PCW_ACT_CAN_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_DCI_PERIPHERAL_FREQMHZ {10.158730} \ + CONFIG.PCW_ACT_ENET0_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_ENET1_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_FPGA0_PERIPHERAL_FREQMHZ {20.000000} \ + CONFIG.PCW_ACT_FPGA1_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_FPGA2_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_FPGA3_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_PCAP_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_QSPI_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_SDIO_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_SMC_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_SPI_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_TPIU_PERIPHERAL_FREQMHZ {200.000000} \ + CONFIG.PCW_ACT_TTC0_CLK0_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_TTC0_CLK1_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_TTC0_CLK2_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_TTC1_CLK0_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_TTC1_CLK1_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_TTC1_CLK2_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ACT_UART_PERIPHERAL_FREQMHZ {10.000000} \ + CONFIG.PCW_ACT_WDT_PERIPHERAL_FREQMHZ {111.111115} \ + CONFIG.PCW_ARMPLL_CTRL_FBDIV {40} \ + CONFIG.PCW_CAN_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_CAN_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_CLK0_FREQ {20000000} \ + CONFIG.PCW_CLK1_FREQ {10000000} \ + CONFIG.PCW_CLK2_FREQ {10000000} \ + CONFIG.PCW_CLK3_FREQ {10000000} \ + CONFIG.PCW_CPU_CPU_PLL_FREQMHZ {1333.333} \ + CONFIG.PCW_CPU_PERIPHERAL_DIVISOR0 {2} \ + CONFIG.PCW_DCI_PERIPHERAL_DIVISOR0 {15} \ + CONFIG.PCW_DCI_PERIPHERAL_DIVISOR1 {7} \ + CONFIG.PCW_DDRPLL_CTRL_FBDIV {32} \ + CONFIG.PCW_DDR_DDR_PLL_FREQMHZ {1066.667} \ + CONFIG.PCW_DDR_PERIPHERAL_DIVISOR0 {2} \ + CONFIG.PCW_DDR_RAM_HIGHADDR {0x1FFFFFFF} \ + CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_ENET0_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_ENET1_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR0 {10} \ + CONFIG.PCW_FCLK0_PERIPHERAL_DIVISOR1 {8} \ + CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_FCLK1_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_FCLK2_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_FCLK3_PERIPHERAL_DIVISOR1 {1} \ + CONFIG.PCW_FPGA0_PERIPHERAL_FREQMHZ {20} \ + CONFIG.PCW_FPGA_FCLK0_ENABLE {1} \ + CONFIG.PCW_FPGA_FCLK1_ENABLE {0} \ + CONFIG.PCW_FPGA_FCLK2_ENABLE {0} \ + CONFIG.PCW_FPGA_FCLK3_ENABLE {0} \ + CONFIG.PCW_I2C_PERIPHERAL_FREQMHZ {25} \ + CONFIG.PCW_IOPLL_CTRL_FBDIV {48} \ + CONFIG.PCW_IO_IO_PLL_FREQMHZ {1600.000} \ + CONFIG.PCW_PCAP_PERIPHERAL_DIVISOR0 {8} \ + CONFIG.PCW_QSPI_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_SDIO_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_SMC_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_SPI_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_TPIU_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_UART_PERIPHERAL_DIVISOR0 {1} \ + CONFIG.PCW_UIPARAM_ACT_DDR_FREQ_MHZ {533.333374} \ + ] $processing_system7_0 # Create interface connections - connect_bd_intf_net -intf_net processing_system7_0_M_AXI_GP0 [get_bd_intf_pins nanosoc_socket/S00_AXI] [get_bd_intf_pins processing_system7_0/M_AXI_GP0] + connect_bd_intf_net -intf_net S00_AXI_1 [get_bd_intf_pins cmsdk_socket/S00_AXI] [get_bd_intf_pins processing_system7_0/M_AXI_GP0] # Create port connections - connect_bd_net -net cmsdk_socket_nrst [get_bd_pins nanosoc_chip_0/nrst_i] [get_bd_pins nanosoc_socket/nrst] - connect_bd_net -net cmsdk_socket_p0_tri_i [get_bd_pins nanosoc_chip_0/p0_i] [get_bd_pins nanosoc_socket/p0_tri_i] - connect_bd_net -net cmsdk_socket_p1_tri_i [get_bd_pins nanosoc_chip_0/p1_i] [get_bd_pins nanosoc_socket/p1_tri_i] - connect_bd_net -net cmsdk_socket_swdclk_i [get_bd_pins nanosoc_chip_0/swdclk_i] [get_bd_pins nanosoc_socket/swdclk_i] - connect_bd_net -net cmsdk_socket_swdio_i [get_bd_pins nanosoc_chip_0/swdio_i] [get_bd_pins nanosoc_socket/swdio_tri_i] - connect_bd_net -net p0_tri_o_1 [get_bd_pins nanosoc_chip_0/p0_o] [get_bd_pins nanosoc_socket/p0_tri_o] - connect_bd_net -net p0_tri_z_1 [get_bd_pins nanosoc_chip_0/p0_z] [get_bd_pins nanosoc_socket/p0_tri_z] - connect_bd_net -net p1_tri_o_1 [get_bd_pins nanosoc_chip_0/p1_o] [get_bd_pins nanosoc_socket/p1_tri_o] - connect_bd_net -net p1_tri_z_1 [get_bd_pins nanosoc_chip_0/p1_z] [get_bd_pins nanosoc_socket/p1_tri_z] - connect_bd_net -net pmoda_i_1 [get_bd_ports pmoda_tri_i] [get_bd_pins nanosoc_socket/pmoda_tri_i] - connect_bd_net -net pmoda_o_concat9_dout [get_bd_ports pmoda_tri_z] [get_bd_pins nanosoc_socket/pmoda_tri_z] - connect_bd_net -net processing_system7_0_FCLK_RESET0_N [get_bd_pins nanosoc_socket/ext_reset_in] [get_bd_pins processing_system7_0/FCLK_RESET0_N] - connect_bd_net -net swdio_tri_o_1 [get_bd_pins nanosoc_chip_0/swdio_o] [get_bd_pins nanosoc_socket/swdio_tri_o] - connect_bd_net -net swdio_tri_z_1 [get_bd_pins nanosoc_chip_0/swdio_z] [get_bd_pins nanosoc_socket/swdio_tri_z] - connect_bd_net -net xlconcat_0_dout [get_bd_ports pmoda_tri_o] [get_bd_pins nanosoc_socket/pmoda_tri_o] - connect_bd_net -net zynq_ultra_ps_e_0_pl_clk0 [get_bd_pins nanosoc_chip_0/xtal_clk_i] [get_bd_pins nanosoc_socket/aclk] [get_bd_pins processing_system7_0/FCLK_CLK0] [get_bd_pins processing_system7_0/M_AXI_GP0_ACLK] + connect_bd_net -net cmsdk_socket_nrst [get_bd_pins cmsdk_socket/nrst] [get_bd_pins nanosoc_chip_0/nrst_i] + connect_bd_net -net cmsdk_socket_p0_tri_i [get_bd_pins cmsdk_socket/p0_tri_i] [get_bd_pins nanosoc_chip_0/p0_i] + connect_bd_net -net cmsdk_socket_p1_tri_i [get_bd_pins cmsdk_socket/p1_tri_i] [get_bd_pins nanosoc_chip_0/p1_i] + connect_bd_net -net cmsdk_socket_swdclk_i [get_bd_pins cmsdk_socket/swdclk_i] [get_bd_pins nanosoc_chip_0/swdclk_i] + connect_bd_net -net cmsdk_socket_swdio_i [get_bd_pins cmsdk_socket/swdio_tri_i] [get_bd_pins nanosoc_chip_0/swdio_i] + connect_bd_net -net ext_reset_in_1 [get_bd_pins cmsdk_socket/ext_reset_in] [get_bd_pins processing_system7_0/FCLK_RESET0_N] + connect_bd_net -net p0_tri_o_1 [get_bd_pins cmsdk_socket/p0_tri_o] [get_bd_pins nanosoc_chip_0/p0_o] + connect_bd_net -net p0_tri_z_1 [get_bd_pins cmsdk_socket/p0_tri_z] [get_bd_pins nanosoc_chip_0/p0_z] + connect_bd_net -net p1_tri_o_1 [get_bd_pins cmsdk_socket/p1_tri_o] [get_bd_pins nanosoc_chip_0/p1_o] + connect_bd_net -net p1_tri_z_1 [get_bd_pins cmsdk_socket/p1_tri_z] [get_bd_pins nanosoc_chip_0/p1_z] + connect_bd_net -net pmoda_i_1 [get_bd_ports pmoda_tri_i] [get_bd_pins cmsdk_socket/pmoda_tri_i] + connect_bd_net -net pmoda_o_concat9_dout [get_bd_ports pmoda_tri_z] [get_bd_pins cmsdk_socket/pmoda_tri_z] + connect_bd_net -net swdio_tri_o_1 [get_bd_pins cmsdk_socket/swdio_tri_o] [get_bd_pins nanosoc_chip_0/swdio_o] + connect_bd_net -net swdio_tri_z_1 [get_bd_pins cmsdk_socket/swdio_tri_z] [get_bd_pins nanosoc_chip_0/swdio_z] + connect_bd_net -net xlconcat_0_dout [get_bd_ports pmoda_tri_o] [get_bd_pins cmsdk_socket/pmoda_tri_o] + connect_bd_net -net zynq_ultra_ps_e_0_pl_clk0 [get_bd_pins cmsdk_socket/aclk] [get_bd_pins nanosoc_chip_0/xtal_clk_i] [get_bd_pins processing_system7_0/FCLK_CLK0] [get_bd_pins processing_system7_0/M_AXI_GP0_ACLK] # Create address segments - assign_bd_address -offset 0xC0000000 -range 0x00002000 -target_address_space [get_bd_addr_spaces nanosoc_socket/ADPcontrol_0/ahb] [get_bd_addr_segs nanosoc_socket/axi_bram_ctrl_0/S_AXI/Mem0] -force + assign_bd_address -offset 0x41200000 -range 0x00010000 -target_address_space [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs cmsdk_socket/axi_gpio_0/S_AXI/Reg] -force + assign_bd_address -offset 0x41210000 -range 0x00010000 -target_address_space [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs cmsdk_socket/axi_gpio_1/S_AXI/Reg] -force + assign_bd_address -offset 0x43C00000 -range 0x00010000 -target_address_space [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs cmsdk_socket/axi_stream_io_0/S_AXI/Reg] -force + assign_bd_address -offset 0x43C10000 -range 0x00010000 -target_address_space [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs cmsdk_socket/axi_stream_io_1/S_AXI/Reg] -force + assign_bd_address -offset 0x43C20000 -range 0x00010000 -target_address_space [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs cmsdk_socket/axi_stream_io_2/S_AXI/Reg] -force + assign_bd_address -offset 0x43C30000 -range 0x00010000 -target_address_space [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs cmsdk_socket/axi_stream_io_3/S_AXI/Reg] -force + assign_bd_address -offset 0x42C00000 -range 0x00010000 -target_address_space [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs cmsdk_socket/axi_uartlite_0/S_AXI/Reg] -force + assign_bd_address -offset 0x42C10000 -range 0x00010000 -target_address_space [get_bd_addr_spaces processing_system7_0/Data] [get_bd_addr_segs cmsdk_socket/axi_uartlite_1/S_AXI/Reg] -force + assign_bd_address -offset 0xC0000000 -range 0x00002000 -target_address_space [get_bd_addr_spaces cmsdk_socket/ADPcontrol_0/ahb] [get_bd_addr_segs cmsdk_socket/axi_bram_ctrl_0/S_AXI/Mem0] -force # Restore current instance @@ -550,7 +628,7 @@ proc available_tcl_procs { } { puts "##################################################################" puts "# Available Tcl procedures to recreate hierarchical blocks:" puts "#" - puts "# create_hier_cell_nanosoc_socket parentCell nameHier" + puts "# create_hier_cell_cmsdk_socket parentCell nameHier" puts "# create_root_design" puts "#" puts "#" diff --git a/Cortex-M0/nanosoc/systems/mcu/rtl_sim/adp.cmd b/Cortex-M0/nanosoc/systems/mcu/rtl_sim/adp.cmd index c7a8ea7f9a973f6058895275058fb4fc12ecf4c5..f0a2c33db6e118d6a903def9ec49aa1dc4dea594 100644 --- a/Cortex-M0/nanosoc/systems/mcu/rtl_sim/adp.cmd +++ b/Cortex-M0/nanosoc/systems/mcu/rtl_sim/adp.cmd @@ -4,10 +4,10 @@ R R A A +S 7e A -a 10000000 -r -r +a 1000000 +r 10 a 20000000 r r @@ -25,6 +25,12 @@ v 0xe5e5e5e5 a 80000000 f 4000 A +a 90000000 +U 4 +0123456789ABCDE +A +A 0x90000000 +R 5 A b0000000 w 1 r @@ -40,9 +46,11 @@ M V P 200 A -! +! illegal +C +C ff +C 200 C 201 - A X ! diff --git a/IPLIB/ADPcontrol_v1_0/ADPcontrol_adv__mangled.v b/IPLIB/ADPcontrol_v1_0/ADPcontrol_adv__mangled.v deleted file mode 100755 index 2699f10d2938e96772c2484350d19f1ea17731b0..0000000000000000000000000000000000000000 --- a/IPLIB/ADPcontrol_v1_0/ADPcontrol_adv__mangled.v +++ /dev/null @@ -1,7744 +0,0 @@ -// -// A joint work commissioned on behalf of SoC Labs. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Obfuscated RTL -// -// Copyright (C) 2021-2, SoC Labs (www.soclabs.org) -// - -/* - instances: 0 - nodes: 3002 (0) - node widths: 3242 (0) - process: 281 (0) - contassign: 2769 (0) - ports: 27 (0) -*/ - -/* Source file "tokens.v", line 14 */ -module ADPcontrol(ahb_hclk, ahb_hresetn, com_rx_tready, com_rx_tdata, - com_rx_tvalid, com_tx_tvalid, com_tx_tdata, com_tx_tready, - stdio_rx_tready, stdio_rx_tdata, stdio_rx_tvalid, stdio_tx_tvalid, - stdio_tx_tdata, stdio_tx_tready, gpo8, gpi8, ahb_haddr, ahb_hburst, - ahb_hmastlock, ahb_hprot, ahb_hsize, ahb_htrans, ahb_hwdata, ahb_hwrite, - ahb_hrdata, ahb_hready, ahb_hresp); - - input [7:0] com_rx_tdata; - output [7:0] com_tx_tdata; - input [7:0] stdio_rx_tdata; - output [7:0] stdio_tx_tdata; - output [7:0] gpo8; - input [7:0] gpi8; - output [31:0] ahb_haddr; - output [2:0] ahb_hburst; - output [3:0] ahb_hprot; - output [2:0] ahb_hsize; - output [1:0] ahb_htrans; - output [31:0] ahb_hwdata; - input [31:0] ahb_hrdata; - input ahb_hclk; - input ahb_hresetn; - input com_rx_tvalid; - input com_tx_tready; - input stdio_rx_tvalid; - input stdio_tx_tready; - input ahb_hready; - input ahb_hresp; - output com_rx_tready; - output com_tx_tvalid; - output stdio_rx_tready; - output stdio_tx_tvalid; - output ahb_hmastlock; - output ahb_hwrite; - - wire Xzpfh7; - wire O0qfh7; - wire F1qfh7; - wire W1qfh7; - wire N2qfh7; - wire E3qfh7; - wire V3qfh7; - wire M4qfh7; - wire D5qfh7; - wire U5qfh7; - wire L6qfh7; - wire C7qfh7; - wire T7qfh7; - wire K8qfh7; - wire B9qfh7; - wire S9qfh7; - wire Jaqfh7; - wire Abqfh7; - wire Rbqfh7; - wire Icqfh7; - wire Zcqfh7; - wire Qdqfh7; - wire Heqfh7; - wire Yeqfh7; - wire Pfqfh7; - wire Ggqfh7; - wire Xgqfh7; - wire Ohqfh7; - wire Fiqfh7; - wire Wiqfh7; - wire Njqfh7; - wire Ekqfh7; - wire Vkqfh7; - wire Mlqfh7; - wire Dmqfh7; - wire Umqfh7; - wire Lnqfh7; - wire Coqfh7; - wire Toqfh7; - wire Kpqfh7; - wire Bqqfh7; - wire Sqqfh7; - wire Jrqfh7; - wire Asqfh7; - wire Rsqfh7; - wire Itqfh7; - wire Ztqfh7; - wire Quqfh7; - wire Hvqfh7; - wire Yvqfh7; - wire Pwqfh7; - wire Gxqfh7; - wire Xxqfh7; - wire Oyqfh7; - wire Fzqfh7; - wire Wzqfh7; - wire N0rfh7; - wire E1rfh7; - wire V1rfh7; - wire M2rfh7; - wire D3rfh7; - wire U3rfh7; - wire L4rfh7; - wire C5rfh7; - wire T5rfh7; - wire K6rfh7; - wire B7rfh7; - wire S7rfh7; - wire J8rfh7; - wire A9rfh7; - wire R9rfh7; - wire Iarfh7; - wire Zarfh7; - wire Qbrfh7; - wire Hcrfh7; - wire Ycrfh7; - wire Pdrfh7; - wire Gerfh7; - wire Xerfh7; - wire Ofrfh7; - wire Fgrfh7; - wire Wgrfh7; - wire Nhrfh7; - wire Eirfh7; - wire Virfh7; - wire Mjrfh7; - wire Dkrfh7; - wire Ukrfh7; - wire Llrfh7; - wire Cmrfh7; - wire Tmrfh7; - wire Knrfh7; - wire Borfh7; - wire Sorfh7; - wire Jprfh7; - wire Aqrfh7; - wire Rqrfh7; - wire Irrfh7; - wire Zrrfh7; - wire Qsrfh7; - wire Htrfh7; - wire Ytrfh7; - wire Purfh7; - wire Gvrfh7; - wire Xvrfh7; - wire Owrfh7; - wire Fxrfh7; - wire Wxrfh7; - wire Nyrfh7; - wire Ezrfh7; - wire Vzrfh7; - wire M0sfh7; - wire D1sfh7; - wire U1sfh7; - wire L2sfh7; - wire C3sfh7; - wire T3sfh7; - wire K4sfh7; - wire B5sfh7; - wire R5sfh7; - wire H6sfh7; - wire X6sfh7; - wire N7sfh7; - wire D8sfh7; - wire T8sfh7; - wire J9sfh7; - wire Z9sfh7; - wire Pasfh7; - wire Fbsfh7; - wire Vbsfh7; - wire Lcsfh7; - wire Bdsfh7; - wire Rdsfh7; - wire Hesfh7; - wire Xesfh7; - wire Nfsfh7; - wire Dgsfh7; - wire Tgsfh7; - wire Jhsfh7; - wire Zhsfh7; - wire Pisfh7; - wire Fjsfh7; - wire Vjsfh7; - wire Lksfh7; - wire Blsfh7; - wire Rlsfh7; - wire Hmsfh7; - wire Xmsfh7; - wire Nnsfh7; - wire Dosfh7; - wire Tosfh7; - wire Jpsfh7; - wire Zpsfh7; - wire Pqsfh7; - wire Frsfh7; - wire Vrsfh7; - wire Lssfh7; - wire Btsfh7; - wire Rtsfh7; - wire Husfh7; - wire Xusfh7; - wire Nvsfh7; - wire Dwsfh7; - wire Twsfh7; - wire Jxsfh7; - wire Zxsfh7; - wire Pysfh7; - wire Fzsfh7; - wire Vzsfh7; - wire L0tfh7; - wire B1tfh7; - wire R1tfh7; - wire H2tfh7; - wire X2tfh7; - wire N3tfh7; - wire D4tfh7; - wire T4tfh7; - wire J5tfh7; - wire Z5tfh7; - wire P6tfh7; - wire F7tfh7; - wire V7tfh7; - wire L8tfh7; - wire B9tfh7; - wire R9tfh7; - wire Patfh7; - wire Obtfh7; - wire Tbtfh7; - wire Ybtfh7; - wire Dctfh7; - wire Jctfh7; - wire Pctfh7; - wire Vctfh7; - wire Bdtfh7; - wire Hdtfh7; - wire Ndtfh7; - wire Tdtfh7; - wire Zdtfh7; - wire Fetfh7; - wire Letfh7; - wire Retfh7; - wire Xetfh7; - wire Dftfh7; - wire Jftfh7; - wire Pftfh7; - wire Vftfh7; - wire Bgtfh7; - wire Hgtfh7; - wire Ngtfh7; - wire Tgtfh7; - wire Zgtfh7; - wire Fhtfh7; - wire Lhtfh7; - wire Rhtfh7; - wire Xhtfh7; - wire Ditfh7; - wire Jitfh7; - wire Pitfh7; - wire Vitfh7; - wire Bjtfh7; - wire Hjtfh7; - wire Njtfh7; - wire Tjtfh7; - wire Zjtfh7; - wire Fktfh7; - wire Lktfh7; - wire Rktfh7; - wire Xktfh7; - wire Dltfh7; - wire Jltfh7; - wire Pltfh7; - wire Vltfh7; - wire Bmtfh7; - wire Hmtfh7; - wire Nmtfh7; - wire Tmtfh7; - wire Zmtfh7; - wire Fntfh7; - wire Lntfh7; - wire Rntfh7; - wire Xntfh7; - wire Dotfh7; - wire Jotfh7; - wire Potfh7; - wire Votfh7; - wire Bptfh7; - wire Hptfh7; - wire Nptfh7; - wire Tptfh7; - wire Zptfh7; - wire Fqtfh7; - wire Lqtfh7; - wire Rqtfh7; - wire Xqtfh7; - wire Drtfh7; - wire Jrtfh7; - wire Prtfh7; - wire Vrtfh7; - wire Bstfh7; - wire Hstfh7; - wire Nstfh7; - wire Tstfh7; - wire Zstfh7; - wire Fttfh7; - wire Lttfh7; - wire Rttfh7; - wire Xttfh7; - wire Dutfh7; - wire Jutfh7; - wire Putfh7; - wire Vutfh7; - wire Bvtfh7; - wire Hvtfh7; - wire Nvtfh7; - wire Tvtfh7; - wire Zvtfh7; - wire Fwtfh7; - wire Lwtfh7; - wire Rwtfh7; - wire Xwtfh7; - wire Dxtfh7; - wire Jxtfh7; - wire Pxtfh7; - wire Vxtfh7; - wire Bytfh7; - wire Hytfh7; - wire Nytfh7; - wire Tytfh7; - wire Zytfh7; - wire Fztfh7; - wire Lztfh7; - wire Rztfh7; - wire Xztfh7; - wire D0ufh7; - wire J0ufh7; - wire P0ufh7; - wire V0ufh7; - wire B1ufh7; - wire H1ufh7; - wire N1ufh7; - wire T1ufh7; - wire Z1ufh7; - wire F2ufh7; - wire L2ufh7; - wire R2ufh7; - wire X2ufh7; - wire D3ufh7; - wire J3ufh7; - wire P3ufh7; - wire V3ufh7; - wire B4ufh7; - wire H4ufh7; - wire N4ufh7; - wire T4ufh7; - wire Z4ufh7; - wire F5ufh7; - wire L5ufh7; - wire R5ufh7; - wire X5ufh7; - wire D6ufh7; - wire J6ufh7; - wire P6ufh7; - wire V6ufh7; - wire B7ufh7; - wire H7ufh7; - wire N7ufh7; - wire T7ufh7; - wire Z7ufh7; - wire F8ufh7; - wire L8ufh7; - wire R8ufh7; - wire X8ufh7; - wire D9ufh7; - wire J9ufh7; - wire P9ufh7; - wire V9ufh7; - wire Baufh7; - wire Haufh7; - wire Naufh7; - wire Taufh7; - wire Zaufh7; - wire Fbufh7; - wire Lbufh7; - wire Rbufh7; - wire Xbufh7; - wire Dcufh7; - wire Jcufh7; - wire Pcufh7; - wire Vcufh7; - wire Bdufh7; - wire Hdufh7; - wire Ndufh7; - wire Tdufh7; - wire Zdufh7; - wire Feufh7; - wire Leufh7; - wire Reufh7; - wire Xeufh7; - wire Dfufh7; - wire Jfufh7; - wire Pfufh7; - wire Vfufh7; - wire Bgufh7; - wire Hgufh7; - wire Ngufh7; - wire Tgufh7; - wire Zgufh7; - wire Fhufh7; - wire Lhufh7; - wire Rhufh7; - wire Xhufh7; - wire Diufh7; - wire Jiufh7; - wire Piufh7; - wire Viufh7; - wire Bjufh7; - wire Hjufh7; - wire Njufh7; - wire Tjufh7; - wire Zjufh7; - wire Fkufh7; - wire Lkufh7; - wire Rkufh7; - wire Xkufh7; - wire Dlufh7; - wire Jlufh7; - wire Plufh7; - wire Vlufh7; - wire Bmufh7; - wire Hmufh7; - wire Nmufh7; - wire Tmufh7; - wire Zmufh7; - wire Fnufh7; - wire Lnufh7; - wire Rnufh7; - wire Xnufh7; - wire Doufh7; - wire Joufh7; - wire Poufh7; - wire Voufh7; - wire Bpufh7; - wire Hpufh7; - wire Npufh7; - wire Tpufh7; - wire Zpufh7; - wire Fqufh7; - wire Lqufh7; - wire Rqufh7; - wire Xqufh7; - wire Drufh7; - wire Jrufh7; - wire Prufh7; - wire Vrufh7; - wire Bsufh7; - wire Hsufh7; - wire Nsufh7; - wire Tsufh7; - wire Zsufh7; - wire Ftufh7; - wire Ltufh7; - wire Rtufh7; - wire Xtufh7; - wire Duufh7; - wire Juufh7; - wire Puufh7; - wire Vuufh7; - wire Bvufh7; - wire Hvufh7; - wire Nvufh7; - wire Tvufh7; - wire Zvufh7; - wire Fwufh7; - wire Lwufh7; - wire Rwufh7; - wire Xwufh7; - wire Dxufh7; - wire Jxufh7; - wire Pxufh7; - wire Vxufh7; - wire Byufh7; - wire Hyufh7; - wire Nyufh7; - wire Tyufh7; - wire Zyufh7; - wire Fzufh7; - wire Lzufh7; - wire Rzufh7; - wire Xzufh7; - wire D0vfh7; - wire J0vfh7; - wire P0vfh7; - wire V0vfh7; - wire B1vfh7; - wire H1vfh7; - wire N1vfh7; - wire T1vfh7; - wire Z1vfh7; - wire F2vfh7; - wire L2vfh7; - wire R2vfh7; - wire X2vfh7; - wire D3vfh7; - wire J3vfh7; - wire P3vfh7; - wire V3vfh7; - wire B4vfh7; - wire H4vfh7; - wire N4vfh7; - wire T4vfh7; - wire Z4vfh7; - wire F5vfh7; - wire L5vfh7; - wire R5vfh7; - wire X5vfh7; - wire D6vfh7; - wire J6vfh7; - wire P6vfh7; - wire V6vfh7; - wire B7vfh7; - wire H7vfh7; - wire N7vfh7; - wire T7vfh7; - wire Z7vfh7; - wire F8vfh7; - wire L8vfh7; - wire R8vfh7; - wire X8vfh7; - wire D9vfh7; - wire J9vfh7; - wire P9vfh7; - wire V9vfh7; - wire Bavfh7; - wire Havfh7; - wire Navfh7; - wire Tavfh7; - wire Zavfh7; - wire Fbvfh7; - wire Lbvfh7; - wire Rbvfh7; - wire Xbvfh7; - wire Dcvfh7; - wire Jcvfh7; - wire Pcvfh7; - wire Vcvfh7; - wire Bdvfh7; - wire Hdvfh7; - wire Ndvfh7; - wire Tdvfh7; - wire Zdvfh7; - wire Fevfh7; - wire Levfh7; - wire Revfh7; - wire Xevfh7; - wire Dfvfh7; - wire Jfvfh7; - wire Pfvfh7; - wire Vfvfh7; - wire Bgvfh7; - wire Hgvfh7; - wire Ngvfh7; - wire Tgvfh7; - wire Zgvfh7; - wire Fhvfh7; - wire Lhvfh7; - wire Rhvfh7; - wire Xhvfh7; - wire Divfh7; - wire Jivfh7; - wire Pivfh7; - wire Vivfh7; - wire Bjvfh7; - wire Hjvfh7; - wire Njvfh7; - wire Tjvfh7; - wire Zjvfh7; - wire Fkvfh7; - wire Lkvfh7; - wire Rkvfh7; - wire Xkvfh7; - wire Dlvfh7; - wire Jlvfh7; - wire Plvfh7; - wire Vlvfh7; - wire Bmvfh7; - wire Hmvfh7; - wire Nmvfh7; - wire Tmvfh7; - wire Zmvfh7; - wire Fnvfh7; - wire Lnvfh7; - wire Rnvfh7; - wire Xnvfh7; - wire Dovfh7; - wire Jovfh7; - wire Povfh7; - wire Vovfh7; - wire Bpvfh7; - wire Hpvfh7; - wire Npvfh7; - wire Tpvfh7; - wire Zpvfh7; - wire Fqvfh7; - wire Lqvfh7; - wire Rqvfh7; - wire Xqvfh7; - wire Drvfh7; - wire Jrvfh7; - wire Prvfh7; - wire Vrvfh7; - wire Bsvfh7; - wire Hsvfh7; - wire Nsvfh7; - wire Tsvfh7; - wire Zsvfh7; - wire Ftvfh7; - wire Ltvfh7; - wire Rtvfh7; - wire Xtvfh7; - wire Duvfh7; - wire Juvfh7; - wire Puvfh7; - wire Vuvfh7; - wire Bvvfh7; - wire Hvvfh7; - wire Nvvfh7; - wire Tvvfh7; - wire Zvvfh7; - wire Fwvfh7; - wire Lwvfh7; - wire Rwvfh7; - wire Xwvfh7; - wire Dxvfh7; - wire Jxvfh7; - wire Pxvfh7; - wire Vxvfh7; - wire Byvfh7; - wire Hyvfh7; - wire Nyvfh7; - wire Tyvfh7; - wire Zyvfh7; - wire Fzvfh7; - wire Lzvfh7; - wire Rzvfh7; - wire Xzvfh7; - wire D0wfh7; - wire J0wfh7; - wire P0wfh7; - wire V0wfh7; - wire B1wfh7; - wire H1wfh7; - wire N1wfh7; - wire T1wfh7; - wire Z1wfh7; - wire F2wfh7; - wire L2wfh7; - wire R2wfh7; - wire X2wfh7; - wire D3wfh7; - wire J3wfh7; - wire P3wfh7; - wire V3wfh7; - wire B4wfh7; - wire H4wfh7; - wire N4wfh7; - wire T4wfh7; - wire Z4wfh7; - wire F5wfh7; - wire L5wfh7; - wire R5wfh7; - wire X5wfh7; - wire D6wfh7; - wire J6wfh7; - wire P6wfh7; - wire V6wfh7; - wire B7wfh7; - wire H7wfh7; - wire N7wfh7; - wire T7wfh7; - wire Z7wfh7; - wire F8wfh7; - wire L8wfh7; - wire R8wfh7; - wire X8wfh7; - wire D9wfh7; - wire J9wfh7; - wire P9wfh7; - wire V9wfh7; - wire Bawfh7; - wire Hawfh7; - wire Nawfh7; - wire Tawfh7; - wire Zawfh7; - wire Fbwfh7; - wire Lbwfh7; - wire Rbwfh7; - wire Xbwfh7; - wire Dcwfh7; - wire Jcwfh7; - wire Pcwfh7; - wire Vcwfh7; - wire Bdwfh7; - wire Hdwfh7; - wire Ndwfh7; - wire Tdwfh7; - wire Zdwfh7; - wire Fewfh7; - wire Lewfh7; - wire Rewfh7; - wire Xewfh7; - wire Dfwfh7; - wire Jfwfh7; - wire Pfwfh7; - wire Vfwfh7; - wire Bgwfh7; - wire Hgwfh7; - wire Ngwfh7; - wire Tgwfh7; - wire Zgwfh7; - wire Fhwfh7; - wire Lhwfh7; - wire Rhwfh7; - wire Xhwfh7; - wire Diwfh7; - wire Jiwfh7; - wire Piwfh7; - wire Viwfh7; - wire Bjwfh7; - wire Hjwfh7; - wire Njwfh7; - wire Tjwfh7; - wire Zjwfh7; - wire Fkwfh7; - wire Lkwfh7; - wire Rkwfh7; - wire Xkwfh7; - wire Dlwfh7; - wire Jlwfh7; - wire Plwfh7; - wire Vlwfh7; - wire Bmwfh7; - wire Hmwfh7; - wire Nmwfh7; - wire Tmwfh7; - wire Zmwfh7; - wire Fnwfh7; - wire Lnwfh7; - wire Rnwfh7; - wire Xnwfh7; - wire Dowfh7; - wire Jowfh7; - wire Powfh7; - wire Vowfh7; - wire Bpwfh7; - wire Hpwfh7; - wire Npwfh7; - wire Tpwfh7; - wire Zpwfh7; - wire Fqwfh7; - wire Lqwfh7; - wire Rqwfh7; - wire Xqwfh7; - wire Drwfh7; - wire Jrwfh7; - wire Prwfh7; - wire Vrwfh7; - wire Bswfh7; - wire Hswfh7; - wire Nswfh7; - wire Tswfh7; - wire Zswfh7; - wire Ftwfh7; - wire Ltwfh7; - wire Rtwfh7; - wire Xtwfh7; - wire Duwfh7; - wire Juwfh7; - wire Puwfh7; - wire Vuwfh7; - wire Bvwfh7; - wire Hvwfh7; - wire Nvwfh7; - wire Tvwfh7; - wire Zvwfh7; - wire Fwwfh7; - wire Lwwfh7; - wire Rwwfh7; - wire Xwwfh7; - wire Dxwfh7; - wire Jxwfh7; - wire Pxwfh7; - wire Vxwfh7; - wire Bywfh7; - wire Hywfh7; - wire Nywfh7; - wire Tywfh7; - wire Zywfh7; - wire Fzwfh7; - wire Lzwfh7; - wire Rzwfh7; - wire Xzwfh7; - wire D0xfh7; - wire J0xfh7; - wire P0xfh7; - wire V0xfh7; - wire B1xfh7; - wire H1xfh7; - wire N1xfh7; - wire T1xfh7; - wire Z1xfh7; - wire F2xfh7; - wire L2xfh7; - wire R2xfh7; - wire X2xfh7; - wire D3xfh7; - wire J3xfh7; - wire P3xfh7; - wire V3xfh7; - wire B4xfh7; - wire H4xfh7; - wire N4xfh7; - wire T4xfh7; - wire Z4xfh7; - wire F5xfh7; - wire L5xfh7; - wire R5xfh7; - wire X5xfh7; - wire D6xfh7; - wire J6xfh7; - wire P6xfh7; - wire V6xfh7; - wire B7xfh7; - wire H7xfh7; - wire N7xfh7; - wire T7xfh7; - wire Z7xfh7; - wire F8xfh7; - wire L8xfh7; - wire R8xfh7; - wire X8xfh7; - wire D9xfh7; - wire J9xfh7; - wire P9xfh7; - wire V9xfh7; - wire Baxfh7; - wire Haxfh7; - wire Naxfh7; - wire Taxfh7; - wire Zaxfh7; - wire Fbxfh7; - wire Lbxfh7; - wire Rbxfh7; - wire Xbxfh7; - wire Dcxfh7; - wire Jcxfh7; - wire Pcxfh7; - wire Vcxfh7; - wire Bdxfh7; - wire Hdxfh7; - wire Ndxfh7; - wire Tdxfh7; - wire Zdxfh7; - wire Fexfh7; - wire Lexfh7; - wire Rexfh7; - wire Xexfh7; - wire Dfxfh7; - wire Jfxfh7; - wire Pfxfh7; - wire Vfxfh7; - wire Bgxfh7; - wire Hgxfh7; - wire Ngxfh7; - wire Tgxfh7; - wire Zgxfh7; - wire Fhxfh7; - wire Lhxfh7; - wire Rhxfh7; - wire Xhxfh7; - wire Dixfh7; - wire Jixfh7; - wire Pixfh7; - wire Vixfh7; - wire Bjxfh7; - wire Hjxfh7; - wire Njxfh7; - wire Tjxfh7; - wire Zjxfh7; - wire Fkxfh7; - wire Lkxfh7; - wire Rkxfh7; - wire Xkxfh7; - wire Dlxfh7; - wire Jlxfh7; - wire Plxfh7; - wire Vlxfh7; - wire Bmxfh7; - wire Hmxfh7; - wire Nmxfh7; - wire Tmxfh7; - wire Zmxfh7; - wire Fnxfh7; - wire Lnxfh7; - wire Rnxfh7; - wire Xnxfh7; - wire Doxfh7; - wire Joxfh7; - wire Poxfh7; - wire Voxfh7; - wire Bpxfh7; - wire Hpxfh7; - wire Npxfh7; - wire Tpxfh7; - wire Zpxfh7; - wire Fqxfh7; - wire Lqxfh7; - wire Rqxfh7; - wire Xqxfh7; - wire Drxfh7; - wire Jrxfh7; - wire Prxfh7; - wire Vrxfh7; - wire Bsxfh7; - wire Hsxfh7; - wire Nsxfh7; - wire Tsxfh7; - wire Zsxfh7; - wire Ftxfh7; - wire Ltxfh7; - wire Rtxfh7; - wire Xtxfh7; - wire Duxfh7; - wire Juxfh7; - wire Puxfh7; - wire Vuxfh7; - wire Bvxfh7; - wire Hvxfh7; - wire Nvxfh7; - wire Tvxfh7; - wire Zvxfh7; - wire Fwxfh7; - wire Lwxfh7; - wire Rwxfh7; - wire Xwxfh7; - wire Dxxfh7; - wire Jxxfh7; - wire Pxxfh7; - wire Vxxfh7; - wire Byxfh7; - wire Hyxfh7; - wire Nyxfh7; - wire Tyxfh7; - wire Zyxfh7; - wire Fzxfh7; - wire Lzxfh7; - wire Rzxfh7; - wire Xzxfh7; - wire D0yfh7; - wire J0yfh7; - wire P0yfh7; - wire V0yfh7; - wire B1yfh7; - wire H1yfh7; - wire N1yfh7; - wire T1yfh7; - wire Z1yfh7; - wire F2yfh7; - wire L2yfh7; - wire R2yfh7; - wire X2yfh7; - wire D3yfh7; - wire J3yfh7; - wire P3yfh7; - wire V3yfh7; - wire B4yfh7; - wire H4yfh7; - wire N4yfh7; - wire T4yfh7; - wire Z4yfh7; - wire F5yfh7; - wire L5yfh7; - wire R5yfh7; - wire X5yfh7; - wire D6yfh7; - wire J6yfh7; - wire P6yfh7; - wire V6yfh7; - wire B7yfh7; - wire H7yfh7; - wire N7yfh7; - wire T7yfh7; - wire Z7yfh7; - wire F8yfh7; - wire L8yfh7; - wire R8yfh7; - wire X8yfh7; - wire D9yfh7; - wire J9yfh7; - wire P9yfh7; - wire V9yfh7; - wire Bayfh7; - wire Hayfh7; - wire Nayfh7; - wire Tayfh7; - wire Zayfh7; - wire Fbyfh7; - wire Lbyfh7; - wire Rbyfh7; - wire Xbyfh7; - wire Dcyfh7; - wire Jcyfh7; - wire Pcyfh7; - wire Vcyfh7; - wire Bdyfh7; - wire Hdyfh7; - wire Ndyfh7; - wire Tdyfh7; - wire Zdyfh7; - wire Feyfh7; - wire Leyfh7; - wire Reyfh7; - wire Xeyfh7; - wire Dfyfh7; - wire Jfyfh7; - wire Pfyfh7; - wire Vfyfh7; - wire Bgyfh7; - wire Hgyfh7; - wire Ngyfh7; - wire Tgyfh7; - wire Zgyfh7; - wire Fhyfh7; - wire Lhyfh7; - wire Rhyfh7; - wire Xhyfh7; - wire Diyfh7; - wire Jiyfh7; - wire Piyfh7; - wire Viyfh7; - wire Bjyfh7; - wire Hjyfh7; - wire Njyfh7; - wire Tjyfh7; - wire Zjyfh7; - wire Fkyfh7; - wire Lkyfh7; - wire Rkyfh7; - wire Xkyfh7; - wire Dlyfh7; - wire Jlyfh7; - wire Plyfh7; - wire Vlyfh7; - wire Bmyfh7; - wire Hmyfh7; - wire Nmyfh7; - wire Tmyfh7; - wire Zmyfh7; - wire Fnyfh7; - wire Lnyfh7; - wire Rnyfh7; - wire Xnyfh7; - wire Doyfh7; - wire Joyfh7; - wire Poyfh7; - wire Voyfh7; - wire Bpyfh7; - wire Hpyfh7; - wire Npyfh7; - wire Tpyfh7; - wire Zpyfh7; - wire Fqyfh7; - wire Lqyfh7; - wire Rqyfh7; - wire Xqyfh7; - wire Dryfh7; - wire Jryfh7; - wire Pryfh7; - wire Vryfh7; - wire Bsyfh7; - wire Hsyfh7; - wire Nsyfh7; - wire Tsyfh7; - wire Zsyfh7; - wire Ftyfh7; - wire Ltyfh7; - wire Rtyfh7; - wire Xtyfh7; - wire Duyfh7; - wire Juyfh7; - wire Puyfh7; - wire Vuyfh7; - wire Bvyfh7; - wire Hvyfh7; - wire Nvyfh7; - wire Tvyfh7; - wire Zvyfh7; - wire Fwyfh7; - wire Lwyfh7; - wire Rwyfh7; - wire Xwyfh7; - wire Dxyfh7; - wire Jxyfh7; - wire Pxyfh7; - wire Vxyfh7; - wire Byyfh7; - wire Hyyfh7; - wire Nyyfh7; - wire Tyyfh7; - wire Zyyfh7; - wire Fzyfh7; - wire Lzyfh7; - wire Rzyfh7; - wire Xzyfh7; - wire D0zfh7; - wire J0zfh7; - wire P0zfh7; - wire V0zfh7; - wire B1zfh7; - wire H1zfh7; - wire N1zfh7; - wire T1zfh7; - wire Z1zfh7; - wire F2zfh7; - wire L2zfh7; - wire R2zfh7; - wire X2zfh7; - wire D3zfh7; - wire J3zfh7; - wire P3zfh7; - wire V3zfh7; - wire B4zfh7; - wire H4zfh7; - wire N4zfh7; - wire T4zfh7; - wire Z4zfh7; - wire F5zfh7; - wire L5zfh7; - wire R5zfh7; - wire X5zfh7; - wire D6zfh7; - wire J6zfh7; - wire P6zfh7; - wire V6zfh7; - wire B7zfh7; - wire H7zfh7; - wire N7zfh7; - wire T7zfh7; - wire Z7zfh7; - wire F8zfh7; - wire L8zfh7; - wire R8zfh7; - wire X8zfh7; - wire D9zfh7; - wire J9zfh7; - wire P9zfh7; - wire V9zfh7; - wire Bazfh7; - wire Hazfh7; - wire Nazfh7; - wire Tazfh7; - wire Zazfh7; - wire Fbzfh7; - wire Lbzfh7; - wire Rbzfh7; - wire Xbzfh7; - wire Dczfh7; - wire Jczfh7; - wire Pczfh7; - wire Vczfh7; - wire Bdzfh7; - wire Hdzfh7; - wire Ndzfh7; - wire Tdzfh7; - wire Zdzfh7; - wire Fezfh7; - wire Lezfh7; - wire Rezfh7; - wire Xezfh7; - wire Dfzfh7; - wire Jfzfh7; - wire Pfzfh7; - wire Vfzfh7; - wire Bgzfh7; - wire Hgzfh7; - wire Ngzfh7; - wire Tgzfh7; - wire Zgzfh7; - wire Fhzfh7; - wire Lhzfh7; - wire Rhzfh7; - wire Xhzfh7; - wire Dizfh7; - wire Jizfh7; - wire Pizfh7; - wire Vizfh7; - wire Bjzfh7; - wire Hjzfh7; - wire Njzfh7; - wire Tjzfh7; - wire Zjzfh7; - wire Fkzfh7; - wire Lkzfh7; - wire Rkzfh7; - wire Xkzfh7; - wire Dlzfh7; - wire Jlzfh7; - wire Plzfh7; - wire Vlzfh7; - wire Bmzfh7; - wire Hmzfh7; - wire Nmzfh7; - wire Tmzfh7; - wire Zmzfh7; - wire Fnzfh7; - wire Lnzfh7; - wire Rnzfh7; - wire Xnzfh7; - wire Dozfh7; - wire Jozfh7; - wire Pozfh7; - wire Vozfh7; - wire Bpzfh7; - wire Hpzfh7; - wire Npzfh7; - wire Tpzfh7; - wire Zpzfh7; - wire Fqzfh7; - wire Lqzfh7; - wire Rqzfh7; - wire Xqzfh7; - wire Drzfh7; - wire Jrzfh7; - wire Przfh7; - wire Vrzfh7; - wire Bszfh7; - wire Hszfh7; - wire Nszfh7; - wire Tszfh7; - wire Zszfh7; - wire Ftzfh7; - wire Ltzfh7; - wire Rtzfh7; - wire Xtzfh7; - wire Duzfh7; - wire Juzfh7; - wire Puzfh7; - wire Vuzfh7; - wire Bvzfh7; - wire Hvzfh7; - wire Nvzfh7; - wire Tvzfh7; - wire Zvzfh7; - wire Fwzfh7; - wire Lwzfh7; - wire Rwzfh7; - wire Xwzfh7; - wire Dxzfh7; - wire Jxzfh7; - wire Pxzfh7; - wire Vxzfh7; - wire Byzfh7; - wire Hyzfh7; - wire Nyzfh7; - wire Tyzfh7; - wire Zyzfh7; - wire Fzzfh7; - wire Lzzfh7; - wire Rzzfh7; - wire Xzzfh7; - wire D00gh7; - wire J00gh7; - wire P00gh7; - wire V00gh7; - wire B10gh7; - wire H10gh7; - wire N10gh7; - wire T10gh7; - wire Z10gh7; - wire F20gh7; - wire L20gh7; - wire R20gh7; - wire X20gh7; - wire D30gh7; - wire J30gh7; - wire P30gh7; - wire V30gh7; - wire B40gh7; - wire H40gh7; - wire N40gh7; - wire T40gh7; - wire Z40gh7; - wire F50gh7; - wire L50gh7; - wire R50gh7; - wire X50gh7; - wire D60gh7; - wire J60gh7; - wire P60gh7; - wire V60gh7; - wire B70gh7; - wire H70gh7; - wire N70gh7; - wire T70gh7; - wire Z70gh7; - wire F80gh7; - wire L80gh7; - wire R80gh7; - wire X80gh7; - wire D90gh7; - wire J90gh7; - wire P90gh7; - wire V90gh7; - wire Ba0gh7; - wire Ha0gh7; - wire Na0gh7; - wire Ta0gh7; - wire Za0gh7; - wire Fb0gh7; - wire Lb0gh7; - wire Rb0gh7; - wire Xb0gh7; - wire Dc0gh7; - wire Jc0gh7; - wire Pc0gh7; - wire Vc0gh7; - wire Bd0gh7; - wire Hd0gh7; - wire Nd0gh7; - wire Td0gh7; - wire Zd0gh7; - wire Fe0gh7; - wire Le0gh7; - wire Re0gh7; - wire Xe0gh7; - wire Df0gh7; - wire Jf0gh7; - wire Pf0gh7; - wire Vf0gh7; - wire Bg0gh7; - wire Hg0gh7; - wire Ng0gh7; - wire Tg0gh7; - wire Zg0gh7; - wire Fh0gh7; - wire Lh0gh7; - wire Rh0gh7; - wire Xh0gh7; - wire Di0gh7; - wire Ji0gh7; - wire Pi0gh7; - wire Vi0gh7; - wire Bj0gh7; - wire Hj0gh7; - wire Nj0gh7; - wire Tj0gh7; - wire Zj0gh7; - wire Fk0gh7; - wire Lk0gh7; - wire Rk0gh7; - wire Xk0gh7; - wire Dl0gh7; - wire Jl0gh7; - wire Pl0gh7; - wire Vl0gh7; - wire Bm0gh7; - wire Hm0gh7; - wire Nm0gh7; - wire Tm0gh7; - wire Zm0gh7; - wire Fn0gh7; - wire Ln0gh7; - wire Rn0gh7; - wire Xn0gh7; - wire Do0gh7; - wire Jo0gh7; - wire Po0gh7; - wire Vo0gh7; - wire Bp0gh7; - wire Hp0gh7; - wire Np0gh7; - wire Tp0gh7; - wire Zp0gh7; - wire Fq0gh7; - wire Lq0gh7; - wire Rq0gh7; - wire Xq0gh7; - wire Dr0gh7; - wire Jr0gh7; - wire Pr0gh7; - wire Vr0gh7; - wire Bs0gh7; - wire Hs0gh7; - wire Ns0gh7; - wire Ts0gh7; - wire Zs0gh7; - wire Ft0gh7; - wire Lt0gh7; - wire Rt0gh7; - wire Xt0gh7; - wire Du0gh7; - wire Ju0gh7; - wire Pu0gh7; - wire Vu0gh7; - wire Bv0gh7; - wire Hv0gh7; - wire Nv0gh7; - wire Tv0gh7; - wire Zv0gh7; - wire Fw0gh7; - wire Lw0gh7; - wire Rw0gh7; - wire Xw0gh7; - wire Dx0gh7; - wire Jx0gh7; - wire Px0gh7; - wire Vx0gh7; - wire By0gh7; - wire Hy0gh7; - wire Ny0gh7; - wire Ty0gh7; - wire Zy0gh7; - wire Fz0gh7; - wire Lz0gh7; - wire Rz0gh7; - wire Xz0gh7; - wire D01gh7; - wire J01gh7; - wire P01gh7; - wire V01gh7; - wire B11gh7; - wire H11gh7; - wire N11gh7; - wire T11gh7; - wire Z11gh7; - wire F21gh7; - wire L21gh7; - wire R21gh7; - wire X21gh7; - wire D31gh7; - wire J31gh7; - wire P31gh7; - wire V31gh7; - wire B41gh7; - wire H41gh7; - wire N41gh7; - wire T41gh7; - wire Z41gh7; - wire F51gh7; - wire L51gh7; - wire R51gh7; - wire X51gh7; - wire D61gh7; - wire J61gh7; - wire P61gh7; - wire V61gh7; - wire B71gh7; - wire H71gh7; - wire N71gh7; - wire T71gh7; - wire Z71gh7; - wire F81gh7; - wire L81gh7; - wire R81gh7; - wire X81gh7; - wire D91gh7; - wire J91gh7; - wire P91gh7; - wire V91gh7; - wire Ba1gh7; - wire Ha1gh7; - wire Na1gh7; - wire Ta1gh7; - wire Za1gh7; - wire Fb1gh7; - wire Lb1gh7; - wire Rb1gh7; - wire Xb1gh7; - wire Dc1gh7; - wire Jc1gh7; - wire Pc1gh7; - wire Vc1gh7; - wire Bd1gh7; - wire Hd1gh7; - wire Nd1gh7; - wire Td1gh7; - wire Zd1gh7; - wire Fe1gh7; - wire Le1gh7; - wire Re1gh7; - wire Xe1gh7; - wire Df1gh7; - wire Jf1gh7; - wire Pf1gh7; - wire Vf1gh7; - wire Bg1gh7; - wire Hg1gh7; - wire Ng1gh7; - wire Tg1gh7; - wire Zg1gh7; - wire Fh1gh7; - wire Lh1gh7; - wire Rh1gh7; - wire Xh1gh7; - wire Di1gh7; - wire Ji1gh7; - wire Pi1gh7; - wire Vi1gh7; - wire Bj1gh7; - wire Hj1gh7; - wire Nj1gh7; - wire Tj1gh7; - wire Zj1gh7; - wire Fk1gh7; - wire Lk1gh7; - wire Rk1gh7; - wire Xk1gh7; - wire Dl1gh7; - wire Jl1gh7; - wire Pl1gh7; - wire Vl1gh7; - wire Bm1gh7; - wire Hm1gh7; - wire Nm1gh7; - wire Tm1gh7; - wire Zm1gh7; - wire Fn1gh7; - wire Ln1gh7; - wire Rn1gh7; - wire Xn1gh7; - wire Do1gh7; - wire Jo1gh7; - wire Po1gh7; - wire Vo1gh7; - wire Bp1gh7; - wire Hp1gh7; - wire Np1gh7; - wire Tp1gh7; - wire Zp1gh7; - wire Fq1gh7; - wire Lq1gh7; - wire Rq1gh7; - wire Xq1gh7; - wire Dr1gh7; - wire Jr1gh7; - wire Pr1gh7; - wire Vr1gh7; - wire Bs1gh7; - wire Hs1gh7; - wire Ns1gh7; - wire Ts1gh7; - wire Zs1gh7; - wire Ft1gh7; - wire Lt1gh7; - wire Rt1gh7; - wire Xt1gh7; - wire Du1gh7; - wire Ju1gh7; - wire Pu1gh7; - wire Vu1gh7; - wire Bv1gh7; - wire Hv1gh7; - wire Nv1gh7; - wire Tv1gh7; - wire Zv1gh7; - wire Fw1gh7; - wire Lw1gh7; - wire Rw1gh7; - wire Xw1gh7; - wire Dx1gh7; - wire Jx1gh7; - wire Px1gh7; - wire Vx1gh7; - wire By1gh7; - wire Hy1gh7; - wire Ny1gh7; - wire Ty1gh7; - wire Zy1gh7; - wire Fz1gh7; - wire Lz1gh7; - wire Rz1gh7; - wire Xz1gh7; - wire D02gh7; - wire J02gh7; - wire P02gh7; - wire V02gh7; - wire B12gh7; - wire H12gh7; - wire N12gh7; - wire T12gh7; - wire Z12gh7; - wire F22gh7; - wire L22gh7; - wire R22gh7; - wire X22gh7; - wire D32gh7; - wire J32gh7; - wire P32gh7; - wire V32gh7; - wire B42gh7; - wire H42gh7; - wire N42gh7; - wire T42gh7; - wire Z42gh7; - wire F52gh7; - wire L52gh7; - wire R52gh7; - wire X52gh7; - wire D62gh7; - wire J62gh7; - wire P62gh7; - wire V62gh7; - wire B72gh7; - wire H72gh7; - wire N72gh7; - wire T72gh7; - wire Z72gh7; - wire F82gh7; - wire L82gh7; - wire R82gh7; - wire X82gh7; - wire D92gh7; - wire J92gh7; - wire P92gh7; - wire V92gh7; - wire Ba2gh7; - wire Ha2gh7; - wire Na2gh7; - wire Ta2gh7; - wire Za2gh7; - wire Fb2gh7; - wire Lb2gh7; - wire Rb2gh7; - wire Xb2gh7; - wire Dc2gh7; - wire Jc2gh7; - wire Pc2gh7; - wire Vc2gh7; - wire Bd2gh7; - wire Hd2gh7; - wire Nd2gh7; - wire Td2gh7; - wire Zd2gh7; - wire Fe2gh7; - wire Le2gh7; - wire Re2gh7; - wire Xe2gh7; - wire Df2gh7; - wire Jf2gh7; - wire Pf2gh7; - wire Vf2gh7; - wire Bg2gh7; - wire Hg2gh7; - wire Ng2gh7; - wire Tg2gh7; - wire Zg2gh7; - wire Fh2gh7; - wire Lh2gh7; - wire Rh2gh7; - wire Xh2gh7; - wire Di2gh7; - wire Ji2gh7; - wire Pi2gh7; - wire Vi2gh7; - wire Bj2gh7; - wire Hj2gh7; - wire Nj2gh7; - wire Tj2gh7; - wire Zj2gh7; - wire Fk2gh7; - wire Lk2gh7; - wire Rk2gh7; - wire Xk2gh7; - wire Dl2gh7; - wire Jl2gh7; - wire Pl2gh7; - wire Vl2gh7; - wire Bm2gh7; - wire Hm2gh7; - wire Nm2gh7; - wire Tm2gh7; - wire Zm2gh7; - wire Fn2gh7; - wire Ln2gh7; - wire Rn2gh7; - wire Xn2gh7; - wire Do2gh7; - wire Jo2gh7; - wire Po2gh7; - wire Vo2gh7; - wire Bp2gh7; - wire Hp2gh7; - wire Np2gh7; - wire Tp2gh7; - wire Zp2gh7; - wire Fq2gh7; - wire Lq2gh7; - wire Rq2gh7; - wire Xq2gh7; - wire Dr2gh7; - wire Jr2gh7; - wire Pr2gh7; - wire Vr2gh7; - wire Bs2gh7; - wire Hs2gh7; - wire Ns2gh7; - wire Ts2gh7; - wire Zs2gh7; - wire Ft2gh7; - wire Lt2gh7; - wire Rt2gh7; - wire Xt2gh7; - wire Du2gh7; - wire Ju2gh7; - wire Pu2gh7; - wire Vu2gh7; - wire Bv2gh7; - wire Hv2gh7; - wire Nv2gh7; - wire Tv2gh7; - wire Zv2gh7; - wire Fw2gh7; - wire Lw2gh7; - wire Rw2gh7; - wire Xw2gh7; - wire Dx2gh7; - wire Jx2gh7; - wire Px2gh7; - wire Vx2gh7; - wire By2gh7; - wire Hy2gh7; - wire Ny2gh7; - wire Ty2gh7; - wire Zy2gh7; - wire Fz2gh7; - wire Lz2gh7; - wire Rz2gh7; - wire Xz2gh7; - wire D03gh7; - wire J03gh7; - wire P03gh7; - wire V03gh7; - wire B13gh7; - wire H13gh7; - wire N13gh7; - wire T13gh7; - wire Z13gh7; - wire F23gh7; - wire L23gh7; - wire R23gh7; - wire X23gh7; - wire D33gh7; - wire J33gh7; - wire P33gh7; - wire V33gh7; - wire B43gh7; - wire H43gh7; - wire N43gh7; - wire T43gh7; - wire Z43gh7; - wire F53gh7; - wire L53gh7; - wire R53gh7; - wire X53gh7; - wire D63gh7; - wire J63gh7; - wire P63gh7; - wire V63gh7; - wire B73gh7; - wire H73gh7; - wire N73gh7; - wire T73gh7; - wire Z73gh7; - wire F83gh7; - wire L83gh7; - wire R83gh7; - wire X83gh7; - wire D93gh7; - wire J93gh7; - wire P93gh7; - wire V93gh7; - wire Ba3gh7; - wire Ha3gh7; - wire Na3gh7; - wire Ta3gh7; - wire Za3gh7; - wire Fb3gh7; - wire Lb3gh7; - wire Rb3gh7; - wire Xb3gh7; - wire Dc3gh7; - wire Jc3gh7; - wire Pc3gh7; - wire Vc3gh7; - wire Bd3gh7; - wire Hd3gh7; - wire Nd3gh7; - wire Td3gh7; - wire Zd3gh7; - wire Fe3gh7; - wire Le3gh7; - wire Re3gh7; - wire Xe3gh7; - wire Df3gh7; - wire Jf3gh7; - wire Pf3gh7; - wire Vf3gh7; - wire Bg3gh7; - wire Hg3gh7; - wire Ng3gh7; - wire Tg3gh7; - wire Zg3gh7; - wire Fh3gh7; - wire Lh3gh7; - wire Rh3gh7; - wire Xh3gh7; - wire Di3gh7; - wire Ji3gh7; - wire Pi3gh7; - wire Vi3gh7; - wire Bj3gh7; - wire Hj3gh7; - wire Nj3gh7; - wire Tj3gh7; - wire Zj3gh7; - wire Fk3gh7; - wire Lk3gh7; - wire Rk3gh7; - wire Xk3gh7; - wire Dl3gh7; - wire Jl3gh7; - wire Pl3gh7; - wire Vl3gh7; - wire Bm3gh7; - wire Hm3gh7; - wire Nm3gh7; - wire Tm3gh7; - wire Zm3gh7; - wire Fn3gh7; - wire Ln3gh7; - wire Rn3gh7; - wire Xn3gh7; - wire Do3gh7; - wire Jo3gh7; - wire Po3gh7; - wire Vo3gh7; - wire Bp3gh7; - wire Hp3gh7; - wire Np3gh7; - wire Tp3gh7; - wire Zp3gh7; - wire Fq3gh7; - wire Lq3gh7; - wire Rq3gh7; - wire Xq3gh7; - wire Dr3gh7; - wire Jr3gh7; - wire Pr3gh7; - wire Vr3gh7; - wire Bs3gh7; - wire Hs3gh7; - wire Ns3gh7; - wire Ts3gh7; - wire Zs3gh7; - wire Ft3gh7; - wire Lt3gh7; - wire Rt3gh7; - wire Xt3gh7; - wire Du3gh7; - wire Ju3gh7; - wire Pu3gh7; - wire Vu3gh7; - wire Bv3gh7; - wire Hv3gh7; - wire Nv3gh7; - wire Tv3gh7; - wire Zv3gh7; - wire Fw3gh7; - wire Lw3gh7; - wire Rw3gh7; - wire Xw3gh7; - wire Dx3gh7; - wire Jx3gh7; - wire Px3gh7; - wire Vx3gh7; - wire By3gh7; - wire Hy3gh7; - wire Ny3gh7; - wire Ty3gh7; - wire Zy3gh7; - wire Fz3gh7; - wire Lz3gh7; - wire Rz3gh7; - wire Xz3gh7; - wire D04gh7; - wire J04gh7; - wire P04gh7; - wire V04gh7; - wire B14gh7; - wire H14gh7; - wire N14gh7; - wire T14gh7; - wire Z14gh7; - wire F24gh7; - wire L24gh7; - wire R24gh7; - wire X24gh7; - wire D34gh7; - wire J34gh7; - wire P34gh7; - wire V34gh7; - wire B44gh7; - wire H44gh7; - wire N44gh7; - wire T44gh7; - wire Z44gh7; - wire F54gh7; - wire L54gh7; - wire R54gh7; - wire X54gh7; - wire D64gh7; - wire J64gh7; - wire P64gh7; - wire V64gh7; - wire B74gh7; - wire H74gh7; - wire N74gh7; - wire T74gh7; - wire Z74gh7; - wire F84gh7; - wire L84gh7; - wire R84gh7; - wire X84gh7; - wire D94gh7; - wire J94gh7; - wire P94gh7; - wire V94gh7; - wire Ba4gh7; - wire Ha4gh7; - wire Na4gh7; - wire Ta4gh7; - wire Za4gh7; - wire Fb4gh7; - wire Lb4gh7; - wire Rb4gh7; - wire Xb4gh7; - wire Dc4gh7; - wire Jc4gh7; - wire Pc4gh7; - wire Vc4gh7; - wire Bd4gh7; - wire Hd4gh7; - wire Nd4gh7; - wire Td4gh7; - wire Zd4gh7; - wire Fe4gh7; - wire Le4gh7; - wire Re4gh7; - wire Xe4gh7; - wire Df4gh7; - wire Jf4gh7; - wire Pf4gh7; - wire Vf4gh7; - wire Bg4gh7; - wire Hg4gh7; - wire Ng4gh7; - wire Tg4gh7; - wire Zg4gh7; - wire Fh4gh7; - wire Lh4gh7; - wire Rh4gh7; - wire Xh4gh7; - wire Di4gh7; - wire Ji4gh7; - wire Pi4gh7; - wire Vi4gh7; - wire Bj4gh7; - wire Hj4gh7; - wire Nj4gh7; - wire Tj4gh7; - wire Zj4gh7; - wire Fk4gh7; - wire Lk4gh7; - wire Rk4gh7; - wire Xk4gh7; - wire Dl4gh7; - wire Jl4gh7; - wire Pl4gh7; - wire Vl4gh7; - wire Bm4gh7; - wire Hm4gh7; - wire Nm4gh7; - wire Tm4gh7; - wire Zm4gh7; - wire Fn4gh7; - wire Ln4gh7; - wire Rn4gh7; - wire Xn4gh7; - wire Do4gh7; - wire Jo4gh7; - wire Po4gh7; - wire Vo4gh7; - wire Bp4gh7; - wire Hp4gh7; - wire Np4gh7; - wire Tp4gh7; - wire Zp4gh7; - wire Fq4gh7; - wire Lq4gh7; - wire Rq4gh7; - wire Xq4gh7; - wire Dr4gh7; - wire Jr4gh7; - wire Pr4gh7; - wire Vr4gh7; - wire Bs4gh7; - wire Hs4gh7; - wire Ns4gh7; - wire Ts4gh7; - wire Zs4gh7; - wire Ft4gh7; - wire Lt4gh7; - wire Rt4gh7; - wire Xt4gh7; - wire Du4gh7; - wire Ju4gh7; - wire Pu4gh7; - wire Vu4gh7; - wire Bv4gh7; - wire Hv4gh7; - wire Nv4gh7; - wire Tv4gh7; - wire Zv4gh7; - wire Fw4gh7; - wire Lw4gh7; - wire Rw4gh7; - wire Xw4gh7; - wire Dx4gh7; - wire Jx4gh7; - wire Px4gh7; - wire Vx4gh7; - wire By4gh7; - wire Hy4gh7; - wire Ny4gh7; - wire Ty4gh7; - wire Zy4gh7; - wire Fz4gh7; - wire Lz4gh7; - wire Rz4gh7; - wire Xz4gh7; - wire D05gh7; - wire J05gh7; - wire P05gh7; - wire V05gh7; - wire B15gh7; - wire H15gh7; - wire N15gh7; - wire T15gh7; - wire Z15gh7; - wire F25gh7; - wire L25gh7; - wire R25gh7; - wire X25gh7; - wire D35gh7; - wire J35gh7; - wire P35gh7; - wire V35gh7; - wire B45gh7; - wire H45gh7; - wire N45gh7; - wire T45gh7; - wire Z45gh7; - wire F55gh7; - wire L55gh7; - wire R55gh7; - wire X55gh7; - wire D65gh7; - wire J65gh7; - wire P65gh7; - wire V65gh7; - wire B75gh7; - wire H75gh7; - wire N75gh7; - wire T75gh7; - wire Z75gh7; - wire F85gh7; - wire L85gh7; - wire R85gh7; - wire X85gh7; - wire D95gh7; - wire J95gh7; - wire P95gh7; - wire V95gh7; - wire Ba5gh7; - wire Ha5gh7; - wire Na5gh7; - wire Ta5gh7; - wire Za5gh7; - wire Fb5gh7; - wire Lb5gh7; - wire Rb5gh7; - wire Xb5gh7; - wire Dc5gh7; - wire Jc5gh7; - wire Pc5gh7; - wire Vc5gh7; - wire Bd5gh7; - wire Hd5gh7; - wire Nd5gh7; - wire Td5gh7; - wire Zd5gh7; - wire Fe5gh7; - wire Le5gh7; - wire Re5gh7; - wire Xe5gh7; - wire Df5gh7; - wire Jf5gh7; - wire Pf5gh7; - wire Vf5gh7; - wire Bg5gh7; - wire Hg5gh7; - wire Ng5gh7; - wire Tg5gh7; - wire Zg5gh7; - wire Fh5gh7; - wire Lh5gh7; - wire Rh5gh7; - wire Xh5gh7; - wire Di5gh7; - wire Ji5gh7; - wire Pi5gh7; - wire Vi5gh7; - wire Bj5gh7; - wire Hj5gh7; - wire Nj5gh7; - wire Tj5gh7; - wire Zj5gh7; - wire Fk5gh7; - wire Lk5gh7; - wire Rk5gh7; - wire Xk5gh7; - wire Dl5gh7; - wire Jl5gh7; - wire Pl5gh7; - wire Vl5gh7; - wire Bm5gh7; - wire Hm5gh7; - wire Nm5gh7; - wire Tm5gh7; - wire Zm5gh7; - wire Fn5gh7; - wire Ln5gh7; - wire Rn5gh7; - wire Xn5gh7; - wire Do5gh7; - wire Jo5gh7; - wire Po5gh7; - wire Vo5gh7; - wire Bp5gh7; - wire Hp5gh7; - wire Np5gh7; - wire Tp5gh7; - wire Zp5gh7; - wire Fq5gh7; - wire Lq5gh7; - wire Rq5gh7; - wire Xq5gh7; - wire Dr5gh7; - wire Jr5gh7; - wire Pr5gh7; - wire Vr5gh7; - wire Bs5gh7; - wire Hs5gh7; - wire Ns5gh7; - wire Ts5gh7; - wire Zs5gh7; - wire Ft5gh7; - wire Lt5gh7; - wire Rt5gh7; - wire Xt5gh7; - wire Du5gh7; - wire Ju5gh7; - wire Pu5gh7; - wire Vu5gh7; - wire Bv5gh7; - wire Hv5gh7; - wire Nv5gh7; - wire Tv5gh7; - wire Zv5gh7; - wire Fw5gh7; - wire Lw5gh7; - wire Rw5gh7; - wire Xw5gh7; - wire Dx5gh7; - wire Jx5gh7; - wire Px5gh7; - wire Vx5gh7; - wire By5gh7; - wire Hy5gh7; - wire Ny5gh7; - wire Ty5gh7; - wire Zy5gh7; - wire Fz5gh7; - wire Lz5gh7; - wire Rz5gh7; - wire Xz5gh7; - wire D06gh7; - wire J06gh7; - wire P06gh7; - wire V06gh7; - wire B16gh7; - wire H16gh7; - wire N16gh7; - wire T16gh7; - wire Z16gh7; - wire F26gh7; - wire L26gh7; - wire R26gh7; - wire X26gh7; - wire D36gh7; - wire J36gh7; - wire P36gh7; - wire V36gh7; - wire B46gh7; - wire H46gh7; - wire N46gh7; - wire T46gh7; - wire Z46gh7; - wire F56gh7; - wire L56gh7; - wire R56gh7; - wire X56gh7; - wire D66gh7; - wire J66gh7; - wire P66gh7; - wire V66gh7; - wire B76gh7; - wire H76gh7; - wire N76gh7; - wire T76gh7; - wire Z76gh7; - wire F86gh7; - wire L86gh7; - wire R86gh7; - wire X86gh7; - wire D96gh7; - wire J96gh7; - wire P96gh7; - wire V96gh7; - wire Ba6gh7; - wire Ha6gh7; - wire Na6gh7; - wire Ta6gh7; - wire Za6gh7; - wire Fb6gh7; - wire Lb6gh7; - wire Rb6gh7; - wire Xb6gh7; - wire Dc6gh7; - wire Jc6gh7; - wire Pc6gh7; - wire Vc6gh7; - wire Bd6gh7; - wire Hd6gh7; - wire Nd6gh7; - wire Td6gh7; - wire Zd6gh7; - wire Fe6gh7; - wire Le6gh7; - wire Re6gh7; - wire Xe6gh7; - wire Df6gh7; - wire Jf6gh7; - wire Pf6gh7; - wire Vf6gh7; - wire Bg6gh7; - wire Hg6gh7; - wire Ng6gh7; - wire Tg6gh7; - wire Zg6gh7; - wire Fh6gh7; - wire Lh6gh7; - wire Rh6gh7; - wire Xh6gh7; - wire Di6gh7; - wire Ji6gh7; - wire Pi6gh7; - wire Vi6gh7; - wire Bj6gh7; - wire Hj6gh7; - wire Nj6gh7; - wire Tj6gh7; - wire Zj6gh7; - wire Fk6gh7; - wire Lk6gh7; - wire Rk6gh7; - wire Xk6gh7; - wire Dl6gh7; - wire Jl6gh7; - wire Pl6gh7; - wire Vl6gh7; - wire Bm6gh7; - wire Hm6gh7; - wire Nm6gh7; - wire Tm6gh7; - wire Zm6gh7; - wire Fn6gh7; - wire Ln6gh7; - wire Rn6gh7; - wire Xn6gh7; - wire Do6gh7; - wire Jo6gh7; - wire Po6gh7; - wire Vo6gh7; - wire Bp6gh7; - wire Hp6gh7; - wire Np6gh7; - wire Tp6gh7; - wire Zp6gh7; - wire Fq6gh7; - wire Lq6gh7; - wire Rq6gh7; - wire Xq6gh7; - wire Dr6gh7; - wire Jr6gh7; - wire Pr6gh7; - wire Vr6gh7; - wire Bs6gh7; - wire Hs6gh7; - wire Ns6gh7; - wire Ts6gh7; - wire Zs6gh7; - wire Ft6gh7; - wire Lt6gh7; - wire Rt6gh7; - wire Xt6gh7; - wire Du6gh7; - wire Ju6gh7; - wire Pu6gh7; - wire Vu6gh7; - wire Bv6gh7; - wire Hv6gh7; - wire Nv6gh7; - wire Tv6gh7; - wire Zv6gh7; - wire Fw6gh7; - wire Lw6gh7; - wire Rw6gh7; - wire Xw6gh7; - wire Dx6gh7; - wire Jx6gh7; - wire Px6gh7; - wire Vx6gh7; - wire By6gh7; - wire Hy6gh7; - wire Ny6gh7; - wire Ty6gh7; - wire Zy6gh7; - wire Fz6gh7; - wire Lz6gh7; - wire Rz6gh7; - wire Xz6gh7; - wire D07gh7; - wire J07gh7; - wire P07gh7; - wire V07gh7; - wire B17gh7; - wire H17gh7; - wire N17gh7; - wire T17gh7; - wire Z17gh7; - wire F27gh7; - wire L27gh7; - wire R27gh7; - wire X27gh7; - wire D37gh7; - wire J37gh7; - wire P37gh7; - wire V37gh7; - wire B47gh7; - wire H47gh7; - wire N47gh7; - wire T47gh7; - wire Z47gh7; - wire F57gh7; - wire L57gh7; - wire R57gh7; - wire X57gh7; - wire D67gh7; - wire J67gh7; - wire P67gh7; - wire V67gh7; - wire B77gh7; - wire H77gh7; - wire N77gh7; - wire T77gh7; - wire Z77gh7; - wire F87gh7; - wire L87gh7; - wire R87gh7; - wire X87gh7; - wire D97gh7; - wire J97gh7; - wire P97gh7; - wire V97gh7; - wire Ba7gh7; - wire Ha7gh7; - wire Na7gh7; - wire Ta7gh7; - wire Za7gh7; - wire Fb7gh7; - wire Lb7gh7; - wire Rb7gh7; - wire Xb7gh7; - wire Dc7gh7; - wire Jc7gh7; - wire Pc7gh7; - wire Vc7gh7; - wire Bd7gh7; - wire Hd7gh7; - wire Nd7gh7; - wire Td7gh7; - wire Zd7gh7; - wire Fe7gh7; - wire Le7gh7; - wire Re7gh7; - wire Xe7gh7; - wire Df7gh7; - wire Jf7gh7; - wire Pf7gh7; - wire Vf7gh7; - wire Bg7gh7; - wire Hg7gh7; - wire Ng7gh7; - wire Tg7gh7; - wire Zg7gh7; - wire Fh7gh7; - wire Lh7gh7; - wire Rh7gh7; - wire Xh7gh7; - wire Di7gh7; - wire Ji7gh7; - wire Pi7gh7; - wire Vi7gh7; - wire Bj7gh7; - wire Hj7gh7; - wire Nj7gh7; - wire Tj7gh7; - wire Zj7gh7; - wire Fk7gh7; - wire Lk7gh7; - wire Rk7gh7; - wire Xk7gh7; - wire Dl7gh7; - wire Jl7gh7; - wire Pl7gh7; - wire Vl7gh7; - wire Bm7gh7; - wire Hm7gh7; - wire Nm7gh7; - wire Tm7gh7; - wire Zm7gh7; - wire Fn7gh7; - wire Ln7gh7; - wire Rn7gh7; - wire Xn7gh7; - wire Do7gh7; - wire Jo7gh7; - wire Po7gh7; - wire Vo7gh7; - wire Bp7gh7; - wire Hp7gh7; - wire Np7gh7; - wire Tp7gh7; - wire Zp7gh7; - wire Fq7gh7; - wire Lq7gh7; - wire Rq7gh7; - wire Xq7gh7; - wire Dr7gh7; - wire Jr7gh7; - wire Pr7gh7; - wire Vr7gh7; - wire Bs7gh7; - wire Hs7gh7; - wire Ns7gh7; - wire Ts7gh7; - wire Zs7gh7; - wire Ft7gh7; - wire Lt7gh7; - wire Rt7gh7; - wire Xt7gh7; - wire Du7gh7; - wire Ju7gh7; - wire Pu7gh7; - wire Vu7gh7; - wire Bv7gh7; - wire Hv7gh7; - wire Nv7gh7; - wire Tv7gh7; - wire Zv7gh7; - wire Fw7gh7; - wire Lw7gh7; - wire Rw7gh7; - wire Xw7gh7; - wire Dx7gh7; - wire Jx7gh7; - wire Px7gh7; - wire Vx7gh7; - wire By7gh7; - wire Hy7gh7; - wire Ny7gh7; - wire Ty7gh7; - wire Zy7gh7; - wire Fz7gh7; - wire Lz7gh7; - wire Rz7gh7; - wire Xz7gh7; - wire D08gh7; - wire J08gh7; - wire P08gh7; - wire V08gh7; - wire B18gh7; - wire H18gh7; - wire N18gh7; - wire T18gh7; - wire Z18gh7; - wire F28gh7; - wire L28gh7; - wire R28gh7; - wire X28gh7; - wire D38gh7; - wire J38gh7; - wire P38gh7; - wire V38gh7; - wire B48gh7; - wire H48gh7; - wire N48gh7; - wire T48gh7; - wire Z48gh7; - wire F58gh7; - wire L58gh7; - wire R58gh7; - wire X58gh7; - wire D68gh7; - wire J68gh7; - wire P68gh7; - wire V68gh7; - wire B78gh7; - wire H78gh7; - wire N78gh7; - wire T78gh7; - wire Z78gh7; - wire F88gh7; - wire L88gh7; - wire R88gh7; - wire X88gh7; - wire D98gh7; - wire J98gh7; - wire P98gh7; - wire V98gh7; - wire Ba8gh7; - wire Ha8gh7; - wire Na8gh7; - wire Ta8gh7; - wire Za8gh7; - wire Fb8gh7; - wire Lb8gh7; - wire Rb8gh7; - wire Xb8gh7; - wire Dc8gh7; - wire Jc8gh7; - wire Pc8gh7; - wire Vc8gh7; - wire Bd8gh7; - wire Hd8gh7; - wire Nd8gh7; - wire Td8gh7; - wire Zd8gh7; - wire Fe8gh7; - wire Le8gh7; - wire Re8gh7; - wire Xe8gh7; - wire Df8gh7; - wire Jf8gh7; - wire Pf8gh7; - wire Vf8gh7; - wire Bg8gh7; - wire Hg8gh7; - wire Ng8gh7; - wire Tg8gh7; - wire Zg8gh7; - wire Fh8gh7; - wire Lh8gh7; - wire Rh8gh7; - wire Xh8gh7; - wire Di8gh7; - wire Ji8gh7; - wire Pi8gh7; - wire Vi8gh7; - wire Bj8gh7; - wire Hj8gh7; - wire Nj8gh7; - wire Tj8gh7; - wire Zj8gh7; - wire Fk8gh7; - wire Lk8gh7; - wire Rk8gh7; - wire Xk8gh7; - wire Dl8gh7; - wire Jl8gh7; - wire Pl8gh7; - wire Vl8gh7; - wire Bm8gh7; - wire Hm8gh7; - wire Nm8gh7; - wire Tm8gh7; - wire Zm8gh7; - wire Fn8gh7; - wire Ln8gh7; - wire Rn8gh7; - wire Xn8gh7; - wire Do8gh7; - wire Jo8gh7; - wire Po8gh7; - wire Vo8gh7; - wire Bp8gh7; - wire Hp8gh7; - wire Np8gh7; - wire Tp8gh7; - wire Zp8gh7; - wire Fq8gh7; - wire Lq8gh7; - wire Rq8gh7; - wire Xq8gh7; - wire Dr8gh7; - wire Jr8gh7; - wire Pr8gh7; - wire Vr8gh7; - wire Bs8gh7; - wire Hs8gh7; - wire Ns8gh7; - wire Ts8gh7; - wire Zs8gh7; - wire Ft8gh7; - wire Lt8gh7; - wire Rt8gh7; - wire Xt8gh7; - wire Du8gh7; - wire Ju8gh7; - wire Pu8gh7; - wire Vu8gh7; - wire Bv8gh7; - wire Hv8gh7; - wire Nv8gh7; - wire Tv8gh7; - wire Zv8gh7; - wire Fw8gh7; - wire Lw8gh7; - wire Rw8gh7; - wire Xw8gh7; - wire Dx8gh7; - wire Jx8gh7; - wire Px8gh7; - wire Vx8gh7; - wire By8gh7; - wire Hy8gh7; - wire Ny8gh7; - wire Ty8gh7; - wire Zy8gh7; - wire Fz8gh7; - wire Lz8gh7; - wire Rz8gh7; - wire Xz8gh7; - wire D09gh7; - wire J09gh7; - wire P09gh7; - wire V09gh7; - wire B19gh7; - wire H19gh7; - wire N19gh7; - wire T19gh7; - wire Z19gh7; - wire F29gh7; - wire L29gh7; - wire R29gh7; - wire X29gh7; - wire D39gh7; - wire J39gh7; - wire P39gh7; - wire V39gh7; - wire B49gh7; - wire H49gh7; - wire N49gh7; - wire T49gh7; - wire Z49gh7; - wire F59gh7; - wire L59gh7; - wire R59gh7; - wire X59gh7; - wire D69gh7; - wire J69gh7; - wire P69gh7; - wire V69gh7; - wire B79gh7; - wire H79gh7; - wire N79gh7; - wire T79gh7; - wire Z79gh7; - wire F89gh7; - wire L89gh7; - wire R89gh7; - wire X89gh7; - wire D99gh7; - wire J99gh7; - wire P99gh7; - wire V99gh7; - wire Ba9gh7; - wire Ha9gh7; - wire Na9gh7; - wire Ta9gh7; - wire Za9gh7; - wire Fb9gh7; - wire Lb9gh7; - wire Rb9gh7; - wire Xb9gh7; - wire Dc9gh7; - wire Jc9gh7; - wire Pc9gh7; - wire Vc9gh7; - wire Bd9gh7; - wire Hd9gh7; - wire [31:0] Nd9gh7; - reg Ie9gh7; - reg If9gh7; - reg Ng9gh7; - reg Ph9gh7; - reg Ri9gh7; - reg Tj9gh7; - reg Wk9gh7; - reg Wl9gh7; - reg Zm9gh7; - reg Bo9gh7; - reg Dp9gh7; - reg Fq9gh7; - reg Ir9gh7; - reg Ls9gh7; - reg Lt9gh7; - reg Ou9gh7; - reg Pv9gh7; - reg Rw9gh7; - reg Tx9gh7; - reg Wy9gh7; - reg Zz9gh7; - reg C1agh7; - reg F2agh7; - reg I3agh7; - reg L4agh7; - reg O5agh7; - reg R6agh7; - reg U7agh7; - reg U8agh7; - reg X9agh7; - reg Abagh7; - reg Wbagh7; - reg Zcagh7; - reg Ceagh7; - reg Efagh7; - reg Ggagh7; - reg Jhagh7; - reg Miagh7; - reg Pjagh7; - reg Skagh7; - reg Vlagh7; - reg Ymagh7; - reg Boagh7; - reg Epagh7; - reg Eqagh7; - reg Eragh7; - reg Esagh7; - reg Etagh7; - reg Euagh7; - reg Evagh7; - reg Ewagh7; - reg Hxagh7; - reg Kyagh7; - reg Nzagh7; - reg Q0bgh7; - reg T1bgh7; - reg W2bgh7; - reg Z3bgh7; - reg C5bgh7; - reg F6bgh7; - reg H7bgh7; - reg K8bgh7; - reg N9bgh7; - reg Pabgh7; - reg Rbbgh7; - reg Ucbgh7; - reg Xdbgh7; - reg Afbgh7; - reg Dgbgh7; - reg Ghbgh7; - reg Iibgh7; - reg Kjbgh7; - reg Nkbgh7; - reg Plbgh7; - reg Rmbgh7; - reg Tnbgh7; - reg Vobgh7; - reg Wpbgh7; - reg Xqbgh7; - reg Yrbgh7; - reg Zsbgh7; - reg Bubgh7; - reg Cvbgh7; - reg Dwbgh7; - reg Dxbgh7; - reg Fybgh7; - reg Gzbgh7; - reg H0cgh7; - reg H1cgh7; - reg H2cgh7; - reg J3cgh7; - reg K4cgh7; - reg N5cgh7; - reg P6cgh7; - reg S7cgh7; - reg U8cgh7; - reg X9cgh7; - reg Zacgh7; - reg Cccgh7; - reg Edcgh7; - reg Hecgh7; - reg Jfcgh7; - reg Kgcgh7; - reg Mhcgh7; - reg Nicgh7; - reg Pjcgh7; - reg Qkcgh7; - reg Slcgh7; - reg Tmcgh7; - reg Vncgh7; - reg Wocgh7; - reg Ypcgh7; - reg Zqcgh7; - reg Zrcgh7; - reg Btcgh7; - reg Cucgh7; - reg Fvcgh7; - reg Hwcgh7; - reg Kxcgh7; - reg Mycgh7; - reg Pzcgh7; - reg R0dgh7; - reg U1dgh7; - reg W2dgh7; - reg Z3dgh7; - reg B5dgh7; - reg C6dgh7; - reg E7dgh7; - reg F8dgh7; - reg H9dgh7; - reg Iadgh7; - reg Kbdgh7; - reg Lcdgh7; - reg Nddgh7; - reg Oedgh7; - reg Qfdgh7; - reg Rgdgh7; - reg Rhdgh7; - reg Tidgh7; - reg Ujdgh7; - reg Xkdgh7; - reg Zldgh7; - reg Cndgh7; - reg Eodgh7; - reg Hpdgh7; - reg Jqdgh7; - reg Mrdgh7; - reg Osdgh7; - reg Rtdgh7; - reg Tudgh7; - reg Wvdgh7; - reg Ywdgh7; - reg Zxdgh7; - reg Bzdgh7; - reg C0egh7; - reg E1egh7; - reg F2egh7; - reg H3egh7; - reg I4egh7; - reg K5egh7; - reg L6egh7; - reg N7egh7; - reg O8egh7; - reg Q9egh7; - reg Raegh7; - reg Rbegh7; - reg Scegh7; - reg Udegh7; - reg Veegh7; - reg Xfegh7; - reg Ygegh7; - reg Aiegh7; - reg Bjegh7; - reg Dkegh7; - reg Elegh7; - reg Gmegh7; - reg Hnegh7; - reg Joegh7; - reg Jpegh7; - reg Kqegh7; - reg Kregh7; - reg Ksegh7; - reg Ktegh7; - reg Kuegh7; - reg Kvegh7; - reg Kwegh7; - reg Kxegh7; - reg Kyegh7; - reg Lzegh7; - reg O0fgh7; - reg P1fgh7; - reg S2fgh7; - reg V3fgh7; - reg X4fgh7; - reg Z5fgh7; - reg B7fgh7; - reg D8fgh7; - reg H9fgh7; - reg Hafgh7; - reg Lbfgh7; - reg Pcfgh7; - reg Tdfgh7; - reg Xefgh7; - reg Bgfgh7; - reg Fhfgh7; - reg Jifgh7; - reg Ojfgh7; - reg Tkfgh7; - reg Ylfgh7; - reg Dnfgh7; - reg Iofgh7; - reg Npfgh7; - reg Sqfgh7; - reg Yrfgh7; - reg Etfgh7; - reg Kufgh7; - reg Qvfgh7; - reg Wwfgh7; - reg Cyfgh7; - reg Izfgh7; - reg O0ggh7; - reg U1ggh7; - reg A3ggh7; - reg G4ggh7; - reg M5ggh7; - reg S6ggh7; - reg Y7ggh7; - reg E9ggh7; - reg Kaggh7; - reg Qbggh7; - reg Wcggh7; - reg Ceggh7; - reg Ifggh7; - reg Ogggh7; - reg Phggh7; - reg Uiggh7; - reg Yjggh7; - reg Ykggh7; - reg Ylggh7; - reg Enggh7; - reg Foggh7; - reg Hpggh7; - reg Jqggh7; - reg Lrggh7; - reg Nsggh7; - reg Ptggh7; - reg Ruggh7; - reg Tvggh7; - reg Vwggh7; - reg Xxggh7; - reg Azggh7; - reg D0hgh7; - reg G1hgh7; - reg J2hgh7; - reg M3hgh7; - reg P4hgh7; - reg S5hgh7; - reg V6hgh7; - reg Y7hgh7; - reg B9hgh7; - reg Eahgh7; - reg Hbhgh7; - reg Kchgh7; - reg Ndhgh7; - reg Qehgh7; - reg Tfhgh7; - reg Wghgh7; - reg Zhhgh7; - reg Cjhgh7; - reg Fkhgh7; - reg Ilhgh7; - reg Lmhgh7; - reg Mnhgh7; - reg Mohgh7; - reg Rphgh7; - reg Vqhgh7; - reg Zrhgh7; - reg Dthgh7; - reg Huhgh7; - reg Lvhgh7; - reg Pwhgh7; - wire [33:0] Txhgh7; - wire [33:0] Wyhgh7; - - assign ahb_hburst[2] = 1'b0; - assign ahb_hburst[1] = 1'b0; - assign ahb_hmastlock = 1'b0; - assign ahb_hprot[3] = 1'b0; - assign ahb_hprot[2] = 1'b0; - assign ahb_hsize[2] = 1'b0; - assign ahb_hsize[0] = 1'b0; - assign ahb_htrans[0] = 1'b0; - assign ahb_hburst[0] = 1'b1; - assign ahb_hprot[1] = 1'b1; - assign ahb_hprot[0] = 1'b1; - assign ahb_hsize[1] = 1'b1; - assign Hd9gh7 = (!Ie9gh7); - assign ahb_hwdata[0] = If9gh7; - assign Jltfh7 = (!If9gh7); - assign Bd9gh7 = (!Ng9gh7); - assign Vc9gh7 = (!Ph9gh7); - assign Nd9gh7[1] = Ri9gh7; - assign Hgtfh7 = (!Ri9gh7); - assign Nv8gh7 = (!Tj9gh7); - assign com_rx_tready = Wk9gh7; - assign Xw8gh7 = (!Wl9gh7); - assign Fz8gh7 = (!Zm9gh7); - assign Ty8gh7 = (!Bo9gh7); - assign Pc9gh7 = (!Dp9gh7); - assign By8gh7 = (!Fq9gh7); - assign Vu8gh7 = (!Ir9gh7); - assign com_tx_tvalid = Ls9gh7; - assign Lz8gh7 = (!Lt9gh7); - assign ahb_haddr[0] = Ou9gh7; - assign Jc9gh7 = (!Pv9gh7); - assign Dc9gh7 = (!Rw9gh7); - assign Bv8gh7 = (!Tx9gh7); - assign Hv8gh7 = (!Wy9gh7); - assign Ft8gh7 = (!Zz9gh7); - assign Jx8gh7 = (!C1agh7); - assign P09gh7 = (!F2agh7); - assign Xz8gh7 = (!I3agh7); - assign N19gh7 = (!L4agh7); - assign Xt8gh7 = (!O5agh7); - assign Xb9gh7 = (!R6agh7); - assign stdio_rx_tready = U7agh7; - assign Hy8gh7 = (!U8agh7); - assign Rz8gh7 = (!X9agh7); - assign Fe8gh7 = (!Abagh7); - assign V09gh7 = (!Wbagh7); - assign Lw8gh7 = (!Zcagh7); - assign Lt8gh7 = (!Ceagh7); - assign Rt8gh7 = (!Efagh7); - assign Fw8gh7 = (!Ggagh7); - assign L29gh7 = (!Jhagh7); - assign D09gh7 = (!Miagh7); - assign Zv8gh7 = (!Pjagh7); - assign Dx8gh7 = (!Skagh7); - assign Tv8gh7 = (!Vlagh7); - assign Ju8gh7 = (!Ymagh7); - assign Pu8gh7 = (!Boagh7); - assign Rb9gh7 = (!Epagh7); - assign Zd8gh7 = (!Eqagh7); - assign Lb9gh7 = (!Eragh7); - assign Fb9gh7 = (!Esagh7); - assign Za9gh7 = (!Etagh7); - assign Ta9gh7 = (!Euagh7); - assign Na9gh7 = (!Evagh7); - assign Ha9gh7 = (!Ewagh7); - assign B19gh7 = (!Hxagh7); - assign Ba9gh7 = (!Kyagh7); - assign H19gh7 = (!Nzagh7); - assign F29gh7 = (!Q0bgh7); - assign Du8gh7 = (!T1bgh7); - assign T19gh7 = (!W2bgh7); - assign V99gh7 = (!Z3bgh7); - assign Z19gh7 = (!C5bgh7); - assign R9tfh7 = F6bgh7; - assign ahb_hwrite = H7bgh7; - assign J09gh7 = (!K8bgh7); - assign P99gh7 = (!N9bgh7); - assign J99gh7 = (!Pabgh7); - assign D99gh7 = (!Rbbgh7); - assign X89gh7 = (!Ucbgh7); - assign R89gh7 = (!Xdbgh7); - assign L89gh7 = (!Afbgh7); - assign F89gh7 = (!Dgbgh7); - assign ahb_haddr[29] = Ghbgh7; - assign ahb_haddr[31] = Iibgh7; - assign Z79gh7 = (!Kjbgh7); - assign ahb_haddr[25] = Nkbgh7; - assign ahb_haddr[21] = Plbgh7; - assign ahb_haddr[17] = Rmbgh7; - assign ahb_haddr[13] = Tnbgh7; - assign ahb_haddr[9] = Vobgh7; - assign ahb_haddr[5] = Wpbgh7; - assign ahb_haddr[4] = Xqbgh7; - assign ahb_haddr[1] = Yrbgh7; - assign T79gh7 = (!Zsbgh7); - assign ahb_haddr[2] = Bubgh7; - assign Tp8gh7 = (!Cvbgh7); - assign Np8gh7 = (!Dwbgh7); - assign N79gh7 = (!Dxbgh7); - assign ahb_haddr[3] = Fybgh7; - assign Df8gh7 = (!Gzbgh7); - assign Xe8gh7 = (!H0cgh7); - assign Tm8gh7 = (!H1cgh7); - assign H79gh7 = (!H2cgh7); - assign ahb_haddr[8] = J3cgh7; - assign B79gh7 = (!K4cgh7); - assign ahb_haddr[12] = N5cgh7; - assign V69gh7 = (!P6cgh7); - assign ahb_haddr[16] = S7cgh7; - assign P69gh7 = (!U8cgh7); - assign ahb_haddr[20] = X9cgh7; - assign J69gh7 = (!Zacgh7); - assign ahb_haddr[24] = Cccgh7; - assign D69gh7 = (!Edcgh7); - assign ahb_haddr[28] = Hecgh7; - assign Rn8gh7 = (!Jfcgh7); - assign Xn8gh7 = (!Kgcgh7); - assign Do8gh7 = (!Mhcgh7); - assign Jo8gh7 = (!Nicgh7); - assign Fn8gh7 = (!Pjcgh7); - assign Ln8gh7 = (!Qkcgh7); - assign Po8gh7 = (!Slcgh7); - assign Vo8gh7 = (!Tmcgh7); - assign Hm8gh7 = (!Vncgh7); - assign Nm8gh7 = (!Wocgh7); - assign Zm8gh7 = (!Ypcgh7); - assign Vf8gh7 = (!Zqcgh7); - assign X59gh7 = (!Zrcgh7); - assign ahb_haddr[7] = Btcgh7; - assign R59gh7 = (!Cucgh7); - assign ahb_haddr[11] = Fvcgh7; - assign L59gh7 = (!Hwcgh7); - assign ahb_haddr[15] = Kxcgh7; - assign F59gh7 = (!Mycgh7); - assign ahb_haddr[19] = Pzcgh7; - assign Z49gh7 = (!R0dgh7); - assign ahb_haddr[23] = U1dgh7; - assign T49gh7 = (!W2dgh7); - assign ahb_haddr[27] = Z3dgh7; - assign Lk8gh7 = (!B5dgh7); - assign Rk8gh7 = (!C6dgh7); - assign Nj8gh7 = (!E7dgh7); - assign Tj8gh7 = (!F8dgh7); - assign Pi8gh7 = (!H9dgh7); - assign Vi8gh7 = (!Iadgh7); - assign Rh8gh7 = (!Kbdgh7); - assign Xh8gh7 = (!Lcdgh7); - assign Tg8gh7 = (!Nddgh7); - assign Zg8gh7 = (!Oedgh7); - assign Bg8gh7 = (!Qfdgh7); - assign Zp8gh7 = (!Rgdgh7); - assign N49gh7 = (!Rhdgh7); - assign ahb_haddr[6] = Tidgh7; - assign H49gh7 = (!Ujdgh7); - assign ahb_haddr[10] = Xkdgh7; - assign B49gh7 = (!Zldgh7); - assign ahb_haddr[14] = Cndgh7; - assign V39gh7 = (!Eodgh7); - assign ahb_haddr[18] = Hpdgh7; - assign P39gh7 = (!Jqdgh7); - assign ahb_haddr[22] = Mrdgh7; - assign J39gh7 = (!Osdgh7); - assign ahb_haddr[26] = Rtdgh7; - assign D39gh7 = (!Tudgh7); - assign ahb_haddr[30] = Wvdgh7; - assign Ts8gh7 = (!Ywdgh7); - assign Zs8gh7 = (!Zxdgh7); - assign Hs8gh7 = (!Bzdgh7); - assign Ns8gh7 = (!C0egh7); - assign Vr8gh7 = (!E1egh7); - assign Bs8gh7 = (!F2egh7); - assign Jr8gh7 = (!H3egh7); - assign Pr8gh7 = (!I4egh7); - assign Xq8gh7 = (!K5egh7); - assign Dr8gh7 = (!L6egh7); - assign Lq8gh7 = (!N7egh7); - assign Rq8gh7 = (!O8egh7); - assign Fq8gh7 = (!Q9egh7); - assign Vl8gh7 = (!Raegh7); - assign Jl8gh7 = (!Rbegh7); - assign Pl8gh7 = (!Scegh7); - assign Xk8gh7 = (!Udegh7); - assign Dl8gh7 = (!Veegh7); - assign Zj8gh7 = (!Xfegh7); - assign Fk8gh7 = (!Ygegh7); - assign Bj8gh7 = (!Aiegh7); - assign Hj8gh7 = (!Bjegh7); - assign Di8gh7 = (!Dkegh7); - assign Ji8gh7 = (!Elegh7); - assign Fh8gh7 = (!Gmegh7); - assign Lh8gh7 = (!Hnegh7); - assign Hg8gh7 = (!Joegh7); - assign Ng8gh7 = (!Jpegh7); - assign gpo8[7] = Kqegh7; - assign Fktfh7 = (!Kqegh7); - assign gpo8[6] = Kregh7; - assign Zjtfh7 = (!Kregh7); - assign gpo8[4] = Ksegh7; - assign Njtfh7 = (!Ksegh7); - assign gpo8[3] = Ktegh7; - assign Hjtfh7 = (!Ktegh7); - assign gpo8[2] = Kuegh7; - assign Bjtfh7 = (!Kuegh7); - assign gpo8[1] = Kvegh7; - assign Vitfh7 = (!Kvegh7); - assign Jf8gh7 = (!Kwegh7); - assign gpo8[5] = Kxegh7; - assign Tjtfh7 = (!Kxegh7); - assign Pf8gh7 = (!Kyegh7); - assign Patfh7 = Lzegh7; - assign R29gh7 = (!O0fgh7); - assign Rw8gh7 = (!P1fgh7); - assign X29gh7 = (!S2fgh7); - assign Vx8gh7 = (!V3fgh7); - assign Ny8gh7 = (!X4fgh7); - assign Px8gh7 = (!Z5fgh7); - assign Zy8gh7 = (!B7fgh7); - assign com_tx_tdata[7] = D8fgh7; - assign stdio_tx_tvalid = H9fgh7; - assign stdio_tx_tdata[7] = Hafgh7; - assign stdio_tx_tdata[6] = Lbfgh7; - assign stdio_tx_tdata[5] = Pcfgh7; - assign stdio_tx_tdata[4] = Tdfgh7; - assign stdio_tx_tdata[3] = Xefgh7; - assign stdio_tx_tdata[2] = Bgfgh7; - assign stdio_tx_tdata[1] = Fhfgh7; - assign ahb_hwdata[2] = Jifgh7; - assign Vltfh7 = (!Jifgh7); - assign ahb_hwdata[3] = Ojfgh7; - assign Bmtfh7 = (!Ojfgh7); - assign ahb_hwdata[5] = Tkfgh7; - assign Nmtfh7 = (!Tkfgh7); - assign ahb_hwdata[6] = Ylfgh7; - assign Tmtfh7 = (!Ylfgh7); - assign ahb_hwdata[7] = Dnfgh7; - assign Zmtfh7 = (!Dnfgh7); - assign ahb_hwdata[8] = Iofgh7; - assign Fntfh7 = (!Iofgh7); - assign ahb_hwdata[9] = Npfgh7; - assign Lntfh7 = (!Npfgh7); - assign ahb_hwdata[10] = Sqfgh7; - assign Rntfh7 = (!Sqfgh7); - assign ahb_hwdata[11] = Yrfgh7; - assign Xntfh7 = (!Yrfgh7); - assign ahb_hwdata[12] = Etfgh7; - assign Dotfh7 = (!Etfgh7); - assign ahb_hwdata[13] = Kufgh7; - assign Jotfh7 = (!Kufgh7); - assign ahb_hwdata[14] = Qvfgh7; - assign Potfh7 = (!Qvfgh7); - assign ahb_hwdata[15] = Wwfgh7; - assign Votfh7 = (!Wwfgh7); - assign ahb_hwdata[17] = Cyfgh7; - assign Hptfh7 = (!Cyfgh7); - assign ahb_hwdata[18] = Izfgh7; - assign Nptfh7 = (!Izfgh7); - assign ahb_hwdata[19] = O0ggh7; - assign Tptfh7 = (!O0ggh7); - assign ahb_hwdata[20] = U1ggh7; - assign Zptfh7 = (!U1ggh7); - assign ahb_hwdata[21] = A3ggh7; - assign Fqtfh7 = (!A3ggh7); - assign ahb_hwdata[22] = G4ggh7; - assign Lqtfh7 = (!G4ggh7); - assign ahb_hwdata[23] = M5ggh7; - assign Rqtfh7 = (!M5ggh7); - assign ahb_hwdata[24] = S6ggh7; - assign Xqtfh7 = (!S6ggh7); - assign ahb_hwdata[25] = Y7ggh7; - assign Drtfh7 = (!Y7ggh7); - assign ahb_hwdata[26] = E9ggh7; - assign Jrtfh7 = (!E9ggh7); - assign ahb_hwdata[27] = Kaggh7; - assign Prtfh7 = (!Kaggh7); - assign ahb_hwdata[28] = Qbggh7; - assign Vrtfh7 = (!Qbggh7); - assign ahb_hwdata[29] = Wcggh7; - assign Bstfh7 = (!Wcggh7); - assign ahb_hwdata[30] = Ceggh7; - assign Hstfh7 = (!Ceggh7); - assign ahb_hwdata[31] = Ifggh7; - assign Nstfh7 = (!Ifggh7); - assign Bm8gh7 = (!Ogggh7); - assign ahb_hwdata[4] = Phggh7; - assign Hmtfh7 = (!Phggh7); - assign stdio_tx_tdata[0] = Uiggh7; - assign Bp8gh7 = (!Yjggh7); - assign gpo8[0] = Ykggh7; - assign Pitfh7 = (!Ykggh7); - assign ahb_hwdata[16] = Ylggh7; - assign Bptfh7 = (!Ylggh7); - assign Hp8gh7 = (!Enggh7); - assign Nd9gh7[0] = Foggh7; - assign Bsufh7 = (!Foggh7); - assign Nd9gh7[2] = Hpggh7; - assign Vctfh7 = (!Hpggh7); - assign Nd9gh7[3] = Jqggh7; - assign Ndtfh7 = (!Jqggh7); - assign Nd9gh7[4] = Lrggh7; - assign Tdtfh7 = (!Lrggh7); - assign Nd9gh7[5] = Nsggh7; - assign Zdtfh7 = (!Nsggh7); - assign Nd9gh7[6] = Ptggh7; - assign Fetfh7 = (!Ptggh7); - assign Nd9gh7[7] = Ruggh7; - assign Letfh7 = (!Ruggh7); - assign Nd9gh7[8] = Tvggh7; - assign Retfh7 = (!Tvggh7); - assign Nd9gh7[9] = Vwggh7; - assign Xetfh7 = (!Vwggh7); - assign Nd9gh7[10] = Xxggh7; - assign Jitfh7 = (!Xxggh7); - assign Nd9gh7[11] = Azggh7; - assign Xhtfh7 = (!Azggh7); - assign Nd9gh7[12] = D0hgh7; - assign Ditfh7 = (!D0hgh7); - assign Nd9gh7[13] = G1hgh7; - assign Zgtfh7 = (!G1hgh7); - assign Nd9gh7[14] = J2hgh7; - assign Fhtfh7 = (!J2hgh7); - assign Nd9gh7[15] = M3hgh7; - assign Lhtfh7 = (!M3hgh7); - assign Nd9gh7[16] = P4hgh7; - assign Rhtfh7 = (!P4hgh7); - assign Nd9gh7[17] = S5hgh7; - assign Ngtfh7 = (!S5hgh7); - assign Nd9gh7[18] = V6hgh7; - assign Tgtfh7 = (!V6hgh7); - assign Nd9gh7[19] = Y7hgh7; - assign Bgtfh7 = (!Y7hgh7); - assign Nd9gh7[20] = B9hgh7; - assign Pftfh7 = (!B9hgh7); - assign Nd9gh7[21] = Eahgh7; - assign Vftfh7 = (!Eahgh7); - assign Nd9gh7[22] = Hbhgh7; - assign Dftfh7 = (!Hbhgh7); - assign Nd9gh7[23] = Kchgh7; - assign Jftfh7 = (!Kchgh7); - assign Nd9gh7[24] = Ndhgh7; - assign Obtfh7 = (!Ndhgh7); - assign Nd9gh7[25] = Qehgh7; - assign Tbtfh7 = (!Qehgh7); - assign Nd9gh7[26] = Tfhgh7; - assign Ybtfh7 = (!Tfhgh7); - assign Nd9gh7[27] = Wghgh7; - assign Dctfh7 = (!Wghgh7); - assign Nd9gh7[28] = Zhhgh7; - assign Jctfh7 = (!Zhhgh7); - assign Nd9gh7[29] = Cjhgh7; - assign Pctfh7 = (!Cjhgh7); - assign Nd9gh7[30] = Fkhgh7; - assign Bdtfh7 = (!Fkhgh7); - assign Nd9gh7[31] = Ilhgh7; - assign Hdtfh7 = (!Ilhgh7); - assign Re8gh7 = (!Lmhgh7); - assign Le8gh7 = (!Mnhgh7); - assign ahb_hwdata[1] = Mohgh7; - assign Pltfh7 = (!Mohgh7); - assign com_tx_tdata[5] = Rphgh7; - assign com_tx_tdata[4] = Vqhgh7; - assign com_tx_tdata[3] = Zrhgh7; - assign com_tx_tdata[1] = Dthgh7; - assign Lktfh7 = (!Dthgh7); - assign com_tx_tdata[6] = Huhgh7; - assign Rktfh7 = (!Huhgh7); - assign com_tx_tdata[2] = Lvhgh7; - assign com_tx_tdata[0] = Pwhgh7; - assign Txhgh7 = ({Nd9gh7, 1'b0} - {{1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, - 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, - 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, - 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, Tosfh7}, 1'b0}); - assign {B5sfh7, R5sfh7, H6sfh7, X6sfh7, N7sfh7, D8sfh7, T8sfh7, J9sfh7, - Z9sfh7, Pasfh7, Fbsfh7, Vbsfh7, Lcsfh7, Bdsfh7, Rdsfh7, Hesfh7, - Xesfh7, Nfsfh7, Dgsfh7, Tgsfh7, Jhsfh7, Zhsfh7, Pisfh7, Fjsfh7, - Vjsfh7, Lksfh7, Blsfh7, Rlsfh7, Hmsfh7, Xmsfh7, Nnsfh7, Dosfh7} - = Txhgh7[33:1]; - assign Wyhgh7 = ({ahb_haddr, 1'b0} + {{1'b0, 1'b0, 1'b0, 1'b0, 1'b0, - 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, - 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, - 1'b0, 1'b0, 1'b0, 1'b0, B9tfh7, 1'b0, 1'b0}, 1'b1}); - assign {Jpsfh7, Zpsfh7, Pqsfh7, Frsfh7, Vrsfh7, Lssfh7, Btsfh7, Rtsfh7, - Husfh7, Xusfh7, Nvsfh7, Dwsfh7, Twsfh7, Jxsfh7, Zxsfh7, Pysfh7, - Fzsfh7, Vzsfh7, L0tfh7, B1tfh7, R1tfh7, H2tfh7, X2tfh7, N3tfh7, - D4tfh7, T4tfh7, J5tfh7, Z5tfh7, P6tfh7, F7tfh7, V7tfh7, L8tfh7} - = Wyhgh7[33:1]; - assign Duufh7 = (!Juufh7); - assign Juufh7 = (!ahb_hresetn); - assign Puufh7 = (!Vuufh7); - assign Vuufh7 = (!ahb_hclk); - assign Xtufh7 = (~(Bvufh7 & Hvufh7)); - assign Hvufh7 = (~(stdio_tx_tdata[7] & Nvufh7)); - assign Bvufh7 = (Tvufh7 & Zvufh7); - assign Zvufh7 = (~(com_rx_tdata[7] & Fwufh7)); - assign Tvufh7 = (~(Lwufh7 & Rwufh7)); - assign Rtufh7 = (~(Xwufh7 & Dxufh7)); - assign Dxufh7 = (~(stdio_tx_tdata[6] & Nvufh7)); - assign Xwufh7 = (Jxufh7 & Pxufh7); - assign Pxufh7 = (~(com_rx_tdata[6] & Fwufh7)); - assign Jxufh7 = (~(Lwufh7 & Vxufh7)); - assign Ltufh7 = (~(Byufh7 & Hyufh7)); - assign Hyufh7 = (~(stdio_tx_tdata[5] & Nvufh7)); - assign Byufh7 = (Nyufh7 & Tyufh7); - assign Tyufh7 = (~(com_rx_tdata[5] & Fwufh7)); - assign Nyufh7 = (~(Lwufh7 & Zyufh7)); - assign Ftufh7 = (~(Fzufh7 & Lzufh7)); - assign Lzufh7 = (~(stdio_tx_tdata[4] & Nvufh7)); - assign Fzufh7 = (Rzufh7 & Xzufh7); - assign Xzufh7 = (~(Fwufh7 & com_rx_tdata[4])); - assign Rzufh7 = (~(Lwufh7 & D0vfh7)); - assign Zsufh7 = (~(J0vfh7 & P0vfh7)); - assign P0vfh7 = (~(stdio_tx_tdata[3] & Nvufh7)); - assign J0vfh7 = (V0vfh7 & B1vfh7); - assign B1vfh7 = (~(Fwufh7 & com_rx_tdata[3])); - assign V0vfh7 = (~(Lwufh7 & H1vfh7)); - assign Tsufh7 = (~(N1vfh7 & T1vfh7)); - assign T1vfh7 = (~(stdio_tx_tdata[2] & Nvufh7)); - assign N1vfh7 = (Z1vfh7 & F2vfh7); - assign F2vfh7 = (~(com_rx_tdata[2] & Fwufh7)); - assign Z1vfh7 = (~(Lwufh7 & L2vfh7)); - assign Nsufh7 = (~(R2vfh7 & X2vfh7)); - assign X2vfh7 = (~(stdio_tx_tdata[1] & Nvufh7)); - assign R2vfh7 = (D3vfh7 & J3vfh7); - assign J3vfh7 = (~(Fwufh7 & com_rx_tdata[1])); - assign D3vfh7 = (~(Lwufh7 & P3vfh7)); - assign Hsufh7 = (~(V3vfh7 & B4vfh7)); - assign B4vfh7 = (~(stdio_tx_tdata[0] & Nvufh7)); - assign V3vfh7 = (H4vfh7 & N4vfh7); - assign N4vfh7 = (~(Fwufh7 & com_rx_tdata[0])); - assign Fwufh7 = (~(Nvufh7 | T4vfh7)); - assign H4vfh7 = (~(Lwufh7 & Z4vfh7)); - assign Lwufh7 = (~(F5vfh7 | Nvufh7)); - assign Nvufh7 = (~(L5vfh7 & stdio_tx_tready)); - assign L5vfh7 = (Duufh7 & R5vfh7); - assign R5vfh7 = (X5vfh7 | D6vfh7); - assign Prufh7 = (~(J6vfh7 & P6vfh7)); - assign J6vfh7 = (B7vfh7 ? V6vfh7 : Rb9gh7); - assign Jrufh7 = (B7vfh7 ? com_rx_tdata[7] : H7vfh7); - assign H7vfh7 = (!Zd8gh7); - assign Drufh7 = (B7vfh7 ? com_rx_tdata[6] : N7vfh7); - assign Xqufh7 = (~(T7vfh7 & P6vfh7)); - assign T7vfh7 = (B7vfh7 ? Z7vfh7 : Fb9gh7); - assign Rqufh7 = (B7vfh7 ? com_rx_tdata[3] : F8vfh7); - assign Lqufh7 = (~(L8vfh7 & P6vfh7)); - assign L8vfh7 = (B7vfh7 ? R8vfh7 : Ta9gh7); - assign Fqufh7 = (~(X8vfh7 & P6vfh7)); - assign P6vfh7 = (~(D9vfh7 & B7vfh7)); - assign X8vfh7 = (B7vfh7 ? J9vfh7 : Na9gh7); - assign B7vfh7 = (~(P9vfh7 & V9vfh7)); - assign Zpufh7 = (Havfh7 ? ahb_hwrite : Bavfh7); - assign Bavfh7 = (~(Navfh7 & Tavfh7)); - assign Navfh7 = (Zavfh7 & Fbvfh7); - assign Zavfh7 = (Lbvfh7 | Rbvfh7); - assign Tpufh7 = (~(Xbvfh7 & Dcvfh7)); - assign Dcvfh7 = (Jcvfh7 & Pcvfh7); - assign Pcvfh7 = (~(Vcvfh7 & Bdvfh7)); - assign Jcvfh7 = (Hdvfh7 & Ndvfh7); - assign Hdvfh7 = (~(Tdvfh7 & Zdvfh7)); - assign Xbvfh7 = (Fevfh7 & Levfh7); - assign Levfh7 = (~(Revfh7 & ahb_haddr[31])); - assign Fevfh7 = (Xevfh7 & Dfvfh7); - assign Dfvfh7 = (~(Jfvfh7 & Pfvfh7)); - assign Xevfh7 = (Vfvfh7 | Pl8gh7); - assign Npufh7 = (~(Bgvfh7 & Hgvfh7)); - assign Hgvfh7 = (Ngvfh7 & Tgvfh7); - assign Tgvfh7 = (~(Jfvfh7 & Zgvfh7)); - assign Ngvfh7 = (Fhvfh7 & Ndvfh7); - assign Fhvfh7 = (~(Lhvfh7 & Rhvfh7)); - assign Lhvfh7 = (com_rx_tdata[0] ? Divfh7 : Xhvfh7); - assign Divfh7 = (~(Jivfh7 & Pivfh7)); - assign Xhvfh7 = (Vivfh7 & Bjvfh7); - assign Bgvfh7 = (Hjvfh7 & Njvfh7); - assign Njvfh7 = (~(Revfh7 & ahb_haddr[0])); - assign Hjvfh7 = (Tjvfh7 & Zjvfh7); - assign Zjvfh7 = (~(Fkvfh7 & Z4vfh7)); - assign Tjvfh7 = (Vfvfh7 | Hp8gh7); - assign Hpufh7 = (~(Lkvfh7 & Rkvfh7)); - assign Rkvfh7 = (Xkvfh7 & Dlvfh7); - assign Dlvfh7 = (~(Vcvfh7 & Jlvfh7)); - assign Xkvfh7 = (Plvfh7 & Ndvfh7); - assign Plvfh7 = (~(Tdvfh7 & Vlvfh7)); - assign Lkvfh7 = (Bmvfh7 & Hmvfh7); - assign Hmvfh7 = (~(Revfh7 & ahb_haddr[30])); - assign Bmvfh7 = (Nmvfh7 & Tmvfh7); - assign Tmvfh7 = (~(Jfvfh7 & Zmvfh7)); - assign Nmvfh7 = (Vfvfh7 | Zs8gh7); - assign Bpufh7 = (~(Fnvfh7 & Lnvfh7)); - assign Lnvfh7 = (Rnvfh7 & Xnvfh7); - assign Xnvfh7 = (~(Vcvfh7 & Dovfh7)); - assign Rnvfh7 = (Jovfh7 & Ndvfh7); - assign Jovfh7 = (~(Tdvfh7 & Povfh7)); - assign Fnvfh7 = (Vovfh7 & Bpvfh7); - assign Bpvfh7 = (~(Revfh7 & ahb_haddr[29])); - assign Vovfh7 = (Hpvfh7 & Npvfh7); - assign Npvfh7 = (~(Jfvfh7 & Tpvfh7)); - assign Hpvfh7 = (Vfvfh7 | Dl8gh7); - assign Voufh7 = (~(Zpvfh7 & Fqvfh7)); - assign Fqvfh7 = (Lqvfh7 & Rqvfh7); - assign Rqvfh7 = (~(Vcvfh7 & Xqvfh7)); - assign Lqvfh7 = (Drvfh7 & Ndvfh7); - assign Drvfh7 = (~(Tdvfh7 & Jrvfh7)); - assign Zpvfh7 = (Prvfh7 & Vrvfh7); - assign Vrvfh7 = (~(Revfh7 & ahb_haddr[28])); - assign Prvfh7 = (Bsvfh7 & Hsvfh7); - assign Hsvfh7 = (~(Jfvfh7 & Nsvfh7)); - assign Bsvfh7 = (Vfvfh7 | Xn8gh7); - assign Poufh7 = (~(Tsvfh7 & Zsvfh7)); - assign Zsvfh7 = (Ftvfh7 & Ltvfh7); - assign Ltvfh7 = (~(Vcvfh7 & Zdvfh7)); - assign Ftvfh7 = (Rtvfh7 & Ndvfh7); - assign Rtvfh7 = (~(Tdvfh7 & Xtvfh7)); - assign Tsvfh7 = (Duvfh7 & Juvfh7); - assign Juvfh7 = (~(Revfh7 & ahb_haddr[27])); - assign Duvfh7 = (Puvfh7 & Vuvfh7); - assign Vuvfh7 = (~(Jfvfh7 & Bvvfh7)); - assign Puvfh7 = (Vfvfh7 | Rk8gh7); - assign Joufh7 = (~(Hvvfh7 & Nvvfh7)); - assign Nvvfh7 = (Tvvfh7 & Zvvfh7); - assign Zvvfh7 = (~(Vcvfh7 & Vlvfh7)); - assign Tvvfh7 = (Fwvfh7 & Ndvfh7); - assign Fwvfh7 = (~(Tdvfh7 & Lwvfh7)); - assign Hvvfh7 = (Rwvfh7 & Xwvfh7); - assign Xwvfh7 = (~(Revfh7 & ahb_haddr[26])); - assign Rwvfh7 = (Dxvfh7 & Jxvfh7); - assign Jxvfh7 = (~(Jfvfh7 & Pxvfh7)); - assign Dxvfh7 = (Vfvfh7 | Ns8gh7); - assign Doufh7 = (~(Vxvfh7 & Byvfh7)); - assign Byvfh7 = (Hyvfh7 & Nyvfh7); - assign Nyvfh7 = (~(Vcvfh7 & Povfh7)); - assign Hyvfh7 = (Tyvfh7 & Ndvfh7); - assign Tyvfh7 = (~(Tdvfh7 & Zyvfh7)); - assign Vxvfh7 = (Fzvfh7 & Lzvfh7); - assign Lzvfh7 = (~(Revfh7 & ahb_haddr[25])); - assign Fzvfh7 = (Rzvfh7 & Xzvfh7); - assign Xzvfh7 = (~(Jfvfh7 & D0wfh7)); - assign Rzvfh7 = (Vfvfh7 | Fk8gh7); - assign Xnufh7 = (~(J0wfh7 & P0wfh7)); - assign P0wfh7 = (V0wfh7 & B1wfh7); - assign B1wfh7 = (~(Vcvfh7 & Jrvfh7)); - assign V0wfh7 = (H1wfh7 & Ndvfh7); - assign H1wfh7 = (~(Tdvfh7 & N1wfh7)); - assign J0wfh7 = (T1wfh7 & Z1wfh7); - assign Z1wfh7 = (~(Revfh7 & ahb_haddr[24])); - assign T1wfh7 = (F2wfh7 & L2wfh7); - assign L2wfh7 = (~(Jfvfh7 & R2wfh7)); - assign F2wfh7 = (Vfvfh7 | Jo8gh7); - assign Rnufh7 = (~(X2wfh7 & D3wfh7)); - assign D3wfh7 = (J3wfh7 & P3wfh7); - assign P3wfh7 = (~(Vcvfh7 & Xtvfh7)); - assign J3wfh7 = (V3wfh7 & Ndvfh7); - assign V3wfh7 = (~(Tdvfh7 & B4wfh7)); - assign X2wfh7 = (H4wfh7 & N4wfh7); - assign N4wfh7 = (~(Revfh7 & ahb_haddr[23])); - assign H4wfh7 = (T4wfh7 & Z4wfh7); - assign Z4wfh7 = (~(Jfvfh7 & F5wfh7)); - assign T4wfh7 = (Vfvfh7 | Tj8gh7); - assign Lnufh7 = (~(L5wfh7 & R5wfh7)); - assign R5wfh7 = (X5wfh7 & D6wfh7); - assign D6wfh7 = (~(Vcvfh7 & Lwvfh7)); - assign X5wfh7 = (J6wfh7 & Ndvfh7); - assign J6wfh7 = (~(Tdvfh7 & P6wfh7)); - assign L5wfh7 = (V6wfh7 & B7wfh7); - assign B7wfh7 = (~(Revfh7 & ahb_haddr[22])); - assign V6wfh7 = (H7wfh7 & N7wfh7); - assign N7wfh7 = (~(Jfvfh7 & T7wfh7)); - assign H7wfh7 = (Vfvfh7 | Bs8gh7); - assign Fnufh7 = (~(Z7wfh7 & F8wfh7)); - assign F8wfh7 = (L8wfh7 & R8wfh7); - assign R8wfh7 = (~(Vcvfh7 & Zyvfh7)); - assign L8wfh7 = (X8wfh7 & Ndvfh7); - assign X8wfh7 = (~(Tdvfh7 & D9wfh7)); - assign Z7wfh7 = (J9wfh7 & P9wfh7); - assign P9wfh7 = (~(Revfh7 & ahb_haddr[21])); - assign J9wfh7 = (V9wfh7 & Bawfh7); - assign Bawfh7 = (~(Jfvfh7 & Hawfh7)); - assign V9wfh7 = (Vfvfh7 | Hj8gh7); - assign Zmufh7 = (~(Nawfh7 & Tawfh7)); - assign Tawfh7 = (Zawfh7 & Fbwfh7); - assign Fbwfh7 = (~(Vcvfh7 & N1wfh7)); - assign Zawfh7 = (Lbwfh7 & Ndvfh7); - assign Lbwfh7 = (~(Tdvfh7 & Rbwfh7)); - assign Nawfh7 = (Xbwfh7 & Dcwfh7); - assign Dcwfh7 = (~(Revfh7 & ahb_haddr[20])); - assign Xbwfh7 = (Jcwfh7 & Pcwfh7); - assign Pcwfh7 = (~(Jfvfh7 & Vcwfh7)); - assign Jcwfh7 = (Vfvfh7 | Ln8gh7); - assign Tmufh7 = (~(Bdwfh7 & Hdwfh7)); - assign Hdwfh7 = (Ndwfh7 & Tdwfh7); - assign Tdwfh7 = (~(Vcvfh7 & B4wfh7)); - assign Ndwfh7 = (Zdwfh7 & Ndvfh7); - assign Zdwfh7 = (~(Tdvfh7 & Fewfh7)); - assign Bdwfh7 = (Lewfh7 & Rewfh7); - assign Rewfh7 = (~(Revfh7 & ahb_haddr[19])); - assign Lewfh7 = (Xewfh7 & Dfwfh7); - assign Dfwfh7 = (~(Jfvfh7 & Jfwfh7)); - assign Xewfh7 = (Vfvfh7 | Vi8gh7); - assign Nmufh7 = (~(Pfwfh7 & Vfwfh7)); - assign Vfwfh7 = (Bgwfh7 & Hgwfh7); - assign Hgwfh7 = (~(Vcvfh7 & P6wfh7)); - assign Bgwfh7 = (Ngwfh7 & Ndvfh7); - assign Ngwfh7 = (~(Tdvfh7 & Tgwfh7)); - assign Pfwfh7 = (Zgwfh7 & Fhwfh7); - assign Fhwfh7 = (~(Revfh7 & ahb_haddr[18])); - assign Zgwfh7 = (Lhwfh7 & Rhwfh7); - assign Rhwfh7 = (~(Jfvfh7 & Xhwfh7)); - assign Lhwfh7 = (Vfvfh7 | Pr8gh7); - assign Hmufh7 = (~(Diwfh7 & Jiwfh7)); - assign Jiwfh7 = (Piwfh7 & Viwfh7); - assign Viwfh7 = (~(Vcvfh7 & D9wfh7)); - assign Piwfh7 = (Bjwfh7 & Ndvfh7); - assign Bjwfh7 = (~(Tdvfh7 & Hjwfh7)); - assign Diwfh7 = (Njwfh7 & Tjwfh7); - assign Tjwfh7 = (~(Revfh7 & ahb_haddr[17])); - assign Njwfh7 = (Zjwfh7 & Fkwfh7); - assign Fkwfh7 = (~(Jfvfh7 & Lkwfh7)); - assign Zjwfh7 = (Vfvfh7 | Ji8gh7); - assign Bmufh7 = (~(Rkwfh7 & Xkwfh7)); - assign Xkwfh7 = (Dlwfh7 & Jlwfh7); - assign Jlwfh7 = (~(Vcvfh7 & Rbwfh7)); - assign Dlwfh7 = (Plwfh7 & Ndvfh7); - assign Plwfh7 = (~(Tdvfh7 & Vlwfh7)); - assign Rkwfh7 = (Bmwfh7 & Hmwfh7); - assign Hmwfh7 = (~(Revfh7 & ahb_haddr[16])); - assign Bmwfh7 = (Nmwfh7 & Tmwfh7); - assign Tmwfh7 = (~(Jfvfh7 & Zmwfh7)); - assign Nmwfh7 = (Vfvfh7 | Vo8gh7); - assign Vlufh7 = (~(Fnwfh7 & Lnwfh7)); - assign Lnwfh7 = (Rnwfh7 & Xnwfh7); - assign Xnwfh7 = (~(Vcvfh7 & Fewfh7)); - assign Rnwfh7 = (Dowfh7 & Ndvfh7); - assign Dowfh7 = (~(Tdvfh7 & Jowfh7)); - assign Fnwfh7 = (Powfh7 & Vowfh7); - assign Vowfh7 = (~(Revfh7 & ahb_haddr[15])); - assign Powfh7 = (Bpwfh7 & Hpwfh7); - assign Hpwfh7 = (~(Jfvfh7 & Npwfh7)); - assign Bpwfh7 = (Vfvfh7 | Xh8gh7); - assign Plufh7 = (~(Tpwfh7 & Zpwfh7)); - assign Zpwfh7 = (Fqwfh7 & Lqwfh7); - assign Lqwfh7 = (~(Vcvfh7 & Tgwfh7)); - assign Fqwfh7 = (Rqwfh7 & Ndvfh7); - assign Rqwfh7 = (~(Tdvfh7 & Xqwfh7)); - assign Tpwfh7 = (Drwfh7 & Jrwfh7); - assign Jrwfh7 = (~(Revfh7 & ahb_haddr[14])); - assign Drwfh7 = (Prwfh7 & Vrwfh7); - assign Vrwfh7 = (~(Jfvfh7 & Bswfh7)); - assign Prwfh7 = (Vfvfh7 | Dr8gh7); - assign Jlufh7 = (~(Hswfh7 & Nswfh7)); - assign Nswfh7 = (Tswfh7 & Zswfh7); - assign Zswfh7 = (~(Vcvfh7 & Hjwfh7)); - assign Tswfh7 = (Ftwfh7 & Ndvfh7); - assign Ftwfh7 = (~(Tdvfh7 & Ltwfh7)); - assign Hswfh7 = (Rtwfh7 & Xtwfh7); - assign Xtwfh7 = (~(Revfh7 & ahb_haddr[13])); - assign Rtwfh7 = (Duwfh7 & Juwfh7); - assign Juwfh7 = (~(Jfvfh7 & Puwfh7)); - assign Duwfh7 = (Vfvfh7 | Lh8gh7); - assign Dlufh7 = (~(Vuwfh7 & Bvwfh7)); - assign Bvwfh7 = (Hvwfh7 & Nvwfh7); - assign Nvwfh7 = (~(Vcvfh7 & Vlwfh7)); - assign Hvwfh7 = (Tvwfh7 & Ndvfh7); - assign Tvwfh7 = (~(Tdvfh7 & Zvwfh7)); - assign Vuwfh7 = (Fwwfh7 & Lwwfh7); - assign Lwwfh7 = (~(Revfh7 & ahb_haddr[12])); - assign Fwwfh7 = (Rwwfh7 & Xwwfh7); - assign Xwwfh7 = (~(Jfvfh7 & Dxwfh7)); - assign Rwwfh7 = (Vfvfh7 | Nm8gh7); - assign Xkufh7 = (~(Jxwfh7 & Pxwfh7)); - assign Pxwfh7 = (Vxwfh7 & Bywfh7); - assign Bywfh7 = (~(Vcvfh7 & Jowfh7)); - assign Vxwfh7 = (Hywfh7 & Ndvfh7); - assign Hywfh7 = (~(Tdvfh7 & Rwufh7)); - assign Jxwfh7 = (Nywfh7 & Tywfh7); - assign Tywfh7 = (~(Revfh7 & ahb_haddr[11])); - assign Nywfh7 = (Zywfh7 & Fzwfh7); - assign Fzwfh7 = (~(Jfvfh7 & Lzwfh7)); - assign Zywfh7 = (Vfvfh7 | Zg8gh7); - assign Rkufh7 = (~(Rzwfh7 & Xzwfh7)); - assign Xzwfh7 = (D0xfh7 & J0xfh7); - assign J0xfh7 = (~(Vcvfh7 & Xqwfh7)); - assign D0xfh7 = (P0xfh7 & Ndvfh7); - assign P0xfh7 = (~(Tdvfh7 & Vxufh7)); - assign Rzwfh7 = (V0xfh7 & B1xfh7); - assign B1xfh7 = (~(Revfh7 & ahb_haddr[10])); - assign V0xfh7 = (H1xfh7 & N1xfh7); - assign N1xfh7 = (~(Jfvfh7 & T1xfh7)); - assign H1xfh7 = (Vfvfh7 | Rq8gh7); - assign Lkufh7 = (~(Z1xfh7 & F2xfh7)); - assign F2xfh7 = (L2xfh7 & R2xfh7); - assign R2xfh7 = (~(Vcvfh7 & Ltwfh7)); - assign L2xfh7 = (X2xfh7 & Ndvfh7); - assign X2xfh7 = (~(Tdvfh7 & Zyufh7)); - assign Z1xfh7 = (D3xfh7 & J3xfh7); - assign J3xfh7 = (~(Revfh7 & ahb_haddr[9])); - assign D3xfh7 = (P3xfh7 & V3xfh7); - assign V3xfh7 = (~(Jfvfh7 & B4xfh7)); - assign P3xfh7 = (Vfvfh7 | Ng8gh7); - assign Fkufh7 = (~(H4xfh7 & N4xfh7)); - assign N4xfh7 = (T4xfh7 & Z4xfh7); - assign Z4xfh7 = (~(Vcvfh7 & Zvwfh7)); - assign T4xfh7 = (F5xfh7 & Ndvfh7); - assign F5xfh7 = (~(Tdvfh7 & D0vfh7)); - assign H4xfh7 = (L5xfh7 & R5xfh7); - assign R5xfh7 = (~(Revfh7 & ahb_haddr[8])); - assign L5xfh7 = (X5xfh7 & D6xfh7); - assign D6xfh7 = (~(Jfvfh7 & J6xfh7)); - assign X5xfh7 = (Vfvfh7 | Zm8gh7); - assign Zjufh7 = (~(P6xfh7 & V6xfh7)); - assign V6xfh7 = (B7xfh7 & H7xfh7); - assign H7xfh7 = (~(Vcvfh7 & Rwufh7)); - assign B7xfh7 = (N7xfh7 & Ndvfh7); - assign N7xfh7 = (~(Tdvfh7 & H1vfh7)); - assign P6xfh7 = (T7xfh7 & Z7xfh7); - assign Z7xfh7 = (~(Revfh7 & ahb_haddr[7])); - assign T7xfh7 = (F8xfh7 & L8xfh7); - assign L8xfh7 = (~(Jfvfh7 & R8xfh7)); - assign F8xfh7 = (Vfvfh7 | Bg8gh7); - assign Tjufh7 = (~(X8xfh7 & D9xfh7)); - assign D9xfh7 = (J9xfh7 & P9xfh7); - assign P9xfh7 = (~(Vcvfh7 & Vxufh7)); - assign J9xfh7 = (V9xfh7 & Ndvfh7); - assign V9xfh7 = (~(Tdvfh7 & L2vfh7)); - assign X8xfh7 = (Baxfh7 & Haxfh7); - assign Haxfh7 = (~(Revfh7 & ahb_haddr[6])); - assign Baxfh7 = (Naxfh7 & Taxfh7); - assign Taxfh7 = (~(Jfvfh7 & Zaxfh7)); - assign Naxfh7 = (Vfvfh7 | Fq8gh7); - assign Njufh7 = (~(Fbxfh7 & Lbxfh7)); - assign Lbxfh7 = (Rbxfh7 & Xbxfh7); - assign Xbxfh7 = (~(Vcvfh7 & Zyufh7)); - assign Rbxfh7 = (Dcxfh7 & Ndvfh7); - assign Dcxfh7 = (~(Tdvfh7 & P3vfh7)); - assign Fbxfh7 = (Jcxfh7 & Pcxfh7); - assign Pcxfh7 = (~(Revfh7 & ahb_haddr[5])); - assign Jcxfh7 = (Vcxfh7 & Bdxfh7); - assign Bdxfh7 = (~(Jfvfh7 & Hdxfh7)); - assign Vcxfh7 = (Vfvfh7 | Pf8gh7); - assign Hjufh7 = (~(Ndxfh7 & Tdxfh7)); - assign Tdxfh7 = (Zdxfh7 & Fexfh7); - assign Fexfh7 = (~(Vcvfh7 & D0vfh7)); - assign Vcvfh7 = (~(Lexfh7 & Rexfh7)); - assign Rexfh7 = (~(Xexfh7 & Dfxfh7)); - assign Zdxfh7 = (Jfxfh7 & Ndvfh7); - assign Jfxfh7 = (~(Tdvfh7 & Z4vfh7)); - assign Tdvfh7 = (Rhvfh7 & Pfxfh7); - assign Pfxfh7 = (Vfxfh7 | Bgxfh7); - assign Ndxfh7 = (Hgxfh7 & Ngxfh7); - assign Ngxfh7 = (~(Revfh7 & ahb_haddr[4])); - assign Hgxfh7 = (Tgxfh7 & Zgxfh7); - assign Zgxfh7 = (~(Jfvfh7 & Fhxfh7)); - assign Tgxfh7 = (Vfvfh7 | Bm8gh7); - assign Bjufh7 = (~(Lhxfh7 & Rhxfh7)); - assign Rhxfh7 = (Xhxfh7 & Dixfh7); - assign Dixfh7 = (~(Jfvfh7 & Jixfh7)); - assign Xhxfh7 = (Pixfh7 & Ndvfh7); - assign Pixfh7 = (~(Rhvfh7 & Vfxfh7)); - assign Vfxfh7 = (~(Vixfh7 & Bjxfh7)); - assign Vixfh7 = (Hjxfh7 & Jivfh7); - assign Jivfh7 = (~(Njxfh7 & Tjxfh7)); - assign Njxfh7 = (Zjxfh7 & com_rx_tdata[3]); - assign Lhxfh7 = (Fkxfh7 & Lkxfh7); - assign Lkxfh7 = (~(Revfh7 & ahb_haddr[3])); - assign Fkxfh7 = (Rkxfh7 & Xkxfh7); - assign Xkxfh7 = (~(Fkvfh7 & H1vfh7)); - assign Rkxfh7 = (Vfvfh7 | Df8gh7); - assign Viufh7 = (~(Dlxfh7 & Jlxfh7)); - assign Jlxfh7 = (Plxfh7 & Vlxfh7); - assign Vlxfh7 = (~(Jfvfh7 & Bmxfh7)); - assign Plxfh7 = (Hmxfh7 & Ndvfh7); - assign Hmxfh7 = (~(Rhvfh7 & Nmxfh7)); - assign Nmxfh7 = (~(Bjxfh7 & Tmxfh7)); - assign Tmxfh7 = (~(com_rx_tdata[2] & Zmxfh7)); - assign Zmxfh7 = (~(Pivfh7 & Fnxfh7)); - assign Fnxfh7 = (~(Vivfh7 & J9vfh7)); - assign Pivfh7 = (!Bgxfh7); - assign Bjxfh7 = (~(Lnxfh7 & Vivfh7)); - assign Lnxfh7 = (Rnxfh7 & Bjvfh7); - assign Rnxfh7 = (R8vfh7 ^ V6vfh7); - assign Dlxfh7 = (Xnxfh7 & Doxfh7); - assign Doxfh7 = (~(Revfh7 & ahb_haddr[2])); - assign Xnxfh7 = (Joxfh7 & Poxfh7); - assign Poxfh7 = (~(Fkvfh7 & L2vfh7)); - assign Joxfh7 = (Vfvfh7 | Tp8gh7); - assign Piufh7 = (~(Voxfh7 & Bpxfh7)); - assign Bpxfh7 = (Hpxfh7 & Npxfh7); - assign Npxfh7 = (~(Jfvfh7 & Tpxfh7)); - assign Jfvfh7 = (Zpxfh7 & Fqxfh7); - assign Zpxfh7 = (Lqxfh7 & Rqxfh7); - assign Hpxfh7 = (Xqxfh7 & Ndvfh7); - assign Ndvfh7 = (Drxfh7 | Jrxfh7); - assign Xqxfh7 = (~(Rhvfh7 & Prxfh7)); - assign Prxfh7 = (~(Hjxfh7 & Vrxfh7)); - assign Vrxfh7 = (~(Bgxfh7 & com_rx_tdata[1])); - assign Bgxfh7 = (Tjxfh7 & Bsxfh7); - assign Tjxfh7 = (Hsxfh7 & com_rx_tdata[5]); - assign Hsxfh7 = (~(Z7vfh7 | com_rx_tdata[6])); - assign Hjxfh7 = (~(Nsxfh7 & Vivfh7)); - assign Vivfh7 = (Tsxfh7 & com_rx_tdata[6]); - assign Tsxfh7 = (Bsxfh7 & Z7vfh7); - assign Nsxfh7 = (~(Zsxfh7 | Ftxfh7)); - assign Zsxfh7 = (V6vfh7 & J9vfh7); - assign Voxfh7 = (Ltxfh7 & Rtxfh7); - assign Rtxfh7 = (~(Revfh7 & ahb_haddr[1])); - assign Revfh7 = (~(Xtxfh7 | Jrxfh7)); - assign Ltxfh7 = (Duxfh7 & Juxfh7); - assign Juxfh7 = (~(Fkvfh7 & P3vfh7)); - assign Fkvfh7 = (~(Lexfh7 & Puxfh7)); - assign Puxfh7 = (~(Rhvfh7 & Dfxfh7)); - assign Dfxfh7 = (~(Vuxfh7 & Bvxfh7)); - assign Bvxfh7 = (~(Hvxfh7 | Nvxfh7)); - assign Vuxfh7 = (Tvxfh7 & Zvxfh7); - assign Zvxfh7 = (~(Z7vfh7 & Fwxfh7)); - assign Fwxfh7 = (~(Lwxfh7 & Rwxfh7)); - assign Rwxfh7 = (com_rx_tdata[6] ? Dxxfh7 : Xwxfh7); - assign Dxxfh7 = (~(Zjxfh7 & V6vfh7)); - assign Xwxfh7 = (~(com_rx_tdata[0] & Bsxfh7)); - assign Lwxfh7 = (Jxxfh7 & Pxxfh7); - assign Pxxfh7 = (~(Ftxfh7 & com_rx_tdata[2])); - assign Jxxfh7 = (~(com_rx_tdata[5] & com_rx_tdata[3])); - assign Tvxfh7 = (com_rx_tdata[6] ? Byxfh7 : Vxxfh7); - assign Byxfh7 = (Bsxfh7 ? Z7vfh7 : V6vfh7); - assign Vxxfh7 = (~(Hyxfh7 | Nyxfh7)); - assign Hyxfh7 = (Z7vfh7 & Bjvfh7); - assign Rhvfh7 = (Tyxfh7 & Xexfh7); - assign Tyxfh7 = (~(Jrxfh7 | com_rx_tdata[7])); - assign Lexfh7 = (Zyxfh7 & Fzxfh7); - assign Fzxfh7 = (~(Lzxfh7 | Jrxfh7)); - assign Jrxfh7 = (Rzxfh7 & Xzxfh7); - assign Xzxfh7 = (D0yfh7 & V9vfh7); - assign Rzxfh7 = (J0yfh7 & P0yfh7); - assign P0yfh7 = (~(Xexfh7 & V0yfh7)); - assign J0yfh7 = (Xtxfh7 | B1yfh7); - assign Zyxfh7 = (H1yfh7 & N1yfh7); - assign H1yfh7 = (~(Xexfh7 & com_rx_tdata[7])); - assign Duxfh7 = (Vfvfh7 | Re8gh7); - assign Vfvfh7 = (~(T1yfh7 & Lqxfh7)); - assign T1yfh7 = (Z1yfh7 & Rqxfh7); - assign Jiufh7 = (!F2yfh7); - assign F2yfh7 = (N1yfh7 ? Hp8gh7 : Jc9gh7); - assign Diufh7 = (!L2yfh7); - assign L2yfh7 = (N1yfh7 ? Zs8gh7 : D39gh7); - assign Xhufh7 = (!R2yfh7); - assign R2yfh7 = (N1yfh7 ? Dl8gh7 : F89gh7); - assign Rhufh7 = (!X2yfh7); - assign X2yfh7 = (N1yfh7 ? Xn8gh7 : D69gh7); - assign Lhufh7 = (!D3yfh7); - assign D3yfh7 = (N1yfh7 ? Rk8gh7 : T49gh7); - assign Fhufh7 = (!J3yfh7); - assign J3yfh7 = (N1yfh7 ? Ns8gh7 : J39gh7); - assign Zgufh7 = (!P3yfh7); - assign P3yfh7 = (N1yfh7 ? Fk8gh7 : L89gh7); - assign Tgufh7 = (!V3yfh7); - assign V3yfh7 = (N1yfh7 ? Jo8gh7 : J69gh7); - assign Ngufh7 = (!B4yfh7); - assign B4yfh7 = (N1yfh7 ? Tj8gh7 : Z49gh7); - assign Hgufh7 = (!H4yfh7); - assign H4yfh7 = (N1yfh7 ? Bs8gh7 : P39gh7); - assign Bgufh7 = (!N4yfh7); - assign N4yfh7 = (N1yfh7 ? Hj8gh7 : R89gh7); - assign Vfufh7 = (!T4yfh7); - assign T4yfh7 = (N1yfh7 ? Ln8gh7 : P69gh7); - assign Pfufh7 = (!Z4yfh7); - assign Z4yfh7 = (N1yfh7 ? Vi8gh7 : F59gh7); - assign Jfufh7 = (!F5yfh7); - assign F5yfh7 = (N1yfh7 ? Pr8gh7 : V39gh7); - assign Dfufh7 = (!L5yfh7); - assign L5yfh7 = (N1yfh7 ? Ji8gh7 : X89gh7); - assign Xeufh7 = (!R5yfh7); - assign R5yfh7 = (N1yfh7 ? Vo8gh7 : V69gh7); - assign Reufh7 = (!X5yfh7); - assign X5yfh7 = (N1yfh7 ? Xh8gh7 : L59gh7); - assign Leufh7 = (!D6yfh7); - assign D6yfh7 = (N1yfh7 ? Dr8gh7 : B49gh7); - assign Feufh7 = (!J6yfh7); - assign J6yfh7 = (N1yfh7 ? Lh8gh7 : D99gh7); - assign Zdufh7 = (!P6yfh7); - assign P6yfh7 = (N1yfh7 ? Nm8gh7 : B79gh7); - assign Tdufh7 = (!V6yfh7); - assign V6yfh7 = (N1yfh7 ? Zg8gh7 : R59gh7); - assign Ndufh7 = (!B7yfh7); - assign B7yfh7 = (N1yfh7 ? Rq8gh7 : H49gh7); - assign Hdufh7 = (!H7yfh7); - assign H7yfh7 = (N1yfh7 ? Ng8gh7 : J99gh7); - assign Bdufh7 = (!N7yfh7); - assign N7yfh7 = (N1yfh7 ? Zm8gh7 : H79gh7); - assign Vcufh7 = (!T7yfh7); - assign T7yfh7 = (N1yfh7 ? Bg8gh7 : X59gh7); - assign Pcufh7 = (!Z7yfh7); - assign Z7yfh7 = (N1yfh7 ? Fq8gh7 : N49gh7); - assign Jcufh7 = (!F8yfh7); - assign F8yfh7 = (N1yfh7 ? Pf8gh7 : P99gh7); - assign Dcufh7 = (!L8yfh7); - assign L8yfh7 = (N1yfh7 ? Bm8gh7 : Dc9gh7); - assign Xbufh7 = (!R8yfh7); - assign R8yfh7 = (N1yfh7 ? Df8gh7 : N79gh7); - assign Rbufh7 = (!X8yfh7); - assign X8yfh7 = (D9yfh7 ? T79gh7 : Tp8gh7); - assign Lbufh7 = (!J9yfh7); - assign J9yfh7 = (N1yfh7 ? Re8gh7 : Vc9gh7); - assign N1yfh7 = (!D9yfh7); - assign Fbufh7 = (P9yfh7 ? Zgvfh7 : Z4vfh7); - assign Zaufh7 = (P9yfh7 ? Zmvfh7 : Jlvfh7); - assign Taufh7 = (P9yfh7 ? Tpvfh7 : Dovfh7); - assign Naufh7 = (P9yfh7 ? Nsvfh7 : Xqvfh7); - assign Haufh7 = (P9yfh7 ? Bvvfh7 : Zdvfh7); - assign Baufh7 = (P9yfh7 ? Pxvfh7 : Vlvfh7); - assign V9ufh7 = (P9yfh7 ? D0wfh7 : Povfh7); - assign P9ufh7 = (P9yfh7 ? R2wfh7 : Jrvfh7); - assign J9ufh7 = (P9yfh7 ? F5wfh7 : Xtvfh7); - assign D9ufh7 = (P9yfh7 ? T7wfh7 : Lwvfh7); - assign X8ufh7 = (P9yfh7 ? Hawfh7 : Zyvfh7); - assign R8ufh7 = (P9yfh7 ? Vcwfh7 : N1wfh7); - assign L8ufh7 = (P9yfh7 ? Jfwfh7 : B4wfh7); - assign F8ufh7 = (P9yfh7 ? Xhwfh7 : P6wfh7); - assign Z7ufh7 = (P9yfh7 ? Lkwfh7 : D9wfh7); - assign T7ufh7 = (P9yfh7 ? Zmwfh7 : Rbwfh7); - assign N7ufh7 = (P9yfh7 ? Npwfh7 : Fewfh7); - assign H7ufh7 = (P9yfh7 ? Bswfh7 : Tgwfh7); - assign B7ufh7 = (P9yfh7 ? Puwfh7 : Hjwfh7); - assign V6ufh7 = (P9yfh7 ? Dxwfh7 : Vlwfh7); - assign P6ufh7 = (P9yfh7 ? Lzwfh7 : Jowfh7); - assign J6ufh7 = (P9yfh7 ? T1xfh7 : Xqwfh7); - assign D6ufh7 = (P9yfh7 ? B4xfh7 : Ltwfh7); - assign X5ufh7 = (P9yfh7 ? J6xfh7 : Zvwfh7); - assign R5ufh7 = (P9yfh7 ? R8xfh7 : Rwufh7); - assign L5ufh7 = (P9yfh7 ? Zaxfh7 : Vxufh7); - assign F5ufh7 = (P9yfh7 ? Hdxfh7 : Zyufh7); - assign Z4ufh7 = (P9yfh7 ? Fhxfh7 : D0vfh7); - assign T4ufh7 = (P9yfh7 ? Jixfh7 : H1vfh7); - assign N4ufh7 = (P9yfh7 ? Bmxfh7 : L2vfh7); - assign P9yfh7 = (!Lzxfh7); - assign H4ufh7 = (Lzxfh7 ? P3vfh7 : Tpxfh7); - assign B4ufh7 = (~(V9yfh7 & Bayfh7)); - assign Bayfh7 = (Hayfh7 | Vitfh7); - assign Hayfh7 = (Nayfh7 & Tayfh7); - assign Tayfh7 = (~(Vc9gh7 & Zayfh7)); - assign V9yfh7 = (~(Fbyfh7 & P3vfh7)); - assign V3ufh7 = (~(Lbyfh7 & Rbyfh7)); - assign Rbyfh7 = (Xbyfh7 | Pitfh7); - assign Xbyfh7 = (Nayfh7 & Dcyfh7); - assign Dcyfh7 = (~(Jc9gh7 & Zayfh7)); - assign Lbyfh7 = (~(Fbyfh7 & Z4vfh7)); - assign P3ufh7 = (~(Jcyfh7 & Pcyfh7)); - assign Pcyfh7 = (Vcyfh7 | Bjtfh7); - assign Vcyfh7 = (Nayfh7 & Bdyfh7); - assign Bdyfh7 = (~(T79gh7 & Zayfh7)); - assign Jcyfh7 = (~(Fbyfh7 & L2vfh7)); - assign J3ufh7 = (~(Hdyfh7 & Ndyfh7)); - assign Ndyfh7 = (Tdyfh7 | Hjtfh7); - assign Tdyfh7 = (Nayfh7 & Zdyfh7); - assign Zdyfh7 = (~(N79gh7 & Zayfh7)); - assign Hdyfh7 = (~(Fbyfh7 & H1vfh7)); - assign D3ufh7 = (~(Feyfh7 & Leyfh7)); - assign Leyfh7 = (Reyfh7 | Njtfh7); - assign Reyfh7 = (Nayfh7 & Xeyfh7); - assign Xeyfh7 = (~(Dc9gh7 & Zayfh7)); - assign Feyfh7 = (~(Fbyfh7 & D0vfh7)); - assign X2ufh7 = (~(Dfyfh7 & Jfyfh7)); - assign Jfyfh7 = (Pfyfh7 | Tjtfh7); - assign Pfyfh7 = (Nayfh7 & Vfyfh7); - assign Vfyfh7 = (~(P99gh7 & Zayfh7)); - assign Dfyfh7 = (~(Fbyfh7 & Zyufh7)); - assign R2ufh7 = (~(Bgyfh7 & Hgyfh7)); - assign Hgyfh7 = (Ngyfh7 | Zjtfh7); - assign Ngyfh7 = (Nayfh7 & Tgyfh7); - assign Tgyfh7 = (~(N49gh7 & Zayfh7)); - assign Bgyfh7 = (~(Fbyfh7 & Vxufh7)); - assign L2ufh7 = (~(Zgyfh7 & Fhyfh7)); - assign Fhyfh7 = (Lhyfh7 | Fktfh7); - assign Lhyfh7 = (Nayfh7 & Rhyfh7); - assign Rhyfh7 = (~(X59gh7 & Zayfh7)); - assign Nayfh7 = (Xhyfh7 & Sorfh7); - assign Xhyfh7 = (Diyfh7 & Zvwfh7); - assign Zgyfh7 = (~(Fbyfh7 & Rwufh7)); - assign Fbyfh7 = (Jiyfh7 & Sorfh7); - assign Jiyfh7 = (Diyfh7 & Ltwfh7); - assign F2ufh7 = (!Piyfh7); - assign Piyfh7 = (D9yfh7 ? Z79gh7 : Pl8gh7); - assign D9yfh7 = (Viyfh7 & Bjyfh7); - assign Viyfh7 = (Z1yfh7 & B1yfh7); - assign Z1yfh7 = (!Hjyfh7); - assign Z1ufh7 = (Lzxfh7 ? Bdvfh7 : Pfvfh7); - assign Lzxfh7 = (Njyfh7 & Fqxfh7); - assign Njyfh7 = (Rqxfh7 & B1yfh7); - assign T1ufh7 = (!Tjyfh7); - assign Tjyfh7 = (Fkyfh7 ? Jltfh7 : Zjyfh7); - assign Zjyfh7 = (Lkyfh7 & Rkyfh7); - assign Rkyfh7 = (Xkyfh7 & Dlyfh7); - assign Dlyfh7 = (~(ahb_hrdata[0] & Jlyfh7)); - assign Xkyfh7 = (Plyfh7 | Jc9gh7); - assign Lkyfh7 = (Vlyfh7 & Bmyfh7); - assign Bmyfh7 = (~(Hmyfh7 & Zgvfh7)); - assign Vlyfh7 = (~(Nmyfh7 & com_rx_tdata[0])); - assign N1ufh7 = (!Tmyfh7); - assign Tmyfh7 = (Fkyfh7 ? Pltfh7 : Zmyfh7); - assign Zmyfh7 = (Fnyfh7 & Lnyfh7); - assign Lnyfh7 = (Rnyfh7 & Xnyfh7); - assign Xnyfh7 = (~(ahb_hrdata[1] & Jlyfh7)); - assign Rnyfh7 = (Plyfh7 | Vc9gh7); - assign Fnyfh7 = (Doyfh7 & Joyfh7); - assign Joyfh7 = (~(Hmyfh7 & Tpxfh7)); - assign Doyfh7 = (~(Nmyfh7 & com_rx_tdata[1])); - assign H1ufh7 = (!Poyfh7); - assign Poyfh7 = (Fkyfh7 ? Vltfh7 : Voyfh7); - assign Voyfh7 = (Bpyfh7 & Hpyfh7); - assign Hpyfh7 = (Npyfh7 & Tpyfh7); - assign Tpyfh7 = (~(ahb_hrdata[2] & Jlyfh7)); - assign Npyfh7 = (Plyfh7 | T79gh7); - assign Bpyfh7 = (Zpyfh7 & Fqyfh7); - assign Fqyfh7 = (~(Hmyfh7 & Bmxfh7)); - assign Bmxfh7 = (!Np8gh7); - assign Zpyfh7 = (~(Nmyfh7 & com_rx_tdata[2])); - assign B1ufh7 = (!Lqyfh7); - assign Lqyfh7 = (Fkyfh7 ? Bmtfh7 : Rqyfh7); - assign Rqyfh7 = (Xqyfh7 & Dryfh7); - assign Dryfh7 = (Jryfh7 & Pryfh7); - assign Pryfh7 = (~(ahb_hrdata[3] & Jlyfh7)); - assign Jryfh7 = (Plyfh7 | N79gh7); - assign Xqyfh7 = (Vryfh7 & Bsyfh7); - assign Bsyfh7 = (~(Hmyfh7 & Jixfh7)); - assign Vryfh7 = (~(Nmyfh7 & com_rx_tdata[3])); - assign V0ufh7 = (!Hsyfh7); - assign Hsyfh7 = (Fkyfh7 ? Hmtfh7 : Nsyfh7); - assign Nsyfh7 = (Tsyfh7 & Zsyfh7); - assign Zsyfh7 = (Ftyfh7 & Ltyfh7); - assign Ltyfh7 = (~(ahb_hrdata[4] & Jlyfh7)); - assign Ftyfh7 = (Plyfh7 | Dc9gh7); - assign Tsyfh7 = (Rtyfh7 & Xtyfh7); - assign Xtyfh7 = (~(Hmyfh7 & Fhxfh7)); - assign Rtyfh7 = (~(Nmyfh7 & com_rx_tdata[4])); - assign P0ufh7 = (!Duyfh7); - assign Duyfh7 = (Fkyfh7 ? Nmtfh7 : Juyfh7); - assign Fkyfh7 = (!Puyfh7); - assign Juyfh7 = (Vuyfh7 & Bvyfh7); - assign Bvyfh7 = (Hvyfh7 & Nvyfh7); - assign Nvyfh7 = (~(ahb_hrdata[5] & Jlyfh7)); - assign Hvyfh7 = (Plyfh7 | P99gh7); - assign Vuyfh7 = (Tvyfh7 & Zvyfh7); - assign Zvyfh7 = (~(Hmyfh7 & Hdxfh7)); - assign Tvyfh7 = (~(Nmyfh7 & com_rx_tdata[5])); - assign J0ufh7 = (!Fwyfh7); - assign Fwyfh7 = (Puyfh7 ? Lwyfh7 : Tmtfh7); - assign Lwyfh7 = (Rwyfh7 & Xwyfh7); - assign Xwyfh7 = (Dxyfh7 & Jxyfh7); - assign Jxyfh7 = (~(ahb_hrdata[6] & Jlyfh7)); - assign Dxyfh7 = (Plyfh7 | N49gh7); - assign Rwyfh7 = (Pxyfh7 & Vxyfh7); - assign Vxyfh7 = (~(Hmyfh7 & Zaxfh7)); - assign Pxyfh7 = (~(Nmyfh7 & com_rx_tdata[6])); - assign D0ufh7 = (!Byyfh7); - assign Byyfh7 = (Puyfh7 ? Hyyfh7 : Zmtfh7); - assign Puyfh7 = (~(Nyyfh7 & Tyyfh7)); - assign Hyyfh7 = (Zyyfh7 & Fzyfh7); - assign Fzyfh7 = (Lzyfh7 & Rzyfh7); - assign Rzyfh7 = (~(ahb_hrdata[7] & Jlyfh7)); - assign Lzyfh7 = (Plyfh7 | X59gh7); - assign Zyyfh7 = (Xzyfh7 & D0zfh7); - assign D0zfh7 = (~(Hmyfh7 & R8xfh7)); - assign Xzyfh7 = (~(Nmyfh7 & com_rx_tdata[7])); - assign Xztfh7 = (V0zfh7 ? P0zfh7 : J0zfh7); - assign J0zfh7 = (~(B1zfh7 & H1zfh7)); - assign H1zfh7 = (N1zfh7 & T1zfh7); - assign T1zfh7 = (~(ahb_hrdata[8] & Jlyfh7)); - assign N1zfh7 = (Z1zfh7 | H79gh7); - assign B1zfh7 = (F2zfh7 & L2zfh7); - assign L2zfh7 = (~(Hmyfh7 & J6xfh7)); - assign F2zfh7 = (~(R2zfh7 & com_rx_tdata[0])); - assign Rztfh7 = (V0zfh7 ? D3zfh7 : X2zfh7); - assign X2zfh7 = (~(J3zfh7 & P3zfh7)); - assign P3zfh7 = (V3zfh7 & B4zfh7); - assign B4zfh7 = (~(ahb_hrdata[9] & Jlyfh7)); - assign V3zfh7 = (Z1zfh7 | J99gh7); - assign J3zfh7 = (H4zfh7 & N4zfh7); - assign N4zfh7 = (~(Hmyfh7 & B4xfh7)); - assign H4zfh7 = (~(R2zfh7 & com_rx_tdata[1])); - assign Lztfh7 = (V0zfh7 ? Z4zfh7 : T4zfh7); - assign T4zfh7 = (~(F5zfh7 & L5zfh7)); - assign L5zfh7 = (R5zfh7 & X5zfh7); - assign X5zfh7 = (~(ahb_hrdata[10] & Jlyfh7)); - assign R5zfh7 = (Z1zfh7 | H49gh7); - assign F5zfh7 = (D6zfh7 & J6zfh7); - assign J6zfh7 = (~(Hmyfh7 & T1xfh7)); - assign D6zfh7 = (~(R2zfh7 & com_rx_tdata[2])); - assign Fztfh7 = (V0zfh7 ? V6zfh7 : P6zfh7); - assign P6zfh7 = (~(B7zfh7 & H7zfh7)); - assign H7zfh7 = (N7zfh7 & T7zfh7); - assign T7zfh7 = (~(ahb_hrdata[11] & Jlyfh7)); - assign N7zfh7 = (Z1zfh7 | R59gh7); - assign B7zfh7 = (Z7zfh7 & F8zfh7); - assign F8zfh7 = (~(Hmyfh7 & Lzwfh7)); - assign Z7zfh7 = (~(R2zfh7 & com_rx_tdata[3])); - assign Zytfh7 = (V0zfh7 ? R8zfh7 : L8zfh7); - assign L8zfh7 = (~(X8zfh7 & D9zfh7)); - assign D9zfh7 = (J9zfh7 & P9zfh7); - assign P9zfh7 = (~(ahb_hrdata[12] & Jlyfh7)); - assign J9zfh7 = (Z1zfh7 | B79gh7); - assign X8zfh7 = (V9zfh7 & Bazfh7); - assign Bazfh7 = (~(Hmyfh7 & Dxwfh7)); - assign V9zfh7 = (~(R2zfh7 & com_rx_tdata[4])); - assign Tytfh7 = (V0zfh7 ? Nazfh7 : Hazfh7); - assign Hazfh7 = (~(Tazfh7 & Zazfh7)); - assign Zazfh7 = (Fbzfh7 & Lbzfh7); - assign Lbzfh7 = (~(ahb_hrdata[13] & Jlyfh7)); - assign Fbzfh7 = (Z1zfh7 | D99gh7); - assign Tazfh7 = (Rbzfh7 & Xbzfh7); - assign Xbzfh7 = (~(Hmyfh7 & Puwfh7)); - assign Rbzfh7 = (~(R2zfh7 & com_rx_tdata[5])); - assign Nytfh7 = (V0zfh7 ? Jczfh7 : Dczfh7); - assign Dczfh7 = (~(Pczfh7 & Vczfh7)); - assign Vczfh7 = (Bdzfh7 & Hdzfh7); - assign Hdzfh7 = (~(ahb_hrdata[14] & Jlyfh7)); - assign Bdzfh7 = (Z1zfh7 | B49gh7); - assign Pczfh7 = (Ndzfh7 & Tdzfh7); - assign Tdzfh7 = (~(Hmyfh7 & Bswfh7)); - assign Ndzfh7 = (~(R2zfh7 & com_rx_tdata[6])); - assign Hytfh7 = (V0zfh7 ? Fezfh7 : Zdzfh7); - assign V0zfh7 = (Nyyfh7 & Lezfh7); - assign Lezfh7 = (~(R2zfh7 & Rezfh7)); - assign Zdzfh7 = (~(Xezfh7 & Dfzfh7)); - assign Dfzfh7 = (Jfzfh7 & Pfzfh7); - assign Pfzfh7 = (~(ahb_hrdata[15] & Jlyfh7)); - assign Jfzfh7 = (Z1zfh7 | L59gh7); - assign Xezfh7 = (Vfzfh7 & Bgzfh7); - assign Bgzfh7 = (~(Hmyfh7 & Npwfh7)); - assign Vfzfh7 = (~(R2zfh7 & com_rx_tdata[7])); - assign Bytfh7 = (Tgzfh7 ? Ngzfh7 : Hgzfh7); - assign Hgzfh7 = (~(Zgzfh7 & Fhzfh7)); - assign Fhzfh7 = (Lhzfh7 & Rhzfh7); - assign Rhzfh7 = (Xhzfh7 | Pitfh7); - assign Lhzfh7 = (Dizfh7 & Jizfh7); - assign Jizfh7 = (~(ahb_hrdata[16] & Jlyfh7)); - assign Dizfh7 = (~(Pizfh7 & Rbwfh7)); - assign Zgzfh7 = (Vizfh7 & Bjzfh7); - assign Bjzfh7 = (~(Hmyfh7 & Zmwfh7)); - assign Vizfh7 = (~(Hjzfh7 & com_rx_tdata[0])); - assign Vxtfh7 = (Tgzfh7 ? Tjzfh7 : Njzfh7); - assign Njzfh7 = (~(Zjzfh7 & Fkzfh7)); - assign Fkzfh7 = (Lkzfh7 & Rkzfh7); - assign Rkzfh7 = (Xhzfh7 | Vitfh7); - assign Lkzfh7 = (Xkzfh7 & Dlzfh7); - assign Dlzfh7 = (~(ahb_hrdata[17] & Jlyfh7)); - assign Xkzfh7 = (~(Pizfh7 & D9wfh7)); - assign Zjzfh7 = (Jlzfh7 & Plzfh7); - assign Plzfh7 = (~(Hmyfh7 & Lkwfh7)); - assign Jlzfh7 = (~(Hjzfh7 & com_rx_tdata[1])); - assign Pxtfh7 = (Tgzfh7 ? Bmzfh7 : Vlzfh7); - assign Vlzfh7 = (~(Hmzfh7 & Nmzfh7)); - assign Nmzfh7 = (Tmzfh7 & Zmzfh7); - assign Zmzfh7 = (Xhzfh7 | Bjtfh7); - assign Tmzfh7 = (Fnzfh7 & Lnzfh7); - assign Lnzfh7 = (~(ahb_hrdata[18] & Jlyfh7)); - assign Fnzfh7 = (~(Pizfh7 & P6wfh7)); - assign Hmzfh7 = (Rnzfh7 & Xnzfh7); - assign Xnzfh7 = (~(Hmyfh7 & Xhwfh7)); - assign Rnzfh7 = (~(Hjzfh7 & com_rx_tdata[2])); - assign Jxtfh7 = (Tgzfh7 ? Jozfh7 : Dozfh7); - assign Dozfh7 = (~(Pozfh7 & Vozfh7)); - assign Vozfh7 = (Bpzfh7 & Hpzfh7); - assign Hpzfh7 = (Xhzfh7 | Hjtfh7); - assign Bpzfh7 = (Npzfh7 & Tpzfh7); - assign Tpzfh7 = (~(ahb_hrdata[19] & Jlyfh7)); - assign Npzfh7 = (~(Pizfh7 & B4wfh7)); - assign Pozfh7 = (Zpzfh7 & Fqzfh7); - assign Fqzfh7 = (~(Hmyfh7 & Jfwfh7)); - assign Zpzfh7 = (~(Hjzfh7 & com_rx_tdata[3])); - assign Dxtfh7 = (Tgzfh7 ? Rqzfh7 : Lqzfh7); - assign Lqzfh7 = (~(Xqzfh7 & Drzfh7)); - assign Drzfh7 = (Jrzfh7 & Przfh7); - assign Przfh7 = (Xhzfh7 | Njtfh7); - assign Jrzfh7 = (Vrzfh7 & Bszfh7); - assign Bszfh7 = (~(ahb_hrdata[20] & Jlyfh7)); - assign Vrzfh7 = (~(Pizfh7 & N1wfh7)); - assign Xqzfh7 = (Hszfh7 & Nszfh7); - assign Nszfh7 = (~(Hmyfh7 & Vcwfh7)); - assign Hszfh7 = (~(Hjzfh7 & com_rx_tdata[4])); - assign Xwtfh7 = (Tgzfh7 ? Zszfh7 : Tszfh7); - assign Tszfh7 = (~(Ftzfh7 & Ltzfh7)); - assign Ltzfh7 = (Rtzfh7 & Xtzfh7); - assign Xtzfh7 = (Xhzfh7 | Tjtfh7); - assign Rtzfh7 = (Duzfh7 & Juzfh7); - assign Juzfh7 = (~(ahb_hrdata[21] & Jlyfh7)); - assign Duzfh7 = (~(Pizfh7 & Zyvfh7)); - assign Ftzfh7 = (Puzfh7 & Vuzfh7); - assign Vuzfh7 = (~(Hmyfh7 & Hawfh7)); - assign Puzfh7 = (~(Hjzfh7 & com_rx_tdata[5])); - assign Rwtfh7 = (Tgzfh7 ? Hvzfh7 : Bvzfh7); - assign Bvzfh7 = (~(Nvzfh7 & Tvzfh7)); - assign Tvzfh7 = (Zvzfh7 & Fwzfh7); - assign Fwzfh7 = (Xhzfh7 | Zjtfh7); - assign Zvzfh7 = (Lwzfh7 & Rwzfh7); - assign Rwzfh7 = (~(ahb_hrdata[22] & Jlyfh7)); - assign Lwzfh7 = (~(Pizfh7 & Lwvfh7)); - assign Nvzfh7 = (Xwzfh7 & Dxzfh7); - assign Dxzfh7 = (~(Hmyfh7 & T7wfh7)); - assign Xwzfh7 = (~(Hjzfh7 & com_rx_tdata[6])); - assign Lwtfh7 = (Tgzfh7 ? Pxzfh7 : Jxzfh7); - assign Tgzfh7 = (Nyyfh7 & Vxzfh7); - assign Vxzfh7 = (~(Hjzfh7 & Rezfh7)); - assign Jxzfh7 = (~(Byzfh7 & Hyzfh7)); - assign Hyzfh7 = (Nyzfh7 & Tyzfh7); - assign Tyzfh7 = (Xhzfh7 | Fktfh7); - assign Nyzfh7 = (Zyzfh7 & Fzzfh7); - assign Fzzfh7 = (~(ahb_hrdata[23] & Jlyfh7)); - assign Zyzfh7 = (~(Pizfh7 & Xtvfh7)); - assign Byzfh7 = (Lzzfh7 & Rzzfh7); - assign Rzzfh7 = (~(Hmyfh7 & F5wfh7)); - assign Lzzfh7 = (~(Hjzfh7 & com_rx_tdata[7])); - assign Fwtfh7 = (J00gh7 ? D00gh7 : Xzzfh7); - assign Xzzfh7 = (~(P00gh7 & V00gh7)); - assign V00gh7 = (B10gh7 & H10gh7); - assign H10gh7 = (~(gpi8[0] & N10gh7)); - assign B10gh7 = (T10gh7 & Z10gh7); - assign Z10gh7 = (~(ahb_hrdata[24] & Jlyfh7)); - assign T10gh7 = (~(Pizfh7 & Jrvfh7)); - assign P00gh7 = (F20gh7 & L20gh7); - assign L20gh7 = (~(Hmyfh7 & R2wfh7)); - assign F20gh7 = (~(R20gh7 & com_rx_tdata[0])); - assign Zvtfh7 = (J00gh7 ? D30gh7 : X20gh7); - assign X20gh7 = (~(J30gh7 & P30gh7)); - assign P30gh7 = (V30gh7 & B40gh7); - assign B40gh7 = (~(gpi8[1] & N10gh7)); - assign V30gh7 = (H40gh7 & N40gh7); - assign N40gh7 = (~(ahb_hrdata[25] & Jlyfh7)); - assign H40gh7 = (~(Pizfh7 & Povfh7)); - assign J30gh7 = (T40gh7 & Z40gh7); - assign Z40gh7 = (~(Hmyfh7 & D0wfh7)); - assign T40gh7 = (~(R20gh7 & com_rx_tdata[1])); - assign Tvtfh7 = (J00gh7 ? L50gh7 : F50gh7); - assign F50gh7 = (~(R50gh7 & X50gh7)); - assign X50gh7 = (D60gh7 & J60gh7); - assign J60gh7 = (~(gpi8[2] & N10gh7)); - assign D60gh7 = (P60gh7 & V60gh7); - assign V60gh7 = (~(ahb_hrdata[26] & Jlyfh7)); - assign P60gh7 = (~(Pizfh7 & Vlvfh7)); - assign R50gh7 = (B70gh7 & H70gh7); - assign H70gh7 = (~(Hmyfh7 & Pxvfh7)); - assign B70gh7 = (~(R20gh7 & com_rx_tdata[2])); - assign Nvtfh7 = (J00gh7 ? T70gh7 : N70gh7); - assign N70gh7 = (~(Z70gh7 & F80gh7)); - assign F80gh7 = (L80gh7 & R80gh7); - assign R80gh7 = (~(gpi8[3] & N10gh7)); - assign L80gh7 = (X80gh7 & D90gh7); - assign D90gh7 = (~(ahb_hrdata[27] & Jlyfh7)); - assign X80gh7 = (~(Pizfh7 & Zdvfh7)); - assign Z70gh7 = (J90gh7 & P90gh7); - assign P90gh7 = (~(Hmyfh7 & Bvvfh7)); - assign J90gh7 = (~(R20gh7 & com_rx_tdata[3])); - assign Hvtfh7 = (J00gh7 ? Ba0gh7 : V90gh7); - assign V90gh7 = (~(Ha0gh7 & Na0gh7)); - assign Na0gh7 = (Ta0gh7 & Za0gh7); - assign Za0gh7 = (~(gpi8[4] & N10gh7)); - assign Ta0gh7 = (Fb0gh7 & Lb0gh7); - assign Lb0gh7 = (~(ahb_hrdata[28] & Jlyfh7)); - assign Fb0gh7 = (~(Pizfh7 & Xqvfh7)); - assign Ha0gh7 = (Rb0gh7 & Xb0gh7); - assign Xb0gh7 = (~(Hmyfh7 & Nsvfh7)); - assign Rb0gh7 = (~(R20gh7 & com_rx_tdata[4])); - assign Bvtfh7 = (J00gh7 ? Jc0gh7 : Dc0gh7); - assign Dc0gh7 = (~(Pc0gh7 & Vc0gh7)); - assign Vc0gh7 = (Bd0gh7 & Hd0gh7); - assign Hd0gh7 = (~(gpi8[5] & N10gh7)); - assign Bd0gh7 = (Nd0gh7 & Td0gh7); - assign Td0gh7 = (~(ahb_hrdata[29] & Jlyfh7)); - assign Nd0gh7 = (~(Pizfh7 & Dovfh7)); - assign Pc0gh7 = (Zd0gh7 & Fe0gh7); - assign Fe0gh7 = (~(Hmyfh7 & Tpvfh7)); - assign Zd0gh7 = (~(R20gh7 & com_rx_tdata[5])); - assign Vutfh7 = (J00gh7 ? Re0gh7 : Le0gh7); - assign Le0gh7 = (~(Xe0gh7 & Df0gh7)); - assign Df0gh7 = (Jf0gh7 & Pf0gh7); - assign Pf0gh7 = (~(gpi8[6] & N10gh7)); - assign Jf0gh7 = (Vf0gh7 & Bg0gh7); - assign Bg0gh7 = (~(ahb_hrdata[30] & Jlyfh7)); - assign Vf0gh7 = (~(Pizfh7 & Jlvfh7)); - assign Xe0gh7 = (Hg0gh7 & Ng0gh7); - assign Ng0gh7 = (~(Hmyfh7 & Zmvfh7)); - assign Hg0gh7 = (~(R20gh7 & com_rx_tdata[6])); - assign Putfh7 = (J00gh7 ? Zg0gh7 : Tg0gh7); - assign J00gh7 = (Nyyfh7 & Fh0gh7); - assign Fh0gh7 = (~(R20gh7 & Rezfh7)); - assign Nyyfh7 = (Lh0gh7 & Rh0gh7); - assign Rh0gh7 = (Xh0gh7 & Di0gh7); - assign Lh0gh7 = (Ji0gh7 & Pi0gh7); - assign Pi0gh7 = (~(Vi0gh7 & Jlyfh7)); - assign Tg0gh7 = (~(Bj0gh7 & Hj0gh7)); - assign Hj0gh7 = (Nj0gh7 & Tj0gh7); - assign Tj0gh7 = (~(gpi8[7] & N10gh7)); - assign Nj0gh7 = (Zj0gh7 & Fk0gh7); - assign Fk0gh7 = (~(ahb_hrdata[31] & Jlyfh7)); - assign Jlyfh7 = (!Lk0gh7); - assign Zj0gh7 = (~(Pizfh7 & Bdvfh7)); - assign Bj0gh7 = (Rk0gh7 & Xk0gh7); - assign Xk0gh7 = (~(Hmyfh7 & Pfvfh7)); - assign Hmyfh7 = (!Xh0gh7); - assign Rk0gh7 = (~(R20gh7 & com_rx_tdata[7])); - assign R20gh7 = (!Dl0gh7); - assign Jutfh7 = (Pl0gh7 ? com_tx_tdata[0] : Jl0gh7); - assign Jl0gh7 = (~(Vl0gh7 & Bm0gh7)); - assign Bm0gh7 = (Hm0gh7 & Nm0gh7); - assign Nm0gh7 = (Tm0gh7 & Zm0gh7); - assign Zm0gh7 = (Fn0gh7 & Ln0gh7); - assign Fn0gh7 = (Rn0gh7 & Xn0gh7); - assign Tm0gh7 = (Do0gh7 & Xhzfh7); - assign Hm0gh7 = (Jo0gh7 & Po0gh7); - assign Po0gh7 = (Vo0gh7 & Bp0gh7); - assign Bp0gh7 = (~(Hp0gh7 & Np0gh7)); - assign Np0gh7 = (~(Tp0gh7 & Zp0gh7)); - assign Zp0gh7 = (~(Fq0gh7 & D3zfh7)); - assign D3zfh7 = (!Lntfh7); - assign Tp0gh7 = (~(Lq0gh7 & P0zfh7)); - assign P0zfh7 = (!Fntfh7); - assign Vo0gh7 = (Rq0gh7 & Xq0gh7); - assign Jo0gh7 = (Dr0gh7 & Jr0gh7); - assign Jr0gh7 = (~(Pr0gh7 & Vr0gh7)); - assign Vr0gh7 = (~(Bs0gh7 & Hs0gh7)); - assign Hs0gh7 = (Ns0gh7 | Hmtfh7); - assign Bs0gh7 = (Ts0gh7 | Nmtfh7); - assign Dr0gh7 = (~(Zs0gh7 & Ft0gh7)); - assign Ft0gh7 = (~(Lt0gh7 & Rt0gh7)); - assign Rt0gh7 = (Xt0gh7 | Pltfh7); - assign Xt0gh7 = (!Du0gh7); - assign Lt0gh7 = (~(Ju0gh7 & Pu0gh7)); - assign Vl0gh7 = (Vu0gh7 & Bv0gh7); - assign Bv0gh7 = (Hv0gh7 & Nv0gh7); - assign Nv0gh7 = (Tv0gh7 & Zv0gh7); - assign Zv0gh7 = (~(Fw0gh7 & Lw0gh7)); - assign Lw0gh7 = (~(Rw0gh7 & Xw0gh7)); - assign Xw0gh7 = (~(Dx0gh7 & D30gh7)); - assign D30gh7 = (!Drtfh7); - assign Rw0gh7 = (~(Jx0gh7 & D00gh7)); - assign D00gh7 = (!Xqtfh7); - assign Tv0gh7 = (Px0gh7 & Vx0gh7); - assign Vx0gh7 = (~(By0gh7 & Hy0gh7)); - assign Hy0gh7 = (~(Ny0gh7 & Ty0gh7)); - assign Ty0gh7 = (~(Zy0gh7 & Nazfh7)); - assign Nazfh7 = (!Jotfh7); - assign Ny0gh7 = (~(Fz0gh7 & R8zfh7)); - assign R8zfh7 = (!Dotfh7); - assign Px0gh7 = (~(Lz0gh7 & Rz0gh7)); - assign Rz0gh7 = (~(Xz0gh7 & D01gh7)); - assign D01gh7 = (~(J01gh7 & Tjzfh7)); - assign Tjzfh7 = (!Hptfh7); - assign Xz0gh7 = (~(P01gh7 & Ngzfh7)); - assign Ngzfh7 = (!Bptfh7); - assign Hv0gh7 = (V01gh7 & B11gh7); - assign B11gh7 = (~(H11gh7 & N11gh7)); - assign N11gh7 = (~(T11gh7 & Z11gh7)); - assign Z11gh7 = (~(F21gh7 & Zszfh7)); - assign Zszfh7 = (!Fqtfh7); - assign T11gh7 = (~(L21gh7 & Rqzfh7)); - assign Rqzfh7 = (!Zptfh7); - assign V01gh7 = (~(R21gh7 & X21gh7)); - assign X21gh7 = (~(D31gh7 & J31gh7)); - assign J31gh7 = (~(P31gh7 & Jc0gh7)); - assign Jc0gh7 = (!Bstfh7); - assign D31gh7 = (~(V31gh7 & Ba0gh7)); - assign Ba0gh7 = (!Vrtfh7); - assign Vu0gh7 = (B41gh7 & H41gh7); - assign H41gh7 = (N41gh7 & T41gh7); - assign T41gh7 = (~(stdio_rx_tdata[0] & Z41gh7)); - assign N41gh7 = (F51gh7 & L51gh7); - assign L51gh7 = (R51gh7 | Rb9gh7); - assign B41gh7 = (~(X51gh7 | D61gh7)); - assign Dutfh7 = (J61gh7 & P61gh7); - assign P61gh7 = (~(V61gh7 & B71gh7)); - assign B71gh7 = (H71gh7 & N71gh7); - assign N71gh7 = (T71gh7 & Z71gh7); - assign Z71gh7 = (F81gh7 & L81gh7); - assign F81gh7 = (~(R81gh7 & R21gh7)); - assign R81gh7 = (~(P31gh7 | Bstfh7)); - assign T71gh7 = (X81gh7 & D91gh7); - assign D91gh7 = (~(J91gh7 & By0gh7)); - assign J91gh7 = (~(Zy0gh7 | Jotfh7)); - assign X81gh7 = (~(P91gh7 & Zs0gh7)); - assign P91gh7 = (~(Du0gh7 | Pltfh7)); - assign H71gh7 = (V91gh7 & Ba1gh7); - assign Ba1gh7 = (~(Ha1gh7 & Lz0gh7)); - assign Ha1gh7 = (~(J01gh7 | Hptfh7)); - assign V91gh7 = (Na1gh7 & Ta1gh7); - assign Ta1gh7 = (~(Za1gh7 & Hp0gh7)); - assign Za1gh7 = (~(Fq0gh7 | Lntfh7)); - assign Na1gh7 = (~(Fb1gh7 & H11gh7)); - assign Fb1gh7 = (~(F21gh7 | Fqtfh7)); - assign V61gh7 = (Lb1gh7 & Rb1gh7); - assign Rb1gh7 = (Xb1gh7 & Dc1gh7); - assign Dc1gh7 = (~(Jc1gh7 & Pizfh7)); - assign Jc1gh7 = (~(Na9gh7 & Lbvfh7)); - assign Xb1gh7 = (Pc1gh7 & Vc1gh7); - assign Vc1gh7 = (~(Bd1gh7 & Fw0gh7)); - assign Bd1gh7 = (~(Dx0gh7 | Drtfh7)); - assign Pc1gh7 = (~(Hd1gh7 & Pr0gh7)); - assign Hd1gh7 = (~(Nd1gh7 | Nmtfh7)); - assign Lb1gh7 = (Td1gh7 & Zd1gh7); - assign Td1gh7 = (Fe1gh7 & Le1gh7); - assign Le1gh7 = (~(stdio_rx_tdata[1] & Z41gh7)); - assign J61gh7 = (~(Lktfh7 & Pl0gh7)); - assign Xttfh7 = (Pl0gh7 ? com_tx_tdata[2] : Re1gh7); - assign Re1gh7 = (~(Xe1gh7 & Df1gh7)); - assign Df1gh7 = (Jf1gh7 & Pf1gh7); - assign Pf1gh7 = (Vf1gh7 & Bg1gh7); - assign Bg1gh7 = (~(Hg1gh7 & Hp0gh7)); - assign Hg1gh7 = (~(Ng1gh7 | Rntfh7)); - assign Vf1gh7 = (Tg1gh7 & Xq0gh7); - assign Tg1gh7 = (~(Zg1gh7 & Zs0gh7)); - assign Zg1gh7 = (~(Fh1gh7 | Vltfh7)); - assign Jf1gh7 = (Lh1gh7 & Rh1gh7); - assign Rh1gh7 = (~(Xh1gh7 & H11gh7)); - assign Xh1gh7 = (~(Di1gh7 | Lqtfh7)); - assign Lh1gh7 = (Ji1gh7 & Pi1gh7); - assign Pi1gh7 = (~(Vi1gh7 & By0gh7)); - assign Vi1gh7 = (~(Bj1gh7 | Potfh7)); - assign Ji1gh7 = (~(Hj1gh7 & Lz0gh7)); - assign Hj1gh7 = (~(Nj1gh7 | Nptfh7)); - assign Xe1gh7 = (Tj1gh7 & Zj1gh7); - assign Zj1gh7 = (Fk1gh7 & Lk1gh7); - assign Lk1gh7 = (~(Rk1gh7 & Pr0gh7)); - assign Rk1gh7 = (~(Xk1gh7 | Tmtfh7)); - assign Fk1gh7 = (Dl1gh7 & Jl1gh7); - assign Jl1gh7 = (~(Pl1gh7 & Fw0gh7)); - assign Pl1gh7 = (~(Vl1gh7 | Jrtfh7)); - assign Dl1gh7 = (~(Bm1gh7 & R21gh7)); - assign Bm1gh7 = (~(Hm1gh7 | Hstfh7)); - assign Tj1gh7 = (Nm1gh7 & Tm1gh7); - assign Nm1gh7 = (Zm1gh7 & Fn1gh7); - assign Fn1gh7 = (R51gh7 | Ta9gh7); - assign Zm1gh7 = (~(stdio_rx_tdata[2] & Z41gh7)); - assign Rttfh7 = (Pl0gh7 ? com_tx_tdata[3] : Ln1gh7); - assign Ln1gh7 = (~(Rn1gh7 & Xn1gh7)); - assign Xn1gh7 = (Do1gh7 & Jo1gh7); - assign Jo1gh7 = (Po1gh7 & Vo1gh7); - assign Vo1gh7 = (L81gh7 & Lbvfh7); - assign Po1gh7 = (Bp1gh7 & Hp1gh7); - assign Bp1gh7 = (~(Np1gh7 & Tp1gh7)); - assign Np1gh7 = (~(Zp1gh7 | Zmtfh7)); - assign Do1gh7 = (Fq1gh7 & Lq1gh7); - assign Lq1gh7 = (~(Rq1gh7 & Xq1gh7)); - assign Rq1gh7 = (~(Dr1gh7 | Bmtfh7)); - assign Fq1gh7 = (Jr1gh7 & Pr1gh7); - assign Pr1gh7 = (~(Vr1gh7 & Bs1gh7)); - assign Vr1gh7 = (R21gh7 & Zg0gh7); - assign Jr1gh7 = (~(Hs1gh7 & Ns1gh7)); - assign Hs1gh7 = (By0gh7 & Fezfh7); - assign Rn1gh7 = (Ts1gh7 & Zs1gh7); - assign Zs1gh7 = (Ft1gh7 & Lt1gh7); - assign Lt1gh7 = (Rt1gh7 & Xt1gh7); - assign Xt1gh7 = (~(Du1gh7 & Ju1gh7)); - assign Du1gh7 = (Hp0gh7 & V6zfh7); - assign Rt1gh7 = (~(Pu1gh7 & Vu1gh7)); - assign Pu1gh7 = (H11gh7 & Pxzfh7); - assign Ft1gh7 = (Bv1gh7 & Hv1gh7); - assign Hv1gh7 = (~(Nv1gh7 & Tv1gh7)); - assign Nv1gh7 = (Lz0gh7 & Jozfh7); - assign Bv1gh7 = (~(Zv1gh7 & Fw1gh7)); - assign Zv1gh7 = (Fw0gh7 & T70gh7); - assign Ts1gh7 = (Lw1gh7 & Rw1gh7); - assign Lw1gh7 = (Xw1gh7 & Dx1gh7); - assign Dx1gh7 = (R51gh7 | Za9gh7); - assign Xw1gh7 = (~(stdio_rx_tdata[3] & Z41gh7)); - assign Lttfh7 = (Pl0gh7 ? com_tx_tdata[4] : Jx1gh7); - assign Jx1gh7 = (~(Px1gh7 & Vx1gh7)); - assign Vx1gh7 = (By1gh7 & Hy1gh7); - assign Hy1gh7 = (Ny1gh7 & Ty1gh7); - assign Ty1gh7 = (Do0gh7 & Zy1gh7); - assign Ny1gh7 = (Hp1gh7 & Fz1gh7); - assign By1gh7 = (Lz1gh7 & Rz1gh7); - assign Rz1gh7 = (Xz1gh7 & D02gh7); - assign D02gh7 = (~(R21gh7 & V31gh7)); - assign V31gh7 = (!J02gh7); - assign Xz1gh7 = (~(By0gh7 & Fz0gh7)); - assign Fz0gh7 = (!P02gh7); - assign Lz1gh7 = (V02gh7 & B12gh7); - assign B12gh7 = (Zp1gh7 | Ns0gh7); - assign V02gh7 = (~(Zs0gh7 & Ju0gh7)); - assign Px1gh7 = (H12gh7 & N12gh7); - assign N12gh7 = (T12gh7 & Z12gh7); - assign Z12gh7 = (F22gh7 & L22gh7); - assign L22gh7 = (~(Hp0gh7 & Lq0gh7)); - assign Lq0gh7 = (!R22gh7); - assign F22gh7 = (~(H11gh7 & L21gh7)); - assign L21gh7 = (!X22gh7); - assign T12gh7 = (D32gh7 & J32gh7); - assign J32gh7 = (~(Lz0gh7 & P01gh7)); - assign P01gh7 = (!P32gh7); - assign D32gh7 = (~(Fw0gh7 & Jx0gh7)); - assign Jx0gh7 = (!V32gh7); - assign H12gh7 = (B42gh7 & Tm1gh7); - assign Tm1gh7 = (H42gh7 & N42gh7); - assign H42gh7 = (L81gh7 & Xn0gh7); - assign B42gh7 = (T42gh7 & Z42gh7); - assign Z42gh7 = (R51gh7 | Fb9gh7); - assign T42gh7 = (~(stdio_rx_tdata[4] & Z41gh7)); - assign Fttfh7 = (Pl0gh7 ? com_tx_tdata[5] : F52gh7); - assign F52gh7 = (~(L52gh7 & R52gh7)); - assign R52gh7 = (X52gh7 & D62gh7); - assign D62gh7 = (~(stdio_rx_tdata[5] & Z41gh7)); - assign X52gh7 = (Rq0gh7 & J62gh7); - assign L52gh7 = (N42gh7 & P62gh7); - assign N42gh7 = (V62gh7 & F51gh7); - assign F51gh7 = (Lbvfh7 | B72gh7); - assign Zstfh7 = (H72gh7 & N72gh7); - assign N72gh7 = (~(T72gh7 & Z72gh7)); - assign Z72gh7 = (F82gh7 & L82gh7); - assign L82gh7 = (R82gh7 & X82gh7); - assign X82gh7 = (Hp1gh7 & Xn0gh7); - assign R82gh7 = (D92gh7 & J92gh7); - assign J92gh7 = (~(J02gh7 & R21gh7)); - assign J02gh7 = (~(Nstfh7 | Bs1gh7)); - assign Bs1gh7 = (Hstfh7 & Bstfh7); - assign D92gh7 = (~(P02gh7 & By0gh7)); - assign P02gh7 = (~(Votfh7 | Ns1gh7)); - assign Ns1gh7 = (Potfh7 & Jotfh7); - assign F82gh7 = (P92gh7 & V92gh7); - assign V92gh7 = (~(R22gh7 & Hp0gh7)); - assign R22gh7 = (~(Xntfh7 | Ju1gh7)); - assign Ju1gh7 = (Rntfh7 & Lntfh7); - assign P92gh7 = (Ba2gh7 & Ha2gh7); - assign Ha2gh7 = (~(Ns0gh7 & Pr0gh7)); - assign Ns0gh7 = (~(Zmtfh7 | Tp1gh7)); - assign Tp1gh7 = (Tmtfh7 & Nmtfh7); - assign Ba2gh7 = (Ju0gh7 | Dr1gh7); - assign Ju0gh7 = (Bmtfh7 | Xq1gh7); - assign Xq1gh7 = (Vltfh7 & Pltfh7); - assign T72gh7 = (Na2gh7 & Ta2gh7); - assign Ta2gh7 = (Za2gh7 & Fb2gh7); - assign Fb2gh7 = (~(V32gh7 & Fw0gh7)); - assign V32gh7 = (~(Prtfh7 | Fw1gh7)); - assign Fw1gh7 = (Jrtfh7 & Drtfh7); - assign Za2gh7 = (Lb2gh7 & Rb2gh7); - assign Rb2gh7 = (~(X22gh7 & H11gh7)); - assign X22gh7 = (~(Rqtfh7 | Vu1gh7)); - assign Vu1gh7 = (Lqtfh7 & Fqtfh7); - assign Lb2gh7 = (~(P32gh7 & Lz0gh7)); - assign P32gh7 = (~(Tptfh7 | Tv1gh7)); - assign Tv1gh7 = (Nptfh7 & Hptfh7); - assign Na2gh7 = (Xb2gh7 & Zd1gh7); - assign Zd1gh7 = (Dc2gh7 & Jc2gh7); - assign Jc2gh7 = (Pc2gh7 & Vc2gh7); - assign Vc2gh7 = (Ln0gh7 & Rn0gh7); - assign Rn0gh7 = (~(Bd2gh7 & R21gh7)); - assign R21gh7 = (!Hd2gh7); - assign Bd2gh7 = (Hm1gh7 & Re0gh7); - assign Re0gh7 = (!Hstfh7); - assign Hm1gh7 = (Bstfh7 & P31gh7); - assign P31gh7 = (Vrtfh7 & Zg0gh7); - assign Zg0gh7 = (!Nstfh7); - assign Ln0gh7 = (~(Nd2gh7 & Hp0gh7)); - assign Nd2gh7 = (Ng1gh7 & Z4zfh7); - assign Z4zfh7 = (!Rntfh7); - assign Ng1gh7 = (Lntfh7 & Fq0gh7); - assign Fq0gh7 = (Fntfh7 & V6zfh7); - assign V6zfh7 = (!Xntfh7); - assign Pc2gh7 = (Xhzfh7 & Zy1gh7); - assign Dc2gh7 = (Td2gh7 & Zd2gh7); - assign Zd2gh7 = (~(D61gh7 | Fe2gh7)); - assign D61gh7 = (~(Le2gh7 & Re2gh7)); - assign Re2gh7 = (~(Xe2gh7 & Fw0gh7)); - assign Xe2gh7 = (Vl1gh7 & L50gh7); - assign L50gh7 = (!Jrtfh7); - assign Vl1gh7 = (Drtfh7 & Dx0gh7); - assign Dx0gh7 = (Xqtfh7 & T70gh7); - assign T70gh7 = (!Prtfh7); - assign Le2gh7 = (Df2gh7 & Jf2gh7); - assign Jf2gh7 = (~(Pf2gh7 & Lz0gh7)); - assign Pf2gh7 = (Nj1gh7 & Bmzfh7); - assign Bmzfh7 = (!Nptfh7); - assign Nj1gh7 = (Hptfh7 & J01gh7); - assign J01gh7 = (Bptfh7 & Jozfh7); - assign Jozfh7 = (!Tptfh7); - assign Df2gh7 = (~(Vf2gh7 & H11gh7)); - assign H11gh7 = (!Bg2gh7); - assign Vf2gh7 = (Di1gh7 & Hvzfh7); - assign Hvzfh7 = (!Lqtfh7); - assign Di1gh7 = (Fqtfh7 & F21gh7); - assign F21gh7 = (Zptfh7 & Pxzfh7); - assign Pxzfh7 = (!Rqtfh7); - assign Td2gh7 = (~(Pl0gh7 | X51gh7)); - assign X51gh7 = (~(Hg2gh7 & Ng2gh7)); - assign Ng2gh7 = (~(Tg2gh7 & Pr0gh7)); - assign Tg2gh7 = (Xk1gh7 & Zg2gh7); - assign Zg2gh7 = (!Tmtfh7); - assign Xk1gh7 = (Nmtfh7 & Nd1gh7); - assign Nd1gh7 = (!Ts0gh7); - assign Ts0gh7 = (~(Hmtfh7 & Fh2gh7)); - assign Fh2gh7 = (!Zmtfh7); - assign Hg2gh7 = (Lh2gh7 & Rh2gh7); - assign Rh2gh7 = (~(Xh2gh7 & Zs0gh7)); - assign Xh2gh7 = (Fh1gh7 & Di2gh7); - assign Di2gh7 = (!Vltfh7); - assign Fh1gh7 = (Pltfh7 & Du0gh7); - assign Du0gh7 = (~(Pu0gh7 | Bmtfh7)); - assign Pu0gh7 = (!Jltfh7); - assign Lh2gh7 = (~(Ji2gh7 & By0gh7)); - assign Ji2gh7 = (Bj1gh7 & Jczfh7); - assign Jczfh7 = (!Potfh7); - assign Bj1gh7 = (Jotfh7 & Zy0gh7); - assign Zy0gh7 = (Dotfh7 & Fezfh7); - assign Fezfh7 = (!Votfh7); - assign Xb2gh7 = (Pi2gh7 & Vi2gh7); - assign Vi2gh7 = (R51gh7 | Lb9gh7); - assign Pi2gh7 = (~(stdio_rx_tdata[6] & Z41gh7)); - assign H72gh7 = (~(Rktfh7 & Pl0gh7)); - assign Tstfh7 = (Pl0gh7 ? com_tx_tdata[7] : Bj2gh7); - assign Pl0gh7 = (~(Duufh7 & Hj2gh7)); - assign Hj2gh7 = (~(Nj2gh7 & Tj2gh7)); - assign Tj2gh7 = (Zj2gh7 | Fk2gh7); - assign Bj2gh7 = (stdio_rx_tdata[7] & Z41gh7); - assign Dltfh7 = (ahb_hready ? ahb_htrans[1] : Lk2gh7); - assign Xktfh7 = (Rk2gh7 & Xk2gh7); - assign Rk2gh7 = (~(Dl2gh7 & Jl2gh7)); - assign ahb_htrans[1] = (~(Lk2gh7 | R29gh7)); - assign Lk2gh7 = (!Hd9gh7); - assign Xzpfh7 = (~(Pl2gh7 & Vl2gh7)); - assign Vl2gh7 = (Tyyfh7 & Bm2gh7); - assign Pl2gh7 = (Hm2gh7 & Xh0gh7); - assign O0qfh7 = (~(Nm2gh7 & Tm2gh7)); - assign Tm2gh7 = (~(Zm2gh7 & Bdvfh7)); - assign Nm2gh7 = (~(Jpsfh7 & Fn2gh7)); - assign F1qfh7 = (~(Ln2gh7 & Rn2gh7)); - assign Rn2gh7 = (~(Zm2gh7 & Jlvfh7)); - assign Ln2gh7 = (~(Zpsfh7 & Fn2gh7)); - assign W1qfh7 = (~(Xn2gh7 & Do2gh7)); - assign Do2gh7 = (~(Zm2gh7 & Dovfh7)); - assign Xn2gh7 = (~(Pqsfh7 & Fn2gh7)); - assign N2qfh7 = (~(Jo2gh7 & Po2gh7)); - assign Po2gh7 = (~(Zm2gh7 & Xqvfh7)); - assign Jo2gh7 = (~(Frsfh7 & Fn2gh7)); - assign E3qfh7 = (~(Vo2gh7 & Bp2gh7)); - assign Bp2gh7 = (~(Zm2gh7 & Zdvfh7)); - assign Vo2gh7 = (~(Vrsfh7 & Fn2gh7)); - assign V3qfh7 = (~(Hp2gh7 & Np2gh7)); - assign Np2gh7 = (~(Zm2gh7 & Vlvfh7)); - assign Hp2gh7 = (~(Lssfh7 & Fn2gh7)); - assign M4qfh7 = (~(Tp2gh7 & Zp2gh7)); - assign Zp2gh7 = (~(Zm2gh7 & Povfh7)); - assign Tp2gh7 = (~(Btsfh7 & Fn2gh7)); - assign D5qfh7 = (~(Fq2gh7 & Lq2gh7)); - assign Lq2gh7 = (~(Zm2gh7 & Jrvfh7)); - assign Fq2gh7 = (~(Rtsfh7 & Fn2gh7)); - assign U5qfh7 = (~(Rq2gh7 & Xq2gh7)); - assign Xq2gh7 = (~(Zm2gh7 & Xtvfh7)); - assign Rq2gh7 = (~(Husfh7 & Fn2gh7)); - assign L6qfh7 = (~(Dr2gh7 & Jr2gh7)); - assign Jr2gh7 = (~(Zm2gh7 & Lwvfh7)); - assign Dr2gh7 = (~(Xusfh7 & Fn2gh7)); - assign C7qfh7 = (~(Pr2gh7 & Vr2gh7)); - assign Vr2gh7 = (~(Zm2gh7 & Zyvfh7)); - assign Pr2gh7 = (~(Nvsfh7 & Fn2gh7)); - assign T7qfh7 = (~(Bs2gh7 & Hs2gh7)); - assign Hs2gh7 = (~(Zm2gh7 & N1wfh7)); - assign Bs2gh7 = (~(Dwsfh7 & Fn2gh7)); - assign K8qfh7 = (~(Ns2gh7 & Ts2gh7)); - assign Ts2gh7 = (~(Zm2gh7 & B4wfh7)); - assign Ns2gh7 = (~(Twsfh7 & Fn2gh7)); - assign B9qfh7 = (~(Zs2gh7 & Ft2gh7)); - assign Ft2gh7 = (~(Zm2gh7 & P6wfh7)); - assign Zs2gh7 = (~(Jxsfh7 & Fn2gh7)); - assign S9qfh7 = (~(Lt2gh7 & Rt2gh7)); - assign Rt2gh7 = (~(Zm2gh7 & D9wfh7)); - assign Lt2gh7 = (~(Zxsfh7 & Fn2gh7)); - assign Jaqfh7 = (~(Xt2gh7 & Du2gh7)); - assign Du2gh7 = (~(Zm2gh7 & Rbwfh7)); - assign Xt2gh7 = (~(Pysfh7 & Fn2gh7)); - assign Abqfh7 = (~(Ju2gh7 & Pu2gh7)); - assign Pu2gh7 = (~(Zm2gh7 & Fewfh7)); - assign Ju2gh7 = (~(Fzsfh7 & Fn2gh7)); - assign Rbqfh7 = (~(Vu2gh7 & Bv2gh7)); - assign Bv2gh7 = (~(Zm2gh7 & Tgwfh7)); - assign Vu2gh7 = (~(Vzsfh7 & Fn2gh7)); - assign Icqfh7 = (~(Hv2gh7 & Nv2gh7)); - assign Nv2gh7 = (~(Zm2gh7 & Hjwfh7)); - assign Hv2gh7 = (~(L0tfh7 & Fn2gh7)); - assign Zcqfh7 = (~(Tv2gh7 & Zv2gh7)); - assign Zv2gh7 = (~(Zm2gh7 & Vlwfh7)); - assign Tv2gh7 = (~(B1tfh7 & Fn2gh7)); - assign Qdqfh7 = (~(Fw2gh7 & Lw2gh7)); - assign Lw2gh7 = (~(Zm2gh7 & Jowfh7)); - assign Fw2gh7 = (~(R1tfh7 & Fn2gh7)); - assign Heqfh7 = (~(Rw2gh7 & Xw2gh7)); - assign Xw2gh7 = (~(Zm2gh7 & Xqwfh7)); - assign Rw2gh7 = (~(H2tfh7 & Fn2gh7)); - assign Yeqfh7 = (~(Dx2gh7 & Jx2gh7)); - assign Jx2gh7 = (~(Zm2gh7 & Ltwfh7)); - assign Dx2gh7 = (~(X2tfh7 & Fn2gh7)); - assign Pfqfh7 = (~(Px2gh7 & Vx2gh7)); - assign Vx2gh7 = (~(Zm2gh7 & Zvwfh7)); - assign Px2gh7 = (~(N3tfh7 & Fn2gh7)); - assign Ggqfh7 = (~(By2gh7 & Hy2gh7)); - assign Hy2gh7 = (~(Zm2gh7 & Rwufh7)); - assign By2gh7 = (~(D4tfh7 & Fn2gh7)); - assign Xgqfh7 = (~(Ny2gh7 & Ty2gh7)); - assign Ty2gh7 = (~(Zm2gh7 & Vxufh7)); - assign Ny2gh7 = (~(T4tfh7 & Fn2gh7)); - assign Ohqfh7 = (~(Zy2gh7 & Fz2gh7)); - assign Fz2gh7 = (~(Zm2gh7 & Zyufh7)); - assign Zy2gh7 = (~(J5tfh7 & Fn2gh7)); - assign Fiqfh7 = (~(Lz2gh7 & Rz2gh7)); - assign Rz2gh7 = (~(Zm2gh7 & D0vfh7)); - assign Lz2gh7 = (~(Z5tfh7 & Fn2gh7)); - assign Wiqfh7 = (~(Xz2gh7 & D03gh7)); - assign D03gh7 = (~(Zm2gh7 & H1vfh7)); - assign Xz2gh7 = (~(P6tfh7 & Fn2gh7)); - assign Njqfh7 = (~(J03gh7 & P03gh7)); - assign P03gh7 = (~(Zm2gh7 & L2vfh7)); - assign J03gh7 = (~(F7tfh7 & Fn2gh7)); - assign Ekqfh7 = (~(V03gh7 & B13gh7)); - assign B13gh7 = (~(Zm2gh7 & P3vfh7)); - assign V03gh7 = (~(V7tfh7 & Fn2gh7)); - assign Vkqfh7 = (~(H13gh7 & N13gh7)); - assign N13gh7 = (~(Zm2gh7 & Z4vfh7)); - assign Zm2gh7 = (~(Xtxfh7 | Lqxfh7)); - assign Lqxfh7 = (!B1yfh7); - assign H13gh7 = (~(L8tfh7 & Fn2gh7)); - assign Fn2gh7 = (~(T13gh7 & Z13gh7)); - assign Z13gh7 = (F23gh7 & L23gh7); - assign L23gh7 = (R23gh7 & X23gh7); - assign R23gh7 = (D33gh7 & J33gh7); - assign F23gh7 = (P33gh7 & R51gh7); - assign P33gh7 = (Xhzfh7 & B1yfh7); - assign B1yfh7 = (~(V33gh7 & B43gh7)); - assign B43gh7 = (H43gh7 & N43gh7); - assign N43gh7 = (T43gh7 & Z43gh7); - assign Z43gh7 = (F53gh7 & L53gh7); - assign L53gh7 = (Vlvfh7 & Jlvfh7); - assign Jlvfh7 = (!D39gh7); - assign Vlvfh7 = (!J39gh7); - assign F53gh7 = (P6wfh7 & Lwvfh7); - assign Lwvfh7 = (!P39gh7); - assign P6wfh7 = (!V39gh7); - assign T43gh7 = (R53gh7 & X53gh7); - assign X53gh7 = (Xqwfh7 & Tgwfh7); - assign Tgwfh7 = (!B49gh7); - assign Xqwfh7 = (!H49gh7); - assign R53gh7 = (Zdvfh7 & Vxufh7); - assign Vxufh7 = (!N49gh7); - assign Zdvfh7 = (!T49gh7); - assign H43gh7 = (D63gh7 & J63gh7); - assign J63gh7 = (P63gh7 & V63gh7); - assign V63gh7 = (B4wfh7 & Xtvfh7); - assign Xtvfh7 = (!Z49gh7); - assign B4wfh7 = (!F59gh7); - assign P63gh7 = (Jowfh7 & Fewfh7); - assign Fewfh7 = (!L59gh7); - assign Jowfh7 = (!R59gh7); - assign D63gh7 = (B73gh7 & H73gh7); - assign H73gh7 = (Xqvfh7 & Rwufh7); - assign Rwufh7 = (!X59gh7); - assign Xqvfh7 = (!D69gh7); - assign B73gh7 = (N1wfh7 & Jrvfh7); - assign Jrvfh7 = (!J69gh7); - assign N1wfh7 = (!P69gh7); - assign V33gh7 = (N73gh7 & T73gh7); - assign T73gh7 = (Z73gh7 & F83gh7); - assign F83gh7 = (L83gh7 & R83gh7); - assign R83gh7 = (Vlwfh7 & Rbwfh7); - assign Rbwfh7 = (!V69gh7); - assign Vlwfh7 = (!B79gh7); - assign L83gh7 = (H1vfh7 & Zvwfh7); - assign Zvwfh7 = (!H79gh7); - assign H1vfh7 = (!N79gh7); - assign Z73gh7 = (X83gh7 & D93gh7); - assign D93gh7 = (Bdvfh7 & L2vfh7); - assign L2vfh7 = (!T79gh7); - assign Bdvfh7 = (!Z79gh7); - assign X83gh7 = (Povfh7 & Dovfh7); - assign Dovfh7 = (!F89gh7); - assign Povfh7 = (!L89gh7); - assign N73gh7 = (J93gh7 & P93gh7); - assign P93gh7 = (V93gh7 & Ba3gh7); - assign Ba3gh7 = (D9wfh7 & Zyvfh7); - assign Zyvfh7 = (!R89gh7); - assign D9wfh7 = (!X89gh7); - assign V93gh7 = (Ltwfh7 & Hjwfh7); - assign Hjwfh7 = (!D99gh7); - assign Ltwfh7 = (!J99gh7); - assign J93gh7 = (Ha3gh7 & Na3gh7); - assign Na3gh7 = (D0vfh7 & Zyufh7); - assign Zyufh7 = (!P99gh7); - assign D0vfh7 = (!Dc9gh7); - assign Ha3gh7 = (P3vfh7 & Z4vfh7); - assign Z4vfh7 = (!Jc9gh7); - assign P3vfh7 = (!Vc9gh7); - assign T13gh7 = (Ta3gh7 & Za3gh7); - assign Za3gh7 = (Fb3gh7 & Lb3gh7); - assign Fb3gh7 = (~(Rb3gh7 | Fe2gh7)); - assign Ta3gh7 = (Xb3gh7 & Dc3gh7); - assign Xb3gh7 = (Lk0gh7 & Jc3gh7); - assign Jc3gh7 = (!Pc3gh7); - assign Lk0gh7 = (~(Vc3gh7 | Bd3gh7)); - assign Mlqfh7 = (~(Havfh7 & Hd3gh7)); - assign Havfh7 = (Nd3gh7 & Td3gh7); - assign Td3gh7 = (!Vrufh7); - assign Vrufh7 = (~(Zd3gh7 & Fe3gh7)); - assign Fe3gh7 = (Le3gh7 & Tyyfh7); - assign Le3gh7 = (Di0gh7 & Re3gh7); - assign Zd3gh7 = (Xe3gh7 & Xh0gh7); - assign Dmqfh7 = (~(Df3gh7 & Jf3gh7)); - assign Jf3gh7 = (~(B5sfh7 & Pf3gh7)); - assign Df3gh7 = (Vf3gh7 | Z79gh7); - assign Umqfh7 = (~(Bg3gh7 & Hg3gh7)); - assign Hg3gh7 = (~(R5sfh7 & Pf3gh7)); - assign Bg3gh7 = (Vf3gh7 | D39gh7); - assign Lnqfh7 = (~(Ng3gh7 & Tg3gh7)); - assign Tg3gh7 = (~(H6sfh7 & Pf3gh7)); - assign Ng3gh7 = (Vf3gh7 | F89gh7); - assign Coqfh7 = (~(Zg3gh7 & Fh3gh7)); - assign Fh3gh7 = (~(X6sfh7 & Pf3gh7)); - assign Zg3gh7 = (Vf3gh7 | D69gh7); - assign Toqfh7 = (~(Lh3gh7 & Rh3gh7)); - assign Rh3gh7 = (~(N7sfh7 & Pf3gh7)); - assign Lh3gh7 = (Vf3gh7 | T49gh7); - assign Kpqfh7 = (~(Xh3gh7 & Di3gh7)); - assign Di3gh7 = (~(D8sfh7 & Pf3gh7)); - assign Xh3gh7 = (Vf3gh7 | J39gh7); - assign Bqqfh7 = (~(Ji3gh7 & Pi3gh7)); - assign Pi3gh7 = (~(T8sfh7 & Pf3gh7)); - assign Ji3gh7 = (Vf3gh7 | L89gh7); - assign Sqqfh7 = (~(Vi3gh7 & Bj3gh7)); - assign Bj3gh7 = (~(J9sfh7 & Pf3gh7)); - assign Vi3gh7 = (Vf3gh7 | J69gh7); - assign Jrqfh7 = (~(Hj3gh7 & Nj3gh7)); - assign Nj3gh7 = (~(Z9sfh7 & Pf3gh7)); - assign Hj3gh7 = (Vf3gh7 | Z49gh7); - assign Asqfh7 = (~(Tj3gh7 & Zj3gh7)); - assign Zj3gh7 = (~(Pasfh7 & Pf3gh7)); - assign Tj3gh7 = (Vf3gh7 | P39gh7); - assign Rsqfh7 = (~(Fk3gh7 & Lk3gh7)); - assign Lk3gh7 = (~(Fbsfh7 & Pf3gh7)); - assign Fk3gh7 = (Vf3gh7 | R89gh7); - assign Itqfh7 = (~(Rk3gh7 & Xk3gh7)); - assign Xk3gh7 = (~(Vbsfh7 & Pf3gh7)); - assign Rk3gh7 = (Vf3gh7 | P69gh7); - assign Ztqfh7 = (~(Dl3gh7 & Jl3gh7)); - assign Jl3gh7 = (~(Lcsfh7 & Pf3gh7)); - assign Dl3gh7 = (Vf3gh7 | F59gh7); - assign Quqfh7 = (~(Pl3gh7 & Vl3gh7)); - assign Vl3gh7 = (~(Bdsfh7 & Pf3gh7)); - assign Pl3gh7 = (Vf3gh7 | V39gh7); - assign Hvqfh7 = (~(Bm3gh7 & Hm3gh7)); - assign Hm3gh7 = (~(Rdsfh7 & Pf3gh7)); - assign Bm3gh7 = (Vf3gh7 | X89gh7); - assign Yvqfh7 = (~(Nm3gh7 & Tm3gh7)); - assign Tm3gh7 = (~(Hesfh7 & Pf3gh7)); - assign Nm3gh7 = (Vf3gh7 | V69gh7); - assign Pwqfh7 = (~(Zm3gh7 & Fn3gh7)); - assign Fn3gh7 = (~(Xesfh7 & Pf3gh7)); - assign Zm3gh7 = (Vf3gh7 | L59gh7); - assign Gxqfh7 = (~(Ln3gh7 & Rn3gh7)); - assign Rn3gh7 = (~(Nfsfh7 & Pf3gh7)); - assign Ln3gh7 = (Vf3gh7 | B49gh7); - assign Xxqfh7 = (~(Xn3gh7 & Do3gh7)); - assign Do3gh7 = (~(Dgsfh7 & Pf3gh7)); - assign Xn3gh7 = (Vf3gh7 | D99gh7); - assign Oyqfh7 = (~(Jo3gh7 & Po3gh7)); - assign Po3gh7 = (~(Tgsfh7 & Pf3gh7)); - assign Jo3gh7 = (Vf3gh7 | B79gh7); - assign Fzqfh7 = (~(Vo3gh7 & Bp3gh7)); - assign Bp3gh7 = (~(Jhsfh7 & Pf3gh7)); - assign Vo3gh7 = (Vf3gh7 | R59gh7); - assign Wzqfh7 = (~(Hp3gh7 & Np3gh7)); - assign Np3gh7 = (~(Zhsfh7 & Pf3gh7)); - assign Hp3gh7 = (Vf3gh7 | H49gh7); - assign N0rfh7 = (~(Tp3gh7 & Zp3gh7)); - assign Zp3gh7 = (~(Pisfh7 & Pf3gh7)); - assign Tp3gh7 = (Vf3gh7 | J99gh7); - assign E1rfh7 = (~(Fq3gh7 & Lq3gh7)); - assign Lq3gh7 = (~(Fjsfh7 & Pf3gh7)); - assign Fq3gh7 = (Vf3gh7 | H79gh7); - assign V1rfh7 = (~(Rq3gh7 & Xq3gh7)); - assign Xq3gh7 = (~(Vjsfh7 & Pf3gh7)); - assign Rq3gh7 = (Vf3gh7 | X59gh7); - assign M2rfh7 = (~(Dr3gh7 & Jr3gh7)); - assign Jr3gh7 = (~(Lksfh7 & Pf3gh7)); - assign Dr3gh7 = (Vf3gh7 | N49gh7); - assign D3rfh7 = (~(Pr3gh7 & Vr3gh7)); - assign Vr3gh7 = (~(Blsfh7 & Pf3gh7)); - assign Pr3gh7 = (Vf3gh7 | P99gh7); - assign U3rfh7 = (~(Bs3gh7 & Hs3gh7)); - assign Hs3gh7 = (~(Rlsfh7 & Pf3gh7)); - assign Bs3gh7 = (Vf3gh7 | Dc9gh7); - assign L4rfh7 = (~(Ns3gh7 & Ts3gh7)); - assign Ts3gh7 = (~(Hmsfh7 & Pf3gh7)); - assign Ns3gh7 = (Vf3gh7 | N79gh7); - assign C5rfh7 = (~(Zs3gh7 & Ft3gh7)); - assign Ft3gh7 = (~(Xmsfh7 & Pf3gh7)); - assign Zs3gh7 = (Vf3gh7 | T79gh7); - assign T5rfh7 = (~(Lt3gh7 & Rt3gh7)); - assign Rt3gh7 = (~(Nnsfh7 & Pf3gh7)); - assign Lt3gh7 = (Vf3gh7 | Vc9gh7); - assign K6rfh7 = (~(Xt3gh7 & Du3gh7)); - assign Du3gh7 = (~(Dosfh7 & Pf3gh7)); - assign Pf3gh7 = (~(Ju3gh7 & Dc3gh7)); - assign Dc3gh7 = (Pu3gh7 & Vu3gh7); - assign Vu3gh7 = (Bv3gh7 & Hv3gh7); - assign Hv3gh7 = (Nv3gh7 & Tv3gh7); - assign Nv3gh7 = (Drxfh7 & Zv3gh7); - assign Bv3gh7 = (Fw3gh7 & Nd3gh7); - assign Pu3gh7 = (Lw3gh7 & Rw3gh7); - assign Rw3gh7 = (Xw3gh7 & Dx3gh7); - assign Lw3gh7 = (Tavfh7 & Jx3gh7); - assign Ju3gh7 = (~(Px3gh7 | Vx3gh7)); - assign Xt3gh7 = (Vf3gh7 | Jc9gh7); - assign B7rfh7 = (~(By3gh7 & Hy3gh7)); - assign Hy3gh7 = (~(stdio_tx_tready & Ny3gh7)); - assign Ny3gh7 = (~(F5vfh7 & Ty3gh7)); - assign S7rfh7 = (~(Zy3gh7 & Fk2gh7)); - assign Fk2gh7 = (Fz3gh7 & Lz3gh7); - assign Lz3gh7 = (Rz3gh7 & Xq0gh7); - assign Rz3gh7 = (~(Fe8gh7 & Jl2gh7)); - assign Fz3gh7 = (P62gh7 & Xz3gh7); - assign Zy3gh7 = (Nj2gh7 & D04gh7); - assign D04gh7 = (~(J04gh7 & Zj2gh7)); - assign J04gh7 = (~(P04gh7 & Lb3gh7)); - assign P04gh7 = (Xn0gh7 & Tv3gh7); - assign Nj2gh7 = (V04gh7 & B14gh7); - assign B14gh7 = (H14gh7 & N14gh7); - assign N14gh7 = (J62gh7 & T14gh7); - assign H14gh7 = (Jx3gh7 & Z14gh7); - assign V04gh7 = (F24gh7 & L24gh7); - assign L24gh7 = (R24gh7 & X24gh7); - assign R24gh7 = (~(D34gh7 & Z41gh7)); - assign Z41gh7 = (!J34gh7); - assign F24gh7 = (P34gh7 & V34gh7); - assign J8rfh7 = (~(B44gh7 & H44gh7)); - assign H44gh7 = (N44gh7 | P09gh7); - assign B44gh7 = (L81gh7 | Zj2gh7); - assign A9rfh7 = (~(T44gh7 & Z44gh7)); - assign Z44gh7 = (F54gh7 | X29gh7); - assign T44gh7 = (~(L54gh7 & D34gh7)); - assign R9rfh7 = (~(R54gh7 & X54gh7)); - assign X54gh7 = (~(D64gh7 & J64gh7)); - assign Iarfh7 = (~(T14gh7 & P64gh7)); - assign P64gh7 = (V64gh7 | Xt8gh7); - assign T14gh7 = (~(B74gh7 & H74gh7)); - assign H74gh7 = (~(V62gh7 | com_rx_tvalid)); - assign B74gh7 = (stdio_rx_tvalid & com_tx_tready); - assign Zarfh7 = (~(N74gh7 & T74gh7)); - assign T74gh7 = (V64gh7 | Vu8gh7); - assign N74gh7 = (X24gh7 & Jx3gh7); - assign Jx3gh7 = (~(Z74gh7 & F84gh7)); - assign F84gh7 = (L84gh7 & R84gh7); - assign R84gh7 = (X84gh7 & Nd3gh7); - assign X84gh7 = (Tv3gh7 & Zv3gh7); - assign L84gh7 = (Dx3gh7 & Fw3gh7); - assign Z74gh7 = (D94gh7 & J94gh7); - assign J94gh7 = (Tavfh7 & Xw3gh7); - assign Tavfh7 = (P94gh7 & V94gh7); - assign V94gh7 = (~(Ba4gh7 | Ha4gh7)); - assign P94gh7 = (Xh0gh7 & Na4gh7); - assign D94gh7 = (~(Ta4gh7 | Px3gh7)); - assign Px3gh7 = (~(Za4gh7 & Fb4gh7)); - assign Fb4gh7 = (Lb3gh7 & Hm2gh7); - assign Lb3gh7 = (Lb4gh7 & Rb4gh7); - assign Za4gh7 = (~(Pc3gh7 | Rq0gh7)); - assign Rq0gh7 = (~(Xb4gh7 & Plyfh7)); - assign Plyfh7 = (Z1zfh7 & Do0gh7); - assign Z1zfh7 = (~(Pizfh7 | N10gh7)); - assign N10gh7 = (!Xhzfh7); - assign Pizfh7 = (~(R51gh7 & Lbvfh7)); - assign Xb4gh7 = (~(Rb3gh7 | Bd3gh7)); - assign Rb3gh7 = (~(Dc4gh7 & Jc4gh7)); - assign Jc4gh7 = (Rw1gh7 & J62gh7); - assign Rw1gh7 = (Pc4gh7 & Vc4gh7); - assign Vc4gh7 = (V62gh7 & Xn0gh7); - assign Pc4gh7 = (Fe1gh7 & Xq0gh7); - assign Fe1gh7 = (Bd4gh7 & Xz3gh7); - assign Bd4gh7 = (T4vfh7 & F5vfh7); - assign Dc4gh7 = (J34gh7 & P62gh7); - assign P62gh7 = (Hd4gh7 & Nd4gh7); - assign Nd4gh7 = (Td4gh7 & Zd4gh7); - assign Zd4gh7 = (Fe4gh7 & Fz1gh7); - assign Td4gh7 = (Le4gh7 & Re4gh7); - assign Hd4gh7 = (Xe4gh7 & Df4gh7); - assign Xe4gh7 = (~(Jf4gh7 | Pf4gh7)); - assign X24gh7 = (~(Vf4gh7 & Bg4gh7)); - assign Bg4gh7 = (~(Hg4gh7 | Ng4gh7)); - assign Vf4gh7 = (Tg4gh7 & Rqxfh7); - assign Qbrfh7 = (~(V34gh7 & Zg4gh7)); - assign Zg4gh7 = (Fh4gh7 | Lw8gh7); - assign V34gh7 = (Ji0gh7 & Lh4gh7); - assign Lh4gh7 = (~(Bd3gh7 & Vi0gh7)); - assign Bd3gh7 = (!Zy1gh7); - assign Ji0gh7 = (Rh4gh7 & Xh4gh7); - assign Xh4gh7 = (Di4gh7 | Ji4gh7); - assign Di4gh7 = (~(Fe2gh7 & stdio_tx_tready)); - assign Fe2gh7 = (!Do0gh7); - assign Rh4gh7 = (R51gh7 & Xhzfh7); - assign Xhzfh7 = (~(Pi4gh7 & Vi4gh7)); - assign Vi4gh7 = (Z19gh7 & Bj4gh7); - assign R51gh7 = (~(Hj4gh7 & Nj4gh7)); - assign Nj4gh7 = (Tj4gh7 & Lw8gh7); - assign Tj4gh7 = (~(Zj4gh7 | Fw8gh7)); - assign Hj4gh7 = (Fk4gh7 & Xb9gh7); - assign Hcrfh7 = (~(Lk4gh7 & Rk4gh7)); - assign Rk4gh7 = (Xk4gh7 & Dl4gh7); - assign Dl4gh7 = (~(Jl4gh7 & Pl4gh7)); - assign Pl4gh7 = (~(Vl4gh7 & Bm4gh7)); - assign Bm4gh7 = (~(Hm4gh7 & Tg4gh7)); - assign Hm4gh7 = (Hg4gh7 & Rqxfh7); - assign Vl4gh7 = (~(Bjyfh7 & Nm4gh7)); - assign Nm4gh7 = (Tm4gh7 | Zm4gh7); - assign Jl4gh7 = (!Fn4gh7); - assign Xk4gh7 = (D0yfh7 & Xtxfh7); - assign Xtxfh7 = (Ln4gh7 | Rn4gh7); - assign Ln4gh7 = (Lbvfh7 | Xn4gh7); - assign D0yfh7 = (~(Rqxfh7 & Do4gh7)); - assign Do4gh7 = (Jo4gh7 | Fqxfh7); - assign Fqxfh7 = (Tg4gh7 & Ng4gh7); - assign Jo4gh7 = (~(Hjyfh7 | Po4gh7)); - assign Lk4gh7 = (Vo4gh7 & Bp4gh7); - assign Bp4gh7 = (~(Vi0gh7 & Hp4gh7)); - assign Hp4gh7 = (~(Na4gh7 & Np4gh7)); - assign Np4gh7 = (~(Tp4gh7 & Zp4gh7)); - assign Vo4gh7 = (~(Fq4gh7 & Tp4gh7)); - assign Ycrfh7 = (~(Xh0gh7 & Lq4gh7)); - assign Lq4gh7 = (V64gh7 | Pu8gh7); - assign Xh0gh7 = (~(Rq4gh7 & Xq4gh7)); - assign Rq4gh7 = (~(Dr4gh7 | Du8gh7)); - assign Pdrfh7 = (~(Jr4gh7 & Pr4gh7)); - assign Pr4gh7 = (~(Vr4gh7 & Bs4gh7)); - assign Bs4gh7 = (Rqxfh7 & Fn4gh7); - assign Vr4gh7 = (Tg4gh7 & Hg4gh7); - assign Tg4gh7 = (Hs4gh7 & Ns4gh7); - assign Ns4gh7 = (Hjyfh7 & Ts4gh7); - assign Hjyfh7 = (~(Zs4gh7 & Ft4gh7)); - assign Zs4gh7 = (Lt4gh7 & Rt4gh7); - assign Hs4gh7 = (~(Po4gh7 | Zm4gh7)); - assign Jr4gh7 = (~(Xt4gh7 & Ba4gh7)); - assign Gerfh7 = (Vc3gh7 & Vi0gh7); - assign Xerfh7 = (~(Nd3gh7 & Du4gh7)); - assign Du4gh7 = (V64gh7 | Ju8gh7); - assign Nd3gh7 = (~(Ju4gh7 & Pu4gh7)); - assign Pu4gh7 = (L29gh7 & Vu4gh7); - assign Vu4gh7 = (!Tv8gh7); - assign Ofrfh7 = (~(Bv4gh7 & Hv4gh7)); - assign Hv4gh7 = (~(Nv4gh7 & Zm4gh7)); - assign Zm4gh7 = (Rbvfh7 & Ft4gh7); - assign Nv4gh7 = (Bjyfh7 & Fn4gh7); - assign Bv4gh7 = (~(Tv4gh7 & Fq4gh7)); - assign Tv4gh7 = (~(Tp4gh7 | Zv4gh7)); - assign Fgrfh7 = (~(Tyyfh7 & Fw4gh7)); - assign Fw4gh7 = (Lw4gh7 | Zv8gh7); - assign Tyyfh7 = (~(Nmyfh7 & Rezfh7)); - assign Wgrfh7 = (Rw4gh7 ? Nmyfh7 : R2zfh7); - assign Nmyfh7 = (!Fbvfh7); - assign Nhrfh7 = (Rw4gh7 ? R2zfh7 : Hjzfh7); - assign R2zfh7 = (!Xw4gh7); - assign Hjzfh7 = (!Dx4gh7); - assign Eirfh7 = (!Jx4gh7); - assign Jx4gh7 = (Rezfh7 ? Dl0gh7 : Px4gh7); - assign Px4gh7 = (Vx4gh7 | D09gh7); - assign Virfh7 = (~(By4gh7 & Hy4gh7)); - assign Hy4gh7 = (V64gh7 | Nv8gh7); - assign By4gh7 = (Ny4gh7 & Fw3gh7); - assign Ny4gh7 = (~(Xt4gh7 & Ha4gh7)); - assign Xt4gh7 = (Vi0gh7 & Ty4gh7); - assign Mjrfh7 = (Zy4gh7 & Bjyfh7); - assign Bjyfh7 = (~(Po4gh7 | Lbvfh7)); - assign Po4gh7 = (~(Fz4gh7 & Lz4gh7)); - assign Fz4gh7 = (~(Rz4gh7 | B72gh7)); - assign Rz4gh7 = (Ng4gh7 & Rt4gh7); - assign Zy4gh7 = (Tm4gh7 & Fn4gh7); - assign Fn4gh7 = (~(Xz4gh7 & D05gh7)); - assign D05gh7 = (J05gh7 & P05gh7); - assign P05gh7 = (V05gh7 & T79gh7); - assign V05gh7 = (Vc9gh7 & Zayfh7); - assign Zayfh7 = (J99gh7 & Diyfh7); - assign Diyfh7 = (B15gh7 & H15gh7); - assign H15gh7 = (N15gh7 & T15gh7); - assign T15gh7 = (Z15gh7 & F25gh7); - assign F25gh7 = (L25gh7 & R89gh7); - assign L25gh7 = (X89gh7 & D99gh7); - assign Z15gh7 = (R25gh7 & Z79gh7); - assign R25gh7 = (F89gh7 & L89gh7); - assign N15gh7 = (X25gh7 & D35gh7); - assign D35gh7 = (J35gh7 & P69gh7); - assign J35gh7 = (V69gh7 & B79gh7); - assign X25gh7 = (D69gh7 & J69gh7); - assign B15gh7 = (P35gh7 & V35gh7); - assign V35gh7 = (B45gh7 & H45gh7); - assign H45gh7 = (N45gh7 & F59gh7); - assign N45gh7 = (L59gh7 & R59gh7); - assign B45gh7 = (T45gh7 & H49gh7); - assign T45gh7 = (T49gh7 & Z49gh7); - assign P35gh7 = (Z45gh7 & F55gh7); - assign F55gh7 = (L55gh7 & P39gh7); - assign L55gh7 = (V39gh7 & B49gh7); - assign Z45gh7 = (D39gh7 & J39gh7); - assign J05gh7 = (Dc9gh7 & N79gh7); - assign Xz4gh7 = (R55gh7 & X55gh7); - assign X55gh7 = (N49gh7 & P99gh7); - assign R55gh7 = (H79gh7 & X59gh7); - assign Dkrfh7 = (~(D65gh7 & J65gh7)); - assign J65gh7 = (~(P65gh7 & D34gh7)); - assign D65gh7 = (F54gh7 | J09gh7); - assign Ukrfh7 = (~(V65gh7 & B75gh7)); - assign B75gh7 = (V64gh7 | Ft8gh7); - assign Llrfh7 = (~(H75gh7 & N75gh7)); - assign N75gh7 = (~(D6vfh7 & stdio_tx_tready)); - assign H75gh7 = (V64gh7 | L29gh7); - assign Cmrfh7 = (~(T75gh7 & Z75gh7)); - assign Z75gh7 = (V64gh7 | Hv8gh7); - assign T75gh7 = (Zv3gh7 | F85gh7); - assign Tmrfh7 = (~(L85gh7 & R85gh7)); - assign R85gh7 = (X85gh7 & D95gh7); - assign D95gh7 = (~(Xn4gh7 & J95gh7)); - assign J95gh7 = (~(Lbvfh7 & P95gh7)); - assign P95gh7 = (~(Rezfh7 & Ta4gh7)); - assign Xn4gh7 = (!J33gh7); - assign X85gh7 = (~(Dl2gh7 & V95gh7)); - assign V95gh7 = (~(Tv3gh7 & Ba5gh7)); - assign Ba5gh7 = (~(Jl2gh7 & Xk2gh7)); - assign Xk2gh7 = (!Fe8gh7); - assign L85gh7 = (~(Ha5gh7 | Na5gh7)); - assign Na5gh7 = (~(Fh4gh7 | Lz8gh7)); - assign Ha5gh7 = (stdio_tx_tready ? Ta5gh7 : D6vfh7); - assign Ta5gh7 = (~(Xw3gh7 | Ji4gh7)); - assign Ji4gh7 = (!stdio_tx_tvalid); - assign D6vfh7 = (!Ty3gh7); - assign Ty3gh7 = (~(Za5gh7 & Rezfh7)); - assign Za5gh7 = (~(T4vfh7 | Fb5gh7)); - assign Knrfh7 = (~(Z14gh7 & Lb5gh7)); - assign Lb5gh7 = (V64gh7 | F29gh7); - assign Z14gh7 = (~(Rb5gh7 & B72gh7)); - assign B72gh7 = (Hg4gh7 & Na9gh7); - assign Hg4gh7 = (Xb5gh7 & Ft4gh7); - assign Xb5gh7 = (Dc5gh7 & F8vfh7); - assign Rb5gh7 = (Lz4gh7 & Rqxfh7); - assign Borfh7 = (~(Di0gh7 & Jc5gh7)); - assign Jc5gh7 = (V64gh7 | B19gh7); - assign Di0gh7 = (~(Pc5gh7 & Vc5gh7)); - assign Vc5gh7 = (Rqxfh7 & Rt4gh7); - assign Pc5gh7 = (Ng4gh7 & Lz4gh7); - assign Lz4gh7 = (Bd5gh7 & Hd5gh7); - assign Hd5gh7 = (~(Rbvfh7 & Nd5gh7)); - assign Ng4gh7 = (Td5gh7 & Zd5gh7); - assign Sorfh7 = (Fe5gh7 & Tm4gh7); - assign Fe5gh7 = (Le5gh7 & Rt4gh7); - assign Le5gh7 = (!D33gh7); - assign Jprfh7 = (~(Re5gh7 & Xe5gh7)); - assign Xe5gh7 = (V64gh7 | Z19gh7); - assign Re5gh7 = (~(X5vfh7 & stdio_tx_tready)); - assign Aqrfh7 = (Df5gh7 & Jf5gh7); - assign Jf5gh7 = (Rqxfh7 & Nd5gh7); - assign Df5gh7 = (Bd5gh7 & Rbvfh7); - assign Bd5gh7 = (Pf5gh7 & Vf5gh7); - assign Pf5gh7 = (Bg5gh7 & Hg5gh7); - assign Bg5gh7 = (~(Tm4gh7 & Rt4gh7)); - assign Tm4gh7 = (!Ts4gh7); - assign Ts4gh7 = (Ng5gh7 | Na9gh7); - assign Rqrfh7 = (~(Re3gh7 & Tg5gh7)); - assign Tg5gh7 = (V64gh7 | H19gh7); - assign Re3gh7 = (D33gh7 | Hg5gh7); - assign Hg5gh7 = (~(Rbvfh7 & Zd5gh7)); - assign Zd5gh7 = (Nd5gh7 & Zg5gh7); - assign Zg5gh7 = (~(Ta9gh7 & Rt4gh7)); - assign Rbvfh7 = (Fh5gh7 & Lt4gh7); - assign Lt4gh7 = (~(Lh5gh7 & Rh5gh7)); - assign Lh5gh7 = (Ta9gh7 ? Di5gh7 : Xh5gh7); - assign Di5gh7 = (~(Td5gh7 & Za9gh7)); - assign Td5gh7 = (~(Fb9gh7 | Na9gh7)); - assign Xh5gh7 = (~(Ji5gh7 & Fb9gh7)); - assign Ji5gh7 = (Na9gh7 & F8vfh7); - assign F8vfh7 = (!Za9gh7); - assign Fh5gh7 = (~(Na9gh7 & Rh5gh7)); - assign D33gh7 = (~(Vf5gh7 & Rqxfh7)); - assign Rqxfh7 = (!Lbvfh7); - assign Lbvfh7 = (~(Pi5gh7 & Vi5gh7)); - assign Vi5gh7 = (Bd9gh7 & Bj5gh7); - assign Vf5gh7 = (Rn4gh7 & J33gh7); - assign Rn4gh7 = (Hj5gh7 | Ng5gh7); - assign Ng5gh7 = (~(Nj5gh7 & Fb9gh7)); - assign Nj5gh7 = (Nd5gh7 & Ta9gh7); - assign Nd5gh7 = (Tj5gh7 & Za9gh7); - assign Tj5gh7 = (Ft4gh7 & Rh5gh7); - assign Rh5gh7 = (~(Na9gh7 & Dc5gh7)); - assign Dc5gh7 = (Zj5gh7 & Ta9gh7); - assign Zj5gh7 = (~(Rt4gh7 | Fb9gh7)); - assign Ft4gh7 = (Zd8gh7 & N7vfh7); - assign N7vfh7 = (!Lb9gh7); - assign Hj5gh7 = (~(Na9gh7 & Rt4gh7)); - assign Rt4gh7 = (!Rb9gh7); - assign Irrfh7 = (~(Vf3gh7 & P9vfh7)); - assign P9vfh7 = (Vx3gh7 | Drxfh7); - assign Vf3gh7 = (X23gh7 | Vx3gh7); - assign Vx3gh7 = (~(D9vfh7 & Rezfh7)); - assign D9vfh7 = (!Fk5gh7); - assign Zrrfh7 = (~(V9vfh7 & Lk5gh7)); - assign Lk5gh7 = (V64gh7 | T19gh7); - assign V9vfh7 = (~(Rk5gh7 & Xk5gh7)); - assign Rk5gh7 = (V0yfh7 & Dl5gh7); - assign Dl5gh7 = (~(Jl5gh7 & Zjxfh7)); - assign V0yfh7 = (Pl5gh7 & Rezfh7); - assign Qsrfh7 = (~(Vl5gh7 & Bm5gh7)); - assign Bm5gh7 = (V64gh7 | N19gh7); - assign Vl5gh7 = (V62gh7 | F85gh7); - assign Htrfh7 = (~(Hm5gh7 & Nm5gh7)); - assign Nm5gh7 = (Fh4gh7 | Xz8gh7); - assign Hm5gh7 = (~(Dl2gh7 & Tm5gh7)); - assign Ytrfh7 = (~(Zm5gh7 & Fn5gh7)); - assign Fn5gh7 = (~(Ln5gh7 & Dl2gh7)); - assign Ln5gh7 = (Fe8gh7 & Jl2gh7); - assign Jl2gh7 = (!Xn0gh7); - assign Zm5gh7 = (V64gh7 | V09gh7); - assign V64gh7 = (~(Rn5gh7 | Xn5gh7)); - assign Purfh7 = (~(Do5gh7 & Jo5gh7)); - assign Jo5gh7 = (Lw4gh7 | Rz8gh7); - assign Do5gh7 = (Xq0gh7 | Zj2gh7); - assign Gvrfh7 = (~(Po5gh7 & P34gh7)); - assign P34gh7 = (Vo5gh7 & Bp5gh7); - assign Bp5gh7 = (~(Hp5gh7 & Fb5gh7)); - assign Fb5gh7 = (Np5gh7 & Tp5gh7); - assign Tp5gh7 = (Zp5gh7 & R8vfh7); - assign Np5gh7 = (Fq5gh7 & com_rx_tdata[3]); - assign Fq5gh7 = (com_rx_tdata[1] & Hvxfh7); - assign Hvxfh7 = (Lq5gh7 & com_rx_tdata[4]); - assign Lq5gh7 = (~(V6vfh7 | com_rx_tdata[5])); - assign Hp5gh7 = (Rezfh7 & Rq5gh7); - assign Rq5gh7 = (!T4vfh7); - assign Vo5gh7 = (~(X5vfh7 & Xq5gh7)); - assign Xq5gh7 = (!stdio_tx_tready); - assign X5vfh7 = (!F5vfh7); - assign F5vfh7 = (~(Dr5gh7 & Jr5gh7)); - assign Dr5gh7 = (~(Pr5gh7 | Ba9gh7)); - assign Po5gh7 = (Vr5gh7 & Bs5gh7); - assign Bs5gh7 = (Fh4gh7 | Hy8gh7); - assign Fh4gh7 = (~(Rn5gh7 | Hs5gh7)); - assign Vr5gh7 = (~(Dl2gh7 & Ns5gh7)); - assign Xvrfh7 = (~(Ts5gh7 & Zs5gh7)); - assign Zs5gh7 = (~(Xn5gh7 & Ft5gh7)); - assign Ts5gh7 = (~(Zs0gh7 & Dl2gh7)); - assign Owrfh7 = (~(Lt5gh7 & Rt5gh7)); - assign Rt5gh7 = (N44gh7 | By8gh7); - assign N44gh7 = (Lw4gh7 & Xt5gh7); - assign Xt5gh7 = (~(Zs0gh7 & Zj2gh7)); - assign Lw4gh7 = (!Xn5gh7); - assign Xn5gh7 = (~(Du5gh7 & Ju5gh7)); - assign Ju5gh7 = (Pu5gh7 & Vu5gh7); - assign Vu5gh7 = (By3gh7 & Bv5gh7); - assign By3gh7 = (~(Hv5gh7 & Nv5gh7)); - assign Nv5gh7 = (~(Xw3gh7 & Do0gh7)); - assign Do0gh7 = (~(Pi4gh7 & Tv5gh7)); - assign Tv5gh7 = (~(Bj4gh7 | Z19gh7)); - assign Pi4gh7 = (Zv5gh7 & B19gh7); - assign Xw3gh7 = (~(Ju4gh7 & Fw5gh7)); - assign Fw5gh7 = (Tv8gh7 & Lw5gh7); - assign Ju4gh7 = (Rw5gh7 & Bv8gh7); - assign Hv5gh7 = (~(stdio_tx_tvalid & stdio_tx_tready)); - assign Pu5gh7 = (Xw5gh7 & Dx5gh7); - assign Dx5gh7 = (~(Jx5gh7 & Zj2gh7)); - assign Jx5gh7 = (~(Lb4gh7 & Xz3gh7)); - assign Xz3gh7 = (!Ns5gh7); - assign Ns5gh7 = (~(Px5gh7 & Vx5gh7)); - assign Vx5gh7 = (~(By5gh7 & Hy5gh7)); - assign Hy5gh7 = (By8gh7 & Ft5gh7); - assign Px5gh7 = (~(Ny5gh7 & Ty5gh7)); - assign Ny5gh7 = (~(Zy5gh7 | Vu8gh7)); - assign Lb4gh7 = (!Tm5gh7); - assign Tm5gh7 = (~(Fz5gh7 & Lz5gh7)); - assign Lz5gh7 = (~(Rz5gh7 & Xz5gh7)); - assign Xz5gh7 = (D06gh7 & J06gh7); - assign D06gh7 = (~(P06gh7 | P09gh7)); - assign P06gh7 = (!V06gh7); - assign Rz5gh7 = (B16gh7 & X29gh7); - assign Fz5gh7 = (~(H16gh7 & N16gh7)); - assign H16gh7 = (~(T16gh7 | V09gh7)); - assign Xw5gh7 = (Z16gh7 | Rezfh7); - assign Du5gh7 = (F26gh7 & L26gh7); - assign L26gh7 = (Xe3gh7 & Hd3gh7); - assign Hd3gh7 = (~(Vc3gh7 & R26gh7)); - assign Vc3gh7 = (!Hm2gh7); - assign Hm2gh7 = (~(X26gh7 & Xq4gh7)); - assign Xq4gh7 = (D36gh7 & Ty5gh7); - assign D36gh7 = (Vu8gh7 & Pu8gh7); - assign X26gh7 = (Du8gh7 & Dr4gh7); - assign Xe3gh7 = (J36gh7 & Bm2gh7); - assign Bm2gh7 = (~(R26gh7 & Zp4gh7)); - assign Zp4gh7 = (Ha4gh7 | Ba4gh7); - assign Ba4gh7 = (P36gh7 & V36gh7); - assign V36gh7 = (B46gh7 & Du8gh7); - assign B46gh7 = (~(Dr4gh7 | Pu8gh7)); - assign Dr4gh7 = (!Ju8gh7); - assign P36gh7 = (Ty5gh7 & Vu8gh7); - assign Ty5gh7 = (H46gh7 & N46gh7); - assign N46gh7 = (T46gh7 & Lt8gh7); - assign T46gh7 = (Rt8gh7 & Xt8gh7); - assign Ha4gh7 = (Z46gh7 & F56gh7); - assign Z46gh7 = (~(L56gh7 | Zv8gh7)); - assign L56gh7 = (!R56gh7); - assign J36gh7 = (~(R26gh7 & X56gh7)); - assign X56gh7 = (~(Na4gh7 & Zy1gh7)); - assign Zy1gh7 = (~(D66gh7 & Jr5gh7)); - assign Jr5gh7 = (J66gh7 & P66gh7); - assign P66gh7 = (V66gh7 & T19gh7); - assign V66gh7 = (V99gh7 & Bd9gh7); - assign J66gh7 = (B76gh7 & N16gh7); - assign B76gh7 = (~(H76gh7 | N76gh7)); - assign D66gh7 = (Ba9gh7 & Pr5gh7); - assign Pr5gh7 = (!H19gh7); - assign Na4gh7 = (~(T76gh7 & Z76gh7)); - assign Z76gh7 = (~(Bj4gh7 | B19gh7)); - assign Bj4gh7 = (!Ha9gh7); - assign T76gh7 = (Zv5gh7 & Z19gh7); - assign Zv5gh7 = (F86gh7 & L86gh7); - assign L86gh7 = (R86gh7 & F29gh7); - assign R86gh7 = (~(H76gh7 | T16gh7)); - assign H76gh7 = (!V09gh7); - assign R26gh7 = (!Vi0gh7); - assign F26gh7 = (~(X86gh7 | Hs5gh7)); - assign Hs5gh7 = (~(D96gh7 & J96gh7)); - assign J96gh7 = (~(P96gh7 & F85gh7)); - assign P96gh7 = (~(V96gh7 & Ba6gh7)); - assign Ba6gh7 = (Ha6gh7 | stdio_rx_tvalid); - assign V96gh7 = (V62gh7 | com_tx_tready); - assign D96gh7 = (~(Na6gh7 & Zj2gh7)); - assign Na6gh7 = (~(Ta6gh7 & Za6gh7)); - assign Ta6gh7 = (Fe4gh7 & Xn0gh7); - assign Xn0gh7 = (~(Fb6gh7 & Lb6gh7)); - assign Lb6gh7 = (Rb6gh7 & Lz8gh7); - assign Rb6gh7 = (~(Xb6gh7 | Rz8gh7)); - assign Lt5gh7 = (Zp1gh7 | Zj2gh7); - assign Zp1gh7 = (!Pr0gh7); - assign Fxrfh7 = (!Dc6gh7); - assign Dc6gh7 = (Dl2gh7 ? Le4gh7 : Jc6gh7); - assign Jc6gh7 = (Df4gh7 | Pc9gh7); - assign Wxrfh7 = (Zj2gh7 ? Hp0gh7 : By0gh7); - assign Hp0gh7 = (!Le4gh7); - assign Le4gh7 = (~(Pc6gh7 & Vc6gh7)); - assign Vc6gh7 = (Bd6gh7 & Fz8gh7); - assign Bd6gh7 = (~(Hd6gh7 | Ty8gh7)); - assign Pc6gh7 = (Nd6gh7 & Td6gh7); - assign By0gh7 = (!Re4gh7); - assign Nyrfh7 = (~(Zd6gh7 & Fe6gh7)); - assign Fe6gh7 = (~(D64gh7 & Le6gh7)); - assign D64gh7 = (~(F54gh7 & Bv5gh7)); - assign F54gh7 = (~(Rn5gh7 | X86gh7)); - assign X86gh7 = (~(Re6gh7 & Xe6gh7)); - assign Xe6gh7 = (Tv3gh7 | Dl2gh7); - assign Tv3gh7 = (~(Df6gh7 & Jf6gh7)); - assign Jf6gh7 = (~(Pf6gh7 & Vf6gh7)); - assign Vf6gh7 = (~(Bg6gh7 & Hg6gh7)); - assign Hg6gh7 = (~(Ng6gh7 | J09gh7)); - assign Bg6gh7 = (J06gh7 & D09gh7); - assign Pf6gh7 = (~(Tg6gh7 & V06gh7)); - assign Tg6gh7 = (~(Zg6gh7 | F29gh7)); - assign Re6gh7 = (Fh6gh7 & Lh6gh7); - assign Lh6gh7 = (~(Rh6gh7 & Jl5gh7)); - assign Jl5gh7 = (Xh6gh7 & Di6gh7); - assign Di6gh7 = (!Ji6gh7); - assign Ji6gh7 = (com_rx_tdata[5] ? Vi6gh7 : Pi6gh7); - assign Vi6gh7 = (~(V6vfh7 & Bsxfh7)); - assign Pi6gh7 = (~(com_rx_tdata[3] & com_rx_tdata[0])); - assign Rh6gh7 = (Xk5gh7 & Zjxfh7); - assign Xk5gh7 = (!Drxfh7); - assign Fh6gh7 = (~(Pl5gh7 & Xexfh7)); - assign Xexfh7 = (!X23gh7); - assign Rn5gh7 = (~(Bj6gh7 & Hj6gh7)); - assign Hj6gh7 = (~(Nj6gh7 & Zj2gh7)); - assign Nj6gh7 = (~(Tj6gh7 & Df4gh7)); - assign Df4gh7 = (Zj6gh7 & L81gh7); - assign L81gh7 = (~(Fk6gh7 & B16gh7)); - assign Fk6gh7 = (~(Lk6gh7 | X29gh7)); - assign Lk6gh7 = (!Rk6gh7); - assign Zj6gh7 = (~(Pr0gh7 | Zs0gh7)); - assign Zs0gh7 = (!Dr1gh7); - assign Dr1gh7 = (~(By5gh7 & Xk6gh7)); - assign Xk6gh7 = (~(Ft5gh7 | By8gh7)); - assign Ft5gh7 = (!Jx8gh7); - assign By5gh7 = (Dl6gh7 & Jl6gh7); - assign Pr0gh7 = (Pl6gh7 & Vl6gh7); - assign Vl6gh7 = (~(Bm6gh7 | Pc9gh7)); - assign Tj6gh7 = (Xq0gh7 & Re4gh7); - assign Re4gh7 = (~(Hm6gh7 & Nm6gh7)); - assign Hm6gh7 = (~(Hd6gh7 | Fz8gh7)); - assign Xq0gh7 = (~(Pl6gh7 & Tm6gh7)); - assign Tm6gh7 = (Pc9gh7 & Bm6gh7); - assign Bm6gh7 = (!Hy8gh7); - assign Pl6gh7 = (Dl6gh7 & Zm6gh7); - assign Dl6gh7 = (Fn6gh7 & Ln6gh7); - assign Fn6gh7 = (D09gh7 & Rn6gh7); - assign Bj6gh7 = (~(Pc3gh7 & Rw4gh7)); - assign Pc3gh7 = (~(Xn6gh7 & Vx4gh7)); - assign Xn6gh7 = (Dl0gh7 & Fbvfh7); - assign Zd6gh7 = (~(Lz0gh7 & Dl2gh7)); - assign Ezrfh7 = (!Do6gh7); - assign Do6gh7 = (Dl2gh7 ? Bg2gh7 : Jo6gh7); - assign Jo6gh7 = (~(Pf4gh7 & Po6gh7)); - assign Vzrfh7 = (!Vo6gh7); - assign Vo6gh7 = (Dl2gh7 ? Hp6gh7 : Bp6gh7); - assign Bp6gh7 = (~(Jf4gh7 & Np6gh7)); - assign M0sfh7 = (!Tp6gh7); - assign Tp6gh7 = (Dl2gh7 ? Hd2gh7 : Zp6gh7); - assign Zp6gh7 = (~(Pf4gh7 & Fq6gh7)); - assign Pf4gh7 = (Fw0gh7 | Lz0gh7); - assign Lz0gh7 = (Lq6gh7 & Rq6gh7); - assign Rq6gh7 = (Zy8gh7 & Po6gh7); - assign Po6gh7 = (!Ny8gh7); - assign Fw0gh7 = (!Hp6gh7); - assign Hp6gh7 = (~(Xq6gh7 & Dr6gh7)); - assign Dr6gh7 = (Jr6gh7 & Fq6gh7); - assign Fq6gh7 = (!Vx8gh7); - assign D1sfh7 = (!Pr6gh7); - assign Pr6gh7 = (Dl2gh7 ? Hp1gh7 : Vr6gh7); - assign Vr6gh7 = (~(Bs6gh7 & Hs6gh7)); - assign U1sfh7 = (!Ns6gh7); - assign Ns6gh7 = (Dl2gh7 ? Fz1gh7 : Ts6gh7); - assign Ts6gh7 = (~(Jf4gh7 & Zs6gh7)); - assign Jf4gh7 = (Bs6gh7 | Ft6gh7); - assign Ft6gh7 = (!Hp1gh7); - assign Hp1gh7 = (~(Lt6gh7 & Rt6gh7)); - assign Rt6gh7 = (Xt8gh7 & Zs6gh7); - assign Zs6gh7 = (!Rt8gh7); - assign Bs6gh7 = (~(Hd2gh7 & Bg2gh7)); - assign Bg2gh7 = (~(Lq6gh7 & Xt6gh7)); - assign Xt6gh7 = (Ny8gh7 & Np6gh7); - assign Np6gh7 = (!Zy8gh7); - assign Lq6gh7 = (Nm6gh7 & Fz8gh7); - assign Nm6gh7 = (Xq6gh7 & Du6gh7); - assign Du6gh7 = (Vx8gh7 & Ty8gh7); - assign Xq6gh7 = (Td6gh7 & Px8gh7); - assign Hd2gh7 = (~(Ju6gh7 & Pu6gh7)); - assign Pu6gh7 = (Jr6gh7 & Hs6gh7); - assign Hs6gh7 = (!Px8gh7); - assign Ju6gh7 = (Td6gh7 & Vx8gh7); - assign Td6gh7 = (Vu6gh7 & Bv6gh7); - assign Bv6gh7 = (Hv6gh7 & Zm6gh7); - assign Hv6gh7 = (Nv6gh7 & Tv6gh7); - assign L2sfh7 = (~(Zv6gh7 & J62gh7)); - assign J62gh7 = (~(Pi5gh7 & Fw6gh7)); - assign Fw6gh7 = (~(Bj5gh7 | Bd9gh7)); - assign Bj5gh7 = (!V99gh7); - assign Pi5gh7 = (Lw6gh7 & T19gh7); - assign Zv6gh7 = (Dl2gh7 ? Fe4gh7 : Rw6gh7); - assign Fe4gh7 = (~(Xw6gh7 & Dx6gh7)); - assign Xw6gh7 = (Rw8gh7 & Jx6gh7); - assign Jx6gh7 = (!Lw8gh7); - assign Rw6gh7 = (Za6gh7 | Lt8gh7); - assign Za6gh7 = (Rb4gh7 & Fz1gh7); - assign Fz1gh7 = (~(Px6gh7 & Vx6gh7)); - assign Vx6gh7 = (~(By6gh7 | Lt8gh7)); - assign Px6gh7 = (Hy6gh7 & Rt8gh7); - assign C3sfh7 = (Ny6gh7 & Zv4gh7); - assign Zv4gh7 = (Ty6gh7 & Zy6gh7); - assign Zy6gh7 = (Fz6gh7 & Lz6gh7); - assign Lz6gh7 = (Rz6gh7 & Xz6gh7); - assign Xz6gh7 = (D07gh7 & J07gh7); - assign J07gh7 = (P07gh7 & V07gh7); - assign V07gh7 = (~(Pxvfh7 ^ B17gh7)); - assign B17gh7 = (~(Ns8gh7 | Jrtfh7)); - assign Pxvfh7 = (!Hs8gh7); - assign P07gh7 = (~(Xhwfh7 ^ H17gh7)); - assign H17gh7 = (~(Pr8gh7 | Nptfh7)); - assign Xhwfh7 = (!Jr8gh7); - assign D07gh7 = (N17gh7 & T17gh7); - assign T17gh7 = (~(T1xfh7 ^ Z17gh7)); - assign Z17gh7 = (~(Rq8gh7 | Rntfh7)); - assign T1xfh7 = (!Lq8gh7); - assign N17gh7 = (Np8gh7 ^ F27gh7); - assign F27gh7 = (~(Tp8gh7 | Vltfh7)); - assign Rz6gh7 = (L27gh7 & R27gh7); - assign R27gh7 = (X27gh7 & D37gh7); - assign D37gh7 = (~(Zmwfh7 ^ J37gh7)); - assign J37gh7 = (~(Vo8gh7 | Bptfh7)); - assign Zmwfh7 = (!Po8gh7); - assign X27gh7 = (~(Nsvfh7 ^ P37gh7)); - assign P37gh7 = (~(Xn8gh7 | Vrtfh7)); - assign Nsvfh7 = (!Rn8gh7); - assign L27gh7 = (V37gh7 & B47gh7); - assign B47gh7 = (~(J6xfh7 ^ H47gh7)); - assign H47gh7 = (~(Zm8gh7 | Fntfh7)); - assign J6xfh7 = (!Tm8gh7); - assign V37gh7 = (~(Fhxfh7 ^ N47gh7)); - assign N47gh7 = (~(Bm8gh7 | Hmtfh7)); - assign Fhxfh7 = (!Vl8gh7); - assign Fz6gh7 = (T47gh7 & Z47gh7); - assign Z47gh7 = (F57gh7 & L57gh7); - assign L57gh7 = (R57gh7 & X57gh7); - assign X57gh7 = (~(Tpvfh7 ^ D67gh7)); - assign D67gh7 = (~(Dl8gh7 | Bstfh7)); - assign Tpvfh7 = (!Xk8gh7); - assign R57gh7 = (~(D0wfh7 ^ J67gh7)); - assign J67gh7 = (~(Fk8gh7 | Drtfh7)); - assign D0wfh7 = (!Zj8gh7); - assign F57gh7 = (P67gh7 & V67gh7); - assign V67gh7 = (~(Hawfh7 ^ B77gh7)); - assign B77gh7 = (~(Hj8gh7 | Fqtfh7)); - assign Hawfh7 = (!Bj8gh7); - assign P67gh7 = (~(Lkwfh7 ^ H77gh7)); - assign H77gh7 = (~(Ji8gh7 | Hptfh7)); - assign Lkwfh7 = (!Di8gh7); - assign T47gh7 = (N77gh7 & T77gh7); - assign T77gh7 = (Z77gh7 & F87gh7); - assign F87gh7 = (~(Puwfh7 ^ L87gh7)); - assign L87gh7 = (~(Lh8gh7 | Jotfh7)); - assign Puwfh7 = (!Fh8gh7); - assign Z77gh7 = (~(B4xfh7 ^ R87gh7)); - assign R87gh7 = (~(Ng8gh7 | Lntfh7)); - assign B4xfh7 = (!Hg8gh7); - assign N77gh7 = (X87gh7 & D97gh7); - assign D97gh7 = (~(Hdxfh7 ^ J97gh7)); - assign J97gh7 = (~(Pf8gh7 | Nmtfh7)); - assign Hdxfh7 = (!Jf8gh7); - assign X87gh7 = (~(Tpxfh7 ^ P97gh7)); - assign P97gh7 = (~(Re8gh7 | Pltfh7)); - assign Tpxfh7 = (!Le8gh7); - assign Ty6gh7 = (V97gh7 & Ba7gh7); - assign Ba7gh7 = (Ha7gh7 & Na7gh7); - assign Na7gh7 = (Ta7gh7 & Za7gh7); - assign Za7gh7 = (Fb7gh7 & Lb7gh7); - assign Lb7gh7 = (~(Bswfh7 ^ Rb7gh7)); - assign Rb7gh7 = (~(Dr8gh7 | Potfh7)); - assign Bswfh7 = (!Xq8gh7); - assign Fb7gh7 = (~(Vcwfh7 ^ Xb7gh7)); - assign Xb7gh7 = (~(Ln8gh7 | Zptfh7)); - assign Vcwfh7 = (!Fn8gh7); - assign Ta7gh7 = (Dc7gh7 & Jc7gh7); - assign Jc7gh7 = (~(Zgvfh7 ^ Pc7gh7)); - assign Pc7gh7 = (~(Hp8gh7 | Jltfh7)); - assign Zgvfh7 = (!Bp8gh7); - assign Dc7gh7 = (~(F5wfh7 ^ Vc7gh7)); - assign Vc7gh7 = (~(Tj8gh7 | Rqtfh7)); - assign F5wfh7 = (!Nj8gh7); - assign Ha7gh7 = (Bd7gh7 & Hd7gh7); - assign Hd7gh7 = (Nd7gh7 & Td7gh7); - assign Td7gh7 = (~(R8xfh7 ^ Zd7gh7)); - assign Zd7gh7 = (~(Bg8gh7 | Zmtfh7)); - assign R8xfh7 = (!Vf8gh7); - assign Nd7gh7 = (~(Npwfh7 ^ Fe7gh7)); - assign Fe7gh7 = (~(Xh8gh7 | Votfh7)); - assign Npwfh7 = (!Rh8gh7); - assign Bd7gh7 = (Le7gh7 & Re7gh7); - assign Re7gh7 = (~(Pfvfh7 ^ Xe7gh7)); - assign Xe7gh7 = (~(Pl8gh7 | Nstfh7)); - assign Pfvfh7 = (!Jl8gh7); - assign Le7gh7 = (~(Zmvfh7 ^ Df7gh7)); - assign Df7gh7 = (~(Zs8gh7 | Hstfh7)); - assign Zmvfh7 = (!Ts8gh7); - assign V97gh7 = (Jf7gh7 & Pf7gh7); - assign Pf7gh7 = (Vf7gh7 & Bg7gh7); - assign Bg7gh7 = (Hg7gh7 & Ng7gh7); - assign Ng7gh7 = (~(T7wfh7 ^ Tg7gh7)); - assign Tg7gh7 = (~(Bs8gh7 | Lqtfh7)); - assign T7wfh7 = (!Vr8gh7); - assign Hg7gh7 = (~(Zaxfh7 ^ Zg7gh7)); - assign Zg7gh7 = (~(Fq8gh7 | Tmtfh7)); - assign Zaxfh7 = (!Zp8gh7); - assign Vf7gh7 = (Fh7gh7 & Lh7gh7); - assign Lh7gh7 = (~(R2wfh7 ^ Rh7gh7)); - assign Rh7gh7 = (~(Jo8gh7 | Xqtfh7)); - assign R2wfh7 = (!Do8gh7); - assign Fh7gh7 = (~(Dxwfh7 ^ Xh7gh7)); - assign Xh7gh7 = (~(Nm8gh7 | Dotfh7)); - assign Dxwfh7 = (!Hm8gh7); - assign Jf7gh7 = (Di7gh7 & Ji7gh7); - assign Ji7gh7 = (Pi7gh7 & Vi7gh7); - assign Vi7gh7 = (~(Bvvfh7 ^ Bj7gh7)); - assign Bj7gh7 = (~(Rk8gh7 | Prtfh7)); - assign Bvvfh7 = (!Lk8gh7); - assign Pi7gh7 = (~(Jfwfh7 ^ Hj7gh7)); - assign Hj7gh7 = (~(Vi8gh7 | Tptfh7)); - assign Jfwfh7 = (!Pi8gh7); - assign Di7gh7 = (Nj7gh7 & Tj7gh7); - assign Tj7gh7 = (~(Lzwfh7 ^ Zj7gh7)); - assign Zj7gh7 = (~(Zg8gh7 | Xntfh7)); - assign Lzwfh7 = (!Tg8gh7); - assign Nj7gh7 = (~(Jixfh7 ^ Fk7gh7)); - assign Fk7gh7 = (~(Df8gh7 | Bmtfh7)); - assign Jixfh7 = (!Xe8gh7); - assign Ny6gh7 = (Fq4gh7 & Ty4gh7); - assign Fq4gh7 = (!Dx3gh7); - assign Dx3gh7 = (~(Lk7gh7 & Dx6gh7)); - assign Dx6gh7 = (Rk7gh7 & Fk4gh7); - assign Rk7gh7 = (Xb9gh7 & Fw8gh7); - assign Lk7gh7 = (Lw8gh7 & Zj4gh7); - assign Zj4gh7 = (!Rw8gh7); - assign T3sfh7 = (~(Xk7gh7 & Dl7gh7)); - assign Dl7gh7 = (Jl7gh7 & Pl7gh7); - assign Pl7gh7 = (~(Pl5gh7 & Ta4gh7)); - assign Ta4gh7 = (!Vl7gh7); - assign Pl5gh7 = (Fk5gh7 & J33gh7); - assign J33gh7 = (~(Bm7gh7 & Hm7gh7)); - assign Hm7gh7 = (J9vfh7 & Bsxfh7); - assign Bsxfh7 = (!com_rx_tdata[3]); - assign Bm7gh7 = (Xh6gh7 & Nyxfh7); - assign Nyxfh7 = (~(com_rx_tdata[5] | com_rx_tdata[0])); - assign Fk5gh7 = (~(Nm7gh7 & Tm7gh7)); - assign Tm7gh7 = (Zm7gh7 & Fn7gh7); - assign Fn7gh7 = (~(com_rx_tdata[2] & V6vfh7)); - assign V6vfh7 = (!com_rx_tdata[0]); - assign Zm7gh7 = (~(Ftxfh7 | com_rx_tdata[5])); - assign Ftxfh7 = (com_rx_tdata[1] & com_rx_tdata[0]); - assign Nm7gh7 = (Nvxfh7 & Xh6gh7); - assign Xh6gh7 = (Zp5gh7 & Z7vfh7); - assign Z7vfh7 = (!com_rx_tdata[4]); - assign Zp5gh7 = (~(com_rx_tdata[6] | com_rx_tdata[7])); - assign Nvxfh7 = (com_rx_tdata[3] & Bjvfh7); - assign Bjvfh7 = (!Zjxfh7); - assign Zjxfh7 = (J9vfh7 & R8vfh7); - assign R8vfh7 = (!com_rx_tdata[2]); - assign J9vfh7 = (!com_rx_tdata[1]); - assign Jl7gh7 = (Fw3gh7 & Dl0gh7); - assign Dl0gh7 = (~(Ln7gh7 & Hv8gh7)); - assign Ln7gh7 = (~(Rn7gh7 | Nv8gh7)); - assign Fw3gh7 = (~(Xn7gh7 & Do7gh7)); - assign Do7gh7 = (~(Lw5gh7 | Bv8gh7)); - assign Lw5gh7 = (!L29gh7); - assign Xn7gh7 = (Rw5gh7 & Tv8gh7); - assign Rw5gh7 = (Jo7gh7 & Po7gh7); - assign Po7gh7 = (Vo7gh7 & Bp7gh7); - assign Xk7gh7 = (Hp7gh7 & Vx4gh7); - assign Vx4gh7 = (Xw4gh7 & Dx4gh7); - assign Dx4gh7 = (~(Np7gh7 & Ln6gh7)); - assign Np7gh7 = (~(Ng6gh7 | D09gh7)); - assign Xw4gh7 = (~(Tp7gh7 & Zp7gh7)); - assign Zp7gh7 = (Dx8gh7 & Fq7gh7); - assign Hp7gh7 = (Lq7gh7 & Rq7gh7); - assign Rq7gh7 = (~(Xq7gh7 & Rw4gh7)); - assign Rw4gh7 = (!Rezfh7); - assign Rezfh7 = (com_rx_tvalid & com_rx_tready); - assign Xq7gh7 = (~(Z16gh7 & Fbvfh7)); - assign Fbvfh7 = (~(Tp7gh7 & Dr7gh7)); - assign Dr7gh7 = (~(Fq7gh7 | Dx8gh7)); - assign Fq7gh7 = (!Xw8gh7); - assign Tp7gh7 = (F56gh7 & Zv8gh7); - assign F56gh7 = (Jr7gh7 & Pr7gh7); - assign Pr7gh7 = (Xb9gh7 & Vr7gh7); - assign Z16gh7 = (Vl7gh7 & T4vfh7); - assign T4vfh7 = (~(Bs7gh7 & Nv8gh7)); - assign Bs7gh7 = (~(Rn7gh7 | Hv8gh7)); - assign Rn7gh7 = (~(Hs7gh7 & Ft8gh7)); - assign Hs7gh7 = (Bp7gh7 & Ns7gh7); - assign Vl7gh7 = (Drxfh7 & X23gh7); - assign X23gh7 = (~(Ts7gh7 & Zs7gh7)); - assign Zs7gh7 = (Bd9gh7 & Ft7gh7); - assign Ts7gh7 = (Lw6gh7 & V99gh7); - assign Lw6gh7 = (~(Lt7gh7 | N76gh7)); - assign Drxfh7 = (~(Rt7gh7 & Xt7gh7)); - assign Xt7gh7 = (Du7gh7 & V99gh7); - assign Du7gh7 = (Bd9gh7 & N76gh7); - assign N76gh7 = (!N19gh7); - assign Rt7gh7 = (~(Lt7gh7 | Ft7gh7)); - assign Ft7gh7 = (!T19gh7); - assign Lt7gh7 = (~(Ju7gh7 & Pu7gh7)); - assign Pu7gh7 = (H19gh7 & Ba9gh7); - assign Ju7gh7 = (N16gh7 & V09gh7); - assign N16gh7 = (F86gh7 & Vu7gh7); - assign Vu7gh7 = (~(Bv7gh7 | Hv7gh7)); - assign F86gh7 = (Df6gh7 & V06gh7); - assign Lq7gh7 = (F85gh7 | Ha6gh7); - assign Ha6gh7 = (Zv3gh7 & V62gh7); - assign V62gh7 = (~(Fb6gh7 & Nv7gh7)); - assign Nv7gh7 = (Tv7gh7 & Lz8gh7); - assign Tv7gh7 = (Rz8gh7 & Xb6gh7); - assign K4sfh7 = (~(Zv7gh7 & R54gh7)); - assign R54gh7 = (Rb4gh7 | Zj2gh7); - assign Zj2gh7 = (!Dl2gh7); - assign Dl2gh7 = (com_tx_tready & com_tx_tvalid); - assign Rb4gh7 = (~(Lt6gh7 & Fw7gh7)); - assign Fw7gh7 = (Rt8gh7 & By6gh7); - assign By6gh7 = (!Xt8gh7); - assign Lt6gh7 = (Hy6gh7 & Lt8gh7); - assign Hy6gh7 = (H46gh7 & Lw7gh7); - assign H46gh7 = (Rw7gh7 & Ft8gh7); - assign Zv7gh7 = (Bv5gh7 & V65gh7); - assign V65gh7 = (Xw7gh7 | Zv3gh7); - assign Zv3gh7 = (~(Fb6gh7 & Dx7gh7)); - assign Dx7gh7 = (Jx7gh7 & Rz8gh7); - assign Jx7gh7 = (~(Xb6gh7 | Lz8gh7)); - assign Xb6gh7 = (!Xz8gh7); - assign Fb6gh7 = (Px7gh7 & Nv6gh7); - assign Xw7gh7 = (~(stdio_rx_tvalid & F85gh7)); - assign F85gh7 = (!com_rx_tvalid); - assign Bv5gh7 = (D34gh7 | J34gh7); - assign J34gh7 = (~(P65gh7 | L54gh7)); - assign L54gh7 = (Vx7gh7 & Fk4gh7); - assign Fk4gh7 = (Jr7gh7 & By7gh7); - assign By7gh7 = (Zv8gh7 & R56gh7); - assign Jr7gh7 = (Px7gh7 & Tv6gh7); - assign Px7gh7 = (Vu6gh7 & Hy7gh7); - assign Hy7gh7 = (Ny7gh7 & Ty7gh7); - assign Ty7gh7 = (Vx8gh7 & Jr6gh7); - assign Ny7gh7 = (Zm6gh7 & Px8gh7); - assign Vu6gh7 = (Zy7gh7 & Ln6gh7); - assign Ln6gh7 = (Fz7gh7 & Df6gh7); - assign Df6gh7 = (Lz7gh7 & B16gh7); - assign B16gh7 = (Jo7gh7 & Rz7gh7); - assign Rz7gh7 = (Xz7gh7 & D08gh7); - assign D08gh7 = (Tv8gh7 & L29gh7); - assign Xz7gh7 = (Bp7gh7 & Bv8gh7); - assign Bp7gh7 = (!J08gh7); - assign Jo7gh7 = (P08gh7 & Hv8gh7); - assign P08gh7 = (Nv8gh7 & Ft8gh7); - assign Lz7gh7 = (X29gh7 & P09gh7); - assign Fz7gh7 = (J06gh7 & J09gh7); - assign Zy7gh7 = (D09gh7 & Jl6gh7); - assign Vx7gh7 = (Vr7gh7 & J64gh7); - assign J64gh7 = (!Xb9gh7); - assign P65gh7 = (V08gh7 & Rw7gh7); - assign Rw7gh7 = (B18gh7 & Hv8gh7); - assign B18gh7 = (Nv8gh7 & Ns7gh7); - assign Ns7gh7 = (H18gh7 & N18gh7); - assign N18gh7 = (Vo7gh7 & L29gh7); - assign Vo7gh7 = (X29gh7 & Rk6gh7); - assign Rk6gh7 = (T18gh7 & P09gh7); - assign T18gh7 = (J06gh7 & V06gh7); - assign V06gh7 = (Z18gh7 & D09gh7); - assign Z18gh7 = (J09gh7 & F28gh7); - assign F28gh7 = (!Ng6gh7); - assign Ng6gh7 = (~(L28gh7 & Rn6gh7)); - assign Rn6gh7 = (Nd6gh7 & R28gh7); - assign R28gh7 = (X28gh7 & Nv6gh7); - assign Nv6gh7 = (D38gh7 & J38gh7); - assign J38gh7 = (Vr7gh7 & R56gh7); - assign R56gh7 = (Xw8gh7 & Dx8gh7); - assign Vr7gh7 = (P38gh7 & Fw8gh7); - assign P38gh7 = (Lw8gh7 & Rw8gh7); - assign D38gh7 = (Zv8gh7 & Xb9gh7); - assign X28gh7 = (Tv6gh7 & Jr6gh7); - assign Jr6gh7 = (V38gh7 & Ty8gh7); - assign V38gh7 = (~(Le6gh7 | Hd6gh7)); - assign Hd6gh7 = (~(Ny8gh7 & Zy8gh7)); - assign Le6gh7 = (!Fz8gh7); - assign Tv6gh7 = (B48gh7 & Lz8gh7); - assign B48gh7 = (Rz8gh7 & Xz8gh7); - assign Nd6gh7 = (Px8gh7 & Vx8gh7); - assign L28gh7 = (Jl6gh7 & Zm6gh7); - assign Zm6gh7 = (Jx8gh7 & By8gh7); - assign Jl6gh7 = (Hy8gh7 & Pc9gh7); - assign J06gh7 = (~(Bv7gh7 | Zg6gh7)); - assign Zg6gh7 = (~(H48gh7 & V09gh7)); - assign H48gh7 = (~(T16gh7 | Hv7gh7)); - assign Hv7gh7 = (~(N48gh7 & B19gh7)); - assign N48gh7 = (Z19gh7 & Ha9gh7); - assign T16gh7 = (~(T48gh7 & Z48gh7)); - assign Z48gh7 = (F58gh7 & V99gh7); - assign F58gh7 = (Ba9gh7 & Bd9gh7); - assign T48gh7 = (L58gh7 & H19gh7); - assign L58gh7 = (N19gh7 & T19gh7); - assign Bv7gh7 = (!F29gh7); - assign H18gh7 = (Bv8gh7 & Tv8gh7); - assign V08gh7 = (~(J08gh7 | Ft8gh7)); - assign J08gh7 = (~(R58gh7 & X58gh7)); - assign X58gh7 = (Lw7gh7 & Xt8gh7); - assign Lw7gh7 = (Vu8gh7 & D68gh7); - assign D68gh7 = (!Zy5gh7); - assign Zy5gh7 = (~(J68gh7 & Du8gh7)); - assign J68gh7 = (Ju8gh7 & Pu8gh7); - assign R58gh7 = (Lt8gh7 & Rt8gh7); - assign D34gh7 = (stdio_rx_tready & stdio_rx_tvalid); - assign Tosfh7 = (P68gh7 & Vi0gh7); - assign P68gh7 = (V68gh7 & Patfh7); - assign V68gh7 = (~(Bsufh7 & Tp4gh7)); - assign Tp4gh7 = (!Ty4gh7); - assign Ty4gh7 = (~(B78gh7 & H78gh7)); - assign H78gh7 = (N78gh7 & T78gh7); - assign T78gh7 = (Z78gh7 & F88gh7); - assign F88gh7 = (L88gh7 & R88gh7); - assign R88gh7 = (Jctfh7 & Dctfh7); - assign L88gh7 = (Vctfh7 & Pctfh7); - assign Z78gh7 = (X88gh7 & D98gh7); - assign D98gh7 = (Hdtfh7 & Bdtfh7); - assign X88gh7 = (Tdtfh7 & Ndtfh7); - assign N78gh7 = (J98gh7 & P98gh7); - assign P98gh7 = (V98gh7 & Ba8gh7); - assign Ba8gh7 = (Fetfh7 & Zdtfh7); - assign V98gh7 = (Retfh7 & Letfh7); - assign J98gh7 = (Ha8gh7 & Na8gh7); - assign Na8gh7 = (Dftfh7 & Xetfh7); - assign Ha8gh7 = (Pftfh7 & Jftfh7); - assign B78gh7 = (Ta8gh7 & Za8gh7); - assign Za8gh7 = (Fb8gh7 & Lb8gh7); - assign Lb8gh7 = (Rb8gh7 & Xb8gh7); - assign Xb8gh7 = (Bgtfh7 & Vftfh7); - assign Rb8gh7 = (Ngtfh7 & Hgtfh7); - assign Fb8gh7 = (Dc8gh7 & Jc8gh7); - assign Jc8gh7 = (Zgtfh7 & Tgtfh7); - assign Dc8gh7 = (Lhtfh7 & Fhtfh7); - assign Ta8gh7 = (Pc8gh7 & Vc8gh7); - assign Vc8gh7 = (Bd8gh7 & Hd8gh7); - assign Hd8gh7 = (Xhtfh7 & Rhtfh7); - assign Bd8gh7 = (Jitfh7 & Ditfh7); - assign Pc8gh7 = (Nd8gh7 & Ybtfh7); - assign Nd8gh7 = (Tbtfh7 & Obtfh7); - assign B9tfh7 = (Vi0gh7 & R9tfh7); - assign Vi0gh7 = (Td8gh7 & ahb_hready); - assign Td8gh7 = (~(Hd9gh7 | R29gh7)); - - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ie9gh7 <= 1'b0; - end - else - begin - Ie9gh7 <= Dltfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - If9gh7 <= 1'b0; - end - else - begin - If9gh7 <= T1ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ng9gh7 <= 1'b1; - end - else - begin - Ng9gh7 <= C3sfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ph9gh7 <= 1'b0; - end - else - begin - Ph9gh7 <= Piufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ri9gh7 <= 1'b0; - end - else - begin - Ri9gh7 <= T5rfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Tj9gh7 <= 1'b0; - end - else - begin - Tj9gh7 <= Virfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Wk9gh7 <= 1'b0; - end - else - begin - Wk9gh7 <= T3sfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Wl9gh7 <= 1'b0; - end - else - begin - Wl9gh7 <= Nhrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Zm9gh7 <= 1'b0; - end - else - begin - Zm9gh7 <= Nyrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Bo9gh7 <= 1'b0; - end - else - begin - Bo9gh7 <= Wxrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Dp9gh7 <= 1'b0; - end - else - begin - Dp9gh7 <= Fxrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Fq9gh7 <= 1'b0; - end - else - begin - Fq9gh7 <= Owrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ir9gh7 <= 1'b0; - end - else - begin - Ir9gh7 <= Zarfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ls9gh7 <= 1'b0; - end - else - begin - Ls9gh7 <= S7rfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Lt9gh7 <= 1'b0; - end - else - begin - Lt9gh7 <= Tmrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ou9gh7 <= 1'b0; - end - else - begin - Ou9gh7 <= Vkqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Pv9gh7 <= 1'b0; - end - else - begin - Pv9gh7 <= Npufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Rw9gh7 <= 1'b0; - end - else - begin - Rw9gh7 <= Hjufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Tx9gh7 <= 1'b0; - end - else - begin - Tx9gh7 <= Mjrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Wy9gh7 <= 1'b0; - end - else - begin - Wy9gh7 <= Cmrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Zz9gh7 <= 1'b0; - end - else - begin - Zz9gh7 <= Ukrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - C1agh7 <= 1'b0; - end - else - begin - C1agh7 <= Xvrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - F2agh7 <= 1'b0; - end - else - begin - F2agh7 <= J8rfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - I3agh7 <= 1'b0; - end - else - begin - I3agh7 <= Htrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - L4agh7 <= 1'b0; - end - else - begin - L4agh7 <= Qsrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - O5agh7 <= 1'b0; - end - else - begin - O5agh7 <= Iarfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - R6agh7 <= 1'b0; - end - else - begin - R6agh7 <= R9rfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - U7agh7 <= 1'b0; - end - else - begin - U7agh7 <= K4sfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - U8agh7 <= 1'b0; - end - else - begin - U8agh7 <= Gvrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - X9agh7 <= 1'b0; - end - else - begin - X9agh7 <= Purfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Abagh7 <= 1'b1; - end - else - begin - Abagh7 <= Xktfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Wbagh7 <= 1'b0; - end - else - begin - Wbagh7 <= Ytrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Zcagh7 <= 1'b0; - end - else - begin - Zcagh7 <= Qbrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ceagh7 <= 1'b0; - end - else - begin - Ceagh7 <= L2sfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Efagh7 <= 1'b0; - end - else - begin - Efagh7 <= U1sfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ggagh7 <= 1'b0; - end - else - begin - Ggagh7 <= Hcrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Jhagh7 <= 1'b0; - end - else - begin - Jhagh7 <= Llrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Miagh7 <= 1'b0; - end - else - begin - Miagh7 <= Eirfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Pjagh7 <= 1'b0; - end - else - begin - Pjagh7 <= Fgrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Skagh7 <= 1'b0; - end - else - begin - Skagh7 <= Wgrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Vlagh7 <= 1'b0; - end - else - begin - Vlagh7 <= Ofrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ymagh7 <= 1'b0; - end - else - begin - Ymagh7 <= Xerfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Boagh7 <= 1'b0; - end - else - begin - Boagh7 <= Ycrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Epagh7 <= 1'b0; - end - else - begin - Epagh7 <= Prufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Eqagh7 <= 1'b0; - end - else - begin - Eqagh7 <= Jrufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Eragh7 <= 1'b0; - end - else - begin - Eragh7 <= Drufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Esagh7 <= 1'b0; - end - else - begin - Esagh7 <= Xqufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Etagh7 <= 1'b0; - end - else - begin - Etagh7 <= Rqufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Euagh7 <= 1'b0; - end - else - begin - Euagh7 <= Lqufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Evagh7 <= 1'b0; - end - else - begin - Evagh7 <= Fqufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ewagh7 <= 1'b0; - end - else - begin - Ewagh7 <= Sorfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Hxagh7 <= 1'b0; - end - else - begin - Hxagh7 <= Borfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Kyagh7 <= 1'b0; - end - else - begin - Kyagh7 <= Aqrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Nzagh7 <= 1'b0; - end - else - begin - Nzagh7 <= Rqrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Q0bgh7 <= 1'b0; - end - else - begin - Q0bgh7 <= Knrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - T1bgh7 <= 1'b0; - end - else - begin - T1bgh7 <= Pdrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - W2bgh7 <= 1'b0; - end - else - begin - W2bgh7 <= Zrrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Z3bgh7 <= 1'b0; - end - else - begin - Z3bgh7 <= Irrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - C5bgh7 <= 1'b0; - end - else - begin - C5bgh7 <= Jprfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - F6bgh7 <= 1'b0; - end - else - begin - F6bgh7 <= Vrufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - H7bgh7 <= 1'b0; - end - else - begin - H7bgh7 <= Zpufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - K8bgh7 <= 1'b0; - end - else - begin - K8bgh7 <= Dkrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - N9bgh7 <= 1'b0; - end - else - begin - N9bgh7 <= Njufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Pabgh7 <= 1'b0; - end - else - begin - Pabgh7 <= Lkufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Rbbgh7 <= 1'b0; - end - else - begin - Rbbgh7 <= Jlufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ucbgh7 <= 1'b0; - end - else - begin - Ucbgh7 <= Hmufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Xdbgh7 <= 1'b0; - end - else - begin - Xdbgh7 <= Fnufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Afbgh7 <= 1'b0; - end - else - begin - Afbgh7 <= Doufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Dgbgh7 <= 1'b0; - end - else - begin - Dgbgh7 <= Bpufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ghbgh7 <= 1'b0; - end - else - begin - Ghbgh7 <= W1qfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Iibgh7 <= 1'b0; - end - else - begin - Iibgh7 <= O0qfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Kjbgh7 <= 1'b0; - end - else - begin - Kjbgh7 <= Tpufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Nkbgh7 <= 1'b0; - end - else - begin - Nkbgh7 <= M4qfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Plbgh7 <= 1'b0; - end - else - begin - Plbgh7 <= C7qfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Rmbgh7 <= 1'b0; - end - else - begin - Rmbgh7 <= S9qfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Tnbgh7 <= 1'b0; - end - else - begin - Tnbgh7 <= Icqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Vobgh7 <= 1'b0; - end - else - begin - Vobgh7 <= Yeqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Wpbgh7 <= 1'b0; - end - else - begin - Wpbgh7 <= Ohqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Xqbgh7 <= 1'b0; - end - else - begin - Xqbgh7 <= Fiqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Yrbgh7 <= 1'b0; - end - else - begin - Yrbgh7 <= Ekqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Zsbgh7 <= 1'b0; - end - else - begin - Zsbgh7 <= Viufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Bubgh7 <= 1'b0; - end - else - begin - Bubgh7 <= Njqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Cvbgh7 <= 1'b0; - end - else - begin - Cvbgh7 <= Rbufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Dwbgh7 <= 1'b0; - end - else - begin - Dwbgh7 <= N4ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Dxbgh7 <= 1'b0; - end - else - begin - Dxbgh7 <= Bjufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Fybgh7 <= 1'b0; - end - else - begin - Fybgh7 <= Wiqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Gzbgh7 <= 1'b0; - end - else - begin - Gzbgh7 <= Xbufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - H0cgh7 <= 1'b0; - end - else - begin - H0cgh7 <= T4ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - H1cgh7 <= 1'b0; - end - else - begin - H1cgh7 <= X5ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - H2cgh7 <= 1'b0; - end - else - begin - H2cgh7 <= Fkufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - J3cgh7 <= 1'b0; - end - else - begin - J3cgh7 <= Pfqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - K4cgh7 <= 1'b0; - end - else - begin - K4cgh7 <= Dlufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - N5cgh7 <= 1'b0; - end - else - begin - N5cgh7 <= Zcqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - P6cgh7 <= 1'b0; - end - else - begin - P6cgh7 <= Bmufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - S7cgh7 <= 1'b0; - end - else - begin - S7cgh7 <= Jaqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - U8cgh7 <= 1'b0; - end - else - begin - U8cgh7 <= Zmufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - X9cgh7 <= 1'b0; - end - else - begin - X9cgh7 <= T7qfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Zacgh7 <= 1'b0; - end - else - begin - Zacgh7 <= Xnufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Cccgh7 <= 1'b0; - end - else - begin - Cccgh7 <= D5qfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Edcgh7 <= 1'b0; - end - else - begin - Edcgh7 <= Voufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Hecgh7 <= 1'b0; - end - else - begin - Hecgh7 <= N2qfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Jfcgh7 <= 1'b0; - end - else - begin - Jfcgh7 <= Naufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Kgcgh7 <= 1'b0; - end - else - begin - Kgcgh7 <= Rhufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Mhcgh7 <= 1'b0; - end - else - begin - Mhcgh7 <= P9ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Nicgh7 <= 1'b0; - end - else - begin - Nicgh7 <= Tgufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Pjcgh7 <= 1'b0; - end - else - begin - Pjcgh7 <= R8ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Qkcgh7 <= 1'b0; - end - else - begin - Qkcgh7 <= Vfufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Slcgh7 <= 1'b0; - end - else - begin - Slcgh7 <= T7ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Tmcgh7 <= 1'b0; - end - else - begin - Tmcgh7 <= Xeufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Vncgh7 <= 1'b0; - end - else - begin - Vncgh7 <= V6ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Wocgh7 <= 1'b0; - end - else - begin - Wocgh7 <= Zdufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ypcgh7 <= 1'b0; - end - else - begin - Ypcgh7 <= Bdufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Zqcgh7 <= 1'b0; - end - else - begin - Zqcgh7 <= R5ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Zrcgh7 <= 1'b0; - end - else - begin - Zrcgh7 <= Zjufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Btcgh7 <= 1'b0; - end - else - begin - Btcgh7 <= Ggqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Cucgh7 <= 1'b0; - end - else - begin - Cucgh7 <= Xkufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Fvcgh7 <= 1'b0; - end - else - begin - Fvcgh7 <= Qdqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Hwcgh7 <= 1'b0; - end - else - begin - Hwcgh7 <= Vlufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Kxcgh7 <= 1'b0; - end - else - begin - Kxcgh7 <= Abqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Mycgh7 <= 1'b0; - end - else - begin - Mycgh7 <= Tmufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Pzcgh7 <= 1'b0; - end - else - begin - Pzcgh7 <= K8qfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - R0dgh7 <= 1'b0; - end - else - begin - R0dgh7 <= Rnufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - U1dgh7 <= 1'b0; - end - else - begin - U1dgh7 <= U5qfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - W2dgh7 <= 1'b0; - end - else - begin - W2dgh7 <= Poufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Z3dgh7 <= 1'b0; - end - else - begin - Z3dgh7 <= E3qfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - B5dgh7 <= 1'b0; - end - else - begin - B5dgh7 <= Haufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - C6dgh7 <= 1'b0; - end - else - begin - C6dgh7 <= Lhufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - E7dgh7 <= 1'b0; - end - else - begin - E7dgh7 <= J9ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - F8dgh7 <= 1'b0; - end - else - begin - F8dgh7 <= Ngufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - H9dgh7 <= 1'b0; - end - else - begin - H9dgh7 <= L8ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Iadgh7 <= 1'b0; - end - else - begin - Iadgh7 <= Pfufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Kbdgh7 <= 1'b0; - end - else - begin - Kbdgh7 <= N7ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Lcdgh7 <= 1'b0; - end - else - begin - Lcdgh7 <= Reufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Nddgh7 <= 1'b0; - end - else - begin - Nddgh7 <= P6ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Oedgh7 <= 1'b0; - end - else - begin - Oedgh7 <= Tdufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Qfdgh7 <= 1'b0; - end - else - begin - Qfdgh7 <= Vcufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Rgdgh7 <= 1'b0; - end - else - begin - Rgdgh7 <= L5ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Rhdgh7 <= 1'b0; - end - else - begin - Rhdgh7 <= Tjufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Tidgh7 <= 1'b0; - end - else - begin - Tidgh7 <= Xgqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ujdgh7 <= 1'b0; - end - else - begin - Ujdgh7 <= Rkufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Xkdgh7 <= 1'b0; - end - else - begin - Xkdgh7 <= Heqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Zldgh7 <= 1'b0; - end - else - begin - Zldgh7 <= Plufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Cndgh7 <= 1'b0; - end - else - begin - Cndgh7 <= Rbqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Eodgh7 <= 1'b0; - end - else - begin - Eodgh7 <= Nmufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Hpdgh7 <= 1'b0; - end - else - begin - Hpdgh7 <= B9qfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Jqdgh7 <= 1'b0; - end - else - begin - Jqdgh7 <= Lnufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Mrdgh7 <= 1'b0; - end - else - begin - Mrdgh7 <= L6qfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Osdgh7 <= 1'b0; - end - else - begin - Osdgh7 <= Joufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Rtdgh7 <= 1'b0; - end - else - begin - Rtdgh7 <= V3qfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Tudgh7 <= 1'b0; - end - else - begin - Tudgh7 <= Hpufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Wvdgh7 <= 1'b0; - end - else - begin - Wvdgh7 <= F1qfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ywdgh7 <= 1'b0; - end - else - begin - Ywdgh7 <= Zaufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Zxdgh7 <= 1'b0; - end - else - begin - Zxdgh7 <= Diufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Bzdgh7 <= 1'b0; - end - else - begin - Bzdgh7 <= Baufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - C0egh7 <= 1'b0; - end - else - begin - C0egh7 <= Fhufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - E1egh7 <= 1'b0; - end - else - begin - E1egh7 <= D9ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - F2egh7 <= 1'b0; - end - else - begin - F2egh7 <= Hgufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - H3egh7 <= 1'b0; - end - else - begin - H3egh7 <= F8ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - I4egh7 <= 1'b0; - end - else - begin - I4egh7 <= Jfufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - K5egh7 <= 1'b0; - end - else - begin - K5egh7 <= H7ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - L6egh7 <= 1'b0; - end - else - begin - L6egh7 <= Leufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - N7egh7 <= 1'b0; - end - else - begin - N7egh7 <= J6ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - O8egh7 <= 1'b0; - end - else - begin - O8egh7 <= Ndufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Q9egh7 <= 1'b0; - end - else - begin - Q9egh7 <= Pcufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Raegh7 <= 1'b0; - end - else - begin - Raegh7 <= Z4ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Rbegh7 <= 1'b0; - end - else - begin - Rbegh7 <= Z1ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Scegh7 <= 1'b0; - end - else - begin - Scegh7 <= F2ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Udegh7 <= 1'b0; - end - else - begin - Udegh7 <= Taufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Veegh7 <= 1'b0; - end - else - begin - Veegh7 <= Xhufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Xfegh7 <= 1'b0; - end - else - begin - Xfegh7 <= V9ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ygegh7 <= 1'b0; - end - else - begin - Ygegh7 <= Zgufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Aiegh7 <= 1'b0; - end - else - begin - Aiegh7 <= X8ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Bjegh7 <= 1'b0; - end - else - begin - Bjegh7 <= Bgufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Dkegh7 <= 1'b0; - end - else - begin - Dkegh7 <= Z7ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Elegh7 <= 1'b0; - end - else - begin - Elegh7 <= Dfufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Gmegh7 <= 1'b0; - end - else - begin - Gmegh7 <= B7ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Hnegh7 <= 1'b0; - end - else - begin - Hnegh7 <= Feufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Joegh7 <= 1'b0; - end - else - begin - Joegh7 <= D6ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Jpegh7 <= 1'b0; - end - else - begin - Jpegh7 <= Hdufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Kqegh7 <= 1'b0; - end - else - begin - Kqegh7 <= L2ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Kregh7 <= 1'b0; - end - else - begin - Kregh7 <= R2ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ksegh7 <= 1'b0; - end - else - begin - Ksegh7 <= D3ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ktegh7 <= 1'b0; - end - else - begin - Ktegh7 <= J3ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Kuegh7 <= 1'b0; - end - else - begin - Kuegh7 <= P3ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Kvegh7 <= 1'b0; - end - else - begin - Kvegh7 <= B4ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Kwegh7 <= 1'b0; - end - else - begin - Kwegh7 <= F5ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Kxegh7 <= 1'b0; - end - else - begin - Kxegh7 <= X2ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Kyegh7 <= 1'b0; - end - else - begin - Kyegh7 <= Jcufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Lzegh7 <= 1'b0; - end - else - begin - Lzegh7 <= Xzpfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - O0fgh7 <= 1'b0; - end - else - begin - O0fgh7 <= Mlqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - P1fgh7 <= 1'b0; - end - else - begin - P1fgh7 <= Gerfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - S2fgh7 <= 1'b0; - end - else - begin - S2fgh7 <= A9rfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - V3fgh7 <= 1'b0; - end - else - begin - V3fgh7 <= M0sfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - X4fgh7 <= 1'b0; - end - else - begin - X4fgh7 <= Ezrfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Z5fgh7 <= 1'b0; - end - else - begin - Z5fgh7 <= D1sfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - B7fgh7 <= 1'b0; - end - else - begin - B7fgh7 <= Vzrfh7; - end - always @(posedge Puufh7) D8fgh7 <= Tstfh7; - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - H9fgh7 <= 1'b0; - end - else - begin - H9fgh7 <= B7rfh7; - end - always @(posedge Puufh7) Hafgh7 <= Xtufh7; - always @(posedge Puufh7) Lbfgh7 <= Rtufh7; - always @(posedge Puufh7) Pcfgh7 <= Ltufh7; - always @(posedge Puufh7) Tdfgh7 <= Ftufh7; - always @(posedge Puufh7) Xefgh7 <= Zsufh7; - always @(posedge Puufh7) Bgfgh7 <= Tsufh7; - always @(posedge Puufh7) Fhfgh7 <= Nsufh7; - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Jifgh7 <= 1'b0; - end - else - begin - Jifgh7 <= H1ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ojfgh7 <= 1'b0; - end - else - begin - Ojfgh7 <= B1ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Tkfgh7 <= 1'b0; - end - else - begin - Tkfgh7 <= P0ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ylfgh7 <= 1'b0; - end - else - begin - Ylfgh7 <= J0ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Dnfgh7 <= 1'b0; - end - else - begin - Dnfgh7 <= D0ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Iofgh7 <= 1'b1; - end - else - begin - Iofgh7 <= Xztfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Npfgh7 <= 1'b1; - end - else - begin - Npfgh7 <= Rztfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Sqfgh7 <= 1'b0; - end - else - begin - Sqfgh7 <= Lztfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Yrfgh7 <= 1'b1; - end - else - begin - Yrfgh7 <= Fztfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Etfgh7 <= 1'b0; - end - else - begin - Etfgh7 <= Zytfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Kufgh7 <= 1'b1; - end - else - begin - Kufgh7 <= Tytfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Qvfgh7 <= 1'b0; - end - else - begin - Qvfgh7 <= Nytfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Wwfgh7 <= 1'b1; - end - else - begin - Wwfgh7 <= Hytfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Cyfgh7 <= 1'b0; - end - else - begin - Cyfgh7 <= Vxtfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Izfgh7 <= 1'b0; - end - else - begin - Izfgh7 <= Pxtfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - O0ggh7 <= 1'b0; - end - else - begin - O0ggh7 <= Jxtfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - U1ggh7 <= 1'b0; - end - else - begin - U1ggh7 <= Dxtfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - A3ggh7 <= 1'b0; - end - else - begin - A3ggh7 <= Xwtfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - G4ggh7 <= 1'b1; - end - else - begin - G4ggh7 <= Rwtfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - M5ggh7 <= 1'b1; - end - else - begin - M5ggh7 <= Lwtfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - S6ggh7 <= 1'b0; - end - else - begin - S6ggh7 <= Fwtfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Y7ggh7 <= 1'b0; - end - else - begin - Y7ggh7 <= Zvtfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - E9ggh7 <= 1'b0; - end - else - begin - E9ggh7 <= Tvtfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Kaggh7 <= 1'b0; - end - else - begin - Kaggh7 <= Nvtfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Qbggh7 <= 1'b1; - end - else - begin - Qbggh7 <= Hvtfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Wcggh7 <= 1'b0; - end - else - begin - Wcggh7 <= Bvtfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ceggh7 <= 1'b1; - end - else - begin - Ceggh7 <= Vutfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ifggh7 <= 1'b0; - end - else - begin - Ifggh7 <= Putfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ogggh7 <= 1'b0; - end - else - begin - Ogggh7 <= Dcufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Phggh7 <= 1'b0; - end - else - begin - Phggh7 <= V0ufh7; - end - always @(posedge Puufh7) Uiggh7 <= Hsufh7; - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Yjggh7 <= 1'b0; - end - else - begin - Yjggh7 <= Fbufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ykggh7 <= 1'b0; - end - else - begin - Ykggh7 <= V3ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ylggh7 <= 1'b1; - end - else - begin - Ylggh7 <= Bytfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Enggh7 <= 1'b0; - end - else - begin - Enggh7 <= Jiufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Foggh7 <= 1'b0; - end - else - begin - Foggh7 <= K6rfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Hpggh7 <= 1'b0; - end - else - begin - Hpggh7 <= C5rfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Jqggh7 <= 1'b0; - end - else - begin - Jqggh7 <= L4rfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Lrggh7 <= 1'b0; - end - else - begin - Lrggh7 <= U3rfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Nsggh7 <= 1'b0; - end - else - begin - Nsggh7 <= D3rfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ptggh7 <= 1'b0; - end - else - begin - Ptggh7 <= M2rfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ruggh7 <= 1'b0; - end - else - begin - Ruggh7 <= V1rfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Tvggh7 <= 1'b0; - end - else - begin - Tvggh7 <= E1rfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Vwggh7 <= 1'b0; - end - else - begin - Vwggh7 <= N0rfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Xxggh7 <= 1'b0; - end - else - begin - Xxggh7 <= Wzqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Azggh7 <= 1'b0; - end - else - begin - Azggh7 <= Fzqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - D0hgh7 <= 1'b0; - end - else - begin - D0hgh7 <= Oyqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - G1hgh7 <= 1'b0; - end - else - begin - G1hgh7 <= Xxqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - J2hgh7 <= 1'b0; - end - else - begin - J2hgh7 <= Gxqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - M3hgh7 <= 1'b0; - end - else - begin - M3hgh7 <= Pwqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - P4hgh7 <= 1'b0; - end - else - begin - P4hgh7 <= Yvqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - S5hgh7 <= 1'b0; - end - else - begin - S5hgh7 <= Hvqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - V6hgh7 <= 1'b0; - end - else - begin - V6hgh7 <= Quqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Y7hgh7 <= 1'b0; - end - else - begin - Y7hgh7 <= Ztqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - B9hgh7 <= 1'b0; - end - else - begin - B9hgh7 <= Itqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Eahgh7 <= 1'b0; - end - else - begin - Eahgh7 <= Rsqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Hbhgh7 <= 1'b0; - end - else - begin - Hbhgh7 <= Asqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Kchgh7 <= 1'b0; - end - else - begin - Kchgh7 <= Jrqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ndhgh7 <= 1'b0; - end - else - begin - Ndhgh7 <= Sqqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Qehgh7 <= 1'b0; - end - else - begin - Qehgh7 <= Bqqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Tfhgh7 <= 1'b0; - end - else - begin - Tfhgh7 <= Kpqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Wghgh7 <= 1'b0; - end - else - begin - Wghgh7 <= Toqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Zhhgh7 <= 1'b0; - end - else - begin - Zhhgh7 <= Coqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Cjhgh7 <= 1'b0; - end - else - begin - Cjhgh7 <= Lnqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Fkhgh7 <= 1'b0; - end - else - begin - Fkhgh7 <= Umqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Ilhgh7 <= 1'b0; - end - else - begin - Ilhgh7 <= Dmqfh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Lmhgh7 <= 1'b0; - end - else - begin - Lmhgh7 <= Lbufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Mnhgh7 <= 1'b0; - end - else - begin - Mnhgh7 <= H4ufh7; - end - always @(posedge Puufh7 or negedge Duufh7) if (~Duufh7) begin - Mohgh7 <= 1'b1; - end - else - begin - Mohgh7 <= N1ufh7; - end - always @(posedge Puufh7) Rphgh7 <= Fttfh7; - always @(posedge Puufh7) Vqhgh7 <= Lttfh7; - always @(posedge Puufh7) Zrhgh7 <= Rttfh7; - always @(posedge Puufh7) Dthgh7 <= Dutfh7; - always @(posedge Puufh7) Huhgh7 <= Zstfh7; - always @(posedge Puufh7) Lvhgh7 <= Xttfh7; - always @(posedge Puufh7) Pwhgh7 <= Jutfh7; -endmodule - -/* Design Summary - modules: 1 - udps: 0 - mod flatinsts: 0 - udp flatinsts: 0 - nodes: 3002 (0) - node widths: 3242 (0) - process: 281 (0) - gates: 0 (0) - contassigns: 2769 (0) - ports: 27 (0) - modinsts: 0 (0) - udpinsts: 0 (0) - portconnects: 0 (0) -*/ - -// END: VCS tokens -// Currnet Allocated Virtual Memory Size: 203.99 MB -// =================== -// DESIGN STATISTICS -// =================== -// -// No. of design lines (note: includes comments and blank lines) 7430 -// -// Static<!> Elaborated<@> Size(KB) -// ------ ---------- -------- -// No. of all modules (module+interface+package+program): 1 1 0 -// No. of module instances: 1 1 0 -// No. of all processes: 281 281 0 -// No. of all nodes (variable+net): 3002 3002 0 -// No. of constants 653 653 0 -// No. of scalar nets: 2705 2705 0 -// No. of vector nets: 16 16 0 -// No. of scalar regs/logics: 281 281 0 -// No. of always blocks: 281 281 0 -// No. of operators: 4486 4486 0 -// No. of concatenations: 8 8 0 -// No. of bit selects: 306 306 0 -// No. of part selects: 2 2 0 -// No. of non-blocking assignments: 546 546 0 -// No. of continuous assignments: 2769 2769 0 -// -// No. of top level modules/programs/packages/interfaces: 1 -// modules: 1 -// No. of module+udp ports: 27 -// -// Footnotes: -// --------- -// <!> No. of unique instances of a construct as it appears in the source. -// <@> No. of instances of a construct when the design is elaborated. -// K, M, B: Counted in thousands, millions and billions (if big numbers are present) -// -// <#> Multiple specify blocks in the SAME module are combined and counted -// as ONE block. diff --git a/IPLIB/ADPcontrol_v1_0/ADPcontrol_bas__mangled.v b/IPLIB/ADPcontrol_v1_0/ADPcontrol_bas__mangled.v deleted file mode 100755 index 20e0b88d4a2b2a51aac842b3007e8b4dcb837e87..0000000000000000000000000000000000000000 --- a/IPLIB/ADPcontrol_v1_0/ADPcontrol_bas__mangled.v +++ /dev/null @@ -1,4923 +0,0 @@ -// -// A joint work commissioned on behalf of SoC Labs. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Obfuscated RTL -// -// Copyright (C) 2021-2, SoC Labs (www.soclabs.org) -// - -/* - instances: 0 - nodes: 1943 (0) - node widths: 2119 (0) - process: 173 (0) - contassign: 1818 (0) - ports: 27 (0) -*/ - -/* Source file "tokens.v", line 14 */ -module ADPcontrol(ahb_hclk, ahb_hresetn, com_rx_tready, com_rx_tdata, - com_rx_tvalid, com_tx_tvalid, com_tx_tdata, com_tx_tready, - stdio_rx_tready, stdio_rx_tdata, stdio_rx_tvalid, stdio_tx_tvalid, - stdio_tx_tdata, stdio_tx_tready, gpo8, gpi8, ahb_haddr, ahb_hburst, - ahb_hmastlock, ahb_hprot, ahb_hsize, ahb_htrans, ahb_hwdata, ahb_hwrite, - ahb_hrdata, ahb_hready, ahb_hresp); - - input [7:0] com_rx_tdata; - output [7:0] com_tx_tdata; - input [7:0] stdio_rx_tdata; - output [7:0] stdio_tx_tdata; - output [7:0] gpo8; - input [7:0] gpi8; - output [31:0] ahb_haddr; - output [2:0] ahb_hburst; - output [3:0] ahb_hprot; - output [2:0] ahb_hsize; - output [1:0] ahb_htrans; - output [31:0] ahb_hwdata; - input [31:0] ahb_hrdata; - input ahb_hclk; - input ahb_hresetn; - input com_rx_tvalid; - input com_tx_tready; - input stdio_rx_tvalid; - input stdio_tx_tready; - input ahb_hready; - input ahb_hresp; - output com_rx_tready; - output com_tx_tvalid; - output stdio_rx_tready; - output stdio_tx_tvalid; - output ahb_hmastlock; - output ahb_hwrite; - - wire Xzpfh7; - wire O0qfh7; - wire F1qfh7; - wire W1qfh7; - wire N2qfh7; - wire E3qfh7; - wire V3qfh7; - wire M4qfh7; - wire D5qfh7; - wire U5qfh7; - wire L6qfh7; - wire C7qfh7; - wire T7qfh7; - wire K8qfh7; - wire B9qfh7; - wire S9qfh7; - wire Jaqfh7; - wire Abqfh7; - wire Rbqfh7; - wire Icqfh7; - wire Zcqfh7; - wire Qdqfh7; - wire Heqfh7; - wire Yeqfh7; - wire Pfqfh7; - wire Ggqfh7; - wire Xgqfh7; - wire Ohqfh7; - wire Fiqfh7; - wire Wiqfh7; - wire Njqfh7; - wire Ekqfh7; - wire Vkqfh7; - wire Mlqfh7; - wire Dmqfh7; - wire Umqfh7; - wire Lnqfh7; - wire Coqfh7; - wire Toqfh7; - wire Kpqfh7; - wire Bqqfh7; - wire Sqqfh7; - wire Jrqfh7; - wire Asqfh7; - wire Rsqfh7; - wire Itqfh7; - wire Ztqfh7; - wire Quqfh7; - wire Hvqfh7; - wire Yvqfh7; - wire Pwqfh7; - wire Gxqfh7; - wire Xxqfh7; - wire Oyqfh7; - wire Fzqfh7; - wire Wzqfh7; - wire N0rfh7; - wire E1rfh7; - wire V1rfh7; - wire M2rfh7; - wire D3rfh7; - wire U3rfh7; - wire L4rfh7; - wire C5rfh7; - wire T5rfh7; - wire K6rfh7; - wire B7rfh7; - wire S7rfh7; - wire J8rfh7; - wire A9rfh7; - wire R9rfh7; - wire Iarfh7; - wire Zarfh7; - wire Qbrfh7; - wire Gcrfh7; - wire Wcrfh7; - wire Mdrfh7; - wire Cerfh7; - wire Serfh7; - wire Ifrfh7; - wire Yfrfh7; - wire Ogrfh7; - wire Ehrfh7; - wire Uhrfh7; - wire Kirfh7; - wire Ajrfh7; - wire Qjrfh7; - wire Gkrfh7; - wire Wkrfh7; - wire Mlrfh7; - wire Cmrfh7; - wire Smrfh7; - wire Inrfh7; - wire Ynrfh7; - wire Oorfh7; - wire Eprfh7; - wire Uprfh7; - wire Kqrfh7; - wire Arrfh7; - wire Qrrfh7; - wire Gsrfh7; - wire Wsrfh7; - wire Mtrfh7; - wire Curfh7; - wire Surfh7; - wire Ivrfh7; - wire Yvrfh7; - wire Wwrfh7; - wire Bxrfh7; - wire Gxrfh7; - wire Lxrfh7; - wire Qxrfh7; - wire Vxrfh7; - wire Ayrfh7; - wire Fyrfh7; - wire Kyrfh7; - wire Pyrfh7; - wire Uyrfh7; - wire Zyrfh7; - wire Ezrfh7; - wire Jzrfh7; - wire Ozrfh7; - wire Tzrfh7; - wire Yzrfh7; - wire D0sfh7; - wire I0sfh7; - wire N0sfh7; - wire S0sfh7; - wire X0sfh7; - wire C1sfh7; - wire H1sfh7; - wire M1sfh7; - wire R1sfh7; - wire W1sfh7; - wire B2sfh7; - wire G2sfh7; - wire L2sfh7; - wire Q2sfh7; - wire V2sfh7; - wire A3sfh7; - wire F3sfh7; - wire K3sfh7; - wire P3sfh7; - wire U3sfh7; - wire Z3sfh7; - wire E4sfh7; - wire J4sfh7; - wire O4sfh7; - wire T4sfh7; - wire Y4sfh7; - wire D5sfh7; - wire I5sfh7; - wire N5sfh7; - wire S5sfh7; - wire X5sfh7; - wire C6sfh7; - wire H6sfh7; - wire M6sfh7; - wire R6sfh7; - wire W6sfh7; - wire B7sfh7; - wire G7sfh7; - wire L7sfh7; - wire Q7sfh7; - wire V7sfh7; - wire A8sfh7; - wire F8sfh7; - wire K8sfh7; - wire P8sfh7; - wire U8sfh7; - wire Z8sfh7; - wire E9sfh7; - wire J9sfh7; - wire O9sfh7; - wire T9sfh7; - wire Y9sfh7; - wire Dasfh7; - wire Iasfh7; - wire Nasfh7; - wire Sasfh7; - wire Xasfh7; - wire Cbsfh7; - wire Hbsfh7; - wire Mbsfh7; - wire Rbsfh7; - wire Wbsfh7; - wire Bcsfh7; - wire Gcsfh7; - wire Lcsfh7; - wire Qcsfh7; - wire Vcsfh7; - wire Adsfh7; - wire Fdsfh7; - wire Kdsfh7; - wire Pdsfh7; - wire Udsfh7; - wire Zdsfh7; - wire Eesfh7; - wire Jesfh7; - wire Oesfh7; - wire Tesfh7; - wire Yesfh7; - wire Dfsfh7; - wire Ifsfh7; - wire Nfsfh7; - wire Sfsfh7; - wire Xfsfh7; - wire Cgsfh7; - wire Hgsfh7; - wire Mgsfh7; - wire Rgsfh7; - wire Wgsfh7; - wire Bhsfh7; - wire Ghsfh7; - wire Lhsfh7; - wire Qhsfh7; - wire Vhsfh7; - wire Aisfh7; - wire Fisfh7; - wire Kisfh7; - wire Pisfh7; - wire Uisfh7; - wire Zisfh7; - wire Ejsfh7; - wire Jjsfh7; - wire Ojsfh7; - wire Tjsfh7; - wire Yjsfh7; - wire Dksfh7; - wire Iksfh7; - wire Oksfh7; - wire Uksfh7; - wire Alsfh7; - wire Glsfh7; - wire Mlsfh7; - wire Slsfh7; - wire Ylsfh7; - wire Emsfh7; - wire Kmsfh7; - wire Qmsfh7; - wire Wmsfh7; - wire Cnsfh7; - wire Insfh7; - wire Onsfh7; - wire Unsfh7; - wire Aosfh7; - wire Gosfh7; - wire Mosfh7; - wire Sosfh7; - wire Yosfh7; - wire Epsfh7; - wire Kpsfh7; - wire Qpsfh7; - wire Wpsfh7; - wire Cqsfh7; - wire Iqsfh7; - wire Oqsfh7; - wire Uqsfh7; - wire Arsfh7; - wire Grsfh7; - wire Mrsfh7; - wire Srsfh7; - wire Yrsfh7; - wire Essfh7; - wire Kssfh7; - wire Qssfh7; - wire Wssfh7; - wire Ctsfh7; - wire Itsfh7; - wire Otsfh7; - wire Utsfh7; - wire Ausfh7; - wire Gusfh7; - wire Musfh7; - wire Susfh7; - wire Yusfh7; - wire Evsfh7; - wire Kvsfh7; - wire Qvsfh7; - wire Wvsfh7; - wire Cwsfh7; - wire Iwsfh7; - wire Owsfh7; - wire Uwsfh7; - wire Axsfh7; - wire Gxsfh7; - wire Mxsfh7; - wire Sxsfh7; - wire Yxsfh7; - wire Eysfh7; - wire Kysfh7; - wire Qysfh7; - wire Wysfh7; - wire Czsfh7; - wire Izsfh7; - wire Ozsfh7; - wire Uzsfh7; - wire A0tfh7; - wire G0tfh7; - wire M0tfh7; - wire S0tfh7; - wire Y0tfh7; - wire E1tfh7; - wire K1tfh7; - wire Q1tfh7; - wire W1tfh7; - wire C2tfh7; - wire I2tfh7; - wire O2tfh7; - wire U2tfh7; - wire A3tfh7; - wire G3tfh7; - wire M3tfh7; - wire S3tfh7; - wire Y3tfh7; - wire E4tfh7; - wire K4tfh7; - wire Q4tfh7; - wire W4tfh7; - wire C5tfh7; - wire I5tfh7; - wire O5tfh7; - wire U5tfh7; - wire A6tfh7; - wire G6tfh7; - wire M6tfh7; - wire S6tfh7; - wire Y6tfh7; - wire E7tfh7; - wire K7tfh7; - wire Q7tfh7; - wire W7tfh7; - wire C8tfh7; - wire I8tfh7; - wire O8tfh7; - wire U8tfh7; - wire A9tfh7; - wire G9tfh7; - wire M9tfh7; - wire S9tfh7; - wire Y9tfh7; - wire Eatfh7; - wire Katfh7; - wire Qatfh7; - wire Watfh7; - wire Cbtfh7; - wire Ibtfh7; - wire Obtfh7; - wire Ubtfh7; - wire Actfh7; - wire Gctfh7; - wire Mctfh7; - wire Sctfh7; - wire Yctfh7; - wire Edtfh7; - wire Kdtfh7; - wire Qdtfh7; - wire Wdtfh7; - wire Cetfh7; - wire Ietfh7; - wire Oetfh7; - wire Uetfh7; - wire Aftfh7; - wire Gftfh7; - wire Mftfh7; - wire Sftfh7; - wire Yftfh7; - wire Egtfh7; - wire Kgtfh7; - wire Qgtfh7; - wire Wgtfh7; - wire Chtfh7; - wire Ihtfh7; - wire Ohtfh7; - wire Uhtfh7; - wire Aitfh7; - wire Gitfh7; - wire Mitfh7; - wire Sitfh7; - wire Yitfh7; - wire Ejtfh7; - wire Kjtfh7; - wire Qjtfh7; - wire Wjtfh7; - wire Cktfh7; - wire Iktfh7; - wire Oktfh7; - wire Uktfh7; - wire Altfh7; - wire Gltfh7; - wire Mltfh7; - wire Sltfh7; - wire Yltfh7; - wire Emtfh7; - wire Kmtfh7; - wire Qmtfh7; - wire Wmtfh7; - wire Cntfh7; - wire Intfh7; - wire Ontfh7; - wire Untfh7; - wire Aotfh7; - wire Gotfh7; - wire Motfh7; - wire Sotfh7; - wire Yotfh7; - wire Eptfh7; - wire Kptfh7; - wire Qptfh7; - wire Wptfh7; - wire Cqtfh7; - wire Iqtfh7; - wire Oqtfh7; - wire Uqtfh7; - wire Artfh7; - wire Grtfh7; - wire Mrtfh7; - wire Srtfh7; - wire Yrtfh7; - wire Estfh7; - wire Kstfh7; - wire Qstfh7; - wire Wstfh7; - wire Cttfh7; - wire Ittfh7; - wire Ottfh7; - wire Uttfh7; - wire Autfh7; - wire Gutfh7; - wire Mutfh7; - wire Sutfh7; - wire Yutfh7; - wire Evtfh7; - wire Kvtfh7; - wire Qvtfh7; - wire Wvtfh7; - wire Cwtfh7; - wire Iwtfh7; - wire Owtfh7; - wire Uwtfh7; - wire Axtfh7; - wire Gxtfh7; - wire Mxtfh7; - wire Sxtfh7; - wire Yxtfh7; - wire Eytfh7; - wire Kytfh7; - wire Qytfh7; - wire Wytfh7; - wire Cztfh7; - wire Iztfh7; - wire Oztfh7; - wire Uztfh7; - wire A0ufh7; - wire G0ufh7; - wire M0ufh7; - wire S0ufh7; - wire Y0ufh7; - wire E1ufh7; - wire K1ufh7; - wire Q1ufh7; - wire W1ufh7; - wire C2ufh7; - wire I2ufh7; - wire O2ufh7; - wire U2ufh7; - wire A3ufh7; - wire G3ufh7; - wire M3ufh7; - wire S3ufh7; - wire Y3ufh7; - wire E4ufh7; - wire K4ufh7; - wire Q4ufh7; - wire W4ufh7; - wire C5ufh7; - wire I5ufh7; - wire O5ufh7; - wire U5ufh7; - wire A6ufh7; - wire G6ufh7; - wire M6ufh7; - wire S6ufh7; - wire Y6ufh7; - wire E7ufh7; - wire K7ufh7; - wire Q7ufh7; - wire W7ufh7; - wire C8ufh7; - wire I8ufh7; - wire O8ufh7; - wire U8ufh7; - wire A9ufh7; - wire G9ufh7; - wire M9ufh7; - wire S9ufh7; - wire Y9ufh7; - wire Eaufh7; - wire Kaufh7; - wire Qaufh7; - wire Waufh7; - wire Cbufh7; - wire Ibufh7; - wire Obufh7; - wire Ubufh7; - wire Acufh7; - wire Gcufh7; - wire Mcufh7; - wire Scufh7; - wire Ycufh7; - wire Edufh7; - wire Kdufh7; - wire Qdufh7; - wire Wdufh7; - wire Ceufh7; - wire Ieufh7; - wire Oeufh7; - wire Ueufh7; - wire Afufh7; - wire Gfufh7; - wire Mfufh7; - wire Sfufh7; - wire Yfufh7; - wire Egufh7; - wire Kgufh7; - wire Qgufh7; - wire Wgufh7; - wire Chufh7; - wire Ihufh7; - wire Ohufh7; - wire Uhufh7; - wire Aiufh7; - wire Giufh7; - wire Miufh7; - wire Siufh7; - wire Yiufh7; - wire Ejufh7; - wire Kjufh7; - wire Qjufh7; - wire Wjufh7; - wire Ckufh7; - wire Ikufh7; - wire Okufh7; - wire Ukufh7; - wire Alufh7; - wire Glufh7; - wire Mlufh7; - wire Slufh7; - wire Ylufh7; - wire Emufh7; - wire Kmufh7; - wire Qmufh7; - wire Wmufh7; - wire Cnufh7; - wire Inufh7; - wire Onufh7; - wire Unufh7; - wire Aoufh7; - wire Goufh7; - wire Moufh7; - wire Soufh7; - wire Youfh7; - wire Epufh7; - wire Kpufh7; - wire Qpufh7; - wire Wpufh7; - wire Cqufh7; - wire Iqufh7; - wire Oqufh7; - wire Uqufh7; - wire Arufh7; - wire Grufh7; - wire Mrufh7; - wire Srufh7; - wire Yrufh7; - wire Esufh7; - wire Ksufh7; - wire Qsufh7; - wire Wsufh7; - wire Ctufh7; - wire Itufh7; - wire Otufh7; - wire Utufh7; - wire Auufh7; - wire Guufh7; - wire Muufh7; - wire Suufh7; - wire Yuufh7; - wire Evufh7; - wire Kvufh7; - wire Qvufh7; - wire Wvufh7; - wire Cwufh7; - wire Iwufh7; - wire Owufh7; - wire Uwufh7; - wire Axufh7; - wire Gxufh7; - wire Mxufh7; - wire Sxufh7; - wire Yxufh7; - wire Eyufh7; - wire Kyufh7; - wire Qyufh7; - wire Wyufh7; - wire Czufh7; - wire Izufh7; - wire Ozufh7; - wire Uzufh7; - wire A0vfh7; - wire G0vfh7; - wire M0vfh7; - wire S0vfh7; - wire Y0vfh7; - wire E1vfh7; - wire K1vfh7; - wire Q1vfh7; - wire W1vfh7; - wire C2vfh7; - wire I2vfh7; - wire O2vfh7; - wire U2vfh7; - wire A3vfh7; - wire G3vfh7; - wire M3vfh7; - wire S3vfh7; - wire Y3vfh7; - wire E4vfh7; - wire K4vfh7; - wire Q4vfh7; - wire W4vfh7; - wire C5vfh7; - wire I5vfh7; - wire O5vfh7; - wire U5vfh7; - wire A6vfh7; - wire G6vfh7; - wire M6vfh7; - wire S6vfh7; - wire Y6vfh7; - wire E7vfh7; - wire K7vfh7; - wire Q7vfh7; - wire W7vfh7; - wire C8vfh7; - wire I8vfh7; - wire O8vfh7; - wire U8vfh7; - wire A9vfh7; - wire G9vfh7; - wire M9vfh7; - wire S9vfh7; - wire Y9vfh7; - wire Eavfh7; - wire Kavfh7; - wire Qavfh7; - wire Wavfh7; - wire Cbvfh7; - wire Ibvfh7; - wire Obvfh7; - wire Ubvfh7; - wire Acvfh7; - wire Gcvfh7; - wire Mcvfh7; - wire Scvfh7; - wire Ycvfh7; - wire Edvfh7; - wire Kdvfh7; - wire Qdvfh7; - wire Wdvfh7; - wire Cevfh7; - wire Ievfh7; - wire Oevfh7; - wire Uevfh7; - wire Afvfh7; - wire Gfvfh7; - wire Mfvfh7; - wire Sfvfh7; - wire Yfvfh7; - wire Egvfh7; - wire Kgvfh7; - wire Qgvfh7; - wire Wgvfh7; - wire Chvfh7; - wire Ihvfh7; - wire Ohvfh7; - wire Uhvfh7; - wire Aivfh7; - wire Givfh7; - wire Mivfh7; - wire Sivfh7; - wire Yivfh7; - wire Ejvfh7; - wire Kjvfh7; - wire Qjvfh7; - wire Wjvfh7; - wire Ckvfh7; - wire Ikvfh7; - wire Okvfh7; - wire Ukvfh7; - wire Alvfh7; - wire Glvfh7; - wire Mlvfh7; - wire Slvfh7; - wire Ylvfh7; - wire Emvfh7; - wire Kmvfh7; - wire Qmvfh7; - wire Wmvfh7; - wire Cnvfh7; - wire Invfh7; - wire Onvfh7; - wire Unvfh7; - wire Aovfh7; - wire Govfh7; - wire Movfh7; - wire Sovfh7; - wire Yovfh7; - wire Epvfh7; - wire Kpvfh7; - wire Qpvfh7; - wire Wpvfh7; - wire Cqvfh7; - wire Iqvfh7; - wire Oqvfh7; - wire Uqvfh7; - wire Arvfh7; - wire Grvfh7; - wire Mrvfh7; - wire Srvfh7; - wire Yrvfh7; - wire Esvfh7; - wire Ksvfh7; - wire Qsvfh7; - wire Wsvfh7; - wire Ctvfh7; - wire Itvfh7; - wire Otvfh7; - wire Utvfh7; - wire Auvfh7; - wire Guvfh7; - wire Muvfh7; - wire Suvfh7; - wire Yuvfh7; - wire Evvfh7; - wire Kvvfh7; - wire Qvvfh7; - wire Wvvfh7; - wire Cwvfh7; - wire Iwvfh7; - wire Owvfh7; - wire Uwvfh7; - wire Axvfh7; - wire Gxvfh7; - wire Mxvfh7; - wire Sxvfh7; - wire Yxvfh7; - wire Eyvfh7; - wire Kyvfh7; - wire Qyvfh7; - wire Wyvfh7; - wire Czvfh7; - wire Izvfh7; - wire Ozvfh7; - wire Uzvfh7; - wire A0wfh7; - wire G0wfh7; - wire M0wfh7; - wire S0wfh7; - wire Y0wfh7; - wire E1wfh7; - wire K1wfh7; - wire Q1wfh7; - wire W1wfh7; - wire C2wfh7; - wire I2wfh7; - wire O2wfh7; - wire U2wfh7; - wire A3wfh7; - wire G3wfh7; - wire M3wfh7; - wire S3wfh7; - wire Y3wfh7; - wire E4wfh7; - wire K4wfh7; - wire Q4wfh7; - wire W4wfh7; - wire C5wfh7; - wire I5wfh7; - wire O5wfh7; - wire U5wfh7; - wire A6wfh7; - wire G6wfh7; - wire M6wfh7; - wire S6wfh7; - wire Y6wfh7; - wire E7wfh7; - wire K7wfh7; - wire Q7wfh7; - wire W7wfh7; - wire C8wfh7; - wire I8wfh7; - wire O8wfh7; - wire U8wfh7; - wire A9wfh7; - wire G9wfh7; - wire M9wfh7; - wire S9wfh7; - wire Y9wfh7; - wire Eawfh7; - wire Kawfh7; - wire Qawfh7; - wire Wawfh7; - wire Cbwfh7; - wire Ibwfh7; - wire Obwfh7; - wire Ubwfh7; - wire Acwfh7; - wire Gcwfh7; - wire Mcwfh7; - wire Scwfh7; - wire Ycwfh7; - wire Edwfh7; - wire Kdwfh7; - wire Qdwfh7; - wire Wdwfh7; - wire Cewfh7; - wire Iewfh7; - wire Oewfh7; - wire Uewfh7; - wire Afwfh7; - wire Gfwfh7; - wire Mfwfh7; - wire Sfwfh7; - wire Yfwfh7; - wire Egwfh7; - wire Kgwfh7; - wire Qgwfh7; - wire Wgwfh7; - wire Chwfh7; - wire Ihwfh7; - wire Ohwfh7; - wire Uhwfh7; - wire Aiwfh7; - wire Giwfh7; - wire Miwfh7; - wire Siwfh7; - wire Yiwfh7; - wire Ejwfh7; - wire Kjwfh7; - wire Qjwfh7; - wire Wjwfh7; - wire Ckwfh7; - wire Ikwfh7; - wire Okwfh7; - wire Ukwfh7; - wire Alwfh7; - wire Glwfh7; - wire Mlwfh7; - wire Slwfh7; - wire Ylwfh7; - wire Emwfh7; - wire Kmwfh7; - wire Qmwfh7; - wire Wmwfh7; - wire Cnwfh7; - wire Inwfh7; - wire Onwfh7; - wire Unwfh7; - wire Aowfh7; - wire Gowfh7; - wire Mowfh7; - wire Sowfh7; - wire Yowfh7; - wire Epwfh7; - wire Kpwfh7; - wire Qpwfh7; - wire Wpwfh7; - wire Cqwfh7; - wire Iqwfh7; - wire Oqwfh7; - wire Uqwfh7; - wire Arwfh7; - wire Grwfh7; - wire Mrwfh7; - wire Srwfh7; - wire Yrwfh7; - wire Eswfh7; - wire Kswfh7; - wire Qswfh7; - wire Wswfh7; - wire Ctwfh7; - wire Itwfh7; - wire Otwfh7; - wire Utwfh7; - wire Auwfh7; - wire Guwfh7; - wire Muwfh7; - wire Suwfh7; - wire Yuwfh7; - wire Evwfh7; - wire Kvwfh7; - wire Qvwfh7; - wire Wvwfh7; - wire Cwwfh7; - wire Iwwfh7; - wire Owwfh7; - wire Uwwfh7; - wire Axwfh7; - wire Gxwfh7; - wire Mxwfh7; - wire Sxwfh7; - wire Yxwfh7; - wire Eywfh7; - wire Kywfh7; - wire Qywfh7; - wire Wywfh7; - wire Czwfh7; - wire Izwfh7; - wire Ozwfh7; - wire Uzwfh7; - wire A0xfh7; - wire G0xfh7; - wire M0xfh7; - wire S0xfh7; - wire Y0xfh7; - wire E1xfh7; - wire K1xfh7; - wire Q1xfh7; - wire W1xfh7; - wire C2xfh7; - wire I2xfh7; - wire O2xfh7; - wire U2xfh7; - wire A3xfh7; - wire G3xfh7; - wire M3xfh7; - wire S3xfh7; - wire Y3xfh7; - wire E4xfh7; - wire K4xfh7; - wire Q4xfh7; - wire W4xfh7; - wire C5xfh7; - wire I5xfh7; - wire O5xfh7; - wire U5xfh7; - wire A6xfh7; - wire G6xfh7; - wire M6xfh7; - wire S6xfh7; - wire Y6xfh7; - wire E7xfh7; - wire K7xfh7; - wire Q7xfh7; - wire W7xfh7; - wire C8xfh7; - wire I8xfh7; - wire O8xfh7; - wire U8xfh7; - wire A9xfh7; - wire G9xfh7; - wire M9xfh7; - wire S9xfh7; - wire Y9xfh7; - wire Eaxfh7; - wire Kaxfh7; - wire Qaxfh7; - wire Waxfh7; - wire Cbxfh7; - wire Ibxfh7; - wire Obxfh7; - wire Ubxfh7; - wire Acxfh7; - wire Gcxfh7; - wire Mcxfh7; - wire Scxfh7; - wire Ycxfh7; - wire Edxfh7; - wire Kdxfh7; - wire Qdxfh7; - wire Wdxfh7; - wire Cexfh7; - wire Iexfh7; - wire Oexfh7; - wire Uexfh7; - wire Afxfh7; - wire Gfxfh7; - wire Mfxfh7; - wire Sfxfh7; - wire Yfxfh7; - wire Egxfh7; - wire Kgxfh7; - wire Qgxfh7; - wire Wgxfh7; - wire Chxfh7; - wire Ihxfh7; - wire Ohxfh7; - wire Uhxfh7; - wire Aixfh7; - wire Gixfh7; - wire Mixfh7; - wire Sixfh7; - wire Yixfh7; - wire Ejxfh7; - wire Kjxfh7; - wire Qjxfh7; - wire Wjxfh7; - wire Ckxfh7; - wire Ikxfh7; - wire Okxfh7; - wire Ukxfh7; - wire Alxfh7; - wire Glxfh7; - wire Mlxfh7; - wire Slxfh7; - wire Ylxfh7; - wire Emxfh7; - wire Kmxfh7; - wire Qmxfh7; - wire Wmxfh7; - wire Cnxfh7; - wire Inxfh7; - wire Onxfh7; - wire Unxfh7; - wire Aoxfh7; - wire Goxfh7; - wire Moxfh7; - wire Soxfh7; - wire Yoxfh7; - wire Epxfh7; - wire Kpxfh7; - wire Qpxfh7; - wire Wpxfh7; - wire Cqxfh7; - wire Iqxfh7; - wire Oqxfh7; - wire Uqxfh7; - wire Arxfh7; - wire Grxfh7; - wire Mrxfh7; - wire Srxfh7; - wire Yrxfh7; - wire Esxfh7; - wire Ksxfh7; - wire Qsxfh7; - wire Wsxfh7; - wire Ctxfh7; - wire Itxfh7; - wire Otxfh7; - wire Utxfh7; - wire Auxfh7; - wire Guxfh7; - wire Muxfh7; - wire Suxfh7; - wire Yuxfh7; - wire Evxfh7; - wire Kvxfh7; - wire Qvxfh7; - wire Wvxfh7; - wire Cwxfh7; - wire Iwxfh7; - wire Owxfh7; - wire Uwxfh7; - wire Axxfh7; - wire Gxxfh7; - wire Mxxfh7; - wire Sxxfh7; - wire Yxxfh7; - wire Eyxfh7; - wire Kyxfh7; - wire Qyxfh7; - wire Wyxfh7; - wire Czxfh7; - wire Izxfh7; - wire Ozxfh7; - wire Uzxfh7; - wire A0yfh7; - wire G0yfh7; - wire M0yfh7; - wire S0yfh7; - wire Y0yfh7; - wire E1yfh7; - wire K1yfh7; - wire Q1yfh7; - wire W1yfh7; - wire C2yfh7; - wire I2yfh7; - wire O2yfh7; - wire U2yfh7; - wire A3yfh7; - wire G3yfh7; - wire M3yfh7; - wire S3yfh7; - wire Y3yfh7; - wire E4yfh7; - wire K4yfh7; - wire Q4yfh7; - wire W4yfh7; - wire C5yfh7; - wire I5yfh7; - wire O5yfh7; - wire U5yfh7; - wire A6yfh7; - wire G6yfh7; - wire M6yfh7; - wire S6yfh7; - wire Y6yfh7; - wire E7yfh7; - wire K7yfh7; - wire Q7yfh7; - wire W7yfh7; - wire C8yfh7; - wire I8yfh7; - wire O8yfh7; - wire U8yfh7; - wire A9yfh7; - wire G9yfh7; - wire M9yfh7; - wire S9yfh7; - wire Y9yfh7; - wire Eayfh7; - wire Kayfh7; - wire Qayfh7; - wire Wayfh7; - wire Cbyfh7; - wire Ibyfh7; - wire Obyfh7; - wire Ubyfh7; - wire Acyfh7; - wire Gcyfh7; - wire Mcyfh7; - wire Scyfh7; - wire Ycyfh7; - wire Edyfh7; - wire Kdyfh7; - wire Qdyfh7; - wire Wdyfh7; - wire Ceyfh7; - wire Ieyfh7; - wire Oeyfh7; - wire Ueyfh7; - wire Afyfh7; - wire Gfyfh7; - wire Mfyfh7; - wire Sfyfh7; - wire Yfyfh7; - wire Egyfh7; - wire Kgyfh7; - wire Qgyfh7; - wire Wgyfh7; - wire Chyfh7; - wire Ihyfh7; - wire Ohyfh7; - wire Uhyfh7; - wire Aiyfh7; - wire Giyfh7; - wire Miyfh7; - wire Siyfh7; - wire Yiyfh7; - wire Ejyfh7; - wire Kjyfh7; - wire Qjyfh7; - wire Wjyfh7; - wire Ckyfh7; - wire Ikyfh7; - wire Okyfh7; - wire Ukyfh7; - wire Alyfh7; - wire Glyfh7; - wire Mlyfh7; - wire Slyfh7; - wire Ylyfh7; - wire Emyfh7; - wire Kmyfh7; - wire Qmyfh7; - wire Wmyfh7; - wire Cnyfh7; - wire Inyfh7; - wire Onyfh7; - wire Unyfh7; - wire Aoyfh7; - wire Goyfh7; - wire Moyfh7; - wire Soyfh7; - wire Yoyfh7; - wire Epyfh7; - wire Kpyfh7; - wire Qpyfh7; - wire Wpyfh7; - wire Cqyfh7; - wire Iqyfh7; - wire Oqyfh7; - wire Uqyfh7; - wire Aryfh7; - wire Gryfh7; - wire Mryfh7; - wire Sryfh7; - wire Yryfh7; - wire Esyfh7; - wire Ksyfh7; - wire Qsyfh7; - wire Wsyfh7; - wire Ctyfh7; - wire Ityfh7; - wire Otyfh7; - wire Utyfh7; - wire Auyfh7; - wire Guyfh7; - wire Muyfh7; - wire Suyfh7; - wire Yuyfh7; - wire Evyfh7; - wire Kvyfh7; - wire Qvyfh7; - wire Wvyfh7; - wire Cwyfh7; - wire Iwyfh7; - wire Owyfh7; - wire Uwyfh7; - wire Axyfh7; - wire Gxyfh7; - wire Mxyfh7; - wire Sxyfh7; - wire Yxyfh7; - wire Eyyfh7; - wire Kyyfh7; - wire Qyyfh7; - wire Wyyfh7; - wire Czyfh7; - wire Izyfh7; - wire Ozyfh7; - wire Uzyfh7; - wire A0zfh7; - wire G0zfh7; - wire M0zfh7; - wire S0zfh7; - wire Y0zfh7; - wire E1zfh7; - wire K1zfh7; - wire Q1zfh7; - wire W1zfh7; - wire C2zfh7; - wire I2zfh7; - wire O2zfh7; - wire U2zfh7; - wire A3zfh7; - wire G3zfh7; - wire M3zfh7; - wire S3zfh7; - wire Y3zfh7; - wire E4zfh7; - wire K4zfh7; - wire Q4zfh7; - wire W4zfh7; - wire C5zfh7; - wire I5zfh7; - wire O5zfh7; - wire U5zfh7; - wire A6zfh7; - wire G6zfh7; - wire M6zfh7; - wire S6zfh7; - wire Y6zfh7; - wire E7zfh7; - wire K7zfh7; - wire Q7zfh7; - wire W7zfh7; - wire C8zfh7; - wire I8zfh7; - wire O8zfh7; - wire U8zfh7; - wire A9zfh7; - wire G9zfh7; - wire M9zfh7; - wire S9zfh7; - wire Y9zfh7; - wire Eazfh7; - wire Kazfh7; - wire Qazfh7; - wire Wazfh7; - wire Cbzfh7; - wire Ibzfh7; - wire Obzfh7; - wire Ubzfh7; - wire Aczfh7; - wire Gczfh7; - wire Mczfh7; - wire Sczfh7; - wire Yczfh7; - wire Edzfh7; - wire Kdzfh7; - wire Qdzfh7; - wire Wdzfh7; - wire Cezfh7; - wire Iezfh7; - wire Oezfh7; - wire Uezfh7; - wire Afzfh7; - wire Gfzfh7; - wire Mfzfh7; - wire Sfzfh7; - wire Yfzfh7; - wire Egzfh7; - wire Kgzfh7; - wire Qgzfh7; - wire Wgzfh7; - wire Chzfh7; - wire Ihzfh7; - wire Ohzfh7; - wire Uhzfh7; - wire Aizfh7; - wire Gizfh7; - wire Mizfh7; - wire Sizfh7; - wire Yizfh7; - wire Ejzfh7; - wire Kjzfh7; - wire Qjzfh7; - wire Wjzfh7; - wire Ckzfh7; - wire Ikzfh7; - wire Okzfh7; - wire Ukzfh7; - wire Alzfh7; - wire Glzfh7; - wire Mlzfh7; - wire Slzfh7; - wire Ylzfh7; - wire Emzfh7; - wire Kmzfh7; - wire Qmzfh7; - wire Wmzfh7; - wire Cnzfh7; - wire Inzfh7; - wire Onzfh7; - wire Unzfh7; - wire Aozfh7; - wire Gozfh7; - wire Mozfh7; - wire Sozfh7; - wire Yozfh7; - wire Epzfh7; - wire Kpzfh7; - wire Qpzfh7; - wire Wpzfh7; - wire Cqzfh7; - wire Iqzfh7; - wire Oqzfh7; - wire Uqzfh7; - wire Arzfh7; - wire Grzfh7; - wire Mrzfh7; - wire Srzfh7; - wire Yrzfh7; - wire Eszfh7; - wire Kszfh7; - wire Qszfh7; - wire Wszfh7; - wire Ctzfh7; - wire Itzfh7; - wire Otzfh7; - wire Utzfh7; - wire Auzfh7; - wire Guzfh7; - wire Muzfh7; - wire Suzfh7; - wire Yuzfh7; - wire Evzfh7; - wire Kvzfh7; - wire Qvzfh7; - wire Wvzfh7; - wire Cwzfh7; - wire Iwzfh7; - wire Owzfh7; - wire Uwzfh7; - wire Axzfh7; - wire Gxzfh7; - wire Mxzfh7; - wire Sxzfh7; - wire Yxzfh7; - wire Eyzfh7; - wire Kyzfh7; - wire Qyzfh7; - wire Wyzfh7; - wire Czzfh7; - wire Izzfh7; - wire Ozzfh7; - wire Uzzfh7; - wire A00gh7; - wire G00gh7; - wire M00gh7; - wire S00gh7; - wire Y00gh7; - wire E10gh7; - wire K10gh7; - wire Q10gh7; - wire W10gh7; - wire C20gh7; - wire I20gh7; - wire O20gh7; - wire U20gh7; - wire A30gh7; - wire G30gh7; - wire M30gh7; - wire S30gh7; - wire Y30gh7; - wire E40gh7; - wire K40gh7; - wire Q40gh7; - wire W40gh7; - wire C50gh7; - wire I50gh7; - wire O50gh7; - wire U50gh7; - wire A60gh7; - wire G60gh7; - wire M60gh7; - wire S60gh7; - wire Y60gh7; - wire E70gh7; - wire K70gh7; - wire Q70gh7; - wire W70gh7; - wire C80gh7; - wire I80gh7; - wire O80gh7; - wire U80gh7; - wire A90gh7; - wire G90gh7; - wire M90gh7; - wire S90gh7; - wire Y90gh7; - wire Ea0gh7; - wire Ka0gh7; - wire Qa0gh7; - wire Wa0gh7; - wire Cb0gh7; - wire Ib0gh7; - wire Ob0gh7; - wire Ub0gh7; - wire Ac0gh7; - wire Gc0gh7; - wire Mc0gh7; - wire Sc0gh7; - wire Yc0gh7; - wire Ed0gh7; - wire Kd0gh7; - wire Qd0gh7; - wire Wd0gh7; - wire Ce0gh7; - wire Ie0gh7; - wire Oe0gh7; - wire Ue0gh7; - wire Af0gh7; - wire Gf0gh7; - wire Mf0gh7; - wire Sf0gh7; - wire Yf0gh7; - wire Eg0gh7; - wire Kg0gh7; - wire Qg0gh7; - wire Wg0gh7; - wire Ch0gh7; - wire Ih0gh7; - wire Oh0gh7; - wire Uh0gh7; - wire Ai0gh7; - wire Gi0gh7; - wire Mi0gh7; - wire Si0gh7; - wire Yi0gh7; - wire Ej0gh7; - wire Kj0gh7; - wire Qj0gh7; - wire Wj0gh7; - wire Ck0gh7; - wire Ik0gh7; - wire Ok0gh7; - wire Uk0gh7; - wire Al0gh7; - wire Gl0gh7; - wire Ml0gh7; - wire Sl0gh7; - wire Yl0gh7; - wire Em0gh7; - wire Km0gh7; - wire Qm0gh7; - wire Wm0gh7; - wire Cn0gh7; - wire In0gh7; - wire On0gh7; - wire Un0gh7; - wire Ao0gh7; - wire Go0gh7; - wire Mo0gh7; - wire So0gh7; - wire Yo0gh7; - wire Ep0gh7; - wire Kp0gh7; - wire Qp0gh7; - wire Wp0gh7; - wire Cq0gh7; - wire Iq0gh7; - wire Oq0gh7; - wire Uq0gh7; - wire Ar0gh7; - wire Gr0gh7; - wire Mr0gh7; - wire Sr0gh7; - wire Yr0gh7; - wire Es0gh7; - wire Ks0gh7; - wire Qs0gh7; - wire Ws0gh7; - wire Ct0gh7; - wire It0gh7; - wire Ot0gh7; - wire Ut0gh7; - wire Au0gh7; - wire Gu0gh7; - wire Mu0gh7; - wire Su0gh7; - wire Yu0gh7; - wire Ev0gh7; - wire Kv0gh7; - wire Qv0gh7; - wire Wv0gh7; - wire Cw0gh7; - wire Iw0gh7; - wire Ow0gh7; - wire Uw0gh7; - wire Ax0gh7; - wire Gx0gh7; - wire Mx0gh7; - wire Sx0gh7; - wire Yx0gh7; - wire Ey0gh7; - wire Ky0gh7; - wire Qy0gh7; - wire Wy0gh7; - wire Cz0gh7; - wire Iz0gh7; - wire Oz0gh7; - wire Uz0gh7; - wire A01gh7; - wire G01gh7; - wire M01gh7; - wire S01gh7; - wire Y01gh7; - wire E11gh7; - wire K11gh7; - wire Q11gh7; - wire W11gh7; - wire C21gh7; - wire I21gh7; - wire O21gh7; - wire U21gh7; - wire A31gh7; - wire G31gh7; - wire M31gh7; - wire S31gh7; - wire Y31gh7; - wire E41gh7; - wire K41gh7; - wire Q41gh7; - wire W41gh7; - wire C51gh7; - wire I51gh7; - wire O51gh7; - wire U51gh7; - wire A61gh7; - wire G61gh7; - wire M61gh7; - wire S61gh7; - wire Y61gh7; - wire E71gh7; - wire K71gh7; - wire Q71gh7; - wire W71gh7; - wire C81gh7; - wire I81gh7; - wire O81gh7; - wire U81gh7; - wire A91gh7; - wire G91gh7; - wire M91gh7; - wire S91gh7; - wire Y91gh7; - wire Ea1gh7; - wire Ka1gh7; - wire Qa1gh7; - wire Wa1gh7; - wire Cb1gh7; - wire Ib1gh7; - wire Ob1gh7; - wire Ub1gh7; - wire Ac1gh7; - wire Gc1gh7; - wire Mc1gh7; - wire Sc1gh7; - wire Yc1gh7; - wire Ed1gh7; - wire Kd1gh7; - wire Qd1gh7; - wire Wd1gh7; - wire Ce1gh7; - wire Ie1gh7; - wire Oe1gh7; - wire Ue1gh7; - wire Af1gh7; - wire Gf1gh7; - wire Mf1gh7; - wire Sf1gh7; - wire Yf1gh7; - wire Eg1gh7; - wire Kg1gh7; - wire Qg1gh7; - wire Wg1gh7; - wire Ch1gh7; - wire Ih1gh7; - wire Oh1gh7; - wire Uh1gh7; - wire Ai1gh7; - wire Gi1gh7; - wire Mi1gh7; - wire Si1gh7; - wire Yi1gh7; - wire Ej1gh7; - wire Kj1gh7; - wire Qj1gh7; - wire Wj1gh7; - wire Ck1gh7; - wire Ik1gh7; - wire Ok1gh7; - wire Uk1gh7; - wire Al1gh7; - wire Gl1gh7; - wire Ml1gh7; - wire Sl1gh7; - wire Yl1gh7; - wire Em1gh7; - wire Km1gh7; - wire Qm1gh7; - wire Wm1gh7; - wire Cn1gh7; - wire In1gh7; - wire On1gh7; - wire Un1gh7; - wire Ao1gh7; - wire Go1gh7; - wire Mo1gh7; - wire So1gh7; - wire Yo1gh7; - wire Ep1gh7; - wire Kp1gh7; - wire Qp1gh7; - wire Wp1gh7; - wire Cq1gh7; - wire Iq1gh7; - wire Oq1gh7; - wire Uq1gh7; - wire Ar1gh7; - wire Gr1gh7; - wire Mr1gh7; - wire Sr1gh7; - wire Yr1gh7; - wire Es1gh7; - wire Ks1gh7; - wire Qs1gh7; - wire Ws1gh7; - wire Ct1gh7; - wire It1gh7; - wire Ot1gh7; - wire Ut1gh7; - wire Au1gh7; - wire Gu1gh7; - wire Mu1gh7; - wire Su1gh7; - wire Yu1gh7; - wire Ev1gh7; - wire Kv1gh7; - wire Qv1gh7; - wire Wv1gh7; - wire Cw1gh7; - wire Iw1gh7; - wire Ow1gh7; - wire Uw1gh7; - wire Ax1gh7; - wire Gx1gh7; - wire Mx1gh7; - wire Sx1gh7; - wire Yx1gh7; - wire Ey1gh7; - wire Ky1gh7; - wire Qy1gh7; - wire Wy1gh7; - wire Cz1gh7; - wire Iz1gh7; - wire Oz1gh7; - wire Uz1gh7; - wire A02gh7; - wire G02gh7; - wire M02gh7; - wire S02gh7; - wire Y02gh7; - wire E12gh7; - wire K12gh7; - wire Q12gh7; - wire W12gh7; - wire C22gh7; - wire I22gh7; - wire O22gh7; - wire U22gh7; - wire A32gh7; - wire G32gh7; - wire M32gh7; - wire S32gh7; - wire Y32gh7; - wire E42gh7; - wire K42gh7; - wire Q42gh7; - wire W42gh7; - wire C52gh7; - wire I52gh7; - wire O52gh7; - wire U52gh7; - wire A62gh7; - wire G62gh7; - wire M62gh7; - wire S62gh7; - wire Y62gh7; - wire E72gh7; - wire K72gh7; - wire Q72gh7; - wire W72gh7; - wire C82gh7; - wire I82gh7; - wire O82gh7; - wire U82gh7; - wire A92gh7; - wire G92gh7; - reg M92gh7; - reg Ma2gh7; - reg Pb2gh7; - reg Sc2gh7; - reg Ud2gh7; - reg Ue2gh7; - reg Xf2gh7; - reg Xg2gh7; - reg Ai2gh7; - reg Dj2gh7; - reg Fk2gh7; - reg Hl2gh7; - reg Jm2gh7; - reg Ln2gh7; - reg Oo2gh7; - reg Rp2gh7; - reg Rq2gh7; - reg Rr2gh7; - reg Rs2gh7; - reg Rt2gh7; - reg Ru2gh7; - reg Rv2gh7; - reg Rw2gh7; - reg Rx2gh7; - reg Uy2gh7; - reg Xz2gh7; - reg A13gh7; - reg D23gh7; - reg F33gh7; - reg H43gh7; - reg J53gh7; - reg L63gh7; - reg O73gh7; - reg R83gh7; - reg U93gh7; - reg Xa3gh7; - reg Ac3gh7; - reg Wc3gh7; - reg Zd3gh7; - reg Cf3gh7; - reg Fg3gh7; - reg Ih3gh7; - reg Li3gh7; - reg Oj3gh7; - reg Rk3gh7; - reg Tl3gh7; - reg Wm3gh7; - reg Zn3gh7; - reg Cp3gh7; - reg Dq3gh7; - reg Fr3gh7; - reg Is3gh7; - reg Lt3gh7; - reg Lu3gh7; - reg Pv3gh7; - reg Tw3gh7; - reg Ux3gh7; - reg Wy3gh7; - reg Yz3gh7; - reg A14gh7; - reg D24gh7; - reg G34gh7; - reg J44gh7; - reg M54gh7; - reg P64gh7; - reg R74gh7; - reg T84gh7; - reg W94gh7; - reg Ya4gh7; - reg Ac4gh7; - reg Cd4gh7; - reg Ee4gh7; - reg Ff4gh7; - reg Gg4gh7; - reg Ih4gh7; - reg Ji4gh7; - reg Nj4gh7; - reg Sk4gh7; - reg Ul4gh7; - reg Vm4gh7; - reg Xn4gh7; - reg Yo4gh7; - reg Bq4gh7; - reg Dr4gh7; - reg Gs4gh7; - reg It4gh7; - reg Lu4gh7; - reg Nv4gh7; - reg Qw4gh7; - reg Sx4gh7; - reg Vy4gh7; - reg Xz4gh7; - reg D15gh7; - reg J25gh7; - reg P35gh7; - reg U45gh7; - reg Y55gh7; - reg D75gh7; - reg F85gh7; - reg G95gh7; - reg Ia5gh7; - reg Jb5gh7; - reg Mc5gh7; - reg Od5gh7; - reg Re5gh7; - reg Tf5gh7; - reg Wg5gh7; - reg Yh5gh7; - reg Bj5gh7; - reg Dk5gh7; - reg Gl5gh7; - reg Im5gh7; - reg On5gh7; - reg Uo5gh7; - reg Aq5gh7; - reg Er5gh7; - reg Js5gh7; - reg Nt5gh7; - reg Su5gh7; - reg Uv5gh7; - reg Vw5gh7; - reg Xx5gh7; - reg Yy5gh7; - reg B06gh7; - reg D16gh7; - reg G26gh7; - reg I36gh7; - reg L46gh7; - reg N56gh7; - reg Q66gh7; - reg S76gh7; - reg V86gh7; - reg X96gh7; - reg Ab6gh7; - reg Cc6gh7; - reg Id6gh7; - reg Oe6gh7; - reg Uf6gh7; - reg Ah6gh7; - reg Ei6gh7; - reg Jj6gh7; - reg Nk6gh7; - reg Sl6gh7; - reg Ym6gh7; - reg Eo6gh7; - reg Kp6gh7; - reg Qq6gh7; - reg Vr6gh7; - reg Vs6gh7; - reg Bu6gh7; - reg Bv6gh7; - reg Hw6gh7; - reg Hx6gh7; - reg Ny6gh7; - reg Nz6gh7; - reg T07gh7; - reg T17gh7; - reg Z27gh7; - reg Z37gh7; - reg F57gh7; - reg J67gh7; - reg N77gh7; - reg N87gh7; - reg T97gh7; - reg Ya7gh7; - reg Cc7gh7; - reg Cd7gh7; - reg Ie7gh7; - reg Nf7gh7; - reg Rg7gh7; - reg Vh7gh7; - reg Zi7gh7; - reg Dk7gh7; - wire [33:0] Hl7gh7; - - assign ahb_hburst[2] = 1'b0; - assign ahb_hburst[1] = 1'b0; - assign ahb_hmastlock = 1'b0; - assign ahb_hprot[3] = 1'b0; - assign ahb_hprot[2] = 1'b0; - assign ahb_hsize[2] = 1'b0; - assign ahb_hsize[0] = 1'b0; - assign ahb_htrans[0] = 1'b0; - assign ahb_hburst[0] = 1'b1; - assign ahb_hprot[1] = 1'b1; - assign ahb_hprot[0] = 1'b1; - assign ahb_hsize[1] = 1'b1; - assign G92gh7 = (!M92gh7); - assign A92gh7 = (!Ma2gh7); - assign U82gh7 = (!Pb2gh7); - assign M62gh7 = (!Sc2gh7); - assign stdio_rx_tready = Ud2gh7; - assign O82gh7 = (!Ue2gh7); - assign com_tx_tvalid = Xf2gh7; - assign M32gh7 = (!Xg2gh7); - assign S32gh7 = (!Ai2gh7); - assign I22gh7 = (!Dj2gh7); - assign Y02gh7 = (!Fk2gh7); - assign I82gh7 = (!Hl2gh7); - assign M02gh7 = (!Jm2gh7); - assign A62gh7 = (!Ln2gh7); - assign A02gh7 = (!Oo2gh7); - assign com_rx_tready = Rp2gh7; - assign Iz1gh7 = (!Rq2gh7); - assign Uz1gh7 = (!Rr2gh7); - assign Oz1gh7 = (!Rs2gh7); - assign Cz1gh7 = (!Rt2gh7); - assign Wy1gh7 = (!Ru2gh7); - assign C82gh7 = (!Rv2gh7); - assign Qy1gh7 = (!Rw2gh7); - assign I52gh7 = (!Rx2gh7); - assign G02gh7 = (!Uy2gh7); - assign Y32gh7 = (!Xz2gh7); - assign Q42gh7 = (!A13gh7); - assign W12gh7 = (!D23gh7); - assign Q12gh7 = (!F33gh7); - assign E12gh7 = (!H43gh7); - assign U22gh7 = (!J53gh7); - assign G62gh7 = (!L63gh7); - assign C22gh7 = (!O73gh7); - assign G32gh7 = (!R83gh7); - assign A32gh7 = (!U93gh7); - assign K42gh7 = (!Xa3gh7); - assign W72gh7 = (!Ac3gh7); - assign S62gh7 = (!Wc3gh7); - assign W42gh7 = (!Zd3gh7); - assign O52gh7 = (!Cf3gh7); - assign U52gh7 = (!Fg3gh7); - assign E72gh7 = (!Ih3gh7); - assign Q72gh7 = Li3gh7; - assign S02gh7 = (!Oj3gh7); - assign K12gh7 = (!Rk3gh7); - assign C52gh7 = (!Tl3gh7); - assign Y62gh7 = (!Wm3gh7); - assign ahb_hwrite = Zn3gh7; - assign K72gh7 = (!Cp3gh7); - assign Yvrfh7 = Dq3gh7; - assign E42gh7 = (!Fr3gh7); - assign O22gh7 = (!Is3gh7); - assign stdio_tx_tvalid = Lt3gh7; - assign com_tx_tdata[7] = Lu3gh7; - assign com_tx_tdata[5] = Pv3gh7; - assign Yzrfh7 = (!Pv3gh7); - assign ahb_haddr[0] = Tw3gh7; - assign Gr1gh7 = (!Ux3gh7); - assign Es1gh7 = (!Wy3gh7); - assign Ct1gh7 = (!Yz3gh7); - assign Au1gh7 = (!A14gh7); - assign Ky1gh7 = (!D24gh7); - assign Mx1gh7 = (!G34gh7); - assign Iw1gh7 = (!J44gh7); - assign Ow1gh7 = (!M54gh7); - assign ahb_haddr[28] = P64gh7; - assign ahb_haddr[31] = R74gh7; - assign Ev1gh7 = (!T84gh7); - assign ahb_haddr[24] = W94gh7; - assign ahb_haddr[20] = Ya4gh7; - assign ahb_haddr[16] = Ac4gh7; - assign ahb_haddr[12] = Cd4gh7; - assign ahb_haddr[8] = Ee4gh7; - assign ahb_haddr[4] = Ff4gh7; - assign Mr1gh7 = (!Gg4gh7); - assign ahb_haddr[1] = Ih4gh7; - assign stdio_tx_tdata[1] = Ji4gh7; - assign ahb_hwdata[1] = Nj4gh7; - assign X0sfh7 = (!Nj4gh7); - assign Ks1gh7 = (!Sk4gh7); - assign ahb_haddr[5] = Ul4gh7; - assign It1gh7 = (!Vm4gh7); - assign ahb_haddr[9] = Xn4gh7; - assign Gu1gh7 = (!Yo4gh7); - assign ahb_haddr[13] = Bq4gh7; - assign Ey1gh7 = (!Dr4gh7); - assign ahb_haddr[17] = Gs4gh7; - assign Gx1gh7 = (!It4gh7); - assign ahb_haddr[21] = Lu4gh7; - assign Wv1gh7 = (!Nv4gh7); - assign ahb_haddr[25] = Qw4gh7; - assign Cw1gh7 = (!Sx4gh7); - assign ahb_haddr[29] = Vy4gh7; - assign ahb_hwdata[29] = Xz4gh7; - assign X5sfh7 = (!Xz4gh7); - assign ahb_hwdata[25] = D15gh7; - assign D5sfh7 = (!D15gh7); - assign ahb_hwdata[13] = J25gh7; - assign V2sfh7 = (!J25gh7); - assign ahb_hwdata[9] = P35gh7; - assign G2sfh7 = (!P35gh7); - assign stdio_tx_tdata[5] = U45gh7; - assign ahb_hwdata[5] = Y55gh7; - assign R1sfh7 = (!Y55gh7); - assign Yr1gh7 = (!D75gh7); - assign ahb_haddr[3] = F85gh7; - assign Ws1gh7 = (!G95gh7); - assign ahb_haddr[7] = Ia5gh7; - assign Ut1gh7 = (!Jb5gh7); - assign ahb_haddr[11] = Mc5gh7; - assign Su1gh7 = (!Od5gh7); - assign ahb_haddr[15] = Re5gh7; - assign Sx1gh7 = (!Tf5gh7); - assign ahb_haddr[19] = Wg5gh7; - assign Uw1gh7 = (!Yh5gh7); - assign ahb_haddr[23] = Bj5gh7; - assign Yu1gh7 = (!Dk5gh7); - assign ahb_haddr[27] = Gl5gh7; - assign ahb_hwdata[27] = Im5gh7; - assign N5sfh7 = (!Im5gh7); - assign ahb_hwdata[15] = On5gh7; - assign F3sfh7 = (!On5gh7); - assign ahb_hwdata[11] = Uo5gh7; - assign Q2sfh7 = (!Uo5gh7); - assign stdio_tx_tdata[7] = Aq5gh7; - assign ahb_hwdata[7] = Er5gh7; - assign W1sfh7 = (!Er5gh7); - assign stdio_tx_tdata[3] = Js5gh7; - assign ahb_hwdata[3] = Nt5gh7; - assign H1sfh7 = (!Nt5gh7); - assign Sr1gh7 = (!Su5gh7); - assign ahb_haddr[2] = Uv5gh7; - assign Qs1gh7 = (!Vw5gh7); - assign ahb_haddr[6] = Xx5gh7; - assign Ot1gh7 = (!Yy5gh7); - assign ahb_haddr[10] = B06gh7; - assign Mu1gh7 = (!D16gh7); - assign ahb_haddr[14] = G26gh7; - assign Yx1gh7 = (!I36gh7); - assign ahb_haddr[18] = L46gh7; - assign Ax1gh7 = (!N56gh7); - assign ahb_haddr[22] = Q66gh7; - assign Kv1gh7 = (!S76gh7); - assign ahb_haddr[26] = V86gh7; - assign Qv1gh7 = (!X96gh7); - assign ahb_haddr[30] = Ab6gh7; - assign ahb_hwdata[30] = Cc6gh7; - assign C6sfh7 = (!Cc6gh7); - assign ahb_hwdata[26] = Id6gh7; - assign I5sfh7 = (!Id6gh7); - assign ahb_hwdata[14] = Oe6gh7; - assign A3sfh7 = (!Oe6gh7); - assign ahb_hwdata[10] = Uf6gh7; - assign L2sfh7 = (!Uf6gh7); - assign stdio_tx_tdata[6] = Ah6gh7; - assign ahb_hwdata[6] = Ei6gh7; - assign Ozrfh7 = (!Ei6gh7); - assign stdio_tx_tdata[2] = Jj6gh7; - assign ahb_hwdata[2] = Nk6gh7; - assign C1sfh7 = (!Nk6gh7); - assign ahb_hwdata[31] = Sl6gh7; - assign H6sfh7 = (!Sl6gh7); - assign ahb_hwdata[28] = Ym6gh7; - assign S5sfh7 = (!Ym6gh7); - assign ahb_hwdata[24] = Eo6gh7; - assign Y4sfh7 = (!Eo6gh7); - assign ahb_hwdata[12] = Kp6gh7; - assign Kyrfh7 = (!Kp6gh7); - assign ahb_hwdata[8] = Qq6gh7; - assign B2sfh7 = (!Qq6gh7); - assign gpo8[6] = Vr6gh7; - assign Ayrfh7 = (!Vr6gh7); - assign ahb_hwdata[22] = Vs6gh7; - assign O4sfh7 = (!Vs6gh7); - assign gpo8[5] = Bu6gh7; - assign Vxrfh7 = (!Bu6gh7); - assign ahb_hwdata[21] = Bv6gh7; - assign J4sfh7 = (!Bv6gh7); - assign gpo8[3] = Hw6gh7; - assign Lxrfh7 = (!Hw6gh7); - assign ahb_hwdata[19] = Hx6gh7; - assign Z3sfh7 = (!Hx6gh7); - assign gpo8[2] = Ny6gh7; - assign Gxrfh7 = (!Ny6gh7); - assign ahb_hwdata[18] = Nz6gh7; - assign U3sfh7 = (!Nz6gh7); - assign gpo8[1] = T07gh7; - assign Bxrfh7 = (!T07gh7); - assign ahb_hwdata[17] = T17gh7; - assign P3sfh7 = (!T17gh7); - assign gpo8[7] = Z27gh7; - assign Fyrfh7 = (!Z27gh7); - assign ahb_hwdata[23] = Z37gh7; - assign T4sfh7 = (!Z37gh7); - assign com_tx_tdata[4] = F57gh7; - assign Tzrfh7 = (!F57gh7); - assign stdio_tx_tdata[4] = J67gh7; - assign gpo8[4] = N77gh7; - assign Qxrfh7 = (!N77gh7); - assign ahb_hwdata[20] = N87gh7; - assign E4sfh7 = (!N87gh7); - assign ahb_hwdata[4] = T97gh7; - assign M1sfh7 = (!T97gh7); - assign stdio_tx_tdata[0] = Ya7gh7; - assign gpo8[0] = Cc7gh7; - assign Wwrfh7 = (!Cc7gh7); - assign ahb_hwdata[16] = Cd7gh7; - assign K3sfh7 = (!Cd7gh7); - assign ahb_hwdata[0] = Ie7gh7; - assign Pyrfh7 = (!Ie7gh7); - assign com_tx_tdata[2] = Nf7gh7; - assign Ezrfh7 = (!Nf7gh7); - assign com_tx_tdata[0] = Rg7gh7; - assign Uyrfh7 = (!Rg7gh7); - assign com_tx_tdata[1] = Vh7gh7; - assign Zyrfh7 = (!Vh7gh7); - assign com_tx_tdata[3] = Zi7gh7; - assign Jzrfh7 = (!Zi7gh7); - assign com_tx_tdata[6] = Dk7gh7; - assign D0sfh7 = (!Dk7gh7); - assign Hl7gh7 = ({ahb_haddr, 1'b0} + {{1'b0, 1'b0, 1'b0, 1'b0, 1'b0, - 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, - 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, 1'b0, - 1'b0, 1'b0, 1'b0, 1'b0, Ivrfh7, 1'b0, 1'b0}, 1'b1}); - assign {Qbrfh7, Gcrfh7, Wcrfh7, Mdrfh7, Cerfh7, Serfh7, Ifrfh7, Yfrfh7, - Ogrfh7, Ehrfh7, Uhrfh7, Kirfh7, Ajrfh7, Qjrfh7, Gkrfh7, Wkrfh7, - Mlrfh7, Cmrfh7, Smrfh7, Inrfh7, Ynrfh7, Oorfh7, Eprfh7, Uprfh7, - Kqrfh7, Arrfh7, Qrrfh7, Gsrfh7, Wsrfh7, Mtrfh7, Curfh7, Surfh7} - = Hl7gh7[33:1]; - assign Wpsfh7 = (!Cqsfh7); - assign Cqsfh7 = (!ahb_hresetn); - assign Iqsfh7 = (!Oqsfh7); - assign Oqsfh7 = (!ahb_hclk); - assign Dksfh7 = (~(Uqsfh7 & Arsfh7)); - assign Uqsfh7 = (Mrsfh7 ? Iz1gh7 : Grsfh7); - assign Yjsfh7 = (!Srsfh7); - assign Srsfh7 = (Mrsfh7 ? Uz1gh7 : Yrsfh7); - assign Tjsfh7 = (Mrsfh7 ? Essfh7 : com_rx_tdata[6]); - assign Ojsfh7 = (~(Kssfh7 & Arsfh7)); - assign Kssfh7 = (Mrsfh7 ? Cz1gh7 : Qssfh7); - assign Jjsfh7 = (!Wssfh7); - assign Wssfh7 = (Mrsfh7 ? Wy1gh7 : Ctsfh7); - assign Ejsfh7 = (~(Itsfh7 & Arsfh7)); - assign Itsfh7 = (Mrsfh7 ? C82gh7 : Otsfh7); - assign Mrsfh7 = (!Utsfh7); - assign Zisfh7 = (~(Ausfh7 & Arsfh7)); - assign Arsfh7 = (~(Utsfh7 & Gusfh7)); - assign Ausfh7 = (Utsfh7 ? Musfh7 : Qy1gh7); - assign Uisfh7 = (S0sfh7 ? Susfh7 : ahb_hwrite); - assign Susfh7 = (~(Yusfh7 & Evsfh7)); - assign Evsfh7 = (~(Kvsfh7 & Qvsfh7)); - assign Pisfh7 = (~(Wvsfh7 & Cwsfh7)); - assign Cwsfh7 = (Iwsfh7 & Owsfh7); - assign Iwsfh7 = (~(Uwsfh7 & Axsfh7)); - assign Wvsfh7 = (Gxsfh7 & Mxsfh7); - assign Mxsfh7 = (~(Sxsfh7 & Yxsfh7)); - assign Gxsfh7 = (~(Eysfh7 & ahb_haddr[31])); - assign Kisfh7 = (~(Kysfh7 & Qysfh7)); - assign Qysfh7 = (Wysfh7 & Owsfh7); - assign Wysfh7 = (~(Czsfh7 & Izsfh7)); - assign Izsfh7 = (~(Ozsfh7 & Uzsfh7)); - assign Uzsfh7 = (~(A0tfh7 & G0tfh7)); - assign Ozsfh7 = (~(com_rx_tdata[0] & M0tfh7)); - assign M0tfh7 = (S0tfh7 | Y0tfh7); - assign Kysfh7 = (E1tfh7 & K1tfh7); - assign K1tfh7 = (~(Sxsfh7 & Q1tfh7)); - assign E1tfh7 = (~(Eysfh7 & ahb_haddr[0])); - assign Fisfh7 = (~(W1tfh7 & C2tfh7)); - assign C2tfh7 = (I2tfh7 & Owsfh7); - assign I2tfh7 = (~(Uwsfh7 & O2tfh7)); - assign W1tfh7 = (U2tfh7 & A3tfh7); - assign A3tfh7 = (~(Sxsfh7 & G3tfh7)); - assign U2tfh7 = (~(Eysfh7 & ahb_haddr[30])); - assign Aisfh7 = (~(M3tfh7 & S3tfh7)); - assign S3tfh7 = (Y3tfh7 & Owsfh7); - assign Y3tfh7 = (~(Uwsfh7 & E4tfh7)); - assign M3tfh7 = (K4tfh7 & Q4tfh7); - assign Q4tfh7 = (~(Sxsfh7 & W4tfh7)); - assign K4tfh7 = (~(Eysfh7 & ahb_haddr[29])); - assign Vhsfh7 = (~(C5tfh7 & I5tfh7)); - assign I5tfh7 = (O5tfh7 & Owsfh7); - assign O5tfh7 = (~(Uwsfh7 & U5tfh7)); - assign C5tfh7 = (A6tfh7 & G6tfh7); - assign G6tfh7 = (~(Sxsfh7 & M6tfh7)); - assign A6tfh7 = (~(Eysfh7 & ahb_haddr[28])); - assign Qhsfh7 = (~(S6tfh7 & Y6tfh7)); - assign Y6tfh7 = (E7tfh7 & Owsfh7); - assign E7tfh7 = (~(Uwsfh7 & K7tfh7)); - assign S6tfh7 = (Q7tfh7 & W7tfh7); - assign W7tfh7 = (~(Sxsfh7 & Axsfh7)); - assign Q7tfh7 = (~(Eysfh7 & ahb_haddr[27])); - assign Lhsfh7 = (~(C8tfh7 & I8tfh7)); - assign I8tfh7 = (O8tfh7 & Owsfh7); - assign O8tfh7 = (~(Uwsfh7 & U8tfh7)); - assign C8tfh7 = (A9tfh7 & G9tfh7); - assign G9tfh7 = (~(Sxsfh7 & O2tfh7)); - assign A9tfh7 = (~(Eysfh7 & ahb_haddr[26])); - assign Ghsfh7 = (~(M9tfh7 & S9tfh7)); - assign S9tfh7 = (Y9tfh7 & Owsfh7); - assign Y9tfh7 = (~(Uwsfh7 & Eatfh7)); - assign M9tfh7 = (Katfh7 & Qatfh7); - assign Qatfh7 = (~(Sxsfh7 & E4tfh7)); - assign Katfh7 = (~(Eysfh7 & ahb_haddr[25])); - assign Bhsfh7 = (~(Watfh7 & Cbtfh7)); - assign Cbtfh7 = (Ibtfh7 & Owsfh7); - assign Ibtfh7 = (~(Uwsfh7 & Obtfh7)); - assign Watfh7 = (Ubtfh7 & Actfh7); - assign Actfh7 = (~(Sxsfh7 & U5tfh7)); - assign Ubtfh7 = (~(Eysfh7 & ahb_haddr[24])); - assign Wgsfh7 = (~(Gctfh7 & Mctfh7)); - assign Mctfh7 = (Sctfh7 & Owsfh7); - assign Sctfh7 = (~(Uwsfh7 & Yctfh7)); - assign Gctfh7 = (Edtfh7 & Kdtfh7); - assign Kdtfh7 = (~(Sxsfh7 & K7tfh7)); - assign Edtfh7 = (~(Eysfh7 & ahb_haddr[23])); - assign Rgsfh7 = (~(Qdtfh7 & Wdtfh7)); - assign Wdtfh7 = (Cetfh7 & Owsfh7); - assign Cetfh7 = (~(Uwsfh7 & Ietfh7)); - assign Qdtfh7 = (Oetfh7 & Uetfh7); - assign Uetfh7 = (~(Sxsfh7 & U8tfh7)); - assign Oetfh7 = (~(Eysfh7 & ahb_haddr[22])); - assign Mgsfh7 = (~(Aftfh7 & Gftfh7)); - assign Gftfh7 = (Mftfh7 & Owsfh7); - assign Mftfh7 = (~(Uwsfh7 & Sftfh7)); - assign Aftfh7 = (Yftfh7 & Egtfh7); - assign Egtfh7 = (~(Sxsfh7 & Eatfh7)); - assign Yftfh7 = (~(Eysfh7 & ahb_haddr[21])); - assign Hgsfh7 = (~(Kgtfh7 & Qgtfh7)); - assign Qgtfh7 = (Wgtfh7 & Owsfh7); - assign Wgtfh7 = (~(Uwsfh7 & Chtfh7)); - assign Kgtfh7 = (Ihtfh7 & Ohtfh7); - assign Ohtfh7 = (~(Sxsfh7 & Obtfh7)); - assign Ihtfh7 = (~(Eysfh7 & ahb_haddr[20])); - assign Cgsfh7 = (~(Uhtfh7 & Aitfh7)); - assign Aitfh7 = (Gitfh7 & Owsfh7); - assign Gitfh7 = (~(Uwsfh7 & Mitfh7)); - assign Uhtfh7 = (Sitfh7 & Yitfh7); - assign Yitfh7 = (~(Sxsfh7 & Yctfh7)); - assign Sitfh7 = (~(Eysfh7 & ahb_haddr[19])); - assign Xfsfh7 = (~(Ejtfh7 & Kjtfh7)); - assign Kjtfh7 = (Qjtfh7 & Owsfh7); - assign Qjtfh7 = (~(Uwsfh7 & Wjtfh7)); - assign Ejtfh7 = (Cktfh7 & Iktfh7); - assign Iktfh7 = (~(Sxsfh7 & Ietfh7)); - assign Cktfh7 = (~(Eysfh7 & ahb_haddr[18])); - assign Sfsfh7 = (~(Oktfh7 & Uktfh7)); - assign Uktfh7 = (Altfh7 & Owsfh7); - assign Altfh7 = (~(Uwsfh7 & Gltfh7)); - assign Oktfh7 = (Mltfh7 & Sltfh7); - assign Sltfh7 = (~(Sxsfh7 & Sftfh7)); - assign Mltfh7 = (~(Eysfh7 & ahb_haddr[17])); - assign Nfsfh7 = (~(Yltfh7 & Emtfh7)); - assign Emtfh7 = (Kmtfh7 & Owsfh7); - assign Kmtfh7 = (~(Uwsfh7 & Qmtfh7)); - assign Yltfh7 = (Wmtfh7 & Cntfh7); - assign Cntfh7 = (~(Sxsfh7 & Chtfh7)); - assign Wmtfh7 = (~(Eysfh7 & ahb_haddr[16])); - assign Ifsfh7 = (~(Intfh7 & Ontfh7)); - assign Ontfh7 = (Untfh7 & Owsfh7); - assign Untfh7 = (~(Uwsfh7 & Aotfh7)); - assign Intfh7 = (Gotfh7 & Motfh7); - assign Motfh7 = (~(Sxsfh7 & Mitfh7)); - assign Gotfh7 = (~(Eysfh7 & ahb_haddr[15])); - assign Dfsfh7 = (~(Sotfh7 & Yotfh7)); - assign Yotfh7 = (Eptfh7 & Owsfh7); - assign Eptfh7 = (~(Uwsfh7 & Kptfh7)); - assign Sotfh7 = (Qptfh7 & Wptfh7); - assign Wptfh7 = (~(Sxsfh7 & Wjtfh7)); - assign Qptfh7 = (~(Eysfh7 & ahb_haddr[14])); - assign Yesfh7 = (~(Cqtfh7 & Iqtfh7)); - assign Iqtfh7 = (Oqtfh7 & Owsfh7); - assign Oqtfh7 = (~(Uwsfh7 & Uqtfh7)); - assign Cqtfh7 = (Artfh7 & Grtfh7); - assign Grtfh7 = (~(Sxsfh7 & Gltfh7)); - assign Artfh7 = (~(Eysfh7 & ahb_haddr[13])); - assign Tesfh7 = (~(Mrtfh7 & Srtfh7)); - assign Srtfh7 = (Yrtfh7 & Owsfh7); - assign Yrtfh7 = (~(Uwsfh7 & Estfh7)); - assign Mrtfh7 = (Kstfh7 & Qstfh7); - assign Qstfh7 = (~(Sxsfh7 & Qmtfh7)); - assign Kstfh7 = (~(Eysfh7 & ahb_haddr[12])); - assign Oesfh7 = (~(Wstfh7 & Cttfh7)); - assign Cttfh7 = (Ittfh7 & Owsfh7); - assign Ittfh7 = (~(Uwsfh7 & Ottfh7)); - assign Wstfh7 = (Uttfh7 & Autfh7); - assign Autfh7 = (~(Sxsfh7 & Aotfh7)); - assign Uttfh7 = (~(Eysfh7 & ahb_haddr[11])); - assign Jesfh7 = (~(Gutfh7 & Mutfh7)); - assign Mutfh7 = (Sutfh7 & Owsfh7); - assign Sutfh7 = (~(Uwsfh7 & Yutfh7)); - assign Gutfh7 = (Evtfh7 & Kvtfh7); - assign Kvtfh7 = (~(Sxsfh7 & Kptfh7)); - assign Evtfh7 = (~(Eysfh7 & ahb_haddr[10])); - assign Eesfh7 = (~(Qvtfh7 & Wvtfh7)); - assign Wvtfh7 = (Cwtfh7 & Owsfh7); - assign Cwtfh7 = (~(Uwsfh7 & Iwtfh7)); - assign Qvtfh7 = (Owtfh7 & Uwtfh7); - assign Uwtfh7 = (~(Sxsfh7 & Uqtfh7)); - assign Owtfh7 = (~(Eysfh7 & ahb_haddr[9])); - assign Zdsfh7 = (~(Axtfh7 & Gxtfh7)); - assign Gxtfh7 = (Mxtfh7 & Owsfh7); - assign Mxtfh7 = (~(Uwsfh7 & Sxtfh7)); - assign Axtfh7 = (Yxtfh7 & Eytfh7); - assign Eytfh7 = (~(Sxsfh7 & Estfh7)); - assign Yxtfh7 = (~(Eysfh7 & ahb_haddr[8])); - assign Udsfh7 = (~(Kytfh7 & Qytfh7)); - assign Qytfh7 = (Wytfh7 & Owsfh7); - assign Wytfh7 = (~(Uwsfh7 & Cztfh7)); - assign Kytfh7 = (Iztfh7 & Oztfh7); - assign Oztfh7 = (~(Sxsfh7 & Ottfh7)); - assign Iztfh7 = (~(Eysfh7 & ahb_haddr[7])); - assign Pdsfh7 = (~(Uztfh7 & A0ufh7)); - assign A0ufh7 = (G0ufh7 & Owsfh7); - assign G0ufh7 = (~(Uwsfh7 & M0ufh7)); - assign Uztfh7 = (S0ufh7 & Y0ufh7); - assign Y0ufh7 = (~(Sxsfh7 & Yutfh7)); - assign S0ufh7 = (~(Eysfh7 & ahb_haddr[6])); - assign Kdsfh7 = (~(E1ufh7 & K1ufh7)); - assign K1ufh7 = (Q1ufh7 & Owsfh7); - assign Q1ufh7 = (~(Uwsfh7 & W1ufh7)); - assign E1ufh7 = (C2ufh7 & I2ufh7); - assign I2ufh7 = (~(Sxsfh7 & Iwtfh7)); - assign C2ufh7 = (~(Eysfh7 & ahb_haddr[5])); - assign Fdsfh7 = (~(O2ufh7 & U2ufh7)); - assign U2ufh7 = (A3ufh7 & Owsfh7); - assign A3ufh7 = (~(Uwsfh7 & Q1tfh7)); - assign Uwsfh7 = (Czsfh7 & G3ufh7); - assign G3ufh7 = (M3ufh7 | S0tfh7); - assign O2ufh7 = (S3ufh7 & Y3ufh7); - assign Y3ufh7 = (~(Sxsfh7 & Sxtfh7)); - assign S3ufh7 = (~(Eysfh7 & ahb_haddr[4])); - assign Adsfh7 = (~(E4ufh7 & K4ufh7)); - assign K4ufh7 = (Q4ufh7 & Owsfh7); - assign Q4ufh7 = (~(Czsfh7 & M3ufh7)); - assign M3ufh7 = (W4ufh7 | C5ufh7); - assign C5ufh7 = (com_rx_tdata[2] ? A0tfh7 : I5ufh7); - assign I5ufh7 = (O5ufh7 & com_rx_tdata[1]); - assign W4ufh7 = (U5ufh7 | Y0tfh7); - assign Y0tfh7 = (A6ufh7 & G6ufh7); - assign A6ufh7 = (M6ufh7 & com_rx_tdata[3]); - assign E4ufh7 = (S6ufh7 & Y6ufh7); - assign Y6ufh7 = (~(Sxsfh7 & Cztfh7)); - assign S6ufh7 = (~(Eysfh7 & ahb_haddr[3])); - assign Vcsfh7 = (~(E7ufh7 & K7ufh7)); - assign K7ufh7 = (Q7ufh7 & Owsfh7); - assign Q7ufh7 = (~(Czsfh7 & W7ufh7)); - assign W7ufh7 = (~(C8ufh7 & I8ufh7)); - assign C8ufh7 = (Otsfh7 ? U8ufh7 : O8ufh7); - assign U8ufh7 = (~(A9ufh7 & O5ufh7)); - assign E7ufh7 = (G9ufh7 & M9ufh7); - assign M9ufh7 = (~(Sxsfh7 & M0ufh7)); - assign G9ufh7 = (~(Eysfh7 & ahb_haddr[2])); - assign Qcsfh7 = (~(S9ufh7 & Y9ufh7)); - assign Y9ufh7 = (Eaufh7 & Owsfh7); - assign Owsfh7 = (~(Kaufh7 & Qaufh7)); - assign Eaufh7 = (~(Czsfh7 & Waufh7)); - assign Waufh7 = (U5ufh7 | Cbufh7); - assign Cbufh7 = (~(Musfh7 | O8ufh7)); - assign O8ufh7 = (~(S0tfh7 | A0tfh7)); - assign A0tfh7 = (O5ufh7 & Grsfh7); - assign S0tfh7 = (G6ufh7 & Ctsfh7); - assign G6ufh7 = (Ibufh7 & com_rx_tdata[4]); - assign Ibufh7 = (~(Obufh7 | com_rx_tdata[6])); - assign U5ufh7 = (~(I8ufh7 & Ubufh7)); - assign Ubufh7 = (~(Acufh7 & O5ufh7)); - assign Acufh7 = (M6ufh7 & com_rx_tdata[0]); - assign I8ufh7 = (~(Gcufh7 & Mcufh7)); - assign Mcufh7 = (com_rx_tdata[0] & Musfh7); - assign Gcufh7 = (O5ufh7 & com_rx_tdata[2]); - assign O5ufh7 = (Scufh7 & com_rx_tdata[6]); - assign Scufh7 = (Ctsfh7 & Qssfh7); - assign S9ufh7 = (Ycufh7 & Edufh7); - assign Edufh7 = (~(Sxsfh7 & W1ufh7)); - assign Sxsfh7 = (~(Kdufh7 & Qdufh7)); - assign Qdufh7 = (~(Wdufh7 & com_rx_tdata[7])); - assign Kdufh7 = (Ceufh7 & Qaufh7); - assign Ceufh7 = (~(Czsfh7 & Ieufh7)); - assign Ieufh7 = (~(Oeufh7 & Ueufh7)); - assign Ueufh7 = (com_rx_tdata[6] ? Gfufh7 : Afufh7); - assign Gfufh7 = (Ctsfh7 ? Qssfh7 : Grsfh7); - assign Afufh7 = (~(Mfufh7 & Obufh7)); - assign Mfufh7 = (~(com_rx_tdata[0] & Qssfh7)); - assign Oeufh7 = (Sfufh7 & Yfufh7); - assign Yfufh7 = (~(Egufh7 & Qssfh7)); - assign Egufh7 = (~(Kgufh7 & Qgufh7)); - assign Qgufh7 = (com_rx_tdata[6] ? Chufh7 : Wgufh7); - assign Chufh7 = (~(M6ufh7 & Grsfh7)); - assign Wgufh7 = (~(G0tfh7 | Ihufh7)); - assign Kgufh7 = (Ohufh7 & Uhufh7); - assign Uhufh7 = (~(A9ufh7 & com_rx_tdata[2])); - assign Ohufh7 = (~(com_rx_tdata[5] & com_rx_tdata[3])); - assign Sfufh7 = (~(com_rx_tdata[3] & G0tfh7)); - assign G0tfh7 = (!M6ufh7); - assign Czsfh7 = (Aiufh7 & Wdufh7); - assign Aiufh7 = (Qaufh7 & Yrsfh7); - assign Yrsfh7 = (!com_rx_tdata[7]); - assign Ycufh7 = (~(Eysfh7 & ahb_haddr[1])); - assign Eysfh7 = (Kvsfh7 & Qaufh7); - assign Qaufh7 = (~(Giufh7 & Miufh7)); - assign Miufh7 = (~(Wdufh7 & Siufh7)); - assign Giufh7 = (Yiufh7 & Ejufh7); - assign Ejufh7 = (Kjufh7 | Qjufh7); - assign Lcsfh7 = (~(Wjufh7 & Ckufh7)); - assign Ckufh7 = (Ikufh7 | Fyrfh7); - assign Ikufh7 = (Okufh7 & Ukufh7); - assign Ukufh7 = (~(Ws1gh7 & It1gh7)); - assign Wjufh7 = (~(Alufh7 & Ottfh7)); - assign Gcsfh7 = (~(Glufh7 & Mlufh7)); - assign Mlufh7 = (Slufh7 | Wwrfh7); - assign Slufh7 = (Okufh7 & Ylufh7); - assign Ylufh7 = (~(Gr1gh7 & It1gh7)); - assign Glufh7 = (~(Alufh7 & Q1tfh7)); - assign Bcsfh7 = (~(Emufh7 & Kmufh7)); - assign Kmufh7 = (Qmufh7 | Bxrfh7); - assign Qmufh7 = (Okufh7 & Wmufh7); - assign Wmufh7 = (~(Mr1gh7 & It1gh7)); - assign Emufh7 = (~(Alufh7 & W1ufh7)); - assign Wbsfh7 = (~(Cnufh7 & Inufh7)); - assign Inufh7 = (Onufh7 | Gxrfh7); - assign Onufh7 = (Okufh7 & Unufh7); - assign Unufh7 = (~(Sr1gh7 & It1gh7)); - assign Cnufh7 = (~(Alufh7 & M0ufh7)); - assign Rbsfh7 = (~(Aoufh7 & Goufh7)); - assign Goufh7 = (Moufh7 | Lxrfh7); - assign Moufh7 = (Okufh7 & Soufh7); - assign Soufh7 = (~(Yr1gh7 & It1gh7)); - assign Aoufh7 = (~(Alufh7 & Cztfh7)); - assign Mbsfh7 = (~(Youfh7 & Epufh7)); - assign Epufh7 = (Kpufh7 | Qxrfh7); - assign Kpufh7 = (Okufh7 & Qpufh7); - assign Qpufh7 = (~(Es1gh7 & It1gh7)); - assign Youfh7 = (~(Alufh7 & Sxtfh7)); - assign Hbsfh7 = (~(Wpufh7 & Cqufh7)); - assign Cqufh7 = (Iqufh7 | Vxrfh7); - assign Iqufh7 = (Okufh7 & Oqufh7); - assign Oqufh7 = (~(Ks1gh7 & It1gh7)); - assign Wpufh7 = (~(Alufh7 & Iwtfh7)); - assign Cbsfh7 = (~(Uqufh7 & Arufh7)); - assign Arufh7 = (Grufh7 | Ayrfh7); - assign Grufh7 = (Okufh7 & Mrufh7); - assign Mrufh7 = (~(Qs1gh7 & It1gh7)); - assign Okufh7 = (~(Srufh7 | Ct1gh7)); - assign Uqufh7 = (~(Alufh7 & Yutfh7)); - assign Alufh7 = (~(Srufh7 | It1gh7)); - assign Srufh7 = (~(Yrufh7 & Esufh7)); - assign Esufh7 = (Ksufh7 & Qsufh7); - assign Qsufh7 = (Wsufh7 & Ctufh7); - assign Ctufh7 = (Itufh7 & Ky1gh7); - assign Itufh7 = (Wpsfh7 & Hvqfh7); - assign Wsufh7 = (Otufh7 & Sx1gh7); - assign Otufh7 = (Yx1gh7 & Ey1gh7); - assign Ksufh7 = (Utufh7 & Auufh7); - assign Auufh7 = (Guufh7 & Ax1gh7); - assign Guufh7 = (Gx1gh7 & Mx1gh7); - assign Utufh7 = (Muufh7 & Iw1gh7); - assign Muufh7 = (Ow1gh7 & Uw1gh7); - assign Yrufh7 = (Suufh7 & Yuufh7); - assign Yuufh7 = (Evufh7 & Kvufh7); - assign Kvufh7 = (Qvufh7 & Qv1gh7); - assign Qvufh7 = (Wv1gh7 & Cw1gh7); - assign Evufh7 = (Wvufh7 & Yu1gh7); - assign Wvufh7 = (Ev1gh7 & Kv1gh7); - assign Suufh7 = (Cwufh7 & Iwufh7); - assign Iwufh7 = (Owufh7 & Gu1gh7); - assign Owufh7 = (Mu1gh7 & Su1gh7); - assign Cwufh7 = (Uwufh7 & Ot1gh7); - assign Uwufh7 = (Ut1gh7 & Au1gh7); - assign Xasfh7 = (~(Axufh7 & Gxufh7)); - assign Gxufh7 = (Mxufh7 & Sxufh7); - assign Sxufh7 = (~(Yxufh7 & Chtfh7)); - assign Mxufh7 = (~(ahb_hrdata[16] & Eyufh7)); - assign Axufh7 = (Kyufh7 & Qyufh7); - assign Qyufh7 = (Wyufh7 | K3sfh7); - assign Kyufh7 = (Czufh7 | Wwrfh7); - assign Sasfh7 = (~(Izufh7 & Ozufh7)); - assign Ozufh7 = (Uzufh7 & A0vfh7); - assign A0vfh7 = (~(Yxufh7 & Sftfh7)); - assign Uzufh7 = (~(ahb_hrdata[17] & Eyufh7)); - assign Izufh7 = (G0vfh7 & M0vfh7); - assign M0vfh7 = (~(S0vfh7 & Y0vfh7)); - assign G0vfh7 = (Czufh7 | Bxrfh7); - assign Nasfh7 = (~(E1vfh7 & K1vfh7)); - assign K1vfh7 = (Q1vfh7 & W1vfh7); - assign W1vfh7 = (~(Yxufh7 & Ietfh7)); - assign Q1vfh7 = (~(ahb_hrdata[18] & Eyufh7)); - assign E1vfh7 = (C2vfh7 & I2vfh7); - assign I2vfh7 = (~(S0vfh7 & O2vfh7)); - assign C2vfh7 = (Czufh7 | Gxrfh7); - assign Iasfh7 = (~(U2vfh7 & A3vfh7)); - assign A3vfh7 = (G3vfh7 & M3vfh7); - assign M3vfh7 = (~(Yxufh7 & Yctfh7)); - assign G3vfh7 = (~(ahb_hrdata[19] & Eyufh7)); - assign U2vfh7 = (S3vfh7 & Y3vfh7); - assign Y3vfh7 = (~(S0vfh7 & E4vfh7)); - assign S3vfh7 = (Czufh7 | Lxrfh7); - assign Dasfh7 = (~(K4vfh7 & Q4vfh7)); - assign Q4vfh7 = (W4vfh7 & C5vfh7); - assign C5vfh7 = (~(Yxufh7 & Obtfh7)); - assign W4vfh7 = (~(ahb_hrdata[20] & Eyufh7)); - assign K4vfh7 = (I5vfh7 & O5vfh7); - assign O5vfh7 = (Wyufh7 | E4sfh7); - assign I5vfh7 = (Czufh7 | Qxrfh7); - assign Y9sfh7 = (~(U5vfh7 & A6vfh7)); - assign A6vfh7 = (G6vfh7 & M6vfh7); - assign M6vfh7 = (~(Yxufh7 & Eatfh7)); - assign G6vfh7 = (~(ahb_hrdata[21] & Eyufh7)); - assign U5vfh7 = (S6vfh7 & Y6vfh7); - assign Y6vfh7 = (~(S0vfh7 & E7vfh7)); - assign S6vfh7 = (Czufh7 | Vxrfh7); - assign T9sfh7 = (~(K7vfh7 & Q7vfh7)); - assign Q7vfh7 = (W7vfh7 & C8vfh7); - assign C8vfh7 = (~(Yxufh7 & U8tfh7)); - assign W7vfh7 = (~(ahb_hrdata[22] & Eyufh7)); - assign K7vfh7 = (I8vfh7 & O8vfh7); - assign O8vfh7 = (~(S0vfh7 & U8vfh7)); - assign I8vfh7 = (Czufh7 | Ayrfh7); - assign O9sfh7 = (~(A9vfh7 & G9vfh7)); - assign G9vfh7 = (M9vfh7 & S9vfh7); - assign S9vfh7 = (~(Yxufh7 & K7tfh7)); - assign M9vfh7 = (~(ahb_hrdata[23] & Eyufh7)); - assign A9vfh7 = (Y9vfh7 & Eavfh7); - assign Eavfh7 = (~(S0vfh7 & Kavfh7)); - assign Y9vfh7 = (Czufh7 | Fyrfh7); - assign J9sfh7 = (~(Qavfh7 & Wavfh7)); - assign Wavfh7 = (Cbvfh7 & Ibvfh7); - assign Ibvfh7 = (~(Yxufh7 & U5tfh7)); - assign Cbvfh7 = (~(ahb_hrdata[24] & Eyufh7)); - assign Qavfh7 = (Obvfh7 & Ubvfh7); - assign Ubvfh7 = (Wyufh7 | Y4sfh7); - assign Obvfh7 = (~(gpi8[0] & Acvfh7)); - assign E9sfh7 = (~(Gcvfh7 & Mcvfh7)); - assign Mcvfh7 = (Scvfh7 & Ycvfh7); - assign Ycvfh7 = (~(Yxufh7 & E4tfh7)); - assign Scvfh7 = (~(ahb_hrdata[25] & Eyufh7)); - assign Gcvfh7 = (Edvfh7 & Kdvfh7); - assign Kdvfh7 = (~(S0vfh7 & Qdvfh7)); - assign Edvfh7 = (~(gpi8[1] & Acvfh7)); - assign Z8sfh7 = (~(Wdvfh7 & Cevfh7)); - assign Cevfh7 = (Ievfh7 & Oevfh7); - assign Oevfh7 = (~(Yxufh7 & O2tfh7)); - assign Ievfh7 = (~(ahb_hrdata[26] & Eyufh7)); - assign Wdvfh7 = (Uevfh7 & Afvfh7); - assign Afvfh7 = (~(S0vfh7 & Gfvfh7)); - assign Uevfh7 = (~(gpi8[2] & Acvfh7)); - assign U8sfh7 = (~(Mfvfh7 & Sfvfh7)); - assign Sfvfh7 = (Yfvfh7 & Egvfh7); - assign Egvfh7 = (~(Yxufh7 & Axsfh7)); - assign Yfvfh7 = (~(ahb_hrdata[27] & Eyufh7)); - assign Mfvfh7 = (Kgvfh7 & Qgvfh7); - assign Qgvfh7 = (~(S0vfh7 & Wgvfh7)); - assign Kgvfh7 = (~(gpi8[3] & Acvfh7)); - assign P8sfh7 = (~(Chvfh7 & Ihvfh7)); - assign Ihvfh7 = (Ohvfh7 & Uhvfh7); - assign Uhvfh7 = (~(Yxufh7 & M6tfh7)); - assign Ohvfh7 = (~(ahb_hrdata[28] & Eyufh7)); - assign Chvfh7 = (Aivfh7 & Givfh7); - assign Givfh7 = (Wyufh7 | S5sfh7); - assign Aivfh7 = (~(gpi8[4] & Acvfh7)); - assign K8sfh7 = (~(Mivfh7 & Sivfh7)); - assign Sivfh7 = (Yivfh7 & Ejvfh7); - assign Ejvfh7 = (~(Yxufh7 & W4tfh7)); - assign Yivfh7 = (~(ahb_hrdata[29] & Eyufh7)); - assign Mivfh7 = (Kjvfh7 & Qjvfh7); - assign Qjvfh7 = (~(S0vfh7 & Wjvfh7)); - assign Kjvfh7 = (~(gpi8[5] & Acvfh7)); - assign F8sfh7 = (~(Ckvfh7 & Ikvfh7)); - assign Ikvfh7 = (Okvfh7 & Ukvfh7); - assign Ukvfh7 = (~(Yxufh7 & G3tfh7)); - assign Okvfh7 = (~(ahb_hrdata[30] & Eyufh7)); - assign Ckvfh7 = (Alvfh7 & Glvfh7); - assign Glvfh7 = (~(S0vfh7 & Mlvfh7)); - assign Alvfh7 = (~(gpi8[6] & Acvfh7)); - assign A8sfh7 = (~(Slvfh7 & Ylvfh7)); - assign Ylvfh7 = (Emvfh7 & Kmvfh7); - assign Kmvfh7 = (~(Yxufh7 & Yxsfh7)); - assign Yxufh7 = (!Qmvfh7); - assign Emvfh7 = (~(ahb_hrdata[31] & Eyufh7)); - assign Slvfh7 = (Wmvfh7 & Cnvfh7); - assign Cnvfh7 = (~(S0vfh7 & Invfh7)); - assign Wmvfh7 = (~(gpi8[7] & Acvfh7)); - assign Acvfh7 = (!Czufh7); - assign V7sfh7 = (Onvfh7 & Unvfh7); - assign Unvfh7 = (~(Aovfh7 & Govfh7)); - assign Govfh7 = (Movfh7 & Sovfh7); - assign Sovfh7 = (Yovfh7 & Epvfh7); - assign Epvfh7 = (Kpvfh7 & Qpvfh7); - assign Yovfh7 = (Wpvfh7 & Cqvfh7); - assign Cqvfh7 = (~(Iqvfh7 & Oqvfh7)); - assign Iqvfh7 = (~(Uqvfh7 | M1sfh7)); - assign Wpvfh7 = (~(Arvfh7 & Grvfh7)); - assign Arvfh7 = (Mrvfh7 ^ Srvfh7); - assign Movfh7 = (Yrvfh7 & Esvfh7); - assign Esvfh7 = (Ksvfh7 & Qsvfh7); - assign Qsvfh7 = (~(Wsvfh7 & Ctvfh7)); - assign Wsvfh7 = (Itvfh7 ^ Otvfh7); - assign Ksvfh7 = (~(Utvfh7 & Auvfh7)); - assign Utvfh7 = (~(Guvfh7 ^ S5sfh7)); - assign Yrvfh7 = (Muvfh7 & Suvfh7); - assign Suvfh7 = (~(Yuvfh7 & Evvfh7)); - assign Yuvfh7 = (~(Kvvfh7 ^ K3sfh7)); - assign Muvfh7 = (~(Qvvfh7 & Wvvfh7)); - assign Qvvfh7 = (~(Cwvfh7 ^ Y4sfh7)); - assign Aovfh7 = (Iwvfh7 & Owvfh7); - assign Owvfh7 = (Uwvfh7 & Axvfh7); - assign Axvfh7 = (Gxvfh7 & Mxvfh7); - assign Mxvfh7 = (~(Sxvfh7 & Yxvfh7)); - assign Sxvfh7 = (~(Eyvfh7 ^ E4sfh7)); - assign Gxvfh7 = (~(Kyvfh7 & Qyvfh7)); - assign Kyvfh7 = (Wyvfh7 ^ Czvfh7); - assign Uwvfh7 = (Izvfh7 & Ozvfh7); - assign Ozvfh7 = (~(Uzvfh7 & A0wfh7)); - assign Izvfh7 = (~(G0wfh7 & M0wfh7)); - assign Iwvfh7 = (S0wfh7 & Y0wfh7); - assign S0wfh7 = (E1wfh7 & K1wfh7); - assign K1wfh7 = (Q1wfh7 | Iz1gh7); - assign E1wfh7 = (~(stdio_rx_tdata[0] & W1wfh7)); - assign Onvfh7 = (~(Uyrfh7 & C2wfh7)); - assign Q7sfh7 = (I2wfh7 & O2wfh7); - assign O2wfh7 = (~(U2wfh7 & A3wfh7)); - assign A3wfh7 = (G3wfh7 & M3wfh7); - assign M3wfh7 = (S3wfh7 & Y3wfh7); - assign Y3wfh7 = (E4wfh7 & K4wfh7); - assign S3wfh7 = (Q4wfh7 & W4wfh7); - assign W4wfh7 = (C5wfh7 | I5wfh7); - assign C5wfh7 = (G2sfh7 ? U5wfh7 : O5wfh7); - assign U5wfh7 = (~(O5wfh7 & A6wfh7)); - assign O5wfh7 = (B2sfh7 & G6wfh7); - assign Q4wfh7 = (M6wfh7 | S6wfh7); - assign M6wfh7 = (X0sfh7 ? E7wfh7 : Y6wfh7); - assign E7wfh7 = (~(Y6wfh7 & K7wfh7)); - assign Y6wfh7 = (Pyrfh7 & Q7wfh7); - assign G3wfh7 = (W7wfh7 & C8wfh7); - assign C8wfh7 = (~(Wvvfh7 & I8wfh7)); - assign I8wfh7 = (~(O8wfh7 & U8wfh7)); - assign U8wfh7 = (~(A9wfh7 & Gfvfh7)); - assign A9wfh7 = (!G9wfh7); - assign O8wfh7 = (~(M9wfh7 & Qdvfh7)); - assign W7wfh7 = (S9wfh7 & Y9wfh7); - assign Y9wfh7 = (~(Auvfh7 & Eawfh7)); - assign Eawfh7 = (~(Kawfh7 & Qawfh7)); - assign Qawfh7 = (~(Wawfh7 & Mlvfh7)); - assign Wawfh7 = (!Cbwfh7); - assign Kawfh7 = (~(Ibwfh7 & Wjvfh7)); - assign S9wfh7 = (~(Evvfh7 & Obwfh7)); - assign Obwfh7 = (~(Ubwfh7 & Acwfh7)); - assign Acwfh7 = (~(Gcwfh7 & O2vfh7)); - assign Gcwfh7 = (!Mcwfh7); - assign Ubwfh7 = (~(Scwfh7 & Y0vfh7)); - assign U2wfh7 = (Ycwfh7 & Edwfh7); - assign Edwfh7 = (Kdwfh7 & Qdwfh7); - assign Qdwfh7 = (Wdwfh7 & Cewfh7); - assign Cewfh7 = (~(Yxvfh7 & Iewfh7)); - assign Iewfh7 = (~(Oewfh7 & Uewfh7)); - assign Uewfh7 = (~(Afwfh7 & U8vfh7)); - assign Afwfh7 = (!Gfwfh7); - assign Oewfh7 = (~(Mfwfh7 & E7vfh7)); - assign Wdwfh7 = (Sfwfh7 | Yfwfh7); - assign Sfwfh7 = (V2sfh7 ? Kgwfh7 : Egwfh7); - assign Kgwfh7 = (~(Egwfh7 & Qgwfh7)); - assign Egwfh7 = (Kyrfh7 & Wgwfh7); - assign Kdwfh7 = (Chwfh7 & Ihwfh7); - assign Ihwfh7 = (Q1wfh7 | Qy1gh7); - assign Chwfh7 = (~(stdio_rx_tdata[1] & W1wfh7)); - assign Ycwfh7 = (Ohwfh7 & Uhwfh7); - assign Uhwfh7 = (A0wfh7 ? Giwfh7 : Aiwfh7); - assign Giwfh7 = (~(R1sfh7 & Miwfh7)); - assign Aiwfh7 = (!Uzvfh7); - assign Ohwfh7 = (Siwfh7 & Yiwfh7); - assign I2wfh7 = (~(Zyrfh7 & C2wfh7)); - assign L7sfh7 = (Ejwfh7 & Kjwfh7); - assign Kjwfh7 = (~(Qjwfh7 & Wjwfh7)); - assign Wjwfh7 = (Ckwfh7 & Ikwfh7); - assign Ikwfh7 = (Okwfh7 & Ukwfh7); - assign Ukwfh7 = (Alwfh7 & Kpvfh7); - assign Alwfh7 = (~(Glwfh7 & Ctvfh7)); - assign Glwfh7 = (Mlwfh7 & K7wfh7); - assign Mlwfh7 = (~(Pyrfh7 & X0sfh7)); - assign Okwfh7 = (Slwfh7 & Ylwfh7); - assign Ylwfh7 = (~(Emwfh7 & Grvfh7)); - assign Emwfh7 = (Kmwfh7 & A6wfh7); - assign Kmwfh7 = (~(B2sfh7 & G2sfh7)); - assign Slwfh7 = (~(Qmwfh7 & Qyvfh7)); - assign Qmwfh7 = (Wmwfh7 & Qgwfh7); - assign Wmwfh7 = (~(Kyrfh7 & V2sfh7)); - assign Ckwfh7 = (Cnwfh7 & Inwfh7); - assign Inwfh7 = (Onwfh7 & Unwfh7); - assign Onwfh7 = (~(Aowfh7 & Evvfh7)); - assign Aowfh7 = (Mcwfh7 & O2vfh7); - assign O2vfh7 = (!U3sfh7); - assign Mcwfh7 = (Scwfh7 | Y0vfh7); - assign Y0vfh7 = (!P3sfh7); - assign Scwfh7 = (~(K3sfh7 & E4vfh7)); - assign Cnwfh7 = (Gowfh7 & Mowfh7); - assign Mowfh7 = (~(Sowfh7 & Yxvfh7)); - assign Sowfh7 = (Gfwfh7 & U8vfh7); - assign U8vfh7 = (!O4sfh7); - assign Gfwfh7 = (Mfwfh7 | E7vfh7); - assign E7vfh7 = (!J4sfh7); - assign Mfwfh7 = (~(E4sfh7 & Kavfh7)); - assign Gowfh7 = (~(Yowfh7 & Wvvfh7)); - assign Yowfh7 = (G9wfh7 & Gfvfh7); - assign Gfvfh7 = (!I5sfh7); - assign G9wfh7 = (M9wfh7 | Qdvfh7); - assign Qdvfh7 = (!D5sfh7); - assign M9wfh7 = (~(Y4sfh7 & Wgvfh7)); - assign Qjwfh7 = (Epwfh7 & Kpwfh7); - assign Kpwfh7 = (Qpwfh7 & Wpwfh7); - assign Wpwfh7 = (Cqwfh7 & Iqwfh7); - assign Iqwfh7 = (~(Oqwfh7 & Auvfh7)); - assign Oqwfh7 = (Cbwfh7 & Mlvfh7); - assign Mlvfh7 = (!C6sfh7); - assign Cbwfh7 = (Ibwfh7 | Wjvfh7); - assign Wjvfh7 = (!X5sfh7); - assign Ibwfh7 = (~(S5sfh7 & Invfh7)); - assign Cqwfh7 = (~(Uqwfh7 & Q2sfh7)); - assign Uqwfh7 = (Grvfh7 & A6wfh7); - assign Qpwfh7 = (Arwfh7 & Grwfh7); - assign Grwfh7 = (~(Mrwfh7 & H1sfh7)); - assign Mrwfh7 = (Ctvfh7 & K7wfh7); - assign Arwfh7 = (~(Srwfh7 & F3sfh7)); - assign Srwfh7 = (Qyvfh7 & Qgwfh7); - assign Epwfh7 = (Yrwfh7 & Eswfh7); - assign Eswfh7 = (Kswfh7 & Qswfh7); - assign Qswfh7 = (~(W1sfh7 & Miwfh7)); - assign Kswfh7 = (Q1wfh7 | C82gh7); - assign Yrwfh7 = (Wswfh7 & Ctwfh7); - assign Ctwfh7 = (~(stdio_rx_tdata[2] & W1wfh7)); - assign Ejwfh7 = (~(Ezrfh7 & C2wfh7)); - assign G7sfh7 = (Itwfh7 & Otwfh7); - assign Otwfh7 = (~(Utwfh7 & Auwfh7)); - assign Auwfh7 = (Guwfh7 & Muwfh7); - assign Muwfh7 = (Suwfh7 & Yuwfh7); - assign Yuwfh7 = (Evwfh7 & Kvwfh7); - assign Evwfh7 = (~(Qvwfh7 & Wvwfh7)); - assign Wvwfh7 = (G2sfh7 & G6wfh7); - assign Qvwfh7 = (Grvfh7 & L2sfh7); - assign Suwfh7 = (Cwwfh7 & Iwwfh7); - assign Iwwfh7 = (~(Owwfh7 & Uwwfh7)); - assign Uwwfh7 = (Oqvfh7 & Axwfh7); - assign Owwfh7 = (R1sfh7 & Ozrfh7); - assign Cwwfh7 = (~(Gxwfh7 & Mxwfh7)); - assign Mxwfh7 = (X0sfh7 & Q7wfh7); - assign Gxwfh7 = (Ctvfh7 & C1sfh7); - assign Guwfh7 = (Sxwfh7 & Yxwfh7); - assign Yxwfh7 = (~(Eywfh7 & Kywfh7)); - assign Kywfh7 = (D5sfh7 & Wgvfh7); - assign Eywfh7 = (Wvvfh7 & I5sfh7); - assign Sxwfh7 = (Qywfh7 & Wywfh7); - assign Wywfh7 = (~(Czwfh7 & Izwfh7)); - assign Izwfh7 = (X5sfh7 & Invfh7); - assign Czwfh7 = (Auvfh7 & C6sfh7); - assign Qywfh7 = (~(Ozwfh7 & Uzwfh7)); - assign Uzwfh7 = (P3sfh7 & E4vfh7); - assign Ozwfh7 = (Evvfh7 & U3sfh7); - assign Utwfh7 = (A0xfh7 & G0xfh7); - assign G0xfh7 = (M0xfh7 & S0xfh7); - assign S0xfh7 = (Q1wfh7 | Wy1gh7); - assign M0xfh7 = (Y0xfh7 & E1xfh7); - assign E1xfh7 = (~(K1xfh7 & Q1xfh7)); - assign Q1xfh7 = (J4sfh7 & Kavfh7); - assign K1xfh7 = (Yxvfh7 & O4sfh7); - assign Y0xfh7 = (~(W1xfh7 & C2xfh7)); - assign C2xfh7 = (V2sfh7 & Wgwfh7); - assign W1xfh7 = (Qyvfh7 & A3sfh7); - assign A0xfh7 = (I2xfh7 & Wswfh7); - assign Wswfh7 = (O2xfh7 & U2xfh7); - assign O2xfh7 = (A3xfh7 & Qpvfh7); - assign I2xfh7 = (Siwfh7 & G3xfh7); - assign G3xfh7 = (~(stdio_rx_tdata[3] & W1wfh7)); - assign Siwfh7 = (M3xfh7 & S3xfh7); - assign S3xfh7 = (Y3xfh7 & M0wfh7); - assign M3xfh7 = (E4xfh7 & K4xfh7); - assign Itwfh7 = (~(Jzrfh7 & C2wfh7)); - assign B7sfh7 = (Q4xfh7 & W4xfh7); - assign W4xfh7 = (~(C5xfh7 & I5xfh7)); - assign I5xfh7 = (O5xfh7 & U5xfh7); - assign U5xfh7 = (A6xfh7 & G6xfh7); - assign G6xfh7 = (M6xfh7 & Kpvfh7); - assign M6xfh7 = (S6xfh7 & K4wfh7); - assign A6xfh7 = (Kvwfh7 & Y6xfh7); - assign O5xfh7 = (E7xfh7 & K7xfh7); - assign K7xfh7 = (Q7xfh7 & A3xfh7); - assign Q7xfh7 = (W7xfh7 | Uqvfh7); - assign Uqvfh7 = (Axwfh7 & C8xfh7); - assign C8xfh7 = (~(R1sfh7 & Ozrfh7)); - assign E7xfh7 = (I8xfh7 & O8xfh7); - assign O8xfh7 = (~(Evvfh7 & U8xfh7)); - assign U8xfh7 = (!Kvvfh7); - assign I8xfh7 = (~(Yxvfh7 & A9xfh7)); - assign A9xfh7 = (!Eyvfh7); - assign C5xfh7 = (G9xfh7 & M9xfh7); - assign M9xfh7 = (S9xfh7 & Y9xfh7); - assign Y9xfh7 = (Eaxfh7 & Kaxfh7); - assign Kaxfh7 = (~(Wvvfh7 & Qaxfh7)); - assign Qaxfh7 = (!Cwvfh7); - assign Eaxfh7 = (~(Auvfh7 & Waxfh7)); - assign Waxfh7 = (!Guvfh7); - assign S9xfh7 = (Cbxfh7 & Ibxfh7); - assign Ibxfh7 = (I5wfh7 | Mrvfh7); - assign Cbxfh7 = (Yfwfh7 | Wyvfh7); - assign G9xfh7 = (Obxfh7 & Ubxfh7); - assign Ubxfh7 = (Acxfh7 & Gcxfh7); - assign Gcxfh7 = (S6wfh7 | Itvfh7); - assign Acxfh7 = (Q1wfh7 | Cz1gh7); - assign Obxfh7 = (U2xfh7 & Mcxfh7); - assign Mcxfh7 = (~(stdio_rx_tdata[4] & W1wfh7)); - assign Q4xfh7 = (~(Tzrfh7 & C2wfh7)); - assign W6sfh7 = (Scxfh7 & Ycxfh7); - assign Ycxfh7 = (~(Edxfh7 & Kdxfh7)); - assign Kdxfh7 = (Qdxfh7 & Wdxfh7); - assign Wdxfh7 = (Cexfh7 & W7xfh7); - assign Cexfh7 = (S6wfh7 & Iexfh7); - assign Qdxfh7 = (Kpvfh7 & I5wfh7); - assign Edxfh7 = (Oexfh7 & Uexfh7); - assign Uexfh7 = (Afxfh7 & Gfxfh7); - assign Gfxfh7 = (~(stdio_rx_tdata[5] & W1wfh7)); - assign Afxfh7 = (Mfxfh7 & Sfxfh7); - assign Mfxfh7 = (~(Yfxfh7 & G0wfh7)); - assign Yfxfh7 = (A3xfh7 & M0wfh7); - assign Oexfh7 = (U2xfh7 & Egxfh7); - assign U2xfh7 = (Kgxfh7 & Qgxfh7); - assign Kgxfh7 = (E4wfh7 & Wgxfh7); - assign Scxfh7 = (~(Yzrfh7 & C2wfh7)); - assign R6sfh7 = (Chxfh7 & Ihxfh7); - assign Ihxfh7 = (~(Ohxfh7 & Uhxfh7)); - assign Uhxfh7 = (Aixfh7 & Gixfh7); - assign Gixfh7 = (Mixfh7 & Sixfh7); - assign Sixfh7 = (Kvwfh7 & K4wfh7); - assign Mixfh7 = (Yixfh7 & Unwfh7); - assign Unwfh7 = (~(Ejxfh7 & Miwfh7)); - assign Ejxfh7 = (Kjxfh7 & Axwfh7); - assign Kjxfh7 = (~(R1sfh7 & M1sfh7)); - assign Yixfh7 = (~(Itvfh7 & Ctvfh7)); - assign Ctvfh7 = (!S6wfh7); - assign Itvfh7 = (Qjxfh7 & Q7wfh7); - assign Qjxfh7 = (~(C1sfh7 & X0sfh7)); - assign Aixfh7 = (Wjxfh7 & Ckxfh7); - assign Ckxfh7 = (~(Uzvfh7 & Axwfh7)); - assign Uzvfh7 = (Oqvfh7 & Ikxfh7); - assign Wjxfh7 = (Okxfh7 & Ukxfh7); - assign Ukxfh7 = (~(Mrvfh7 & Grvfh7)); - assign Grvfh7 = (!I5wfh7); - assign Mrvfh7 = (Alxfh7 & G6wfh7); - assign Alxfh7 = (~(L2sfh7 & G2sfh7)); - assign Okxfh7 = (~(Wyvfh7 & Qyvfh7)); - assign Qyvfh7 = (!Yfwfh7); - assign Wyvfh7 = (Glxfh7 & Wgwfh7); - assign Glxfh7 = (~(A3sfh7 & V2sfh7)); - assign Ohxfh7 = (Mlxfh7 & Slxfh7); - assign Slxfh7 = (Ylxfh7 & Emxfh7); - assign Emxfh7 = (Kmxfh7 & Qmxfh7); - assign Qmxfh7 = (~(Evvfh7 & Kvvfh7)); - assign Kvvfh7 = (Wmxfh7 & E4vfh7); - assign E4vfh7 = (!Z3sfh7); - assign Wmxfh7 = (~(U3sfh7 & P3sfh7)); - assign Kmxfh7 = (~(Yxvfh7 & Eyvfh7)); - assign Eyvfh7 = (Cnxfh7 & Kavfh7); - assign Kavfh7 = (!T4sfh7); - assign Cnxfh7 = (~(O4sfh7 & J4sfh7)); - assign Yxvfh7 = (!Inxfh7); - assign Ylxfh7 = (Onxfh7 & Unxfh7); - assign Unxfh7 = (~(Wvvfh7 & Cwvfh7)); - assign Cwvfh7 = (Aoxfh7 & Wgvfh7); - assign Wgvfh7 = (!N5sfh7); - assign Aoxfh7 = (~(I5sfh7 & D5sfh7)); - assign Onxfh7 = (~(Auvfh7 & Guvfh7)); - assign Guvfh7 = (Goxfh7 & Invfh7); - assign Invfh7 = (!H6sfh7); - assign Goxfh7 = (~(C6sfh7 & X5sfh7)); - assign Auvfh7 = (!Moxfh7); - assign Mlxfh7 = (Soxfh7 & Y0wfh7); - assign Y0wfh7 = (Yoxfh7 & Yiwfh7); - assign Yiwfh7 = (Epxfh7 & Qgxfh7); - assign Epxfh7 = (S6xfh7 & Czufh7); - assign Yoxfh7 = (Kpxfh7 & A3xfh7); - assign Kpxfh7 = (~(Miwfh7 & A0wfh7)); - assign A0wfh7 = (~(Qpxfh7 | W1sfh7)); - assign Miwfh7 = (~(W7xfh7 | Ozrfh7)); - assign Soxfh7 = (Wpxfh7 & Cqxfh7); - assign Cqxfh7 = (Q1wfh7 | Oz1gh7); - assign Wpxfh7 = (~(stdio_rx_tdata[6] & W1wfh7)); - assign Chxfh7 = (~(D0sfh7 & C2wfh7)); - assign M6sfh7 = (C2wfh7 ? com_tx_tdata[7] : Iqxfh7); - assign C2wfh7 = (!Qgxfh7); - assign Qgxfh7 = (Wpsfh7 & Oqxfh7); - assign Oqxfh7 = (~(Uqxfh7 & Arxfh7)); - assign Arxfh7 = (~(Grxfh7 & Mrxfh7)); - assign Mrxfh7 = (~(Srxfh7 & Yrxfh7)); - assign Iqxfh7 = (stdio_rx_tdata[7] & W1wfh7); - assign S0sfh7 = (~(Esxfh7 & Ksxfh7)); - assign Esxfh7 = (Qsxfh7 & Wsxfh7); - assign N0sfh7 = (ahb_hready ? ahb_htrans[1] : Ctxfh7); - assign I0sfh7 = (~(Itxfh7 | W72gh7)); - assign Itxfh7 = (~(Otxfh7 | A3xfh7)); - assign Qpsfh7 = (~(Utxfh7 & Auxfh7)); - assign Auxfh7 = (~(stdio_tx_tdata[7] & Guxfh7)); - assign Utxfh7 = (Muxfh7 & Suxfh7); - assign Suxfh7 = (~(Yuxfh7 & com_rx_tdata[7])); - assign Muxfh7 = (~(Evxfh7 & Ottfh7)); - assign Kpsfh7 = (~(Kvxfh7 & Qvxfh7)); - assign Qvxfh7 = (~(stdio_tx_tdata[6] & Guxfh7)); - assign Kvxfh7 = (Wvxfh7 & Cwxfh7); - assign Cwxfh7 = (~(Yuxfh7 & com_rx_tdata[6])); - assign Wvxfh7 = (~(Evxfh7 & Yutfh7)); - assign Epsfh7 = (~(Iwxfh7 & Owxfh7)); - assign Owxfh7 = (~(stdio_tx_tdata[5] & Guxfh7)); - assign Iwxfh7 = (Uwxfh7 & Axxfh7); - assign Axxfh7 = (~(Yuxfh7 & com_rx_tdata[5])); - assign Uwxfh7 = (~(Evxfh7 & Iwtfh7)); - assign Yosfh7 = (~(Gxxfh7 & Mxxfh7)); - assign Mxxfh7 = (~(stdio_tx_tdata[4] & Guxfh7)); - assign Gxxfh7 = (Sxxfh7 & Yxxfh7); - assign Yxxfh7 = (~(Yuxfh7 & com_rx_tdata[4])); - assign Sxxfh7 = (~(Evxfh7 & Sxtfh7)); - assign Sosfh7 = (~(Eyxfh7 & Kyxfh7)); - assign Kyxfh7 = (~(stdio_tx_tdata[3] & Guxfh7)); - assign Eyxfh7 = (Qyxfh7 & Wyxfh7); - assign Wyxfh7 = (~(Yuxfh7 & com_rx_tdata[3])); - assign Qyxfh7 = (~(Evxfh7 & Cztfh7)); - assign Mosfh7 = (~(Czxfh7 & Izxfh7)); - assign Izxfh7 = (~(stdio_tx_tdata[2] & Guxfh7)); - assign Czxfh7 = (Ozxfh7 & Uzxfh7); - assign Uzxfh7 = (~(Yuxfh7 & com_rx_tdata[2])); - assign Ozxfh7 = (~(Evxfh7 & M0ufh7)); - assign Gosfh7 = (~(A0yfh7 & G0yfh7)); - assign G0yfh7 = (~(stdio_tx_tdata[1] & Guxfh7)); - assign A0yfh7 = (M0yfh7 & S0yfh7); - assign S0yfh7 = (~(Yuxfh7 & com_rx_tdata[1])); - assign M0yfh7 = (~(Evxfh7 & W1ufh7)); - assign Aosfh7 = (~(Y0yfh7 & E1yfh7)); - assign E1yfh7 = (~(stdio_tx_tdata[0] & Guxfh7)); - assign Y0yfh7 = (K1yfh7 & Q1yfh7); - assign Q1yfh7 = (~(Yuxfh7 & com_rx_tdata[0])); - assign Yuxfh7 = (~(Guxfh7 | Y3xfh7)); - assign K1yfh7 = (~(Evxfh7 & Q1tfh7)); - assign Evxfh7 = (~(Guxfh7 | K4xfh7)); - assign Guxfh7 = (~(W1yfh7 & stdio_tx_tready)); - assign W1yfh7 = (Wpsfh7 & C2yfh7); - assign C2yfh7 = (~(I2yfh7 & K4xfh7)); - assign I2yfh7 = (~(O2yfh7 & U2yfh7)); - assign Unsfh7 = (~(A3yfh7 & G3yfh7)); - assign G3yfh7 = (~(S0vfh7 & Wgwfh7)); - assign Wgwfh7 = (!F3sfh7); - assign A3yfh7 = (M3yfh7 & S3yfh7); - assign S3yfh7 = (Y3yfh7 | Su1gh7); - assign M3yfh7 = (~(ahb_hrdata[15] & Eyufh7)); - assign Onsfh7 = (~(E4yfh7 & K4yfh7)); - assign K4yfh7 = (~(S0vfh7 & Qgwfh7)); - assign Qgwfh7 = (!A3sfh7); - assign E4yfh7 = (Q4yfh7 & W4yfh7); - assign W4yfh7 = (Y3yfh7 | Mu1gh7); - assign Q4yfh7 = (~(ahb_hrdata[14] & Eyufh7)); - assign Insfh7 = (~(C5yfh7 & I5yfh7)); - assign I5yfh7 = (Wyufh7 | V2sfh7); - assign C5yfh7 = (O5yfh7 & U5yfh7); - assign U5yfh7 = (Y3yfh7 | Gu1gh7); - assign O5yfh7 = (~(ahb_hrdata[13] & Eyufh7)); - assign Cnsfh7 = (~(A6yfh7 & G6yfh7)); - assign G6yfh7 = (~(S0vfh7 & Czvfh7)); - assign Czvfh7 = (!Kyrfh7); - assign A6yfh7 = (M6yfh7 & S6yfh7); - assign S6yfh7 = (Y3yfh7 | Au1gh7); - assign M6yfh7 = (~(ahb_hrdata[12] & Eyufh7)); - assign Wmsfh7 = (~(Y6yfh7 & E7yfh7)); - assign E7yfh7 = (~(S0vfh7 & G6wfh7)); - assign G6wfh7 = (!Q2sfh7); - assign Y6yfh7 = (K7yfh7 & Q7yfh7); - assign Q7yfh7 = (Y3yfh7 | Ut1gh7); - assign K7yfh7 = (~(ahb_hrdata[11] & Eyufh7)); - assign Qmsfh7 = (~(W7yfh7 & C8yfh7)); - assign C8yfh7 = (~(S0vfh7 & A6wfh7)); - assign A6wfh7 = (!L2sfh7); - assign W7yfh7 = (I8yfh7 & O8yfh7); - assign O8yfh7 = (Y3yfh7 | Ot1gh7); - assign I8yfh7 = (~(ahb_hrdata[10] & Eyufh7)); - assign Kmsfh7 = (~(U8yfh7 & A9yfh7)); - assign A9yfh7 = (Wyufh7 | G2sfh7); - assign U8yfh7 = (G9yfh7 & M9yfh7); - assign M9yfh7 = (Y3yfh7 | It1gh7); - assign G9yfh7 = (~(ahb_hrdata[9] & Eyufh7)); - assign Emsfh7 = (~(S9yfh7 & Y9yfh7)); - assign Y9yfh7 = (~(S0vfh7 & Srvfh7)); - assign Srvfh7 = (!B2sfh7); - assign S9yfh7 = (Eayfh7 & Kayfh7); - assign Kayfh7 = (Y3yfh7 | Ct1gh7); - assign Eayfh7 = (~(ahb_hrdata[8] & Eyufh7)); - assign Ylsfh7 = (~(Qayfh7 & Wayfh7)); - assign Wayfh7 = (~(S0vfh7 & Axwfh7)); - assign Axwfh7 = (!W1sfh7); - assign Qayfh7 = (Cbyfh7 & Ibyfh7); - assign Ibyfh7 = (~(Obyfh7 & Ottfh7)); - assign Cbyfh7 = (~(ahb_hrdata[7] & Eyufh7)); - assign Slsfh7 = (~(Ubyfh7 & Acyfh7)); - assign Acyfh7 = (Wyufh7 | Ozrfh7); - assign Ubyfh7 = (Gcyfh7 & Mcyfh7); - assign Mcyfh7 = (~(Obyfh7 & Yutfh7)); - assign Gcyfh7 = (~(ahb_hrdata[6] & Eyufh7)); - assign Mlsfh7 = (~(Scyfh7 & Ycyfh7)); - assign Ycyfh7 = (~(S0vfh7 & Ikxfh7)); - assign Ikxfh7 = (!R1sfh7); - assign Scyfh7 = (Edyfh7 & Kdyfh7); - assign Kdyfh7 = (~(Obyfh7 & Iwtfh7)); - assign Edyfh7 = (~(ahb_hrdata[5] & Eyufh7)); - assign Glsfh7 = (~(Qdyfh7 & Wdyfh7)); - assign Wdyfh7 = (~(S0vfh7 & Qpxfh7)); - assign Qpxfh7 = (!M1sfh7); - assign Qdyfh7 = (Ceyfh7 & Ieyfh7); - assign Ieyfh7 = (~(Obyfh7 & Sxtfh7)); - assign Ceyfh7 = (~(ahb_hrdata[4] & Eyufh7)); - assign Alsfh7 = (~(Oeyfh7 & Ueyfh7)); - assign Ueyfh7 = (~(S0vfh7 & Q7wfh7)); - assign Q7wfh7 = (!H1sfh7); - assign Oeyfh7 = (Afyfh7 & Gfyfh7); - assign Gfyfh7 = (~(Obyfh7 & Cztfh7)); - assign Afyfh7 = (~(ahb_hrdata[3] & Eyufh7)); - assign Uksfh7 = (~(Mfyfh7 & Sfyfh7)); - assign Sfyfh7 = (~(S0vfh7 & K7wfh7)); - assign K7wfh7 = (!C1sfh7); - assign Mfyfh7 = (Yfyfh7 & Egyfh7); - assign Egyfh7 = (~(Obyfh7 & M0ufh7)); - assign Yfyfh7 = (~(ahb_hrdata[2] & Eyufh7)); - assign Oksfh7 = (~(Kgyfh7 & Qgyfh7)); - assign Qgyfh7 = (Wyufh7 | X0sfh7); - assign Kgyfh7 = (Wgyfh7 & Chyfh7); - assign Chyfh7 = (~(Obyfh7 & W1ufh7)); - assign Wgyfh7 = (~(ahb_hrdata[1] & Eyufh7)); - assign Iksfh7 = (~(Ihyfh7 & Ohyfh7)); - assign Ohyfh7 = (~(S0vfh7 & Otvfh7)); - assign Otvfh7 = (!Pyrfh7); - assign Ihyfh7 = (Uhyfh7 & Aiyfh7); - assign Aiyfh7 = (~(Obyfh7 & Q1tfh7)); - assign Obyfh7 = (~(Y3yfh7 & Giyfh7)); - assign Giyfh7 = (~(Miyfh7 & Wyufh7)); - assign Y3yfh7 = (Czufh7 & Qmvfh7); - assign Qmvfh7 = (~(Siyfh7 & Wyufh7)); - assign Wyufh7 = (!S0vfh7); - assign Siyfh7 = (~(M0wfh7 & Q1wfh7)); - assign Uhyfh7 = (~(ahb_hrdata[0] & Eyufh7)); - assign Eyufh7 = (~(K4wfh7 | S0vfh7)); - assign S0vfh7 = (Yiyfh7 & Wsxfh7); - assign ahb_htrans[1] = (~(Ctxfh7 | K72gh7)); - assign Ctxfh7 = (!G92gh7); - assign Xzpfh7 = (~(Ejyfh7 & Kjyfh7)); - assign Kjyfh7 = (~(Qjyfh7 & Yxsfh7)); - assign Ejyfh7 = (~(Qbrfh7 & Wjyfh7)); - assign O0qfh7 = (~(Ckyfh7 & Ikyfh7)); - assign Ikyfh7 = (~(Qjyfh7 & G3tfh7)); - assign Ckyfh7 = (~(Gcrfh7 & Wjyfh7)); - assign F1qfh7 = (~(Okyfh7 & Ukyfh7)); - assign Ukyfh7 = (~(Qjyfh7 & W4tfh7)); - assign Okyfh7 = (~(Wcrfh7 & Wjyfh7)); - assign W1qfh7 = (~(Alyfh7 & Glyfh7)); - assign Glyfh7 = (~(Qjyfh7 & M6tfh7)); - assign Alyfh7 = (~(Mdrfh7 & Wjyfh7)); - assign N2qfh7 = (~(Mlyfh7 & Slyfh7)); - assign Slyfh7 = (~(Qjyfh7 & Axsfh7)); - assign Mlyfh7 = (~(Cerfh7 & Wjyfh7)); - assign E3qfh7 = (~(Ylyfh7 & Emyfh7)); - assign Emyfh7 = (~(Qjyfh7 & O2tfh7)); - assign Ylyfh7 = (~(Serfh7 & Wjyfh7)); - assign V3qfh7 = (~(Kmyfh7 & Qmyfh7)); - assign Qmyfh7 = (~(Qjyfh7 & E4tfh7)); - assign Kmyfh7 = (~(Ifrfh7 & Wjyfh7)); - assign M4qfh7 = (~(Wmyfh7 & Cnyfh7)); - assign Cnyfh7 = (~(Qjyfh7 & U5tfh7)); - assign Wmyfh7 = (~(Yfrfh7 & Wjyfh7)); - assign D5qfh7 = (~(Inyfh7 & Onyfh7)); - assign Onyfh7 = (~(Qjyfh7 & K7tfh7)); - assign Inyfh7 = (~(Ogrfh7 & Wjyfh7)); - assign U5qfh7 = (~(Unyfh7 & Aoyfh7)); - assign Aoyfh7 = (~(Qjyfh7 & U8tfh7)); - assign Unyfh7 = (~(Ehrfh7 & Wjyfh7)); - assign L6qfh7 = (~(Goyfh7 & Moyfh7)); - assign Moyfh7 = (~(Qjyfh7 & Eatfh7)); - assign Goyfh7 = (~(Uhrfh7 & Wjyfh7)); - assign C7qfh7 = (~(Soyfh7 & Yoyfh7)); - assign Yoyfh7 = (~(Qjyfh7 & Obtfh7)); - assign Soyfh7 = (~(Kirfh7 & Wjyfh7)); - assign T7qfh7 = (~(Epyfh7 & Kpyfh7)); - assign Kpyfh7 = (~(Qjyfh7 & Yctfh7)); - assign Epyfh7 = (~(Ajrfh7 & Wjyfh7)); - assign K8qfh7 = (~(Qpyfh7 & Wpyfh7)); - assign Wpyfh7 = (~(Qjyfh7 & Ietfh7)); - assign Qpyfh7 = (~(Qjrfh7 & Wjyfh7)); - assign B9qfh7 = (~(Cqyfh7 & Iqyfh7)); - assign Iqyfh7 = (~(Qjyfh7 & Sftfh7)); - assign Cqyfh7 = (~(Gkrfh7 & Wjyfh7)); - assign S9qfh7 = (~(Oqyfh7 & Uqyfh7)); - assign Uqyfh7 = (~(Qjyfh7 & Chtfh7)); - assign Oqyfh7 = (~(Wkrfh7 & Wjyfh7)); - assign Jaqfh7 = (~(Aryfh7 & Gryfh7)); - assign Gryfh7 = (~(Qjyfh7 & Mitfh7)); - assign Aryfh7 = (~(Mlrfh7 & Wjyfh7)); - assign Abqfh7 = (~(Mryfh7 & Sryfh7)); - assign Sryfh7 = (~(Qjyfh7 & Wjtfh7)); - assign Mryfh7 = (~(Cmrfh7 & Wjyfh7)); - assign Rbqfh7 = (~(Yryfh7 & Esyfh7)); - assign Esyfh7 = (~(Qjyfh7 & Gltfh7)); - assign Yryfh7 = (~(Smrfh7 & Wjyfh7)); - assign Icqfh7 = (~(Ksyfh7 & Qsyfh7)); - assign Qsyfh7 = (~(Qjyfh7 & Qmtfh7)); - assign Ksyfh7 = (~(Inrfh7 & Wjyfh7)); - assign Zcqfh7 = (~(Wsyfh7 & Ctyfh7)); - assign Ctyfh7 = (~(Qjyfh7 & Aotfh7)); - assign Wsyfh7 = (~(Ynrfh7 & Wjyfh7)); - assign Qdqfh7 = (~(Ityfh7 & Otyfh7)); - assign Otyfh7 = (~(Qjyfh7 & Kptfh7)); - assign Ityfh7 = (~(Oorfh7 & Wjyfh7)); - assign Heqfh7 = (~(Utyfh7 & Auyfh7)); - assign Auyfh7 = (~(Qjyfh7 & Uqtfh7)); - assign Utyfh7 = (~(Eprfh7 & Wjyfh7)); - assign Yeqfh7 = (~(Guyfh7 & Muyfh7)); - assign Muyfh7 = (~(Qjyfh7 & Estfh7)); - assign Guyfh7 = (~(Uprfh7 & Wjyfh7)); - assign Pfqfh7 = (~(Suyfh7 & Yuyfh7)); - assign Yuyfh7 = (~(Qjyfh7 & Ottfh7)); - assign Suyfh7 = (~(Kqrfh7 & Wjyfh7)); - assign Ggqfh7 = (~(Evyfh7 & Kvyfh7)); - assign Kvyfh7 = (~(Qjyfh7 & Yutfh7)); - assign Evyfh7 = (~(Arrfh7 & Wjyfh7)); - assign Xgqfh7 = (~(Qvyfh7 & Wvyfh7)); - assign Wvyfh7 = (~(Qjyfh7 & Iwtfh7)); - assign Qvyfh7 = (~(Qrrfh7 & Wjyfh7)); - assign Ohqfh7 = (~(Cwyfh7 & Iwyfh7)); - assign Iwyfh7 = (~(Qjyfh7 & Sxtfh7)); - assign Cwyfh7 = (~(Gsrfh7 & Wjyfh7)); - assign Fiqfh7 = (~(Owyfh7 & Uwyfh7)); - assign Uwyfh7 = (~(Qjyfh7 & Cztfh7)); - assign Owyfh7 = (~(Wsrfh7 & Wjyfh7)); - assign Wiqfh7 = (~(Axyfh7 & Gxyfh7)); - assign Gxyfh7 = (~(Qjyfh7 & M0ufh7)); - assign Axyfh7 = (~(Mtrfh7 & Wjyfh7)); - assign Njqfh7 = (~(Mxyfh7 & Sxyfh7)); - assign Sxyfh7 = (~(Qjyfh7 & W1ufh7)); - assign Mxyfh7 = (~(Curfh7 & Wjyfh7)); - assign Ekqfh7 = (~(Yxyfh7 & Eyyfh7)); - assign Eyyfh7 = (~(Qjyfh7 & Q1tfh7)); - assign Qjyfh7 = (Kyyfh7 & Kjufh7); - assign Yxyfh7 = (~(Surfh7 & Wjyfh7)); - assign Wjyfh7 = (~(Qyyfh7 & Wyyfh7)); - assign Wyyfh7 = (Czyfh7 & Izyfh7); - assign Czyfh7 = (Ozyfh7 & Kjufh7); - assign Kjufh7 = (~(Uzyfh7 & A0zfh7)); - assign A0zfh7 = (G0zfh7 & M0zfh7); - assign M0zfh7 = (S0zfh7 & Y0zfh7); - assign Y0zfh7 = (E1zfh7 & K1zfh7); - assign K1zfh7 = (W1ufh7 & Q1tfh7); - assign Q1tfh7 = (!Gr1gh7); - assign W1ufh7 = (!Mr1gh7); - assign E1zfh7 = (Cztfh7 & M0ufh7); - assign M0ufh7 = (!Sr1gh7); - assign Cztfh7 = (!Yr1gh7); - assign S0zfh7 = (Q1zfh7 & W1zfh7); - assign W1zfh7 = (Iwtfh7 & Sxtfh7); - assign Sxtfh7 = (!Es1gh7); - assign Iwtfh7 = (!Ks1gh7); - assign Q1zfh7 = (Ottfh7 & Yutfh7); - assign Yutfh7 = (!Qs1gh7); - assign Ottfh7 = (!Ws1gh7); - assign G0zfh7 = (C2zfh7 & I2zfh7); - assign I2zfh7 = (O2zfh7 & U2zfh7); - assign U2zfh7 = (Uqtfh7 & Estfh7); - assign Estfh7 = (!Ct1gh7); - assign Uqtfh7 = (!It1gh7); - assign O2zfh7 = (Aotfh7 & Kptfh7); - assign Kptfh7 = (!Ot1gh7); - assign Aotfh7 = (!Ut1gh7); - assign C2zfh7 = (A3zfh7 & G3zfh7); - assign G3zfh7 = (Gltfh7 & Qmtfh7); - assign Qmtfh7 = (!Au1gh7); - assign Gltfh7 = (!Gu1gh7); - assign A3zfh7 = (Mitfh7 & Wjtfh7); - assign Wjtfh7 = (!Mu1gh7); - assign Mitfh7 = (!Su1gh7); - assign Uzyfh7 = (M3zfh7 & S3zfh7); - assign S3zfh7 = (Y3zfh7 & E4zfh7); - assign E4zfh7 = (K4zfh7 & Q4zfh7); - assign Q4zfh7 = (Yxsfh7 & Axsfh7); - assign Axsfh7 = (!Yu1gh7); - assign Yxsfh7 = (!Ev1gh7); - assign K4zfh7 = (G3tfh7 & O2tfh7); - assign O2tfh7 = (!Kv1gh7); - assign G3tfh7 = (!Qv1gh7); - assign Y3zfh7 = (W4zfh7 & C5zfh7); - assign C5zfh7 = (W4tfh7 & E4tfh7); - assign E4tfh7 = (!Wv1gh7); - assign W4tfh7 = (!Cw1gh7); - assign W4zfh7 = (M6tfh7 & U5tfh7); - assign U5tfh7 = (!Iw1gh7); - assign M6tfh7 = (!Ow1gh7); - assign M3zfh7 = (I5zfh7 & O5zfh7); - assign O5zfh7 = (U5zfh7 & A6zfh7); - assign A6zfh7 = (U8tfh7 & K7tfh7); - assign K7tfh7 = (!Uw1gh7); - assign U8tfh7 = (!Ax1gh7); - assign U5zfh7 = (Obtfh7 & Eatfh7); - assign Eatfh7 = (!Gx1gh7); - assign Obtfh7 = (!Mx1gh7); - assign I5zfh7 = (G6zfh7 & M6zfh7); - assign M6zfh7 = (Ietfh7 & Yctfh7); - assign Yctfh7 = (!Sx1gh7); - assign Ietfh7 = (!Yx1gh7); - assign G6zfh7 = (Chtfh7 & Sftfh7); - assign Sftfh7 = (!Ey1gh7); - assign Chtfh7 = (!Ky1gh7); - assign Qyyfh7 = (~(S6zfh7 | Y6zfh7)); - assign Vkqfh7 = (~(E7zfh7 & K7zfh7)); - assign E7zfh7 = (Q7zfh7 & W7zfh7); - assign Mlqfh7 = (~(C8zfh7 & I8zfh7)); - assign I8zfh7 = (O8zfh7 & Yrxfh7); - assign O8zfh7 = (U8zfh7 | Grxfh7); - assign C8zfh7 = (Uqxfh7 & Srxfh7); - assign Uqxfh7 = (A9zfh7 & G9zfh7); - assign G9zfh7 = (M9zfh7 & S9zfh7); - assign S9zfh7 = (Y9zfh7 & Eazfh7); - assign Y9zfh7 = (Kazfh7 & Sfxfh7); - assign A9zfh7 = (Qazfh7 & Wazfh7); - assign Wazfh7 = (Cbzfh7 & Ibzfh7); - assign Qazfh7 = (Yiyfh7 & Obzfh7); - assign Obzfh7 = (~(Ubzfh7 & W1wfh7)); - assign W1wfh7 = (!Aczfh7); - assign Dmqfh7 = (~(Gczfh7 & Mczfh7)); - assign Mczfh7 = (Sczfh7 | S32gh7); - assign Gczfh7 = (E4wfh7 | Otxfh7); - assign Umqfh7 = (~(Yczfh7 & Edzfh7)); - assign Edzfh7 = (~(Kdzfh7 & Ubzfh7)); - assign Yczfh7 = (Qdzfh7 | M32gh7); - assign Lnqfh7 = (~(Wdzfh7 & Cezfh7)); - assign Cezfh7 = (~(Iezfh7 & Oezfh7)); - assign Coqfh7 = (~(Ibzfh7 & Uezfh7)); - assign Uezfh7 = (Afzfh7 | C22gh7); - assign Ibzfh7 = (~(Gfzfh7 & Mfzfh7)); - assign Mfzfh7 = (Sfzfh7 & Yfzfh7); - assign Gfzfh7 = (stdio_rx_tvalid & com_tx_tready); - assign Toqfh7 = (~(M9zfh7 & Egzfh7)); - assign Egzfh7 = (Afzfh7 | G02gh7); - assign M9zfh7 = (~(Y6zfh7 | Kgzfh7)); - assign Kgzfh7 = (Qgzfh7 & Wgzfh7); - assign Wgzfh7 = (Chzfh7 & Qvsfh7); - assign Chzfh7 = (Ihzfh7 & Ozyfh7); - assign Qgzfh7 = (Ohzfh7 & Uhzfh7); - assign Uhzfh7 = (~(Aizfh7 & Gizfh7)); - assign Ohzfh7 = (!Kpvfh7); - assign Kpvfh7 = (~(Kvsfh7 & Mizfh7)); - assign Mizfh7 = (~(Sizfh7 & Yizfh7)); - assign Yizfh7 = (Ejzfh7 & Kjzfh7); - assign Sizfh7 = (Iz1gh7 & Qy1gh7); - assign Y6zfh7 = (~(S6zfh7 | Kvsfh7)); - assign Kvsfh7 = (!M0wfh7); - assign S6zfh7 = (~(Qjzfh7 & Wjzfh7)); - assign Wjzfh7 = (Ckzfh7 & Ikzfh7); - assign Ckzfh7 = (Okzfh7 & Yusfh7); - assign Qjzfh7 = (Ukzfh7 & Alzfh7); - assign Ukzfh7 = (G0wfh7 & U8zfh7); - assign G0wfh7 = (Glzfh7 & Mlzfh7); - assign Mlzfh7 = (Slzfh7 & Ylzfh7); - assign Ylzfh7 = (Emzfh7 & Czufh7); - assign Emzfh7 = (K4wfh7 & Q1wfh7); - assign Slzfh7 = (Y3xfh7 & S6xfh7); - assign Glzfh7 = (Kmzfh7 & Qmzfh7); - assign Qmzfh7 = (Wmzfh7 & K4xfh7); - assign Wmzfh7 = (Sfxfh7 & Wgxfh7); - assign Kmzfh7 = (Aczfh7 & Srxfh7); - assign Kpqfh7 = (~(Yiyfh7 & Cnzfh7)); - assign Cnzfh7 = (Qdzfh7 | U82gh7); - assign Yiyfh7 = (Inzfh7 & Onzfh7); - assign Onzfh7 = (Czufh7 & Q1wfh7); - assign Q1wfh7 = (~(Unzfh7 & Aozfh7)); - assign Unzfh7 = (Gozfh7 & Mozfh7); - assign Mozfh7 = (!A92gh7); - assign Czufh7 = (~(Sozfh7 & Yozfh7)); - assign Yozfh7 = (~(Epzfh7 | E72gh7)); - assign Sozfh7 = (Kpzfh7 & Qpzfh7); - assign Inzfh7 = (Wpzfh7 & Cqzfh7); - assign Cqzfh7 = (~(Iqzfh7 & Oqzfh7)); - assign Oqzfh7 = (!K4wfh7); - assign Wpzfh7 = (~(Miyfh7 & Uqzfh7)); - assign Miyfh7 = (!S6xfh7); - assign Bqqfh7 = (~(Qjufh7 & Arzfh7)); - assign Arzfh7 = (~(Grzfh7 & Iqzfh7)); - assign Grzfh7 = (!Yusfh7); - assign Qjufh7 = (!Kyyfh7); - assign Kyyfh7 = (Mrzfh7 & Qy1gh7); - assign Mrzfh7 = (Srzfh7 & Yrzfh7); - assign Sqqfh7 = (~(Eszfh7 & Kszfh7)); - assign Kszfh7 = (~(Qszfh7 & Ubzfh7)); - assign Eszfh7 = (Qdzfh7 | G32gh7); - assign Jrqfh7 = (~(Wszfh7 & Ctzfh7)); - assign Ctzfh7 = (Afzfh7 | A02gh7); - assign Asqfh7 = (~(W7zfh7 & Itzfh7)); - assign Itzfh7 = (Afzfh7 | S02gh7); - assign W7zfh7 = (~(Otzfh7 & O2yfh7)); - assign Otzfh7 = (stdio_tx_tready & U2yfh7); - assign Rsqfh7 = (~(Utzfh7 & Auzfh7)); - assign Auzfh7 = (Afzfh7 | A62gh7); - assign Utzfh7 = (~(Guzfh7 & com_rx_tvalid)); - assign Itqfh7 = (~(Muzfh7 & Suzfh7)); - assign Suzfh7 = (Yuzfh7 & Evzfh7); - assign Evzfh7 = (~(Grxfh7 & Kvzfh7)); - assign Kvzfh7 = (~(Qvzfh7 & Wvzfh7)); - assign Wvzfh7 = (A3xfh7 | W72gh7); - assign Yuzfh7 = (Cwzfh7 & Iwzfh7); - assign Iwzfh7 = (~(Owzfh7 & O2yfh7)); - assign Owzfh7 = (U2yfh7 & Uwzfh7); - assign Cwzfh7 = (~(Axzfh7 & Gxzfh7)); - assign Gxzfh7 = (~(M0wfh7 & Mxzfh7)); - assign Mxzfh7 = (Sxzfh7 | Alzfh7); - assign Muzfh7 = (Yxzfh7 & Eyzfh7); - assign Eyzfh7 = (Ikzfh7 | Kyzfh7); - assign Kyzfh7 = (!Uqzfh7); - assign Yxzfh7 = (Qyzfh7 | A32gh7); - assign Ztqfh7 = (~(Eazfh7 & Wyzfh7)); - assign Wyzfh7 = (Afzfh7 | Y62gh7); - assign Eazfh7 = (~(Czzfh7 & Izzfh7)); - assign Izzfh7 = (Ejzfh7 & Yrzfh7); - assign Ejzfh7 = (~(Cz1gh7 | Wy1gh7)); - assign Czzfh7 = (Ozzfh7 & Iz1gh7); - assign Ozzfh7 = (Qy1gh7 & Kjzfh7); - assign Quqfh7 = (~(Wsxfh7 & Uzzfh7)); - assign Uzzfh7 = (Afzfh7 | I52gh7); - assign Wsxfh7 = (~(A00gh7 & G00gh7)); - assign G00gh7 = (~(C82gh7 | Iz1gh7)); - assign A00gh7 = (Yrzfh7 & Aizfh7); - assign Hvqfh7 = (~(Izyfh7 | Ihzfh7)); - assign Yvqfh7 = (~(M00gh7 & K7zfh7)); - assign K7zfh7 = (~(S00gh7 & stdio_tx_tready)); - assign M00gh7 = (Afzfh7 | W42gh7); - assign Pwqfh7 = (Y00gh7 & E10gh7); - assign E10gh7 = (Aizfh7 & Qvsfh7); - assign Y00gh7 = (C82gh7 & Yrzfh7); - assign Gxqfh7 = (~(K10gh7 & Ksxfh7)); - assign Ksxfh7 = (Izyfh7 | Qvsfh7); - assign Qvsfh7 = (~(Q10gh7 & Iz1gh7)); - assign Q10gh7 = (C82gh7 & Aizfh7); - assign Aizfh7 = (W10gh7 & C20gh7); - assign C20gh7 = (I20gh7 & Essfh7); - assign I20gh7 = (~(Cz1gh7 | Qy1gh7)); - assign W10gh7 = (Wy1gh7 & Uz1gh7); - assign Izyfh7 = (~(Yrzfh7 & O20gh7)); - assign O20gh7 = (~(Qy1gh7 & Srzfh7)); - assign Srzfh7 = (!Ihzfh7); - assign Ihzfh7 = (~(U20gh7 & A30gh7)); - assign A30gh7 = (Wy1gh7 & Gizfh7); - assign Gizfh7 = (!Iz1gh7); - assign U20gh7 = (Cz1gh7 & Kjzfh7); - assign Kjzfh7 = (G30gh7 & C82gh7); - assign G30gh7 = (Uz1gh7 & Essfh7); - assign Essfh7 = (!Oz1gh7); - assign Yrzfh7 = (~(M0wfh7 | Axzfh7)); - assign M0wfh7 = (~(M30gh7 & S30gh7)); - assign S30gh7 = (~(Q72gh7 | U52gh7)); - assign M30gh7 = (G62gh7 & Y30gh7); - assign K10gh7 = (E40gh7 | Afzfh7); - assign Xxqfh7 = (K40gh7 & Gusfh7); - assign Gusfh7 = (!Q40gh7); - assign K40gh7 = (~(Sxzfh7 | Alzfh7)); - assign Oyqfh7 = (~(W40gh7 & Yiufh7)); - assign Yiufh7 = (~(Utsfh7 & Q40gh7)); - assign Utsfh7 = (C50gh7 & I50gh7); - assign I50gh7 = (O50gh7 & Ozyfh7); - assign O50gh7 = (~(U50gh7 & A60gh7)); - assign U50gh7 = (M6ufh7 & G60gh7); - assign C50gh7 = (Kaufh7 & Siufh7); - assign W40gh7 = (Afzfh7 | O52gh7); - assign Fzqfh7 = (~(M60gh7 & S60gh7)); - assign S60gh7 = (Afzfh7 | G62gh7); - assign M60gh7 = (~(Sfzfh7 & com_rx_tvalid)); - assign Sfzfh7 = (!Wgxfh7); - assign Wzqfh7 = (~(Y60gh7 & E70gh7)); - assign E70gh7 = (Otxfh7 | K70gh7); - assign Y60gh7 = (~(Iezfh7 & Q70gh7)); - assign N0rfh7 = (~(W70gh7 & C80gh7)); - assign C80gh7 = (Yrxfh7 | Otxfh7); - assign Yrxfh7 = (~(W72gh7 & I80gh7)); - assign W70gh7 = (Afzfh7 | S62gh7); - assign E1rfh7 = (!O80gh7); - assign O80gh7 = (Grxfh7 ? Qpvfh7 : U80gh7); - assign U80gh7 = (A90gh7 | Q42gh7); - assign V1rfh7 = (~(G90gh7 & M90gh7)); - assign M90gh7 = (Grxfh7 ? E4xfh7 : S90gh7); - assign S90gh7 = (~(Y90gh7 & Ea0gh7)); - assign G90gh7 = (Cbzfh7 & Kazfh7); - assign Kazfh7 = (~(Ka0gh7 & O2yfh7)); - assign O2yfh7 = (~(Y3xfh7 | Sxzfh7)); - assign Sxzfh7 = (!Siufh7); - assign Ka0gh7 = (!U2yfh7); - assign U2yfh7 = (~(Qa0gh7 & Wa0gh7)); - assign Wa0gh7 = (Cb0gh7 & Ib0gh7); - assign Cb0gh7 = (Otsfh7 & Obufh7); - assign Qa0gh7 = (Ob0gh7 & A9ufh7); - assign Ob0gh7 = (com_rx_tdata[4] & com_rx_tdata[3]); - assign Cbzfh7 = (~(S00gh7 & Uwzfh7)); - assign Uwzfh7 = (!stdio_tx_tready); - assign S00gh7 = (!K4xfh7); - assign K4xfh7 = (~(Ub0gh7 & Ac0gh7)); - assign Ac0gh7 = (W42gh7 & Gc0gh7); - assign M2rfh7 = (!Mc0gh7); - assign Mc0gh7 = (Grxfh7 ? S6wfh7 : Sc0gh7); - assign Sc0gh7 = (A90gh7 | Y32gh7); - assign D3rfh7 = (!Yc0gh7); - assign Yc0gh7 = (Grxfh7 ? W7xfh7 : Ed0gh7); - assign Ed0gh7 = (~(Kd0gh7 & Qd0gh7)); - assign U3rfh7 = (!Wd0gh7); - assign Wd0gh7 = (Grxfh7 ? I5wfh7 : Ce0gh7); - assign Ce0gh7 = (Ie0gh7 | U22gh7); - assign L4rfh7 = (!Oe0gh7); - assign Oe0gh7 = (Grxfh7 ? Yfwfh7 : Ue0gh7); - assign Ue0gh7 = (~(Af0gh7 & Gf0gh7)); - assign C5rfh7 = (!Mf0gh7); - assign Mf0gh7 = (Grxfh7 ? Yf0gh7 : Sf0gh7); - assign Sf0gh7 = (~(Eg0gh7 & Kg0gh7)); - assign T5rfh7 = (!Qg0gh7); - assign Qg0gh7 = (Grxfh7 ? Inxfh7 : Wg0gh7); - assign Wg0gh7 = (~(Af0gh7 & Ch0gh7)); - assign Af0gh7 = (Y90gh7 | Evvfh7); - assign K6rfh7 = (!Ih0gh7); - assign Ih0gh7 = (Grxfh7 ? Uh0gh7 : Oh0gh7); - assign Oh0gh7 = (~(Ai0gh7 & Gi0gh7)); - assign B7rfh7 = (!Mi0gh7); - assign Mi0gh7 = (Grxfh7 ? Moxfh7 : Si0gh7); - assign Si0gh7 = (Yi0gh7 | I82gh7); - assign S7rfh7 = (!Ej0gh7); - assign Ej0gh7 = (Grxfh7 ? Kvwfh7 : Moxfh7); - assign J8rfh7 = (~(Kj0gh7 & Qj0gh7)); - assign Qj0gh7 = (Sczfh7 | Y02gh7); - assign Sczfh7 = (~(Otxfh7 & Wj0gh7)); - assign Wj0gh7 = (~(A90gh7 & Kvwfh7)); - assign A90gh7 = (U8zfh7 & E4xfh7); - assign Kj0gh7 = (Y6xfh7 | Otxfh7); - assign A9rfh7 = (~(Ck0gh7 & Sfxfh7)); - assign Sfxfh7 = (~(Ik0gh7 & Ok0gh7)); - assign Ok0gh7 = (~(Uk0gh7 | M62gh7)); - assign Ck0gh7 = (Grxfh7 ? Iexfh7 : Al0gh7); - assign Al0gh7 = (~(Gl0gh7 & Ml0gh7)); - assign R9rfh7 = (~(Afzfh7 | M62gh7)); - assign Afzfh7 = (~(Sl0gh7 | Iezfh7)); - assign Iezfh7 = (~(Yl0gh7 & Em0gh7)); - assign Em0gh7 = (Km0gh7 & Qm0gh7); - assign Qm0gh7 = (~(Wm0gh7 & Wdufh7)); - assign Wdufh7 = (!Cn0gh7); - assign Wm0gh7 = (Q40gh7 & Ozyfh7); - assign Km0gh7 = (In0gh7 & Qyzfh7); - assign Qyzfh7 = (~(On0gh7 & Un0gh7)); - assign Un0gh7 = (~(stdio_rx_tvalid & Ao0gh7)); - assign Ao0gh7 = (Wgxfh7 | com_tx_tready); - assign On0gh7 = (Go0gh7 & Yfzfh7); - assign In0gh7 = (~(Mo0gh7 & So0gh7)); - assign So0gh7 = (A60gh7 & G60gh7); - assign G60gh7 = (Yo0gh7 & Qssfh7); - assign Yo0gh7 = (Obufh7 ? com_rx_tdata[0] : Ctsfh7); - assign A60gh7 = (~(Ep0gh7 | Ihufh7)); - assign Ihufh7 = (com_rx_tdata[0] & Ctsfh7); - assign Ep0gh7 = (!Ib0gh7); - assign Mo0gh7 = (Kaufh7 & M6ufh7); - assign Kaufh7 = (!Kp0gh7); - assign Yl0gh7 = (Qp0gh7 & Wp0gh7); - assign Qp0gh7 = (Qsxfh7 & Q7zfh7); - assign Q7zfh7 = (Cq0gh7 | Uqzfh7); - assign Uqzfh7 = (stdio_tx_tvalid & stdio_tx_tready); - assign Cq0gh7 = (Ikzfh7 & S6xfh7); - assign S6xfh7 = (~(Ub0gh7 & Iq0gh7)); - assign Iq0gh7 = (~(Gc0gh7 | W42gh7)); - assign Gc0gh7 = (!C52gh7); - assign Ub0gh7 = (Oq0gh7 & Uq0gh7); - assign Ikzfh7 = (~(Ar0gh7 & Gr0gh7)); - assign Ar0gh7 = (M02gh7 & Mr0gh7); - assign Qsxfh7 = (Sr0gh7 | Iqzfh7); - assign Sr0gh7 = (K4wfh7 & Yusfh7); - assign Yusfh7 = (~(Yr0gh7 & Es0gh7)); - assign Es0gh7 = (Ks0gh7 & Qpzfh7); - assign Ks0gh7 = (~(Epzfh7 | I52gh7)); - assign Epzfh7 = (!Qs0gh7); - assign Yr0gh7 = (Ws0gh7 & Oq0gh7); - assign Ws0gh7 = (Ct0gh7 & E72gh7); - assign K4wfh7 = (~(It0gh7 & Ot0gh7)); - assign It0gh7 = (Q72gh7 & G62gh7); - assign Sl0gh7 = (~(Ut0gh7 & Qdzfh7)); - assign Qdzfh7 = (~(Au0gh7 & Otxfh7)); - assign Otxfh7 = (!Grxfh7); - assign Au0gh7 = (~(U8zfh7 & Srxfh7)); - assign Srxfh7 = (~(Gl0gh7 | Gu0gh7)); - assign Gu0gh7 = (!Iexfh7); - assign Iexfh7 = (~(Mu0gh7 & Su0gh7)); - assign Mu0gh7 = (~(Yu0gh7 | U82gh7)); - assign Gl0gh7 = (~(Ev0gh7 & Kv0gh7)); - assign Kv0gh7 = (Egxfh7 & E4wfh7); - assign E4wfh7 = (~(Qv0gh7 & Wv0gh7)); - assign Wv0gh7 = (Cw0gh7 & S32gh7); - assign Cw0gh7 = (~(Oezfh7 | M32gh7)); - assign Qv0gh7 = (Su0gh7 & U82gh7); - assign Egxfh7 = (Iw0gh7 & Ow0gh7); - assign Ow0gh7 = (Y6xfh7 & Moxfh7); - assign Moxfh7 = (~(Uw0gh7 & Gr0gh7)); - assign Gr0gh7 = (Ax0gh7 & Gx0gh7); - assign Ax0gh7 = (~(Mx0gh7 | Sx0gh7)); - assign Uw0gh7 = (S02gh7 & Yx0gh7); - assign Yx0gh7 = (!M02gh7); - assign Y6xfh7 = (~(Ey0gh7 & Ky0gh7)); - assign Ky0gh7 = (~(Qy0gh7 | I22gh7)); - assign Ey0gh7 = (~(Wy0gh7 | Mx0gh7)); - assign Mx0gh7 = (!A02gh7); - assign Iw0gh7 = (Yi0gh7 & Kvwfh7); - assign Kvwfh7 = (~(Cz0gh7 & Iz0gh7)); - assign Cz0gh7 = (~(Gf0gh7 | Y02gh7)); - assign Yi0gh7 = (~(Eg0gh7 | Evvfh7)); - assign Evvfh7 = (!Yf0gh7); - assign Yf0gh7 = (~(Oz0gh7 & Uz0gh7)); - assign Oz0gh7 = (A01gh7 & Ch0gh7); - assign Ch0gh7 = (!W12gh7); - assign Eg0gh7 = (Ai0gh7 | Wvvfh7); - assign Wvvfh7 = (!Uh0gh7); - assign Uh0gh7 = (~(G01gh7 & M01gh7)); - assign M01gh7 = (~(S01gh7 | I82gh7)); - assign Ai0gh7 = (~(Yfwfh7 & Inxfh7)); - assign Inxfh7 = (~(Y01gh7 & E11gh7)); - assign E11gh7 = (Q12gh7 & Gi0gh7); - assign Yfwfh7 = (~(Y01gh7 & K11gh7)); - assign K11gh7 = (K12gh7 & Kg0gh7); - assign Y01gh7 = (Uz0gh7 & W12gh7); - assign Uz0gh7 = (G01gh7 & Q11gh7); - assign Q11gh7 = (W11gh7 & I82gh7); - assign Ev0gh7 = (~(C21gh7 | Y90gh7)); - assign Y90gh7 = (~(Ie0gh7 & I5wfh7)); - assign I5wfh7 = (~(I21gh7 & Iz0gh7)); - assign Iz0gh7 = (G01gh7 & O21gh7); - assign O21gh7 = (U21gh7 & I82gh7); - assign G01gh7 = (A31gh7 & G02gh7); - assign I21gh7 = (Y02gh7 & Gf0gh7); - assign Gf0gh7 = (!E12gh7); - assign Ie0gh7 = (~(Kd0gh7 | Oqvfh7)); - assign Oqvfh7 = (!W7xfh7); - assign W7xfh7 = (~(G31gh7 & M31gh7)); - assign G31gh7 = (~(Qd0gh7 | U22gh7)); - assign Kd0gh7 = (~(Qpvfh7 & S6wfh7)); - assign S6wfh7 = (~(S31gh7 & M31gh7)); - assign M31gh7 = (Y31gh7 & E41gh7); - assign S31gh7 = (U22gh7 & Qd0gh7); - assign Qd0gh7 = (!O22gh7); - assign Qpvfh7 = (~(K41gh7 & Q41gh7)); - assign Q41gh7 = (Y32gh7 & Ea0gh7); - assign C21gh7 = (!E4xfh7); - assign E4xfh7 = (W41gh7 & C51gh7); - assign C51gh7 = (~(K41gh7 & I51gh7)); - assign I51gh7 = (~(Ea0gh7 | Y32gh7)); - assign Ea0gh7 = (!E42gh7); - assign K41gh7 = (O51gh7 & U51gh7); - assign W41gh7 = (~(A61gh7 & G61gh7)); - assign G61gh7 = (~(S01gh7 | G02gh7)); - assign S01gh7 = (!M61gh7); - assign A61gh7 = (A31gh7 & I82gh7); - assign A31gh7 = (S61gh7 & Y61gh7); - assign Y61gh7 = (M02gh7 & S02gh7); - assign S61gh7 = (Gx0gh7 & A02gh7); - assign U8zfh7 = (E71gh7 & K71gh7); - assign K71gh7 = (~(I80gh7 | Q71gh7)); - assign I80gh7 = (!A3xfh7); - assign A3xfh7 = (~(W71gh7 & C81gh7)); - assign C81gh7 = (K42gh7 & I81gh7); - assign E71gh7 = (Qvzfh7 & K70gh7); - assign K70gh7 = (O81gh7 & U81gh7); - assign U81gh7 = (~(Ik0gh7 & A91gh7)); - assign A91gh7 = (M62gh7 & Uk0gh7); - assign Ik0gh7 = (G91gh7 & A62gh7); - assign O81gh7 = (~(M91gh7 & S91gh7)); - assign M91gh7 = (~(Oezfh7 | S32gh7)); - assign Qvzfh7 = (Y91gh7 & Ea1gh7); - assign Ea1gh7 = (~(Ka1gh7 & Qa1gh7)); - assign Qa1gh7 = (~(Wa1gh7 | Y62gh7)); - assign Y91gh7 = (~(Cb1gh7 & Ib1gh7)); - assign Ib1gh7 = (~(Ob1gh7 | G32gh7)); - assign Iarfh7 = (~(Ub1gh7 & Ac1gh7)); - assign Ac1gh7 = (~(com_rx_tvalid & Go0gh7)); - assign Go0gh7 = (~(Okzfh7 & Wgxfh7)); - assign Wgxfh7 = (~(W71gh7 & Gc1gh7)); - assign Gc1gh7 = (Q42gh7 & Q70gh7); - assign W71gh7 = (O51gh7 & Mc1gh7); - assign O51gh7 = (Sc1gh7 & Yc1gh7); - assign Okzfh7 = (!Guzfh7); - assign Ub1gh7 = (Ut0gh7 & Ed1gh7); - assign Ed1gh7 = (~(Kd1gh7 & Q40gh7)); - assign Q40gh7 = (~(Qd1gh7 & Wd1gh7)); - assign Wd1gh7 = (Ce1gh7 & Ie1gh7); - assign Ie1gh7 = (~(com_rx_tdata[2] & Grsfh7)); - assign Grsfh7 = (!com_rx_tdata[0]); - assign Ce1gh7 = (~(A9ufh7 | M6ufh7)); - assign M6ufh7 = (Musfh7 & Otsfh7); - assign Otsfh7 = (!com_rx_tdata[2]); - assign A9ufh7 = (com_rx_tdata[1] & com_rx_tdata[0]); - assign Qd1gh7 = (~(Ctsfh7 | Oe1gh7)); - assign Kd1gh7 = (~(Axzfh7 | Alzfh7)); - assign Axzfh7 = (!Ozyfh7); - assign Ozyfh7 = (~(Ue1gh7 & Af1gh7)); - assign Af1gh7 = (Musfh7 & Ctsfh7); - assign Ctsfh7 = (!com_rx_tdata[3]); - assign Musfh7 = (!com_rx_tdata[1]); - assign Ue1gh7 = (~(Oe1gh7 | com_rx_tdata[0])); - assign Oe1gh7 = (~(Gf1gh7 & Ib0gh7)); - assign Ib0gh7 = (~(com_rx_tdata[6] | com_rx_tdata[7])); - assign Gf1gh7 = (Qssfh7 & Obufh7); - assign Obufh7 = (!com_rx_tdata[5]); - assign Qssfh7 = (!com_rx_tdata[4]); - assign Ut0gh7 = (Mf1gh7 | Siufh7); - assign Siufh7 = (com_rx_tvalid & com_rx_tready); - assign Mf1gh7 = (Alzfh7 & Y3xfh7); - assign Y3xfh7 = (~(Sf1gh7 & Yf1gh7)); - assign Yf1gh7 = (~(Uk0gh7 | A62gh7)); - assign Uk0gh7 = (!S62gh7); - assign Sf1gh7 = (G91gh7 & M62gh7); - assign G91gh7 = (Eg1gh7 & Kg1gh7); - assign Kg1gh7 = (Qg1gh7 & Uq0gh7); - assign Qg1gh7 = (~(Wg1gh7 | Q72gh7)); - assign Eg1gh7 = (Ch1gh7 & G62gh7); - assign Ch1gh7 = (U52gh7 & O52gh7); - assign Alzfh7 = (Cn0gh7 & Kp0gh7); - assign Kp0gh7 = (~(Ot0gh7 & Ih1gh7)); - assign Ih1gh7 = (~(Q72gh7 | G62gh7)); - assign Ot0gh7 = (U52gh7 & Y30gh7); - assign Y30gh7 = (Oh1gh7 & Uh1gh7); - assign Uh1gh7 = (Ai1gh7 & S62gh7); - assign Ai1gh7 = (Uq0gh7 & Ct0gh7); - assign Oh1gh7 = (Gi1gh7 & O52gh7); - assign Gi1gh7 = (A62gh7 & M62gh7); - assign Cn0gh7 = (~(Mi1gh7 & Si1gh7)); - assign Si1gh7 = (~(Wg1gh7 | O52gh7)); - assign Wg1gh7 = (!Ct0gh7); - assign Mi1gh7 = (Yi1gh7 & Uq0gh7); - assign Uq0gh7 = (Ej1gh7 & Kj1gh7); - assign Kj1gh7 = (Qpzfh7 & Qs0gh7); - assign Ej1gh7 = (I52gh7 & E72gh7); - assign Zarfh7 = (~(Qj1gh7 & Wdzfh7)); - assign Wdzfh7 = (~(Q71gh7 & Grxfh7)); - assign Grxfh7 = (com_tx_tready & com_tx_tvalid); - assign Q71gh7 = (Wj1gh7 & Ck1gh7); - assign Ck1gh7 = (Ik1gh7 & Ok1gh7); - assign Ok1gh7 = (~(Qy0gh7 | C22gh7)); - assign Ik1gh7 = (A02gh7 & I22gh7); - assign Wj1gh7 = (Uk1gh7 & Al1gh7); - assign Uk1gh7 = (Qpzfh7 & Y62gh7); - assign Qj1gh7 = (Wp0gh7 & Wszfh7); - assign Wszfh7 = (~(Gl1gh7 & Guzfh7)); - assign Guzfh7 = (Cb1gh7 & Ml1gh7); - assign Ml1gh7 = (G32gh7 & Ob1gh7); - assign Ob1gh7 = (!A32gh7); - assign Cb1gh7 = (Aozfh7 & A92gh7); - assign Aozfh7 = (Sl1gh7 & Yl1gh7); - assign Sl1gh7 = (U82gh7 & Em1gh7); - assign Gl1gh7 = (stdio_rx_tvalid & Yfzfh7); - assign Yfzfh7 = (!com_rx_tvalid); - assign Wp0gh7 = (Ubzfh7 | Aczfh7); - assign Aczfh7 = (~(Qszfh7 | Kdzfh7)); - assign Kdzfh7 = (Km1gh7 & S91gh7); - assign S91gh7 = (Qm1gh7 & Su0gh7); - assign Su0gh7 = (Wm1gh7 & Yl1gh7); - assign Yl1gh7 = (Cn1gh7 & Sc1gh7); - assign Sc1gh7 = (Y31gh7 & In1gh7); - assign Y31gh7 = (Al1gh7 & Qs0gh7); - assign Qs0gh7 = (~(On1gh7 | Wa1gh7)); - assign Wa1gh7 = (~(Un1gh7 & Ao1gh7)); - assign Ao1gh7 = (~(Ml0gh7 | Qy0gh7)); - assign Un1gh7 = (A02gh7 & C22gh7); - assign On1gh7 = (!Y62gh7); - assign Wm1gh7 = (A92gh7 & Gozfh7); - assign Qm1gh7 = (U82gh7 & M32gh7); - assign Km1gh7 = (S32gh7 & Oezfh7); - assign Oezfh7 = (!O82gh7); - assign Qszfh7 = (Go1gh7 & Gx0gh7); - assign Gx0gh7 = (~(Wy0gh7 | Ml0gh7)); - assign Ml0gh7 = (!I22gh7); - assign Wy0gh7 = (~(Ka1gh7 & Mo1gh7)); - assign Mo1gh7 = (Y62gh7 & C22gh7); - assign Ka1gh7 = (Al1gh7 & Qpzfh7); - assign Qpzfh7 = (In1gh7 & E41gh7); - assign E41gh7 = (Cn1gh7 & Yc1gh7); - assign Yc1gh7 = (So1gh7 & Yo1gh7); - assign Yo1gh7 = (Em1gh7 & Gozfh7); - assign Gozfh7 = (A32gh7 & G32gh7); - assign Em1gh7 = (!Yu0gh7); - assign Yu0gh7 = (~(Ep1gh7 & M32gh7)); - assign Ep1gh7 = (S32gh7 & O82gh7); - assign So1gh7 = (U82gh7 & A92gh7); - assign Cn1gh7 = (U51gh7 & Mc1gh7); - assign Mc1gh7 = (Y32gh7 & E42gh7); - assign U51gh7 = (~(Q70gh7 | I81gh7)); - assign I81gh7 = (!Q42gh7); - assign Q70gh7 = (!K42gh7); - assign In1gh7 = (O22gh7 & U22gh7); - assign Al1gh7 = (Kpzfh7 & E72gh7); - assign Kpzfh7 = (Kp1gh7 & Oq0gh7); - assign Oq0gh7 = (Yi1gh7 & O52gh7); - assign Yi1gh7 = (Qp1gh7 & Wp1gh7); - assign Wp1gh7 = (Cq1gh7 & M62gh7); - assign Cq1gh7 = (S62gh7 & E40gh7); - assign E40gh7 = (!Q72gh7); - assign Qp1gh7 = (Iq1gh7 & G62gh7); - assign Iq1gh7 = (U52gh7 & A62gh7); - assign Kp1gh7 = (Ct0gh7 & I52gh7); - assign Ct0gh7 = (W42gh7 & C52gh7); - assign Go1gh7 = (~(Qy0gh7 | A02gh7)); - assign Qy0gh7 = (~(Oq1gh7 & M02gh7)); - assign Oq1gh7 = (~(Mr0gh7 | Sx0gh7)); - assign Sx0gh7 = (~(Uq1gh7 & G02gh7)); - assign Uq1gh7 = (I82gh7 & M61gh7); - assign M61gh7 = (W11gh7 & U21gh7); - assign U21gh7 = (W12gh7 & A01gh7); - assign A01gh7 = (~(Gi0gh7 | Kg0gh7)); - assign Kg0gh7 = (!Q12gh7); - assign Gi0gh7 = (!K12gh7); - assign W11gh7 = (Y02gh7 & E12gh7); - assign Mr0gh7 = (!S02gh7); - assign Ubzfh7 = (stdio_rx_tready & stdio_rx_tvalid); - assign Ivrfh7 = (Iqzfh7 & Yvrfh7); - assign Iqzfh7 = (Ar1gh7 & ahb_hready); - assign Ar1gh7 = (~(G92gh7 | K72gh7)); - - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - M92gh7 <= 1'b0; - end - else - begin - M92gh7 <= N0sfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ma2gh7 <= 1'b0; - end - else - begin - Ma2gh7 <= Bqqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Pb2gh7 <= 1'b0; - end - else - begin - Pb2gh7 <= Kpqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Sc2gh7 <= 1'b1; - end - else - begin - Sc2gh7 <= R9rfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ud2gh7 <= 1'b0; - end - else - begin - Ud2gh7 <= Zarfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ue2gh7 <= 1'b0; - end - else - begin - Ue2gh7 <= Lnqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Xf2gh7 <= 1'b0; - end - else - begin - Xf2gh7 <= Mlqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Xg2gh7 <= 1'b0; - end - else - begin - Xg2gh7 <= Umqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ai2gh7 <= 1'b0; - end - else - begin - Ai2gh7 <= Dmqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Dj2gh7 <= 1'b0; - end - else - begin - Dj2gh7 <= A9rfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Fk2gh7 <= 1'b0; - end - else - begin - Fk2gh7 <= J8rfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Hl2gh7 <= 1'b0; - end - else - begin - Hl2gh7 <= B7rfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Jm2gh7 <= 1'b0; - end - else - begin - Jm2gh7 <= S7rfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ln2gh7 <= 1'b0; - end - else - begin - Ln2gh7 <= Rsqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Oo2gh7 <= 1'b0; - end - else - begin - Oo2gh7 <= Jrqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Rp2gh7 <= 1'b0; - end - else - begin - Rp2gh7 <= Iarfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Rq2gh7 <= 1'b0; - end - else - begin - Rq2gh7 <= Dksfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Rr2gh7 <= 1'b0; - end - else - begin - Rr2gh7 <= Yjsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Rs2gh7 <= 1'b0; - end - else - begin - Rs2gh7 <= Tjsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Rt2gh7 <= 1'b0; - end - else - begin - Rt2gh7 <= Ojsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ru2gh7 <= 1'b0; - end - else - begin - Ru2gh7 <= Jjsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Rv2gh7 <= 1'b0; - end - else - begin - Rv2gh7 <= Ejsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Rw2gh7 <= 1'b0; - end - else - begin - Rw2gh7 <= Zisfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Rx2gh7 <= 1'b0; - end - else - begin - Rx2gh7 <= Quqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Uy2gh7 <= 1'b0; - end - else - begin - Uy2gh7 <= Toqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Xz2gh7 <= 1'b0; - end - else - begin - Xz2gh7 <= M2rfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - A13gh7 <= 1'b0; - end - else - begin - A13gh7 <= E1rfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - D23gh7 <= 1'b0; - end - else - begin - D23gh7 <= T5rfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - F33gh7 <= 1'b0; - end - else - begin - F33gh7 <= C5rfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - H43gh7 <= 1'b0; - end - else - begin - H43gh7 <= L4rfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - J53gh7 <= 1'b0; - end - else - begin - J53gh7 <= U3rfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - L63gh7 <= 1'b0; - end - else - begin - L63gh7 <= Fzqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - O73gh7 <= 1'b0; - end - else - begin - O73gh7 <= Coqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - R83gh7 <= 1'b0; - end - else - begin - R83gh7 <= Sqqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - U93gh7 <= 1'b0; - end - else - begin - U93gh7 <= Itqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Xa3gh7 <= 1'b0; - end - else - begin - Xa3gh7 <= Wzqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ac3gh7 <= 1'b1; - end - else - begin - Ac3gh7 <= I0sfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Wc3gh7 <= 1'b0; - end - else - begin - Wc3gh7 <= N0rfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Zd3gh7 <= 1'b0; - end - else - begin - Zd3gh7 <= Yvqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Cf3gh7 <= 1'b0; - end - else - begin - Cf3gh7 <= Oyqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Fg3gh7 <= 1'b0; - end - else - begin - Fg3gh7 <= Xxqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ih3gh7 <= 1'b0; - end - else - begin - Ih3gh7 <= Hvqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Li3gh7 <= 1'b0; - end - else - begin - Li3gh7 <= Gxqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Oj3gh7 <= 1'b0; - end - else - begin - Oj3gh7 <= Asqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Rk3gh7 <= 1'b0; - end - else - begin - Rk3gh7 <= K6rfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Tl3gh7 <= 1'b0; - end - else - begin - Tl3gh7 <= Pwqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Wm3gh7 <= 1'b0; - end - else - begin - Wm3gh7 <= Ztqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Zn3gh7 <= 1'b0; - end - else - begin - Zn3gh7 <= Uisfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Cp3gh7 <= 1'b0; - end - else - begin - Cp3gh7 <= S0sfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Dq3gh7 <= 1'b0; - end - else - begin - Dq3gh7 <= S0sfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Fr3gh7 <= 1'b0; - end - else - begin - Fr3gh7 <= V1rfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Is3gh7 <= 1'b0; - end - else - begin - Is3gh7 <= D3rfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Lt3gh7 <= 1'b0; - end - else - begin - Lt3gh7 <= Vkqfh7; - end - always @(posedge Iqsfh7) Lu3gh7 <= M6sfh7; - always @(posedge Iqsfh7) Pv3gh7 <= W6sfh7; - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Tw3gh7 <= 1'b0; - end - else - begin - Tw3gh7 <= Ekqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ux3gh7 <= 1'b0; - end - else - begin - Ux3gh7 <= Kisfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Wy3gh7 <= 1'b0; - end - else - begin - Wy3gh7 <= Fdsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Yz3gh7 <= 1'b0; - end - else - begin - Yz3gh7 <= Zdsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - A14gh7 <= 1'b0; - end - else - begin - A14gh7 <= Tesfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - D24gh7 <= 1'b0; - end - else - begin - D24gh7 <= Nfsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - G34gh7 <= 1'b0; - end - else - begin - G34gh7 <= Hgsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - J44gh7 <= 1'b0; - end - else - begin - J44gh7 <= Bhsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - M54gh7 <= 1'b0; - end - else - begin - M54gh7 <= Vhsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - P64gh7 <= 1'b0; - end - else - begin - P64gh7 <= W1qfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - R74gh7 <= 1'b0; - end - else - begin - R74gh7 <= Xzpfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - T84gh7 <= 1'b0; - end - else - begin - T84gh7 <= Pisfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - W94gh7 <= 1'b0; - end - else - begin - W94gh7 <= M4qfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ya4gh7 <= 1'b0; - end - else - begin - Ya4gh7 <= C7qfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ac4gh7 <= 1'b0; - end - else - begin - Ac4gh7 <= S9qfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Cd4gh7 <= 1'b0; - end - else - begin - Cd4gh7 <= Icqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ee4gh7 <= 1'b0; - end - else - begin - Ee4gh7 <= Yeqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ff4gh7 <= 1'b0; - end - else - begin - Ff4gh7 <= Ohqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Gg4gh7 <= 1'b0; - end - else - begin - Gg4gh7 <= Qcsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ih4gh7 <= 1'b0; - end - else - begin - Ih4gh7 <= Njqfh7; - end - always @(posedge Iqsfh7) Ji4gh7 <= Gosfh7; - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Nj4gh7 <= 1'b0; - end - else - begin - Nj4gh7 <= Oksfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Sk4gh7 <= 1'b0; - end - else - begin - Sk4gh7 <= Kdsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ul4gh7 <= 1'b0; - end - else - begin - Ul4gh7 <= Xgqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Vm4gh7 <= 1'b0; - end - else - begin - Vm4gh7 <= Eesfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Xn4gh7 <= 1'b0; - end - else - begin - Xn4gh7 <= Heqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Yo4gh7 <= 1'b0; - end - else - begin - Yo4gh7 <= Yesfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Bq4gh7 <= 1'b0; - end - else - begin - Bq4gh7 <= Rbqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Dr4gh7 <= 1'b0; - end - else - begin - Dr4gh7 <= Sfsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Gs4gh7 <= 1'b0; - end - else - begin - Gs4gh7 <= B9qfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - It4gh7 <= 1'b0; - end - else - begin - It4gh7 <= Mgsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Lu4gh7 <= 1'b0; - end - else - begin - Lu4gh7 <= L6qfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Nv4gh7 <= 1'b0; - end - else - begin - Nv4gh7 <= Ghsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Qw4gh7 <= 1'b0; - end - else - begin - Qw4gh7 <= V3qfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Sx4gh7 <= 1'b0; - end - else - begin - Sx4gh7 <= Aisfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Vy4gh7 <= 1'b0; - end - else - begin - Vy4gh7 <= F1qfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Xz4gh7 <= 1'b0; - end - else - begin - Xz4gh7 <= K8sfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - D15gh7 <= 1'b0; - end - else - begin - D15gh7 <= E9sfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - J25gh7 <= 1'b1; - end - else - begin - J25gh7 <= Insfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - P35gh7 <= 1'b1; - end - else - begin - P35gh7 <= Kmsfh7; - end - always @(posedge Iqsfh7) U45gh7 <= Epsfh7; - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Y55gh7 <= 1'b0; - end - else - begin - Y55gh7 <= Mlsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - D75gh7 <= 1'b0; - end - else - begin - D75gh7 <= Adsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - F85gh7 <= 1'b0; - end - else - begin - F85gh7 <= Fiqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - G95gh7 <= 1'b0; - end - else - begin - G95gh7 <= Udsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ia5gh7 <= 1'b0; - end - else - begin - Ia5gh7 <= Pfqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Jb5gh7 <= 1'b0; - end - else - begin - Jb5gh7 <= Oesfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Mc5gh7 <= 1'b0; - end - else - begin - Mc5gh7 <= Zcqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Od5gh7 <= 1'b0; - end - else - begin - Od5gh7 <= Ifsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Re5gh7 <= 1'b0; - end - else - begin - Re5gh7 <= Jaqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Tf5gh7 <= 1'b0; - end - else - begin - Tf5gh7 <= Cgsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Wg5gh7 <= 1'b0; - end - else - begin - Wg5gh7 <= T7qfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Yh5gh7 <= 1'b0; - end - else - begin - Yh5gh7 <= Wgsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Bj5gh7 <= 1'b0; - end - else - begin - Bj5gh7 <= D5qfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Dk5gh7 <= 1'b0; - end - else - begin - Dk5gh7 <= Qhsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Gl5gh7 <= 1'b0; - end - else - begin - Gl5gh7 <= N2qfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Im5gh7 <= 1'b0; - end - else - begin - Im5gh7 <= U8sfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - On5gh7 <= 1'b1; - end - else - begin - On5gh7 <= Unsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Uo5gh7 <= 1'b1; - end - else - begin - Uo5gh7 <= Wmsfh7; - end - always @(posedge Iqsfh7) Aq5gh7 <= Qpsfh7; - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Er5gh7 <= 1'b0; - end - else - begin - Er5gh7 <= Ylsfh7; - end - always @(posedge Iqsfh7) Js5gh7 <= Sosfh7; - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Nt5gh7 <= 1'b0; - end - else - begin - Nt5gh7 <= Alsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Su5gh7 <= 1'b0; - end - else - begin - Su5gh7 <= Vcsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Uv5gh7 <= 1'b0; - end - else - begin - Uv5gh7 <= Wiqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Vw5gh7 <= 1'b0; - end - else - begin - Vw5gh7 <= Pdsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Xx5gh7 <= 1'b0; - end - else - begin - Xx5gh7 <= Ggqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Yy5gh7 <= 1'b0; - end - else - begin - Yy5gh7 <= Jesfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - B06gh7 <= 1'b0; - end - else - begin - B06gh7 <= Qdqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - D16gh7 <= 1'b0; - end - else - begin - D16gh7 <= Dfsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - G26gh7 <= 1'b0; - end - else - begin - G26gh7 <= Abqfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - I36gh7 <= 1'b0; - end - else - begin - I36gh7 <= Xfsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - L46gh7 <= 1'b0; - end - else - begin - L46gh7 <= K8qfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - N56gh7 <= 1'b0; - end - else - begin - N56gh7 <= Rgsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Q66gh7 <= 1'b0; - end - else - begin - Q66gh7 <= U5qfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - S76gh7 <= 1'b0; - end - else - begin - S76gh7 <= Lhsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - V86gh7 <= 1'b0; - end - else - begin - V86gh7 <= E3qfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - X96gh7 <= 1'b0; - end - else - begin - X96gh7 <= Fisfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ab6gh7 <= 1'b0; - end - else - begin - Ab6gh7 <= O0qfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Cc6gh7 <= 1'b1; - end - else - begin - Cc6gh7 <= F8sfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Id6gh7 <= 1'b0; - end - else - begin - Id6gh7 <= Z8sfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Oe6gh7 <= 1'b0; - end - else - begin - Oe6gh7 <= Onsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Uf6gh7 <= 1'b0; - end - else - begin - Uf6gh7 <= Qmsfh7; - end - always @(posedge Iqsfh7) Ah6gh7 <= Kpsfh7; - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ei6gh7 <= 1'b0; - end - else - begin - Ei6gh7 <= Slsfh7; - end - always @(posedge Iqsfh7) Jj6gh7 <= Mosfh7; - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Nk6gh7 <= 1'b0; - end - else - begin - Nk6gh7 <= Uksfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Sl6gh7 <= 1'b0; - end - else - begin - Sl6gh7 <= A8sfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ym6gh7 <= 1'b1; - end - else - begin - Ym6gh7 <= P8sfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Eo6gh7 <= 1'b0; - end - else - begin - Eo6gh7 <= J9sfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Kp6gh7 <= 1'b0; - end - else - begin - Kp6gh7 <= Cnsfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Qq6gh7 <= 1'b1; - end - else - begin - Qq6gh7 <= Emsfh7; - end - always @(posedge Iqsfh7) Vr6gh7 <= Cbsfh7; - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Vs6gh7 <= 1'b1; - end - else - begin - Vs6gh7 <= T9sfh7; - end - always @(posedge Iqsfh7) Bu6gh7 <= Hbsfh7; - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Bv6gh7 <= 1'b0; - end - else - begin - Bv6gh7 <= Y9sfh7; - end - always @(posedge Iqsfh7) Hw6gh7 <= Rbsfh7; - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Hx6gh7 <= 1'b0; - end - else - begin - Hx6gh7 <= Iasfh7; - end - always @(posedge Iqsfh7) Ny6gh7 <= Wbsfh7; - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Nz6gh7 <= 1'b0; - end - else - begin - Nz6gh7 <= Nasfh7; - end - always @(posedge Iqsfh7) T07gh7 <= Bcsfh7; - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - T17gh7 <= 1'b0; - end - else - begin - T17gh7 <= Sasfh7; - end - always @(posedge Iqsfh7) Z27gh7 <= Lcsfh7; - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Z37gh7 <= 1'b1; - end - else - begin - Z37gh7 <= O9sfh7; - end - always @(posedge Iqsfh7) F57gh7 <= B7sfh7; - always @(posedge Iqsfh7) J67gh7 <= Yosfh7; - always @(posedge Iqsfh7) N77gh7 <= Mbsfh7; - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - N87gh7 <= 1'b0; - end - else - begin - N87gh7 <= Dasfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - T97gh7 <= 1'b0; - end - else - begin - T97gh7 <= Glsfh7; - end - always @(posedge Iqsfh7) Ya7gh7 <= Aosfh7; - always @(posedge Iqsfh7) Cc7gh7 <= Gcsfh7; - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Cd7gh7 <= 1'b1; - end - else - begin - Cd7gh7 <= Xasfh7; - end - always @(posedge Iqsfh7 or negedge Wpsfh7) if (~Wpsfh7) begin - Ie7gh7 <= 1'b1; - end - else - begin - Ie7gh7 <= Iksfh7; - end - always @(posedge Iqsfh7) Nf7gh7 <= L7sfh7; - always @(posedge Iqsfh7) Rg7gh7 <= V7sfh7; - always @(posedge Iqsfh7) Vh7gh7 <= Q7sfh7; - always @(posedge Iqsfh7) Zi7gh7 <= G7sfh7; - always @(posedge Iqsfh7) Dk7gh7 <= R6sfh7; -endmodule - -/* Design Summary - modules: 1 - udps: 0 - mod flatinsts: 0 - udp flatinsts: 0 - nodes: 1943 (0) - node widths: 2119 (0) - process: 173 (0) - gates: 0 (0) - contassigns: 1818 (0) - ports: 27 (0) - modinsts: 0 (0) - udpinsts: 0 (0) - portconnects: 0 (0) -*/ - -// END: VCS tokens -// Currnet Allocated Virtual Memory Size: 203.73 MB -// =================== -// DESIGN STATISTICS -// =================== -// -// No. of design lines (note: includes comments and blank lines) 4726 -// -// Static<!> Elaborated<@> Size(KB) -// ------ ---------- -------- -// No. of all modules (module+interface+package+program): 1 1 0 -// No. of module instances: 1 1 0 -// No. of all processes: 173 173 0 -// No. of all nodes (variable+net): 1943 1943 0 -// No. of constants 430 430 0 -// No. of scalar nets: 1756 1756 0 -// No. of vector nets: 14 14 0 -// No. of scalar regs/logics: 173 173 0 -// No. of always blocks: 173 173 0 -// No. of operators: 2933 2933 0 -// No. of concatenations: 4 4 0 -// No. of bit selects: 234 234 0 -// No. of part selects: 1 1 0 -// No. of non-blocking assignments: 322 322 0 -// No. of continuous assignments: 1818 1818 0 -// -// No. of top level modules/programs/packages/interfaces: 1 -// modules: 1 -// No. of module+udp ports: 27 -// -// Footnotes: -// --------- -// <!> No. of unique instances of a construct as it appears in the source. -// <@> No. of instances of a construct when the design is elaborated. -// K, M, B: Counted in thousands, millions and billions (if big numbers are present) -// -// <#> Multiple specify blocks in the SAME module are combined and counted -// as ONE block. diff --git a/IPLIB/ADPcontrol_v1_0/ADPmanager.v b/IPLIB/ADPcontrol_v1_0/ADPmanager.v index 96761df3a81a88f2de84365b8c685bde8eb0ff29..26705e8c7b593a8f8c1c7dce1016a197cdb91f00 100755 --- a/IPLIB/ADPcontrol_v1_0/ADPmanager.v +++ b/IPLIB/ADPcontrol_v1_0/ADPmanager.v @@ -1,788 +1,797 @@ -//----------------------------------------------------------------------------- -// soclabs ASCII Debug Protocol controller -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2021-2, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - - -//`define ADPBASIC 1 - -module ADPmanager // AHB initiator interface - #(parameter PROMPT_CHAR = "]" - ) - ( input wire HCLK, - input wire HRESETn, - output wire [31:0] HADDR32_o, - output wire [ 2:0] HBURST3_o, - output wire HMASTLOCK_o, - output wire [ 3:0] HPROT4_o, - output wire [ 2:0] HSIZE3_o, - output wire [ 1:0] HTRANS2_o, - output wire [31:0] HWDATA32_o, - output wire HWRITE_o, - input wire [31:0] HRDATA32_i, - input wire HREADY_i, - input wire HRESP_i, -// COMIO interface - output wire [ 7:0] GPO8_o, - input wire [ 7:0] GPI8_i, -// input wire COM_RXE_i, - input wire [ 7:0] COMRX_TDATA_i, - input wire COMRX_TVALID_i, - output wire COMRX_TREADY_o, -// input wire COM_TXF_i, - output wire [ 7:0] COMTX_TDATA_o, - output wire COMTX_TVALID_o, - input wire COMTX_TREADY_i, -// STDIO interface -// input wire STDOUT_RXE_i, - input wire [ 7:0] STDRX_TDATA_i, - input wire STDRX_TVALID_i, - output wire STDRX_TREADY_o, -// input wire STDIN_TXF_i - output wire [ 7:0] STDTX_TDATA_o, - output wire STDTX_TVALID_o, - input wire STDTX_TREADY_i -); - -wire COM_RXE_i = !COMRX_TVALID_i; -wire COM_TXF_i = !COMTX_TREADY_i; - -//wire adp_rx_req = COMRX_TVALID_i & COMRX_TREADY_o; -//wire std_rx_req = STDRX_TVALID_i & STDRX_TREADY_o; - - -wire STD_TXF_i = !STDTX_TREADY_i; -wire STD_RXE_i = !STDRX_TVALID_i; - -`ifdef ADPBASIC - localparam BANNERHEX = 32'h50c1ab01; -`else - localparam BANNERHEX = 32'h50c1ab03; -`endif - -localparam CMD_bad = 4'b0000; -localparam CMD_A = 4'b0001; // set address -`ifndef ADPBASIC -localparam CMD_U = 4'b1000; // Binary Upload (wordocunt) from addr++ -localparam CMD_M = 4'b1010; // set read mask -localparam CMD_P = 4'b1011; // Poll hardware (count) -localparam CMD_V = 4'b1100; // match value -localparam CMD_F = 4'b1101; // (Zero)fill (wordocunt) from addr++ -`endif -localparam CMD_C = 4'b1001; // Control -localparam CMD_R = 4'b0010; // read word, addr++ -localparam CMD_S = 4'b0011; // Status/STDIN -localparam CMD_W = 4'b0100; // write word, addr++ -localparam CMD_X = 4'b0101; // exit - - -function FNvalid_adp_entry; // Escape char -input [7:0] char8; - FNvalid_adp_entry = (char8[7:0] == 8'h1b); -endfunction - -function [3:0] FNvalid_cmd; -input [7:0] char8; -case (char8[7:0]) -"A": FNvalid_cmd = CMD_A; -"a": FNvalid_cmd = CMD_A; -"C": FNvalid_cmd = CMD_C; -"c": FNvalid_cmd = CMD_C; -"R": FNvalid_cmd = CMD_R; -"r": FNvalid_cmd = CMD_R; -"S": FNvalid_cmd = CMD_S; -"s": FNvalid_cmd = CMD_S; -"W": FNvalid_cmd = CMD_W; -"w": FNvalid_cmd = CMD_W; -"X": FNvalid_cmd = CMD_X; -"x": FNvalid_cmd = CMD_X; -`ifndef ADPBASIC -"U": FNvalid_cmd = CMD_U; -"u": FNvalid_cmd = CMD_U; -"M": FNvalid_cmd = CMD_M; -"m": FNvalid_cmd = CMD_M; -"P": FNvalid_cmd = CMD_P; -"p": FNvalid_cmd = CMD_P; -"V": FNvalid_cmd = CMD_V; -"v": FNvalid_cmd = CMD_V; -"F": FNvalid_cmd = CMD_F; -"f": FNvalid_cmd = CMD_F; -`endif -default: - FNvalid_cmd = 0; -endcase -endfunction - -function FNvalid_space; // space or tab char -input [7:0] char8; - FNvalid_space = ((char8[7:0] == 8'h20) || (char8[7:0] == 8'h09)); -endfunction - -function FNnull; // space or tab char -input [7:0] char8; - FNnull = (char8[7:0] == 8'h00); -endfunction - -function FNexit; // EOF -input [7:0] char8; - FNexit = ((char8[7:0] == 8'h04) || (char8[7:0] == 8'h00)); -endfunction - -function FNvalid_EOL; // CR or LF -input [7:0] char8; - FNvalid_EOL = ((char8[7:0] == 8'h0a) || (char8[7:0] == 8'h0d)); -endfunction - -function FNuppercase; -input [7:0] char8; - FNuppercase = (char8[6]) ? (char8 & 8'h5f) : (char8); -endfunction - -function [63:0] FNBuild_param64_hexdigit; -input [63:0] param64; -input [7:0] char8; -case (char8[7:0]) -"\t":FNBuild_param64_hexdigit = 64'b0; // tab starts new (zeroed) param64 -" ": FNBuild_param64_hexdigit = 64'b0; // space starts new (zeroed) param64 -"x": FNBuild_param64_hexdigit = 64'b0; // hex prefix starts new (zeroed) param64 -"X": FNBuild_param64_hexdigit = 64'b0; // hex prefix starts new (zeroed) param64 -"0": FNBuild_param64_hexdigit = {param64[59:0],4'b0000}; -"1": FNBuild_param64_hexdigit = {param64[59:0],4'b0001}; -"2": FNBuild_param64_hexdigit = {param64[59:0],4'b0010}; -"3": FNBuild_param64_hexdigit = {param64[59:0],4'b0011}; -"4": FNBuild_param64_hexdigit = {param64[59:0],4'b0100}; -"5": FNBuild_param64_hexdigit = {param64[59:0],4'b0101}; -"6": FNBuild_param64_hexdigit = {param64[59:0],4'b0110}; -"7": FNBuild_param64_hexdigit = {param64[59:0],4'b0111}; -"8": FNBuild_param64_hexdigit = {param64[59:0],4'b1000}; -"9": FNBuild_param64_hexdigit = {param64[59:0],4'b1001}; -"A": FNBuild_param64_hexdigit = {param64[59:0],4'b1010}; -"B": FNBuild_param64_hexdigit = {param64[59:0],4'b1011}; -"C": FNBuild_param64_hexdigit = {param64[59:0],4'b1100}; -"D": FNBuild_param64_hexdigit = {param64[59:0],4'b1101}; -"E": FNBuild_param64_hexdigit = {param64[59:0],4'b1110}; -"F": FNBuild_param64_hexdigit = {param64[59:0],4'b1111}; -"a": FNBuild_param64_hexdigit = {param64[59:0],4'b1010}; -"b": FNBuild_param64_hexdigit = {param64[59:0],4'b1011}; -"c": FNBuild_param64_hexdigit = {param64[59:0],4'b1100}; -"d": FNBuild_param64_hexdigit = {param64[59:0],4'b1101}; -"e": FNBuild_param64_hexdigit = {param64[59:0],4'b1110}; -"f": FNBuild_param64_hexdigit = {param64[59:0],4'b1111}; -default: FNBuild_param64_hexdigit = param64; // EOL etc returns param64 unchanged -endcase -endfunction - -function [63:0] FNBuild_param64_byte; -input [63:0] param64; -input [7:0] byte; - FNBuild_param64_byte = {byte[7:0], param64[63:08]}; -endfunction - -function [31:0] FNBuild_param32_hexdigit; -input [31:0] param32; -input [7:0] char8; -case (char8[7:0]) -"\t":FNBuild_param32_hexdigit = 32'b0; // tab starts new (zeroed) param32 -" ": FNBuild_param32_hexdigit = 32'b0; // space starts new (zeroed) param32 -"x": FNBuild_param32_hexdigit = 32'b0; // hex prefix starts new (zeroed) param32 -"X": FNBuild_param32_hexdigit = 32'b0; // hex prefix starts new (zeroed) param32 -"0": FNBuild_param32_hexdigit = {param32[27:0],4'b0000}; -"1": FNBuild_param32_hexdigit = {param32[27:0],4'b0001}; -"2": FNBuild_param32_hexdigit = {param32[27:0],4'b0010}; -"3": FNBuild_param32_hexdigit = {param32[27:0],4'b0011}; -"4": FNBuild_param32_hexdigit = {param32[27:0],4'b0100}; -"5": FNBuild_param32_hexdigit = {param32[27:0],4'b0101}; -"6": FNBuild_param32_hexdigit = {param32[27:0],4'b0110}; -"7": FNBuild_param32_hexdigit = {param32[27:0],4'b0111}; -"8": FNBuild_param32_hexdigit = {param32[27:0],4'b1000}; -"9": FNBuild_param32_hexdigit = {param32[27:0],4'b1001}; -"A": FNBuild_param32_hexdigit = {param32[27:0],4'b1010}; -"B": FNBuild_param32_hexdigit = {param32[27:0],4'b1011}; -"C": FNBuild_param32_hexdigit = {param32[27:0],4'b1100}; -"D": FNBuild_param32_hexdigit = {param32[27:0],4'b1101}; -"E": FNBuild_param32_hexdigit = {param32[27:0],4'b1110}; -"F": FNBuild_param32_hexdigit = {param32[27:0],4'b1111}; -"a": FNBuild_param32_hexdigit = {param32[27:0],4'b1010}; -"b": FNBuild_param32_hexdigit = {param32[27:0],4'b1011}; -"c": FNBuild_param32_hexdigit = {param32[27:0],4'b1100}; -"d": FNBuild_param32_hexdigit = {param32[27:0],4'b1101}; -"e": FNBuild_param32_hexdigit = {param32[27:0],4'b1110}; -"f": FNBuild_param32_hexdigit = {param32[27:0],4'b1111}; -default: FNBuild_param32_hexdigit = param32; // EOL etc returns param32 unchanged -endcase -endfunction - -function [31:0] FNBuild_param32_byte; -input [31:0] param32; -input [7:0] byte; - FNBuild_param32_byte = {byte[7:0], param32[31:08]}; -endfunction - - - -function [7:0] FNmap_hex_digit; -input [3:0] nibble; -case (nibble[3:0]) -4'b0000: FNmap_hex_digit = "0"; -4'b0001: FNmap_hex_digit = "1"; -4'b0010: FNmap_hex_digit = "2"; -4'b0011: FNmap_hex_digit = "3"; -4'b0100: FNmap_hex_digit = "4"; -4'b0101: FNmap_hex_digit = "5"; -4'b0110: FNmap_hex_digit = "6"; -4'b0111: FNmap_hex_digit = "7"; -4'b1000: FNmap_hex_digit = "8"; -4'b1001: FNmap_hex_digit = "9"; -4'b1010: FNmap_hex_digit = "a"; -4'b1011: FNmap_hex_digit = "b"; -4'b1100: FNmap_hex_digit = "c"; -4'b1101: FNmap_hex_digit = "d"; -4'b1110: FNmap_hex_digit = "e"; -4'b1111: FNmap_hex_digit = "f"; -default: FNmap_hex_digit = "0"; -endcase -endfunction - - -// as per Vivado synthesis mapping -`ifdef ADPFSMDESIGN -localparam ADP_WRITEHEX = 6'b000000 ; -localparam ADP_WRITEHEXS = 6'b000001 ; -localparam ADP_WRITEHEX9 = 6'b000010 ; -localparam ADP_WRITEHEX8 = 6'b000011 ; -localparam ADP_WRITEHEX7 = 6'b000100 ; -localparam ADP_WRITEHEX6 = 6'b000101 ; -localparam ADP_WRITEHEX5 = 6'b000110 ; -localparam ADP_WRITEHEX4 = 6'b000111 ; -localparam ADP_WRITEHEX3 = 6'b001000 ; -localparam ADP_WRITEHEX2 = 6'b001001 ; -localparam ADP_WRITEHEX1 = 6'b001010 ; -localparam ADP_WRITEHEX0 = 6'b001011 ; -localparam ADP_LINEACK = 6'b001101 ; -localparam ADP_LINEACK2 = 6'b110010 ; -localparam ADP_PROMPT = 6'b001110 ; -localparam ADP_IOCHK = 6'b001111 ; -localparam ADP_RXCMD = 6'b010000 ; -localparam ADP_RXPARAM = 6'b010010 ; -localparam ADP_ACTION = 6'b010011 ; -localparam ADP_READ = 6'b010001 ; -localparam ADP_SYSCHK = 6'b010100 ; -localparam ADP_STDIN = 6'b010101 ; -localparam ADP_SYSCTL = 6'b010110 ; -localparam ADP_WRITE = 6'b010111 ; -localparam ADP_EXIT = 6'b011000 ; -localparam STD_IOCHK = 6'b011001 ; -localparam STD_RXD1 = 6'b011010 ; -localparam STD_RXD2 = 6'b011011 ; -localparam STD_TXD1 = 6'b011101 ; -localparam STD_TXD2 = 6'b011110 ; -localparam ADP_UCTRL = 6'b011111 ; -localparam ADP_UREADB0 = 6'b100000 ; -localparam ADP_UREADB1 = 6'b100001 ; -localparam ADP_UREADB2 = 6'b100010 ; -localparam ADP_UREADB3 = 6'b100011 ; -localparam ADP_UWRITE = 6'b100100 ; -localparam ADP_POLL = 6'b100101 ; -localparam ADP_POLL1 = 6'b100110 ; -localparam ADP_POLL2 = 6'b100111 ; -localparam ADP_FCTRL = 6'b101110 ; -localparam ADP_FWRITE = 6'b101111 ; -localparam ADP_ECHOCMD = 6'b110000 ; -localparam ADP_ECHOCMDSP = 6'b110001 ; -localparam ADP_UNKNOWN = 6'b101000 ; -localparam ADP_STDOUT = 6'b101010 ; -localparam ADP_STDOUT1 = 6'b101011 ; -localparam ADP_STDOUT2 = 6'b101100 ; -localparam ADP_STDOUT3 = 6'b101101 ; -reg [5:0] adp_state ; -`else -// one-hot encoded explicitly -localparam ADP_WRITEHEX = 48'b000000000000000000000000000000000000000000000001 ; // = 6'b000000 -localparam ADP_WRITEHEXS = 48'b000000000000000000000000000000000000000000000010 ; // = 6'b000001 -localparam ADP_WRITEHEX9 = 48'b000000000000000000000000000000000000000000000100 ; // = 6'b000010 -localparam ADP_WRITEHEX8 = 48'b000000000000000000000000000000000000000000001000 ; // = 6'b000011 -localparam ADP_WRITEHEX7 = 48'b000000000000000000000000000000000000000000010000 ; // = 6'b000100 -localparam ADP_WRITEHEX6 = 48'b000000000000000000000000000000000000000000100000 ; // = 6'b000101 -localparam ADP_WRITEHEX5 = 48'b000000000000000000000000000000000000000001000000 ; // = 6'b000110 -localparam ADP_WRITEHEX4 = 48'b000000000000000000000000000000000000000010000000 ; // = 6'b000111 -localparam ADP_WRITEHEX3 = 48'b000000000000000000000000000000000000000100000000 ; // = 6'b001000 -localparam ADP_WRITEHEX2 = 48'b000000000000000000000000000000000000001000000000 ; // = 6'b001001 -localparam ADP_WRITEHEX1 = 48'b000000000000000000000000000000000000010000000000 ; // = 6'b001010 -localparam ADP_WRITEHEX0 = 48'b000000000000000000000000000000000000100000000000 ; // = 6'b001011 -localparam ADP_LINEACK = 48'b000000000000000000000000000000000001000000000000 ; // = 6'b001101 -localparam ADP_LINEACK2 = 48'b000000000000000000000000000000000010000000000000 ; // = 6'b110010 -localparam ADP_PROMPT = 48'b000000000000000000000000000000000100000000000000 ; // = 6'b001110 -localparam ADP_IOCHK = 48'b000000000000000000000000000000001000000000000000 ; // = 6'b001111 -localparam ADP_RXCMD = 48'b000000000000000000000000000000010000000000000000 ; // = 6'b010000 -localparam ADP_RXPARAM = 48'b000000000000000000000000000000100000000000000000 ; // = 6'b010010 -localparam ADP_ACTION = 48'b000000000000000000000000000001000000000000000000 ; // = 6'b010011 -localparam ADP_READ = 48'b000000000000000000000000000010000000000000000000 ; // = 6'b010001 -localparam ADP_SYSCHK = 48'b000000000000000000000000000100000000000000000000 ; // = 6'b010100 -localparam ADP_STDIN = 48'b000000000000000000000000001000000000000000000000 ; // = 6'b010101 -localparam ADP_SYSCTL = 48'b000000000000000000000000010000000000000000000000 ; // = 6'b010110 -localparam ADP_WRITE = 48'b000000000000000000000000100000000000000000000000 ; // = 6'b010111 -localparam ADP_EXIT = 48'b000000000000000000000001000000000000000000000000 ; // = 6'b011000 -localparam STD_IOCHK = 48'b000000000000000000000010000000000000000000000000 ; // = 6'b011001 -localparam STD_RXD1 = 48'b000000000000000000000100000000000000000000000000 ; // = 6'b011010 -localparam STD_RXD2 = 48'b000000000000000000001000000000000000000000000000 ; // = 6'b011011 -localparam STD_TXD1 = 48'b000000000000000000010000000000000000000000000000 ; // = 6'b011101 -localparam STD_TXD2 = 48'b000000000000000000100000000000000000000000000000 ; // = 6'b011110 -localparam ADP_UCTRL = 48'b000000000000000001000000000000000000000000000000 ; // = 6'b011111 -localparam ADP_UREADB0 = 48'b000000000000000010000000000000000000000000000000 ; // = 6'b100000 -localparam ADP_UREADB1 = 48'b000000000000000100000000000000000000000000000000 ; // = 6'b100001 -localparam ADP_UREADB2 = 48'b000000000000001000000000000000000000000000000000 ; // = 6'b100010 -localparam ADP_UREADB3 = 48'b000000000000010000000000000000000000000000000000 ; // = 6'b100011 -localparam ADP_UWRITE = 48'b000000000000100000000000000000000000000000000000 ; // = 6'b100100 -localparam ADP_POLL = 48'b000000000001000000000000000000000000000000000000 ; // = 6'b100101 -localparam ADP_POLL1 = 48'b000000000010000000000000000000000000000000000000 ; // = 6'b100110 -localparam ADP_POLL2 = 48'b000000000100000000000000000000000000000000000000 ; // = 6'b100111 -localparam ADP_FCTRL = 48'b000000001000000000000000000000000000000000000000 ; // = 6'b101110 -localparam ADP_FWRITE = 48'b000000010000000000000000000000000000000000000000 ; // = 6'b101111 -localparam ADP_ECHOCMD = 48'b000000100000000000000000000000000000000000000000 ; // = 6'b110000 -localparam ADP_ECHOCMDSP = 48'b000001000000000000000000000000000000000000000000 ; // = 6'b110001 -localparam ADP_UNKNOWN = 48'b000010000000000000000000000000000000000000000000 ; // = 6'b101000 -localparam ADP_STDOUT = 48'b000100000000000000000000000000000000000000000000 ; // = 6'b101010 -localparam ADP_STDOUT1 = 48'b001000000000000000000000000000000000000000000000 ; // = 6'b101011 -localparam ADP_STDOUT2 = 48'b010000000000000000000000000000000000000000000000 ; // = 6'b101100 -localparam ADP_STDOUT3 = 48'b100000000000000000000000000000000000000000000000 ; // = 6'b101101 -reg [47:0] adp_state ; -`endif - -reg [31:0] adp_bus_data; -reg banner ; -reg com_tx_req ; -reg [7:0] com_tx_byte ; -reg com_rx_ack ; -reg std_tx_req ; -reg [ 7:0] std_tx_byte; -reg std_rx_ack ; -reg adp_bus_req ; -reg adp_bus_write ; -reg adp_bus_err ; -reg [7:0] adp_cmd ; -reg [32:0] adp_param ; -reg [31:0] adp_addr ; -reg adp_addr_inc; -reg [31:0] adp_sys ; - -assign GPO8_o = adp_sys[7:0]; - -// ADP RX stream -wire com_rx_req = COMRX_TVALID_i; -wire [ 7:0] com_rx_byte = COMRX_TDATA_i; -assign COMRX_TREADY_o = com_rx_ack; -// ADP TX stream -wire com_tx_ack = COMTX_TREADY_i; -assign COMTX_TDATA_o = com_tx_byte; -assign COMTX_TVALID_o = com_tx_req; -// STD RX stream (from STDOUT) -wire std_rx_req = STDRX_TVALID_i; -wire [ 7:0] std_rx_byte = STDRX_TDATA_i; -assign STDRX_TREADY_o = std_rx_ack; -// STD TX stream (to STDIN) -wire std_tx_ack = STDTX_TREADY_i; -assign STDTX_TDATA_o = std_tx_byte; -assign STDTX_TVALID_o = std_tx_req; - -//AMBA AHB master as "stream" interface -reg ahb_dphase; -wire ahb_aphase = adp_bus_req & !ahb_dphase; -wire adp_bus_ack = ahb_dphase & HREADY_i; -// control pipe -always @(posedge HCLK or negedge HRESETn) - if(!HRESETn) - ahb_dphase <= 0; - else if (HREADY_i) - ahb_dphase <= (ahb_aphase); - -assign HADDR32_o = adp_addr; -assign HBURST3_o = 3'b001; // "INCR" burst signalled whenever transfer; -assign HMASTLOCK_o = 1'b0; -assign HPROT4_o[3:0] = {1'b0, 1'b0, 1'b1, 1'b1}; -assign HSIZE3_o[2:0] = {1'b0, 2'b10}; -assign HTRANS2_o = {ahb_aphase,1'b0}; // non-seq -assign HWDATA32_o = adp_bus_data; -assign HWRITE_o = adp_bus_write; - - -`ifndef ADPBASIC -reg [31:0] adp_val; -reg [31:0] adp_mask; -reg [31:0] adp_poll; -reg [31:0] adp_count; -reg adp_count_dec ; -wire adp_delay_done; -wire poll2_loop_next; -`endif - -// ADP_control flags in the 'C' control field -wire adp_disable; -wire adp_stdin_wait; - -// commnon interface handshake terms -wire com_rx_done = COMRX_TVALID_i & COMRX_TREADY_o; -wire com_tx_done = COMTX_TVALID_o & COMTX_TREADY_i; -wire std_rx_done = STDRX_TVALID_i & STDRX_TREADY_o; -wire std_tx_done = STDTX_TVALID_o & STDTX_TREADY_i; -wire adp_bus_done = (adp_bus_req & adp_bus_ack); - -// common task to set up for next state -task ADP_LINEACK_next; // prepare newline TX (and cancel any startup banner) -// begin com_tx_req <= 1; com_tx_byte <= 8'h0A; banner <= 0; adp_state <= ADP_LINEACK; end - begin com_tx_req <= 1; com_tx_byte <= 8'h0A; adp_state <= ADP_LINEACK; end -endtask -task ADP_PROMPT_next; // prepare prompt TX - begin com_tx_req <= 1; com_tx_byte <= PROMPT_CHAR; adp_state <= ADP_PROMPT; end -endtask -task ADP_BUSWRITEINC_next; // prepare bus write and addr++ on completion - begin adp_bus_req <=1; adp_bus_write <=1; adp_addr_inc <=1; end -endtask -task ADP_BUSREADINC_next; // prepare bus read and addr++ on completion - begin adp_bus_req <=1; adp_bus_write <=0; adp_addr_inc <=1; end -endtask - -task ADP_hexdigit_next; // output nibble -input [3:0] nibble; - begin com_tx_req <= 1; com_tx_byte <= FNmap_hex_digit(nibble[3:0]); end -endtask -task ADP_txchar_next; // output char -input [7:0] byte; - begin com_tx_req<= 1; com_tx_byte <= byte; end -endtask - -task com_rx_nxt; com_rx_ack <=1; endtask - -function FNcount_down_zero_next; // param about to be zero -input [31:0] counter; - FNcount_down_zero_next = !(|counter[31:1]); -endfunction - -always @(posedge HCLK or negedge HRESETn) - if(!HRESETn) begin - adp_state <= ADP_WRITEHEX ; - adp_bus_data <= BANNERHEX; - banner <= 1; // start-up HEX message - com_tx_req <= 0; // default no TX req - com_rx_ack <= 0; // default no RX ack - std_tx_req <= 0; // default no TX req - std_rx_ack <= 0; // default no RX ack - adp_bus_req <= 0; // default no bus transaction - adp_bus_err <= 0; // default no bus error - adp_cmd <= 0; - adp_param <= 0; - adp_addr <= 0; - adp_addr_inc <= 0; - adp_bus_write<= 0; -`ifndef ADPBASIC - adp_count <= 0; - adp_count_dec<= 0; - adp_val <= 0; - adp_mask <= 0; - adp_sys <= 0; -`endif - end else begin // default states - adp_state <= adp_state; // default to hold current state - com_tx_req <= 0; // default no TX req - com_rx_ack <= 0; // default no RX ack - std_tx_req <= 0; // default no TX req - std_rx_ack <= 0; // default no RX ack - adp_bus_req <= 0; // default no bus transaction - adp_addr <= (adp_addr_inc & adp_bus_done) ? adp_addr + 4 : adp_addr; // address++ - adp_addr_inc <= 0; -`ifndef ADPBASIC - adp_count <= (adp_count_dec & adp_bus_done & |adp_count) ? adp_count - 1 : adp_count; // param-- - adp_count_dec<= 0; -`endif - case (adp_state) -// >>>>>>>>>>>>>>>> STDIO BYPASS >>>>>>>>>>>>>>>>>>>>>> - STD_IOCHK: // check for commsrx or STDOUT and not busy service, else loop back - if (com_rx_req) begin com_rx_ack <= 1; adp_state <= STD_RXD1; end // input char pending for STDIN -// else if (com_tx_ack & std_rx_req) begin std_rx_ack <= 1; adp_state <= STD_TXD1; end // STDOUT char pending and not busy - else if (std_rx_req) begin std_rx_ack <= 1; adp_state <= STD_TXD1; end // STDOUT char pending - STD_TXD1: // get STD out char - if (std_rx_done) - begin com_tx_byte <= std_rx_byte; com_tx_req <= 1; adp_state <= STD_TXD2; end - else std_rx_ack <= 1; // extend - STD_TXD2: // output char to ADP channel - if (com_tx_done) begin adp_state <= STD_IOCHK; end - else com_tx_req <= 1; // extend - STD_RXD1: // read rx char and check for ADP entry else STDIN ** - if (com_rx_done) begin - if (FNvalid_adp_entry(com_rx_byte)) - begin ADP_txchar_next(8'h0A); adp_state <= ADP_LINEACK; end // ADP prompt - else if (std_tx_ack) - begin std_tx_byte <= com_rx_byte; std_tx_req <= 1; adp_state <= STD_RXD2; end - else adp_state <= STD_IOCHK; // otherwise discard STDIN char and process OP data if blocked - end else com_rx_ack <= 1; // extend - STD_RXD2: // get STD in char - if (std_tx_done) begin adp_state <= STD_IOCHK; end - else std_tx_req <= 1; // extend - -// >>>>>>>>>>>>>>>> ADP Monitor >>>>>>>>>>>>>>>>>>>>>> - ADP_PROMPT: // transition after reset deassertion - if (com_tx_done) begin adp_state <= ADP_IOCHK; end - else com_tx_req <= 1; // extend - - ADP_IOCHK: // check for commsrx or STDOUT and not busy service, else loop back - if (std_rx_req) begin com_tx_byte <= "<"; com_tx_req <= 1; adp_state <= ADP_STDOUT; end - else if (com_rx_req) begin com_rx_ack <= 1; adp_state <= ADP_RXCMD; end - -// if (com_rx_req) begin com_rx_ack <= 1; adp_state <= ADP_RXCMD; end -// else if (com_tx_ack & std_rx_req) begin com_tx_byte <= "<"; com_tx_req <= 1; adp_state <= ADP_STDOUT; end -//// else if (std_rx_req) begin com_tx_byte <= "<"; com_tx_req <= 1; adp_state <= ADP_STDOUT; end - -// >>>>>>>>>>>>>>>> ADP <STDOUT> >>>>>>>>>>>>>>>>>>>>>> - ADP_STDOUT: // output "<" - if (com_tx_done) begin std_rx_ack <= 1; adp_state <= ADP_STDOUT1; end - else com_tx_req <= 1; // extend stream request if not ready - ADP_STDOUT1: // get STD out char - if (std_rx_done) begin com_tx_byte <= std_rx_byte; com_tx_req <= 1; adp_state <= ADP_STDOUT2; end - else std_rx_ack <= 1; // else extend - ADP_STDOUT2: // output char - if (com_tx_done) begin com_tx_byte <= ">"; com_tx_req <= 1; adp_state <= ADP_STDOUT3; end - else com_tx_req <= 1; // else extend - ADP_STDOUT3: // output ">" - if (com_tx_done) begin if (com_rx_req) begin com_rx_ack <= 1; adp_state <= ADP_RXCMD; end else adp_state <= ADP_IOCHK; end - else com_tx_req <= 1; // else extend - -// >>>>>>>>>>>>>>>> ADP COMMAND PARSING >>>>>>>>>>>>>>>>>>>>>> - ADP_RXCMD: // read and save ADP command - if (com_rx_done) begin - if (FNexit(com_rx_byte)) adp_state <= STD_IOCHK; // immediate exit - else if (FNvalid_space(com_rx_byte)) com_rx_ack <= 1; // retry for a command - else if (FNvalid_EOL(com_rx_byte)) begin adp_cmd <= "?"; adp_state <= ADP_ACTION; end // no command, skip param - else begin adp_cmd <= com_rx_byte; adp_param <= 33'h1_00000000; com_rx_ack <= 1; adp_state <= ADP_RXPARAM; end // get optional parameter - end - else com_rx_ack <= 1; // extend stream request if not ready - ADP_RXPARAM: // read and build hex parameter - if (com_rx_done) begin // RX byte - if (FNexit(com_rx_byte)) adp_state <= STD_IOCHK; // exit - else if (FNvalid_EOL(com_rx_byte)) -`ifndef ADPBASIC - begin adp_count <= adp_param[31:0]; adp_state <= ADP_ACTION; end // parameter complete on EOL -`else - begin adp_state <= ADP_ACTION; end // parameter complete on EOL -`endif - else - begin adp_param <= {1'b0,FNBuild_param32_hexdigit(adp_param[31:0], com_rx_byte)}; com_rx_ack <= 1; end // build parameter - end - else com_rx_ack <= 1; - - ADP_ACTION: // parse command and action with parameter - if (FNexit(com_rx_byte)) - adp_state <= STD_IOCHK; - else if (FNvalid_cmd(adp_cmd) == CMD_A) - begin if (adp_param[32] == 1'b1) adp_param <= {1'b0, adp_addr}; else adp_addr <= adp_param[31:0]; - adp_state <= ADP_ECHOCMD; end - else if (FNvalid_cmd(adp_cmd) == CMD_C) begin - if (adp_param[31:8] == 0) // report GPO - begin adp_state <= ADP_SYSCTL; end - else if (adp_param[31:8] == 1) // clear bits in GPO - begin adp_sys[7:0] <= adp_sys[7:0] & ~adp_param[7:0]; adp_state <= ADP_SYSCTL; end - else if (adp_param[31:8] == 2) // set bits in GPO - begin adp_sys[7:0] <= adp_sys[7:0] | adp_param[7:0]; adp_state <= ADP_SYSCTL; end - else if (adp_param[31:8] == 3) // overwrite bits in GPO - begin adp_sys[7:0] <= adp_param[7:0]; adp_state <= ADP_SYSCTL; end - else // 4 etc, report GPO - begin adp_state <= ADP_SYSCTL; end - end - else if (FNvalid_cmd(adp_cmd) == CMD_R) - begin ADP_BUSREADINC_next(); adp_state <= ADP_READ; end // no param - else if (FNvalid_cmd(adp_cmd) == CMD_S) - begin adp_state <= ADP_SYSCHK; end - else if (FNvalid_cmd(adp_cmd) == CMD_W) - begin adp_bus_data <= adp_param[31:0]; ADP_BUSWRITEINC_next(); adp_state <= ADP_WRITE; end - else if (FNvalid_cmd(adp_cmd) == CMD_X) - begin com_tx_byte <= 8'h0a; com_tx_req <= 1; adp_state <= ADP_EXIT; end -`ifndef ADPBASIC - else if (FNvalid_cmd(adp_cmd) == CMD_U) - if (FNcount_down_zero_next(adp_param[31:0])) adp_state <= ADP_ECHOCMD; else adp_state <= ADP_UCTRL; // non-zero count - else if (FNvalid_cmd(adp_cmd) == CMD_M) - begin if (adp_param[32] == 1'b1) adp_param <= {1'b0,adp_mask}; else adp_mask <= adp_param[31:0]; - adp_state <= ADP_ECHOCMD; end - else if (FNvalid_cmd(adp_cmd) == CMD_P) - if (FNcount_down_zero_next(adp_param[31:0])) adp_state <= ADP_ECHOCMD; else adp_state <= ADP_POLL; // non-zero count - else if (FNvalid_cmd(adp_cmd) == CMD_V) - begin if (adp_param[32] == 1'b1) adp_param <= {1'b0,adp_val}; else adp_val <= adp_param[31:0]; - adp_state <= ADP_ECHOCMD; end - else if (FNvalid_cmd(adp_cmd) == CMD_F) - if (FNcount_down_zero_next(adp_param[31:0])) adp_state <= ADP_ECHOCMD; else adp_state <= ADP_FCTRL; // non-zero count -`endif - else - begin ADP_txchar_next("?"); adp_state <= ADP_UNKNOWN; end // unrecognised/invald - -// >>>>>>>>>>>>>>>> ADP BUS WRITE and READ >>>>>>>>>>>>>>>>>>>>>> - - ADP_WRITE: // perform bus write at current address pointer (and auto increment) - if (adp_bus_done) begin adp_state <= ADP_ECHOCMD; adp_bus_err <= HRESP_i; end - else begin ADP_BUSWRITEINC_next(); end // extend request - - ADP_READ: // perform bus read at current adp address (and auto increment) - and report in hex - if (adp_bus_done) begin adp_bus_data <= HRDATA32_i; adp_bus_err <= HRESP_i; ADP_txchar_next("R"); com_tx_req <= 1; adp_state <= ADP_ECHOCMDSP; end - else begin ADP_BUSREADINC_next(); end // extend request - -`ifndef ADPBASIC - -// >>>>>>>>>>>>>>>> ADP BINARY UPLOAD >>>>>>>>>>>>>>>>>>>>>> - ADP_UCTRL: // set control value - begin com_rx_ack <= 1; adp_state <= ADP_UREADB0; end // read next 4 bytes - ADP_UREADB0: // read raw binary byte - if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[31:24] <= com_rx_byte; adp_state <= ADP_UREADB1; end - else com_rx_ack <= 1; // extend stream request if not ready - ADP_UREADB1: // read raw binary byte - if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[23:16] <= com_rx_byte; adp_state <= ADP_UREADB2; end - else com_rx_ack <= 1; // extend stream request if not ready - ADP_UREADB2: // read raw binary byte 0 - if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[15: 8] <= com_rx_byte; adp_state <= ADP_UREADB3; end - else com_rx_ack <= 1; // extend stream request if not ready - ADP_UREADB3: // read raw binary byte 0 - if (com_rx_done) - begin adp_bus_data[ 7: 0] <= com_rx_byte; ADP_BUSWRITEINC_next(); adp_count_dec <= 1; adp_state <= ADP_UWRITE; end - else com_rx_ack <= 1; // extend stream request if not ready - ADP_UWRITE: // Write word to Addr++ - if (adp_bus_done) begin // auto address++, count-- - if (FNcount_down_zero_next(adp_count)) adp_state <= ADP_ECHOCMD; else begin adp_state <= ADP_UREADB0; adp_bus_err <= adp_bus_err | HRESP_i; end - end else begin ADP_BUSWRITEINC_next(); adp_count_dec <= 1; end // extend request - -// >>>>>>>>>>>>>>>> ADP BUS READ LOOP >>>>>>>>>>>>>>>>>>>>>> - ADP_POLL: // set poll value - begin adp_bus_req <= 1; adp_bus_write <= 0; adp_state <= ADP_POLL1; end - ADP_POLL1: // wait for read data, no addr++ - if (adp_bus_done) begin adp_bus_data <= HRDATA32_i; adp_count_dec <=1; adp_state <= ADP_POLL2; adp_bus_err <= adp_bus_err | HRESP_i; end - else begin adp_bus_req <= 1; adp_count_dec <=1; end - ADP_POLL2: - if (FNcount_down_zero_next(adp_count)) begin adp_state <= ADP_ECHOCMD; adp_bus_err <= 1'b1; end // timeout - else if (((adp_bus_data & adp_mask) ^ adp_val) == 0) begin adp_state <= ADP_ECHOCMD; adp_param <= {1'b0, (adp_param[31:0] - adp_count)}; end // exact match - else adp_state <= ADP_POLL; - -// >>>>>>>>>>>>>>>> ADP (ZERO) FILL MEMORY >>>>>>>>>>>>>>>>>>>>>> - ADP_FCTRL: // set control value - begin adp_bus_data <= adp_val; ADP_BUSWRITEINC_next(); adp_count_dec <= 1; adp_state <= ADP_FWRITE; end - ADP_FWRITE: // Write word to Addr++ - if (adp_bus_done) begin // auto address++, count-- - if (FNcount_down_zero_next(adp_count)) adp_state <= ADP_ECHOCMD; else begin adp_state <= ADP_FCTRL; adp_bus_err <= adp_bus_err | HRESP_i; end - end else begin ADP_BUSWRITEINC_next(); adp_count_dec <= 1; end // extend request -`endif - - // >>>>>>>>>>>>>>>> ADP MISC >>>>>>>>>>>>>>>>>>>>>> - - ADP_UNKNOWN: // output "?" - if (com_tx_done) begin ADP_LINEACK_next(); end - else com_tx_req <= 1; // extend stream request if not ready - - ADP_EXIT: // exit ADP mode - if (com_tx_done) adp_state <= STD_IOCHK; - else com_tx_req <= 1; // extend stream request if not ready - - ADP_SYSCHK: // check STDIN fifo - begin // no upper flags so STDIN char - if (std_tx_ack) begin std_tx_req <=1; std_tx_byte <= adp_param[7:0]; adp_state <= ADP_STDIN; end - else begin ADP_txchar_next(8'h0A); com_tx_req <= 1; adp_state <= ADP_LINEACK; end // newline and prompt - end - ADP_STDIN: // push char into STDIN - if (std_tx_done) begin adp_bus_data <= adp_param[7:0]; ADP_txchar_next("S"); com_tx_req <= 1; adp_state <= ADP_ECHOCMDSP; end - else std_tx_req <= 1; // extend - - ADP_SYSCTL: // read current status - and report in hex - begin adp_bus_data <= {GPI8_i[7:0],adp_sys[7:0],adp_param[15:0]}; ADP_txchar_next("C"); com_tx_req <= 1; adp_state <= ADP_ECHOCMDSP; end - - ADP_ECHOCMD: // output command and (param) data - begin adp_state <= ADP_ECHOCMDSP; ADP_txchar_next(adp_cmd & 8'h5f); adp_bus_data <= adp_param[31:0]; end // output command char - ADP_ECHOCMDSP: // output command space and (bus) data - if (com_tx_done) begin adp_state <= ADP_WRITEHEXS; ADP_txchar_next(adp_bus_err ? "!" : " "); end // output space char, or "!" on bus error - else com_tx_req <= 1; // extend - - ADP_WRITEHEX: // output hex word with prefix - begin adp_state <= ADP_WRITEHEXS; ADP_txchar_next(adp_bus_err ? "!" : " "); end // output space char, or "!" on bus error - - ADP_WRITEHEXS: - if (com_tx_done) begin adp_state <= ADP_WRITEHEX9; ADP_txchar_next("0"); end // output "0" hex prefix - else com_tx_req <= 1; // extend - ADP_WRITEHEX9: - if (com_tx_done) begin adp_state <= ADP_WRITEHEX8; ADP_txchar_next("x"); end // output "x" hex prefix - else com_tx_req <= 1; // extend - ADP_WRITEHEX8: - if (com_tx_done) begin adp_state <= ADP_WRITEHEX7; ADP_hexdigit_next(adp_bus_data[31:28]); end // hex nibble 7 - else com_tx_req <= 1; // extend - ADP_WRITEHEX7: // output hex nibble 7 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX6; ADP_hexdigit_next(adp_bus_data[27:24]); end // hex nibble 6 - else com_tx_req <= 1; // extend - ADP_WRITEHEX6: // output hex nibble 6 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX5; ADP_hexdigit_next(adp_bus_data[23:20]); end // hex nibble 5 - else com_tx_req <= 1; // extend - ADP_WRITEHEX5: // output hex nibble 5 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX4; ADP_hexdigit_next(adp_bus_data[19:16]); end // hex nibble 4 - else com_tx_req <= 1; // extend - ADP_WRITEHEX4: // output hex nibble 4 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX3; ADP_hexdigit_next(adp_bus_data[15:12]); end // hex nibble 3 - else com_tx_req <= 1; // extend - ADP_WRITEHEX3: // output hex nibble 3 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX2; ADP_hexdigit_next(adp_bus_data[11: 8]); end // hex nibble 2 - else com_tx_req <= 1; // extend - ADP_WRITEHEX2: // output hex nibble 2 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX1; ADP_hexdigit_next(adp_bus_data[ 7: 4]); end // hex nibble 1 - else com_tx_req <= 1; // extend - ADP_WRITEHEX1: // output hex nibble 1 - if (com_tx_done) begin adp_state <= ADP_WRITEHEX0; ADP_hexdigit_next(adp_bus_data[ 3: 0]); end // hex nibble 0 - else com_tx_req <= 1; // extend - ADP_WRITEHEX0: // output hex nibble 0 (if not startup banner then scan to end of line before lineack - if (com_tx_done) begin - adp_bus_err <= 1'b0; // clear sticky bus error flag - if (banner) begin ADP_LINEACK_next(); end - else begin ADP_txchar_next(8'h0A); com_tx_req <= 1; adp_state <= ADP_LINEACK; end // newline and prompt - end else com_tx_req <= 1; // extend - - ADP_LINEACK: // write EOLN - if (com_tx_done) begin - begin ADP_txchar_next(8'h0D); adp_state <= ADP_LINEACK2; end - end else com_tx_req <= 1; // extend - ADP_LINEACK2: // CR - if (com_tx_done) begin - if (banner) begin banner <= 0; adp_state <= STD_IOCHK; end - else begin ADP_txchar_next(PROMPT_CHAR); adp_state <= ADP_PROMPT; end - end else com_tx_req <= 1; // extend - default: - begin ADP_txchar_next("#"); adp_state <= ADP_UNKNOWN; end // default error - endcase - end - -endmodule - -////AHBLITE_ADPMASTER instancing -//ADPmaster -// #(.PROMPT_CHAR ("]")) -// ADPmaster( -// .HCLK (ahb_hclk ), -// .HRESETn (ahb_hrestn ), -// .HADDR32_o (ahb_haddr ), -// .HBURST3_o (ahb_hburst ), -// .HMASTLOCK_o (ahb_hmastlock ), -// .HPROT4_o (ahb_hprot ), -// .HSIZE3_o (ahb_hsize ), -// .HTRANS2_o (ahb_htrans ), -// .HWDATA32_o (ahb_hwdata ), -// .HWRITE_o (ahb_hwrite ), -// .HRDATA32_i (ahb_hrdata ), -// .HREADY_i (ahb_hready ), -// .HRESP_i (ahb_hresp ), - -// .COMRX_TREADY_o(com_rx_tready), -// .COMRX_TDATA_i(com_rx_tdata), -// .COMRX_TVALID_i(com_rx_tvalid), -// .STDRX_TREADY_o(std_rx_tready), -// .STDRX_TDATA_i(std_rx_tdata), -// .STDRX_TVALID_i(std_rx_tvalid), -// .COMTX_TVALID_o(com_tx_tvalid), -// .COMTX_TDATA_o(com_tx_tdata), -// .COMTX_TREADY_i(com_tx_tready), -// .STDTX_TVALID_o(std_tx_tvalid), -// .STDTX_TDATA_o(std_tx_tdata), -// .STDTX_TREADY_i(std_tx_tready) - -// ); +//----------------------------------------------------------------------------- +// soclabs ASCII Debug Protocol controller +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// David Flynn (d.w.flynn@soton.ac.uk) +// +// Copyright (C) 2021-3, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- + + +//`define ADPBASIC 1 + +module ADPmanager // AHB initiator interface + #(parameter PROMPT_CHAR = "]" + ) + ( input wire HCLK, + input wire HRESETn, + output wire [31:0] HADDR32_o, + output wire [ 2:0] HBURST3_o, + output wire HMASTLOCK_o, + output wire [ 3:0] HPROT4_o, + output wire [ 2:0] HSIZE3_o, + output wire [ 1:0] HTRANS2_o, + output wire [31:0] HWDATA32_o, + output wire HWRITE_o, + input wire [31:0] HRDATA32_i, + input wire HREADY_i, + input wire HRESP_i, +// COMIO interface + output wire [ 7:0] GPO8_o, + input wire [ 7:0] GPI8_i, +// input wire COM_RXE_i, + input wire [ 7:0] COMRX_TDATA_i, + input wire COMRX_TVALID_i, + output wire COMRX_TREADY_o, +// input wire COM_TXF_i, + output wire [ 7:0] COMTX_TDATA_o, + output wire COMTX_TVALID_o, + input wire COMTX_TREADY_i, +// STDIO interface +// input wire STDOUT_RXE_i, + input wire [ 7:0] STDRX_TDATA_i, + input wire STDRX_TVALID_i, + output wire STDRX_TREADY_o, +// input wire STDIN_TXF_i + output wire [ 7:0] STDTX_TDATA_o, + output wire STDTX_TVALID_o, + input wire STDTX_TREADY_i +); + +wire COM_RXE_i = !COMRX_TVALID_i; +wire COM_TXF_i = !COMTX_TREADY_i; + +//wire adp_rx_req = COMRX_TVALID_i & COMRX_TREADY_o; +//wire std_rx_req = STDRX_TVALID_i & STDRX_TREADY_o; + + +wire STD_TXF_i = !STDTX_TREADY_i; +wire STD_RXE_i = !STDRX_TVALID_i; + +`ifdef ADPBASIC + localparam BANNERHEX = 32'h50c1ab01; +`else + localparam BANNERHEX = 32'h50c1ab03; +`endif + +localparam CMD_bad = 4'b0000; +localparam CMD_A = 4'b0001; // set Address +localparam CMD_C = 4'b0010; // Control +localparam CMD_R = 4'b0011; // Read word, addr++ +localparam CMD_S = 4'b0100; // Status/STDIN +localparam CMD_W = 4'b0100; // Write word, addr++ +localparam CMD_X = 4'b0101; // eXit +`ifndef ADPBASIC +localparam CMD_F = 4'b1000; // Fill (wordocunt) from addr++ +localparam CMD_M = 4'b1001; // set read Mask +localparam CMD_P = 4'b1010; // Poll hardware (count) +localparam CMD_U = 4'b1011; // (Binary) Upload (wordocunt) from addr++ +localparam CMD_V = 4'b1100; // match Value +`endif + + +function FNvalid_adp_entry; // Escape char +input [7:0] char8; + FNvalid_adp_entry = (char8[7:0] == 8'h1b); +endfunction + +function [3:0] FNvalid_cmd; +input [7:0] char8; +case (char8[7:0]) +"A": FNvalid_cmd = CMD_A; +"a": FNvalid_cmd = CMD_A; +"C": FNvalid_cmd = CMD_C; +"c": FNvalid_cmd = CMD_C; +"R": FNvalid_cmd = CMD_R; +"r": FNvalid_cmd = CMD_R; +"S": FNvalid_cmd = CMD_S; +"s": FNvalid_cmd = CMD_S; +"W": FNvalid_cmd = CMD_W; +"w": FNvalid_cmd = CMD_W; +"X": FNvalid_cmd = CMD_X; +"x": FNvalid_cmd = CMD_X; +`ifndef ADPBASIC +"F": FNvalid_cmd = CMD_F; +"f": FNvalid_cmd = CMD_F; +"M": FNvalid_cmd = CMD_M; +"m": FNvalid_cmd = CMD_M; +"P": FNvalid_cmd = CMD_P; +"p": FNvalid_cmd = CMD_P; +"U": FNvalid_cmd = CMD_U; +"u": FNvalid_cmd = CMD_U; +"V": FNvalid_cmd = CMD_V; +"v": FNvalid_cmd = CMD_V; +`endif +default: + FNvalid_cmd = 0; +endcase +endfunction + +function FNvalid_space; // space or tab char +input [7:0] char8; + FNvalid_space = ((char8[7:0] == 8'h20) || (char8[7:0] == 8'h09)); +endfunction + +function FNnull; // space or tab char +input [7:0] char8; + FNnull = (char8[7:0] == 8'h00); +endfunction + +function FNexit; // EOF +input [7:0] char8; + FNexit = ((char8[7:0] == 8'h04) || (char8[7:0] == 8'h00)); +endfunction + +function FNvalid_EOL; // CR or LF +input [7:0] char8; + FNvalid_EOL = ((char8[7:0] == 8'h0a) || (char8[7:0] == 8'h0d)); +endfunction + +function FNuppercase; +input [7:0] char8; + FNuppercase = (char8[6]) ? (char8 & 8'h5f) : (char8); +endfunction + +function [63:0] FNBuild_param64_hexdigit; +input [63:0] param64; +input [7:0] char8; +case (char8[7:0]) +"\t":FNBuild_param64_hexdigit = 64'b0; // tab starts new (zeroed) param64 +" ": FNBuild_param64_hexdigit = 64'b0; // space starts new (zeroed) param64 +"x": FNBuild_param64_hexdigit = 64'b0; // hex prefix starts new (zeroed) param64 +"X": FNBuild_param64_hexdigit = 64'b0; // hex prefix starts new (zeroed) param64 +"0": FNBuild_param64_hexdigit = {param64[59:0],4'b0000}; +"1": FNBuild_param64_hexdigit = {param64[59:0],4'b0001}; +"2": FNBuild_param64_hexdigit = {param64[59:0],4'b0010}; +"3": FNBuild_param64_hexdigit = {param64[59:0],4'b0011}; +"4": FNBuild_param64_hexdigit = {param64[59:0],4'b0100}; +"5": FNBuild_param64_hexdigit = {param64[59:0],4'b0101}; +"6": FNBuild_param64_hexdigit = {param64[59:0],4'b0110}; +"7": FNBuild_param64_hexdigit = {param64[59:0],4'b0111}; +"8": FNBuild_param64_hexdigit = {param64[59:0],4'b1000}; +"9": FNBuild_param64_hexdigit = {param64[59:0],4'b1001}; +"A": FNBuild_param64_hexdigit = {param64[59:0],4'b1010}; +"B": FNBuild_param64_hexdigit = {param64[59:0],4'b1011}; +"C": FNBuild_param64_hexdigit = {param64[59:0],4'b1100}; +"D": FNBuild_param64_hexdigit = {param64[59:0],4'b1101}; +"E": FNBuild_param64_hexdigit = {param64[59:0],4'b1110}; +"F": FNBuild_param64_hexdigit = {param64[59:0],4'b1111}; +"a": FNBuild_param64_hexdigit = {param64[59:0],4'b1010}; +"b": FNBuild_param64_hexdigit = {param64[59:0],4'b1011}; +"c": FNBuild_param64_hexdigit = {param64[59:0],4'b1100}; +"d": FNBuild_param64_hexdigit = {param64[59:0],4'b1101}; +"e": FNBuild_param64_hexdigit = {param64[59:0],4'b1110}; +"f": FNBuild_param64_hexdigit = {param64[59:0],4'b1111}; +default: FNBuild_param64_hexdigit = param64; // EOL etc returns param64 unchanged +endcase +endfunction + +function [63:0] FNBuild_param64_byte; +input [63:0] param64; +input [7:0] byte; + FNBuild_param64_byte = {byte[7:0], param64[63:08]}; +endfunction + +function [31:0] FNBuild_param32_hexdigit; +input [31:0] param32; +input [7:0] char8; +case (char8[7:0]) +"\t":FNBuild_param32_hexdigit = 32'b0; // tab starts new (zeroed) param32 +" ": FNBuild_param32_hexdigit = 32'b0; // space starts new (zeroed) param32 +"x": FNBuild_param32_hexdigit = 32'b0; // hex prefix starts new (zeroed) param32 +"X": FNBuild_param32_hexdigit = 32'b0; // hex prefix starts new (zeroed) param32 +"0": FNBuild_param32_hexdigit = {param32[27:0],4'b0000}; +"1": FNBuild_param32_hexdigit = {param32[27:0],4'b0001}; +"2": FNBuild_param32_hexdigit = {param32[27:0],4'b0010}; +"3": FNBuild_param32_hexdigit = {param32[27:0],4'b0011}; +"4": FNBuild_param32_hexdigit = {param32[27:0],4'b0100}; +"5": FNBuild_param32_hexdigit = {param32[27:0],4'b0101}; +"6": FNBuild_param32_hexdigit = {param32[27:0],4'b0110}; +"7": FNBuild_param32_hexdigit = {param32[27:0],4'b0111}; +"8": FNBuild_param32_hexdigit = {param32[27:0],4'b1000}; +"9": FNBuild_param32_hexdigit = {param32[27:0],4'b1001}; +"A": FNBuild_param32_hexdigit = {param32[27:0],4'b1010}; +"B": FNBuild_param32_hexdigit = {param32[27:0],4'b1011}; +"C": FNBuild_param32_hexdigit = {param32[27:0],4'b1100}; +"D": FNBuild_param32_hexdigit = {param32[27:0],4'b1101}; +"E": FNBuild_param32_hexdigit = {param32[27:0],4'b1110}; +"F": FNBuild_param32_hexdigit = {param32[27:0],4'b1111}; +"a": FNBuild_param32_hexdigit = {param32[27:0],4'b1010}; +"b": FNBuild_param32_hexdigit = {param32[27:0],4'b1011}; +"c": FNBuild_param32_hexdigit = {param32[27:0],4'b1100}; +"d": FNBuild_param32_hexdigit = {param32[27:0],4'b1101}; +"e": FNBuild_param32_hexdigit = {param32[27:0],4'b1110}; +"f": FNBuild_param32_hexdigit = {param32[27:0],4'b1111}; +default: FNBuild_param32_hexdigit = param32; // EOL etc returns param32 unchanged +endcase +endfunction + +function [31:0] FNBuild_param32_byte; +input [31:0] param32; +input [7:0] byte; + FNBuild_param32_byte = {byte[7:0], param32[31:08]}; +endfunction + + + +function [7:0] FNmap_hex_digit; +input [3:0] nibble; +case (nibble[3:0]) +4'b0000: FNmap_hex_digit = "0"; +4'b0001: FNmap_hex_digit = "1"; +4'b0010: FNmap_hex_digit = "2"; +4'b0011: FNmap_hex_digit = "3"; +4'b0100: FNmap_hex_digit = "4"; +4'b0101: FNmap_hex_digit = "5"; +4'b0110: FNmap_hex_digit = "6"; +4'b0111: FNmap_hex_digit = "7"; +4'b1000: FNmap_hex_digit = "8"; +4'b1001: FNmap_hex_digit = "9"; +4'b1010: FNmap_hex_digit = "a"; +4'b1011: FNmap_hex_digit = "b"; +4'b1100: FNmap_hex_digit = "c"; +4'b1101: FNmap_hex_digit = "d"; +4'b1110: FNmap_hex_digit = "e"; +4'b1111: FNmap_hex_digit = "f"; +default: FNmap_hex_digit = "0"; +endcase +endfunction + + +// as per Vivado synthesis mapping +`ifdef ADPFSMDESIGN +localparam ADP_WRITEHEX = 6'b000000 ; +localparam ADP_WRITEHEXS = 6'b000001 ; +localparam ADP_WRITEHEX9 = 6'b000010 ; +localparam ADP_WRITEHEX8 = 6'b000011 ; +localparam ADP_WRITEHEX7 = 6'b000100 ; +localparam ADP_WRITEHEX6 = 6'b000101 ; +localparam ADP_WRITEHEX5 = 6'b000110 ; +localparam ADP_WRITEHEX4 = 6'b000111 ; +localparam ADP_WRITEHEX3 = 6'b001000 ; +localparam ADP_WRITEHEX2 = 6'b001001 ; +localparam ADP_WRITEHEX1 = 6'b001010 ; +localparam ADP_WRITEHEX0 = 6'b001011 ; +localparam ADP_LINEACK = 6'b001100 ; +localparam ADP_LINEACK2 = 6'b001101 ; +localparam ADP_PROMPT = 6'b001110 ; +localparam ADP_IOCHK = 6'b001111 ; +localparam ADP_STDOUT = 6'b010000 ; +localparam ADP_STDOUT1 = 6'b010001 ; +localparam ADP_STDOUT2 = 6'b010010 ; +localparam ADP_STDOUT3 = 6'b010011 ; +localparam ADP_RXCMD = 6'b010100 ; +localparam ADP_RXPARAM = 6'b010101 ; +localparam ADP_ACTION = 6'b010110 ; +localparam ADP_SYSCTL = 6'b010111 ; +localparam ADP_READ = 6'b011000 ; +localparam ADP_SYSCHK = 6'b011001 ; +localparam ADP_STDIN = 6'b011010 ; +localparam ADP_WRITE = 6'b011011 ; +localparam ADP_EXIT = 6'b011100 ; +localparam STD_IOCHK = 6'b011101 ; +localparam STD_RXD1 = 6'b011110 ; +localparam STD_RXD2 = 6'b011111 ; +localparam STD_TXD1 = 6'b100000 ; +localparam STD_TXD2 = 6'b100001 ; +localparam ADP_UCTRL = 6'b100010 ; +localparam ADP_UREADB0 = 6'b100011 ; +localparam ADP_UREADB1 = 6'b100100 ; +localparam ADP_UREADB2 = 6'b100101 ; +localparam ADP_UREADB3 = 6'b100110 ; +localparam ADP_UWRITE = 6'b100111 ; +localparam ADP_POLL = 6'b101000 ; +localparam ADP_POLL1 = 6'b101001 ; +localparam ADP_POLL2 = 6'b101010 ; +localparam ADP_FCTRL = 6'b101011 ; +localparam ADP_FWRITE = 6'b101100 ; +localparam ADP_ECHOCMD = 6'b101101 ; +localparam ADP_ECHOBUS = 6'b101110 ; +localparam ADP_UNKNOWN = 6'b101111 ; +reg [5:0] adp_state ; +`else +// one-hot encoded explicitly +localparam ADP_WRITEHEX = 48'b000000000000000000000000000000000000000000000001 ; // = 6'b000000 +localparam ADP_WRITEHEXS = 48'b000000000000000000000000000000000000000000000010 ; // = 6'b000001 +localparam ADP_WRITEHEX9 = 48'b000000000000000000000000000000000000000000000100 ; // = 6'b000010 +localparam ADP_WRITEHEX8 = 48'b000000000000000000000000000000000000000000001000 ; // = 6'b000011 +localparam ADP_WRITEHEX7 = 48'b000000000000000000000000000000000000000000010000 ; // = 6'b000100 +localparam ADP_WRITEHEX6 = 48'b000000000000000000000000000000000000000000100000 ; // = 6'b000101 +localparam ADP_WRITEHEX5 = 48'b000000000000000000000000000000000000000001000000 ; // = 6'b000110 +localparam ADP_WRITEHEX4 = 48'b000000000000000000000000000000000000000010000000 ; // = 6'b000111 +localparam ADP_WRITEHEX3 = 48'b000000000000000000000000000000000000000100000000 ; // = 6'b001000 +localparam ADP_WRITEHEX2 = 48'b000000000000000000000000000000000000001000000000 ; // = 6'b001001 +localparam ADP_WRITEHEX1 = 48'b000000000000000000000000000000000000010000000000 ; // = 6'b001010 +localparam ADP_WRITEHEX0 = 48'b000000000000000000000000000000000000100000000000 ; // = 6'b001011 +localparam ADP_LINEACK = 48'b000000000000000000000000000000000001000000000000 ; // = 6'b001100 +localparam ADP_LINEACK2 = 48'b000000000000000000000000000000000010000000000000 ; // = 6'b001101 +localparam ADP_PROMPT = 48'b000000000000000000000000000000000100000000000000 ; // = 6'b001110 +localparam ADP_IOCHK = 48'b000000000000000000000000000000001000000000000000 ; // = 6'b001111 +localparam ADP_STDOUT = 48'b000000000000000000000000000000010000000000000000 ; // = 6'b010000 +localparam ADP_STDOUT1 = 48'b000000000000000000000000000000100000000000000000 ; // = 6'b010001 +localparam ADP_STDOUT2 = 48'b000000000000000000000000000001000000000000000000 ; // = 6'b010010 +localparam ADP_STDOUT3 = 48'b000000000000000000000000000010000000000000000000 ; // = 6'b010011 +localparam ADP_RXCMD = 48'b000000000000000000000000000100000000000000000000 ; // = 6'b010100 +localparam ADP_RXPARAM = 48'b000000000000000000000000001000000000000000000000 ; // = 6'b010101 +localparam ADP_ACTION = 48'b000000000000000000000000010000000000000000000000 ; // = 6'b010110 +localparam ADP_SYSCTL = 48'b000000000000000000000000100000000000000000000000 ; // = 6'b010111 +localparam ADP_READ = 48'b000000000000000000000001000000000000000000000000 ; // = 6'b011000 +localparam ADP_SYSCHK = 48'b000000000000000000000010000000000000000000000000 ; // = 6'b011001 +localparam ADP_STDIN = 48'b000000000000000000000100000000000000000000000000 ; // = 6'b011010 +localparam ADP_WRITE = 48'b000000000000000000001000000000000000000000000000 ; // = 6'b011011 +localparam ADP_EXIT = 48'b000000000000000000010000000000000000000000000000 ; // = 6'b011100 +localparam STD_IOCHK = 48'b000000000000000000100000000000000000000000000000 ; // = 6'b011101 +localparam STD_RXD1 = 48'b000000000000000001000000000000000000000000000000 ; // = 6'b011110 +localparam STD_RXD2 = 48'b000000000000000010000000000000000000000000000000 ; // = 6'b011111 +localparam STD_TXD1 = 48'b000000000000000100000000000000000000000000000000 ; // = 6'b100000 +localparam STD_TXD2 = 48'b000000000000001000000000000000000000000000000000 ; // = 6'b100001 +localparam ADP_UCTRL = 48'b000000000000010000000000000000000000000000000000 ; // = 6'b100010 +localparam ADP_UREADB0 = 48'b000000000000100000000000000000000000000000000000 ; // = 6'b100011 +localparam ADP_UREADB1 = 48'b000000000001000000000000000000000000000000000000 ; // = 6'b100100 +localparam ADP_UREADB2 = 48'b000000000010000000000000000000000000000000000000 ; // = 6'b100101 +localparam ADP_UREADB3 = 48'b000000000100000000000000000000000000000000000000 ; // = 6'b100110 +localparam ADP_UWRITE = 48'b000000001000000000000000000000000000000000000000 ; // = 6'b100111 +localparam ADP_POLL = 48'b000000010000000000000000000000000000000000000000 ; // = 6'b101000 +localparam ADP_POLL1 = 48'b000000100000000000000000000000000000000000000000 ; // = 6'b101001 +localparam ADP_POLL2 = 48'b000001000000000000000000000000000000000000000000 ; // = 6'b101010 +localparam ADP_FCTRL = 48'b000010000000000000000000000000000000000000000000 ; // = 6'b101011 +localparam ADP_FWRITE = 48'b000100000000000000000000000000000000000000000000 ; // = 6'b101100 +localparam ADP_ECHOCMD = 48'b001000000000000000000000000000000000000000000000 ; // = 6'b101101 +localparam ADP_ECHOBUS = 48'b010000000000000000000000000000000000000000000000 ; // = 6'b101110 +localparam ADP_UNKNOWN = 48'b100000000000000000000000000000000000000000000000 ; // = 6'b101111 +reg [47:0] adp_state ; +`endif + +reg [31:0] adp_bus_data; +reg banner ; +reg com_tx_req ; +reg [7:0] com_tx_byte ; +reg com_rx_ack ; +reg std_tx_req ; +reg [ 7:0] std_tx_byte; +reg std_rx_ack ; +reg adp_bus_req ; +reg adp_bus_write ; +reg adp_bus_err ; +reg [7:0] adp_cmd ; +reg [32:0] adp_param ; +reg [31:0] adp_addr ; +reg adp_addr_inc; +reg [31:0] adp_sys ; + +assign GPO8_o = adp_sys[7:0]; + +// ADP RX stream +wire com_rx_req = COMRX_TVALID_i; +wire [ 7:0] com_rx_byte = COMRX_TDATA_i; +assign COMRX_TREADY_o = com_rx_ack; +// ADP TX stream +wire com_tx_ack = COMTX_TREADY_i; +assign COMTX_TDATA_o = com_tx_byte; +assign COMTX_TVALID_o = com_tx_req; +// STD RX stream (from STDOUT) +wire std_rx_req = STDRX_TVALID_i; +wire [ 7:0] std_rx_byte = STDRX_TDATA_i; +assign STDRX_TREADY_o = std_rx_ack; +// STD TX stream (to STDIN) +wire std_tx_ack = STDTX_TREADY_i; +assign STDTX_TDATA_o = std_tx_byte; +assign STDTX_TVALID_o = std_tx_req; + +//AMBA AHB master as "stream" interface +reg ahb_dphase; +wire ahb_aphase = adp_bus_req & !ahb_dphase; +wire adp_bus_ack = ahb_dphase & HREADY_i; +// control pipe +always @(posedge HCLK or negedge HRESETn) + if(!HRESETn) + ahb_dphase <= 0; + else if (HREADY_i) + ahb_dphase <= (ahb_aphase); + +assign HADDR32_o = adp_addr; +assign HBURST3_o = 3'b001; // "INCR" burst signalled whenever transfer; +assign HMASTLOCK_o = 1'b0; +assign HPROT4_o[3:0] = {1'b0, 1'b0, 1'b1, 1'b1}; +assign HSIZE3_o[2:0] = {1'b0, 2'b10}; +assign HTRANS2_o = {ahb_aphase,1'b0}; // non-seq +assign HWDATA32_o = adp_bus_data; +assign HWRITE_o = adp_bus_write; + + +`ifndef ADPBASIC +reg [31:0] adp_val; +reg [31:0] adp_mask; +reg [31:0] adp_poll; +reg [31:0] adp_count; +reg adp_count_dec ; +wire adp_delay_done; +wire poll2_loop_next; +`endif + +// ADP_control flags in the 'C' control field +wire adp_disable; +wire adp_stdin_wait; + +// commnon interface handshake terms +wire com_rx_done = COMRX_TVALID_i & COMRX_TREADY_o; +wire com_tx_done = COMTX_TVALID_o & COMTX_TREADY_i; +wire std_rx_done = STDRX_TVALID_i & STDRX_TREADY_o; +wire std_tx_done = STDTX_TVALID_o & STDTX_TREADY_i; +wire adp_bus_done = (adp_bus_req & adp_bus_ack); + +// common task to set up for next state +task ADP_LINEACK_next; // prepare newline TX (and cancel any startup banner) +// begin com_tx_req <= 1; com_tx_byte <= 8'h0A; banner <= 0; adp_state <= ADP_LINEACK; end + begin com_tx_req <= 1; com_tx_byte <= 8'h0A; adp_state <= ADP_LINEACK; end +endtask +task ADP_PROMPT_next; // prepare prompt TX + begin com_tx_req <= 1; com_tx_byte <= PROMPT_CHAR; adp_state <= ADP_PROMPT; end +endtask +task ADP_BUSWRITEINC_next; // prepare bus write and addr++ on completion + begin adp_bus_req <=1; adp_bus_write <=1; adp_addr_inc <=1; end +endtask +task ADP_BUSREADINC_next; // prepare bus read and addr++ on completion + begin adp_bus_req <=1; adp_bus_write <=0; adp_addr_inc <=1; end +endtask + +task ADP_hexdigit_next; // output nibble +input [3:0] nibble; + begin com_tx_req <= 1; com_tx_byte <= FNmap_hex_digit(nibble[3:0]); end +endtask +task ADP_txchar_next; // output char +input [7:0] byte; + begin com_tx_req<= 1; com_tx_byte <= byte; end +endtask + +task com_rx_nxt; com_rx_ack <=1; endtask + +function FNcount_down_zero_next; // param about to be zero +input [31:0] counter; + FNcount_down_zero_next = !(|counter[31:1]); +endfunction + +always @(posedge HCLK or negedge HRESETn) + if(!HRESETn) begin + adp_state <= ADP_WRITEHEX ; + adp_bus_data <= BANNERHEX; + banner <= 1; // start-up HEX message + com_tx_req <= 0; // default no TX req + com_rx_ack <= 0; // default no RX ack + std_tx_req <= 0; // default no TX req + std_rx_ack <= 0; // default no RX ack + adp_bus_req <= 0; // default no bus transaction + adp_bus_err <= 0; // default no bus error + adp_cmd <= 0; + adp_param <= 0; + adp_addr <= 0; + adp_addr_inc <= 0; + adp_bus_write<= 0; +`ifndef ADPBASIC + adp_count <= 0; + adp_count_dec<= 0; + adp_val <= 0; + adp_mask <= 0; + adp_sys <= 0; +`endif + end else begin // default states + adp_state <= adp_state; // default to hold current state + com_tx_req <= 0; // default no TX req + com_rx_ack <= 0; // default no RX ack + std_tx_req <= 0; // default no TX req + std_rx_ack <= 0; // default no RX ack + adp_bus_req <= 0; // default no bus transaction + adp_addr <= (adp_addr_inc & adp_bus_done) ? adp_addr + 4 : adp_addr; // address++ + adp_addr_inc <= 0; +`ifndef ADPBASIC + adp_count <= (adp_count_dec & adp_bus_done & |adp_count) ? adp_count - 1 : adp_count; // param-- + adp_count_dec<= 0; +`endif + case (adp_state) +// >>>>>>>>>>>>>>>> STDIO BYPASS >>>>>>>>>>>>>>>>>>>>>> + STD_IOCHK: // check for commsrx or STDOUT and not busy service, else loop back + if (com_rx_req) begin com_rx_ack <= 1; adp_state <= STD_RXD1; end // input char pending for STDIN +// else if (com_tx_ack & std_rx_req) begin std_rx_ack <= 1; adp_state <= STD_TXD1; end // STDOUT char pending and not busy + else if (std_rx_req) begin std_rx_ack <= 1; adp_state <= STD_TXD1; end // STDOUT char pending + STD_TXD1: // get STD out char + if (std_rx_done) + begin com_tx_byte <= std_rx_byte; com_tx_req <= 1; adp_state <= STD_TXD2; end + else std_rx_ack <= 1; // extend + STD_TXD2: // output char to ADP channel + if (com_tx_done) begin adp_state <= STD_IOCHK; end + else com_tx_req <= 1; // extend + STD_RXD1: // read rx char and check for ADP entry else STDIN ** + if (com_rx_done) begin + if (FNvalid_adp_entry(com_rx_byte)) + begin ADP_txchar_next(8'h0A); adp_state <= ADP_LINEACK; end // ADP prompt + else if (std_tx_ack) + begin std_tx_byte <= com_rx_byte; std_tx_req <= 1; adp_state <= STD_RXD2; end + else adp_state <= STD_IOCHK; // otherwise discard STDIN char and process OP data if blocked + end else com_rx_ack <= 1; // extend + STD_RXD2: // get STD in char + if (std_tx_done) begin adp_state <= STD_IOCHK; end + else std_tx_req <= 1; // extend + +// >>>>>>>>>>>>>>>> ADP Monitor >>>>>>>>>>>>>>>>>>>>>> + ADP_PROMPT: // transition after reset deassertion + if (com_tx_done) begin adp_state <= ADP_IOCHK; end + else com_tx_req <= 1; // extend + + ADP_IOCHK: // check for commsrx or STDOUT and not busy service, else loop back + if (std_rx_req) begin com_tx_byte <= "<"; com_tx_req <= 1; adp_state <= ADP_STDOUT; end + else if (com_rx_req) begin com_rx_ack <= 1; adp_state <= ADP_RXCMD; end + +// >>>>>>>>>>>>>>>> ADP <STDOUT> >>>>>>>>>>>>>>>>>>>>>> + ADP_STDOUT: // output "<" + if (com_tx_done) begin std_rx_ack <= 1; adp_state <= ADP_STDOUT1; end + else com_tx_req <= 1; // extend stream request if not ready + ADP_STDOUT1: // get STD out char + if (std_rx_done) begin com_tx_byte <= std_rx_byte; com_tx_req <= 1; adp_state <= ADP_STDOUT2; end + else std_rx_ack <= 1; // else extend + ADP_STDOUT2: // output char + if (com_tx_done) begin com_tx_byte <= ">"; com_tx_req <= 1; adp_state <= ADP_STDOUT3; end + else com_tx_req <= 1; // else extend + ADP_STDOUT3: // output ">" + if (com_tx_done) begin if (com_rx_req) begin com_rx_ack <= 1; adp_state <= ADP_RXCMD; end else adp_state <= ADP_IOCHK; end + else com_tx_req <= 1; // else extend + +// >>>>>>>>>>>>>>>> ADP COMMAND PARSING >>>>>>>>>>>>>>>>>>>>>> + ADP_RXCMD: // read and save ADP command + if (com_rx_done) begin + if (FNexit(com_rx_byte)) adp_state <= STD_IOCHK; // immediate exit + else if (FNvalid_space(com_rx_byte)) com_rx_ack <= 1; // retry for a command + else if (FNvalid_EOL(com_rx_byte)) begin adp_cmd <= "?"; adp_state <= ADP_ACTION; end // no command, skip param + else begin adp_cmd <= com_rx_byte; adp_param <= 33'h1_00000000; com_rx_ack <= 1; adp_state <= ADP_RXPARAM; end // get optional parameter + end + else com_rx_ack <= 1; // extend stream request if not ready + ADP_RXPARAM: // read and build hex parameter + if (com_rx_done) begin // RX byte + if (FNexit(com_rx_byte)) adp_state <= STD_IOCHK; // exit + else if (FNvalid_EOL(com_rx_byte)) +`ifndef ADPBASIC + begin adp_count <= adp_param[31:0]; adp_state <= ADP_ACTION; end // parameter complete on EOL +`else + begin adp_state <= ADP_ACTION; end // parameter complete on EOL +`endif + else + begin adp_param <= {1'b0,FNBuild_param32_hexdigit(adp_param[31:0], com_rx_byte)}; com_rx_ack <= 1; end // build parameter + end + else com_rx_ack <= 1; + + ADP_ACTION: // parse command and action with parameter + if (FNexit(com_rx_byte)) + adp_state <= STD_IOCHK; + else if (FNvalid_cmd(adp_cmd) == CMD_A) + begin if (adp_param[32] == 1'b1) adp_param <= {1'b0, adp_addr}; else adp_addr <= adp_param[31:0]; + adp_state <= ADP_ECHOCMD; end + else if (FNvalid_cmd(adp_cmd) == CMD_C) begin + if (adp_param[32]) // report GPO + begin adp_state <= ADP_SYSCTL; end + else if (adp_param[31:8] == 1) // clear selected bits in GPO + begin adp_sys[7:0] <= adp_sys[7:0] & ~adp_param[7:0]; adp_state <= ADP_SYSCTL; end + else if (adp_param[31:8] == 2) // set selected bits in GPO + begin adp_sys[7:0] <= adp_sys[7:0] | adp_param[7:0]; adp_state <= ADP_SYSCTL; end + else if (adp_param[31:8] == 3) // overwrite bits in GPO + begin adp_sys[7:0] <= adp_param[7:0]; adp_state <= ADP_SYSCTL; end + else // 4 etc, report GPO + begin adp_state <= ADP_SYSCTL; end + end + else if (FNvalid_cmd(adp_cmd) == CMD_R) + begin ADP_BUSREADINC_next(); adp_state <= ADP_READ; +`ifndef ADPBASIC + adp_count_dec <= 1'b1; // optional loop param +`endif + end // no param required + else if (FNvalid_cmd(adp_cmd) == CMD_S) + begin adp_state <= ADP_SYSCHK; end + else if (FNvalid_cmd(adp_cmd) == CMD_W) + begin adp_bus_data <= adp_param[31:0]; ADP_BUSWRITEINC_next(); adp_state <= ADP_WRITE; end + else if (FNvalid_cmd(adp_cmd) == CMD_X) + begin com_tx_byte <= 8'h0a; com_tx_req <= 1; adp_state <= ADP_EXIT; end +`ifndef ADPBASIC + else if (FNvalid_cmd(adp_cmd) == CMD_U) + if (FNcount_down_zero_next(adp_param[31:0])) adp_state <= ADP_ECHOCMD; else adp_state <= ADP_UCTRL; // non-zero count + else if (FNvalid_cmd(adp_cmd) == CMD_M) + begin if (adp_param[32] == 1'b1) adp_param <= {1'b0,adp_mask}; else adp_mask <= adp_param[31:0]; + adp_state <= ADP_ECHOCMD; end + else if (FNvalid_cmd(adp_cmd) == CMD_P) + if (FNcount_down_zero_next(adp_param[31:0])) adp_state <= ADP_ECHOCMD; else adp_state <= ADP_POLL; // non-zero count + else if (FNvalid_cmd(adp_cmd) == CMD_V) + begin if (adp_param[32] == 1'b1) adp_param <= {1'b0,adp_val}; else adp_val <= adp_param[31:0]; + adp_state <= ADP_ECHOCMD; end + else if (FNvalid_cmd(adp_cmd) == CMD_F) + if (FNcount_down_zero_next(adp_param[31:0])) adp_state <= ADP_ECHOCMD; else adp_state <= ADP_FCTRL; // non-zero count +`endif + else + begin ADP_txchar_next("?"); adp_state <= ADP_UNKNOWN; end // unrecognised/invald + +// >>>>>>>>>>>>>>>> ADP BUS WRITE and READ >>>>>>>>>>>>>>>>>>>>>> + + ADP_WRITE: // perform bus write at current address pointer (and auto increment) + if (adp_bus_done) begin adp_state <= ADP_ECHOCMD; adp_bus_err <= HRESP_i; end + else begin ADP_BUSWRITEINC_next(); end // extend request + + ADP_READ: // perform bus read at current adp address (and auto increment) - and report in hex + if (adp_bus_done) begin adp_bus_data <= HRDATA32_i; adp_bus_err <= HRESP_i; ADP_txchar_next("R"); adp_state <= ADP_ECHOBUS; end + else begin + ADP_BUSREADINC_next(); +`ifndef ADPBASIC + adp_count_dec<= 1'b1; +`endif + end // extend request + +`ifndef ADPBASIC + +// >>>>>>>>>>>>>>>> ADP BINARY UPLOAD >>>>>>>>>>>>>>>>>>>>>> + ADP_UCTRL: // set control value + begin com_rx_ack <= 1; adp_state <= ADP_UREADB0; end // read next 4 bytes + ADP_UREADB0: // read raw binary byte + if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[31:24] <= com_rx_byte; adp_state <= ADP_UREADB1; end + else com_rx_ack <= 1; // extend stream request if not ready + ADP_UREADB1: // read raw binary byte + if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[23:16] <= com_rx_byte; adp_state <= ADP_UREADB2; end + else com_rx_ack <= 1; // extend stream request if not ready + ADP_UREADB2: // read raw binary byte 0 + if (com_rx_done) begin com_rx_ack <= 1; adp_bus_data[15: 8] <= com_rx_byte; adp_state <= ADP_UREADB3; end + else com_rx_ack <= 1; // extend stream request if not ready + ADP_UREADB3: // read raw binary byte 0 + if (com_rx_done) + begin adp_bus_data[ 7: 0] <= com_rx_byte; ADP_BUSWRITEINC_next(); adp_count_dec <= 1; adp_state <= ADP_UWRITE; end + else com_rx_ack <= 1; // extend stream request if not ready + ADP_UWRITE: // Write word to Addr++ + if (adp_bus_done) begin // auto address++, count-- + if (FNcount_down_zero_next(adp_count)) adp_state <= ADP_ECHOCMD; else begin adp_state <= ADP_UREADB0; adp_bus_err <= adp_bus_err | HRESP_i; end + end else begin ADP_BUSWRITEINC_next(); adp_count_dec <= 1; end // extend request + +// >>>>>>>>>>>>>>>> ADP BUS READ LOOP >>>>>>>>>>>>>>>>>>>>>> + ADP_POLL: // set poll value + begin adp_bus_req <= 1; adp_bus_write <= 0; adp_state <= ADP_POLL1; end + ADP_POLL1: // wait for read data, no addr++ + if (adp_bus_done) begin adp_bus_data <= HRDATA32_i; adp_count_dec <=1; adp_state <= ADP_POLL2; adp_bus_err <= adp_bus_err | HRESP_i; end + else begin adp_bus_req <= 1; adp_count_dec <=1; end + ADP_POLL2: + if (FNcount_down_zero_next(adp_count)) begin adp_state <= ADP_ECHOCMD; adp_bus_err <= 1'b1; end // timeout + else if (((adp_bus_data & adp_mask) ^ adp_val) == 0) begin adp_state <= ADP_ECHOCMD; adp_param <= {1'b0, (adp_param[31:0] - adp_count)}; end // exact match + else adp_state <= ADP_POLL; + +// >>>>>>>>>>>>>>>> ADP (ZERO) FILL MEMORY >>>>>>>>>>>>>>>>>>>>>> + ADP_FCTRL: // set control value + begin adp_bus_data <= adp_val; ADP_BUSWRITEINC_next(); adp_count_dec <= 1; adp_state <= ADP_FWRITE; end + ADP_FWRITE: // Write word to Addr++ + if (adp_bus_done) begin // auto address++, count-- + if (FNcount_down_zero_next(adp_count)) adp_state <= ADP_ECHOCMD; else begin adp_state <= ADP_FCTRL; adp_bus_err <= adp_bus_err | HRESP_i; end + end else begin ADP_BUSWRITEINC_next(); adp_count_dec <= 1; end // extend request +`endif + + // >>>>>>>>>>>>>>>> ADP MISC >>>>>>>>>>>>>>>>>>>>>> + + ADP_UNKNOWN: // output "?" + if (com_tx_done) begin ADP_LINEACK_next(); end + else com_tx_req <= 1; // extend stream request if not ready + + ADP_EXIT: // exit ADP mode + if (com_tx_done) adp_state <= STD_IOCHK; + else com_tx_req <= 1; // extend stream request if not ready + + ADP_SYSCHK: // check STDIN fifo + begin // no upper flags so STDIN char + if (std_tx_ack) begin std_tx_req <=1; std_tx_byte <= adp_param[7:0]; adp_state <= ADP_STDIN; end + else begin adp_bus_err <= 1'b1; adp_state <= ADP_ECHOCMD; end // signal error then echo comand + end + ADP_STDIN: // push char into STDIN + if (std_tx_done) begin adp_bus_data <= {24'b0,adp_param[7:0]}; ADP_txchar_next("S"); adp_state <= ADP_ECHOBUS; end + else std_tx_req <= 1; // extend + + ADP_SYSCTL: // read current status - and report in hex + begin adp_bus_data <= {GPI8_i[7:0],adp_sys[7:0],adp_param[15:0]}; ADP_txchar_next("C"); adp_state <= ADP_ECHOBUS; end + + ADP_ECHOCMD: // output command and (param) data + begin ADP_txchar_next(adp_cmd & 8'h5f); adp_bus_data <= adp_param[31:0]; adp_state <= ADP_ECHOBUS; end // output command char + ADP_ECHOBUS: // output command space and (bus) data + if (com_tx_done) begin adp_state <= ADP_WRITEHEXS; ADP_txchar_next(adp_bus_err ? "!" : " "); end // output space char, or "!" on bus error + else com_tx_req <= 1; // extend + + ADP_WRITEHEX: // output hex word with prefix + begin adp_state <= ADP_WRITEHEXS; ADP_txchar_next(adp_bus_err ? "!" : " "); end // output space char, or "!" on bus error + + ADP_WRITEHEXS: + if (com_tx_done) begin adp_state <= ADP_WRITEHEX9; ADP_txchar_next("0"); end // output "0" hex prefix + else com_tx_req <= 1; // extend + ADP_WRITEHEX9: + if (com_tx_done) begin adp_state <= ADP_WRITEHEX8; ADP_txchar_next("x"); end // output "x" hex prefix + else com_tx_req <= 1; // extend + ADP_WRITEHEX8: + if (com_tx_done) begin adp_state <= ADP_WRITEHEX7; ADP_hexdigit_next(adp_bus_data[31:28]); end // hex nibble 7 + else com_tx_req <= 1; // extend + ADP_WRITEHEX7: // output hex nibble 7 + if (com_tx_done) begin adp_state <= ADP_WRITEHEX6; ADP_hexdigit_next(adp_bus_data[27:24]); end // hex nibble 6 + else com_tx_req <= 1; // extend + ADP_WRITEHEX6: // output hex nibble 6 + if (com_tx_done) begin adp_state <= ADP_WRITEHEX5; ADP_hexdigit_next(adp_bus_data[23:20]); end // hex nibble 5 + else com_tx_req <= 1; // extend + ADP_WRITEHEX5: // output hex nibble 5 + if (com_tx_done) begin adp_state <= ADP_WRITEHEX4; ADP_hexdigit_next(adp_bus_data[19:16]); end // hex nibble 4 + else com_tx_req <= 1; // extend + ADP_WRITEHEX4: // output hex nibble 4 + if (com_tx_done) begin adp_state <= ADP_WRITEHEX3; ADP_hexdigit_next(adp_bus_data[15:12]); end // hex nibble 3 + else com_tx_req <= 1; // extend + ADP_WRITEHEX3: // output hex nibble 3 + if (com_tx_done) begin adp_state <= ADP_WRITEHEX2; ADP_hexdigit_next(adp_bus_data[11: 8]); end // hex nibble 2 + else com_tx_req <= 1; // extend + ADP_WRITEHEX2: // output hex nibble 2 + if (com_tx_done) begin adp_state <= ADP_WRITEHEX1; ADP_hexdigit_next(adp_bus_data[ 7: 4]); end // hex nibble 1 + else com_tx_req <= 1; // extend + ADP_WRITEHEX1: // output hex nibble 1 + if (com_tx_done) begin adp_state <= ADP_WRITEHEX0; ADP_hexdigit_next(adp_bus_data[ 3: 0]); end // hex nibble 0 + else com_tx_req <= 1; // extend + ADP_WRITEHEX0: // output hex nibble 0 (if not startup banner then scan to end of line before lineack + if (com_tx_done) begin + adp_bus_err <= 1'b0; // clear sticky bus error flag + if (banner) begin ADP_LINEACK_next(); end + else begin ADP_txchar_next(8'h0A); com_tx_req <= 1; adp_state <= ADP_LINEACK; end // newline and prompt + end else com_tx_req <= 1; // extend + + ADP_LINEACK: // write EOLN + if (com_tx_done) begin + begin ADP_txchar_next(8'h0D); adp_state <= ADP_LINEACK2; end + end else com_tx_req <= 1; // extend + ADP_LINEACK2: // CR + if (com_tx_done) begin + if (banner) begin banner <= 0; adp_state <= STD_IOCHK; end +`ifndef ADPBASIC + else if ((FNvalid_cmd(adp_cmd) == CMD_R) & |adp_count) //// non-zero count + begin ADP_BUSREADINC_next(); adp_count_dec <= 1'b1; adp_state <= ADP_READ; end // +`endif + else begin ADP_txchar_next(PROMPT_CHAR); adp_state <= ADP_PROMPT; end + end else com_tx_req <= 1; // extend + default: + begin ADP_txchar_next("#"); adp_state <= ADP_UNKNOWN; end // default error + endcase + end + +endmodule + +////AHBLITE_ADPMASTER instancing +//ADPmaster +// #(.PROMPT_CHAR ("]")) +// ADPmaster( +// .HCLK (ahb_hclk ), +// .HRESETn (ahb_hrestn ), +// .HADDR32_o (ahb_haddr ), +// .HBURST3_o (ahb_hburst ), +// .HMASTLOCK_o (ahb_hmastlock ), +// .HPROT4_o (ahb_hprot ), +// .HSIZE3_o (ahb_hsize ), +// .HTRANS2_o (ahb_htrans ), +// .HWDATA32_o (ahb_hwdata ), +// .HWRITE_o (ahb_hwrite ), +// .HRDATA32_i (ahb_hrdata ), +// .HREADY_i (ahb_hready ), +// .HRESP_i (ahb_hresp ), + +// .COMRX_TREADY_o(com_rx_tready), +// .COMRX_TDATA_i(com_rx_tdata), +// .COMRX_TVALID_i(com_rx_tvalid), +// .STDRX_TREADY_o(std_rx_tready), +// .STDRX_TDATA_i(std_rx_tdata), +// .STDRX_TVALID_i(std_rx_tvalid), +// .COMTX_TVALID_o(com_tx_tvalid), +// .COMTX_TDATA_o(com_tx_tdata), +// .COMTX_TREADY_i(com_tx_tready), +// .STDTX_TVALID_o(std_tx_tvalid), +// .STDTX_TDATA_o(std_tx_tdata), +// .STDTX_TREADY_i(std_tx_tready) + +// );