diff --git a/.gitignore b/.gitignore index 1f0d18efffb892b16c38aa0c50656611a3a6f73b..2bdc47f06f7d1b06b2dc8d15cd382f43394c5cc7 100644 --- a/.gitignore +++ b/.gitignore @@ -8,8 +8,12 @@ /software/*/*.o # Compile Test Code Removal -/systems/mcu/testcodes/*/*.elf -/systems/mcu/testcodes/*/*.ELF -/systems/mcu/testcodes/*/*.hex -/systems/mcu/testcodes/*/*.lst -/systems/mcu/testcodes/*/*.o +/systems/nanosoc/testcodes/*/*.elf +/systems/nanosoc/testcodes/*/*.ELF +/systems/nanosoc/testcodes/*/*.hex +/systems/nanosoc/testcodes/*/*.lst +/systems/nanosoc/testcodes/*/*.o + +# Bootrom removal +/systems/nanosoc/src/bootrom/verilog/* +/systems/nanosoc/src/bootrom/bintxt/* diff --git a/systems/mcu/src/bootrom/bootrom.v b/systems/mcu/src/bootrom/bootrom.v deleted file mode 100644 index 95919b78af3dcc0a33c06a1b0aadedbd84e976dd..0000000000000000000000000000000000000000 --- a/systems/mcu/src/bootrom/bootrom.v +++ /dev/null @@ -1,277 +0,0 @@ -//------------------------------------------------------------------------------------ -// customised auto-generated synthesizable ROM module abstraction -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// Date: 2302101100 -// Copyright (c) 2021-2, SoC Labs (www.soclabs.org) -//------------------------------------------------------------------------------------ -module bootrom ( - input wire CLK, - input wire EN, - input wire [9:2] ADDR, - output reg [31:0] RDATA ); -reg [9:2] addr_r; -always @(posedge CLK) if (EN) addr_r <= ADDR; -always @(addr_r) case(addr_r[9:2]) - 8'h00 : RDATA <= 32'h30000368; // 0x0000 - 8'h01 : RDATA <= 32'h10000335; // 0x0004 - 8'h02 : RDATA <= 32'h1000033d; // 0x0008 - 8'h03 : RDATA <= 32'h1000033f; // 0x000c - 8'h04 : RDATA <= 32'h00000000; // 0x0010 - 8'h05 : RDATA <= 32'h00000000; // 0x0014 - 8'h06 : RDATA <= 32'h00000000; // 0x0018 - 8'h07 : RDATA <= 32'h00000000; // 0x001c - 8'h08 : RDATA <= 32'h00000000; // 0x0020 - 8'h09 : RDATA <= 32'h00000000; // 0x0024 - 8'h0a : RDATA <= 32'h00000000; // 0x0028 - 8'h0b : RDATA <= 32'h10000341; // 0x002c - 8'h0c : RDATA <= 32'h00000000; // 0x0030 - 8'h0d : RDATA <= 32'h00000000; // 0x0034 - 8'h0e : RDATA <= 32'h10000343; // 0x0038 - 8'h0f : RDATA <= 32'h10000345; // 0x003c - 8'h10 : RDATA <= 32'h10000347; // 0x0040 - 8'h11 : RDATA <= 32'h10000347; // 0x0044 - 8'h12 : RDATA <= 32'h10000347; // 0x0048 - 8'h13 : RDATA <= 32'h10000347; // 0x004c - 8'h14 : RDATA <= 32'h10000347; // 0x0050 - 8'h15 : RDATA <= 32'h10000347; // 0x0054 - 8'h16 : RDATA <= 32'h10000347; // 0x0058 - 8'h17 : RDATA <= 32'h10000347; // 0x005c - 8'h18 : RDATA <= 32'h10000347; // 0x0060 - 8'h19 : RDATA <= 32'h10000347; // 0x0064 - 8'h1a : RDATA <= 32'h10000347; // 0x0068 - 8'h1b : RDATA <= 32'h00000000; // 0x006c - 8'h1c : RDATA <= 32'h10000347; // 0x0070 - 8'h1d : RDATA <= 32'h10000347; // 0x0074 - 8'h1e : RDATA <= 32'h10000347; // 0x0078 - 8'h1f : RDATA <= 32'h10000347; // 0x007c - 8'h20 : RDATA <= 32'h10000347; // 0x0080 - 8'h21 : RDATA <= 32'h10000347; // 0x0084 - 8'h22 : RDATA <= 32'h10000347; // 0x0088 - 8'h23 : RDATA <= 32'h10000347; // 0x008c - 8'h24 : RDATA <= 32'h10000347; // 0x0090 - 8'h25 : RDATA <= 32'h10000347; // 0x0094 - 8'h26 : RDATA <= 32'h10000347; // 0x0098 - 8'h27 : RDATA <= 32'h10000347; // 0x009c - 8'h28 : RDATA <= 32'h10000347; // 0x00a0 - 8'h29 : RDATA <= 32'h10000347; // 0x00a4 - 8'h2a : RDATA <= 32'h10000347; // 0x00a8 - 8'h2b : RDATA <= 32'h10000347; // 0x00ac - 8'h2c : RDATA <= 32'h10000347; // 0x00b0 - 8'h2d : RDATA <= 32'h10000347; // 0x00b4 - 8'h2e : RDATA <= 32'h10000347; // 0x00b8 - 8'h2f : RDATA <= 32'h10000347; // 0x00bc - 8'h30 : RDATA <= 32'hf802f000; // 0x00c0 - 8'h31 : RDATA <= 32'hf83ef000; // 0x00c4 - 8'h32 : RDATA <= 32'hc830a00c; // 0x00c8 - 8'h33 : RDATA <= 32'h18243808; // 0x00cc - 8'h34 : RDATA <= 32'h46a2182d; // 0x00d0 - 8'h35 : RDATA <= 32'h46ab1e67; // 0x00d4 - 8'h36 : RDATA <= 32'h465d4654; // 0x00d8 - 8'h37 : RDATA <= 32'hd10142ac; // 0x00dc - 8'h38 : RDATA <= 32'hf830f000; // 0x00e0 - 8'h39 : RDATA <= 32'h3e0f467e; // 0x00e4 - 8'h3a : RDATA <= 32'h46b6cc0f; // 0x00e8 - 8'h3b : RDATA <= 32'h42332601; // 0x00ec - 8'h3c : RDATA <= 32'h1afbd000; // 0x00f0 - 8'h3d : RDATA <= 32'h46ab46a2; // 0x00f4 - 8'h3e : RDATA <= 32'h47184333; // 0x00f8 - 8'h3f : RDATA <= 32'h000002dc; // 0x00fc - 8'h40 : RDATA <= 32'h000002fc; // 0x0100 - 8'h41 : RDATA <= 32'hd3023a10; // 0x0104 - 8'h42 : RDATA <= 32'hc178c878; // 0x0108 - 8'h43 : RDATA <= 32'h0752d8fa; // 0x010c - 8'h44 : RDATA <= 32'hc830d301; // 0x0110 - 8'h45 : RDATA <= 32'hd501c130; // 0x0114 - 8'h46 : RDATA <= 32'h600c6804; // 0x0118 - 8'h47 : RDATA <= 32'h00004770; // 0x011c - 8'h48 : RDATA <= 32'h24002300; // 0x0120 - 8'h49 : RDATA <= 32'h26002500; // 0x0124 - 8'h4a : RDATA <= 32'hd3013a10; // 0x0128 - 8'h4b : RDATA <= 32'hd8fbc178; // 0x012c - 8'h4c : RDATA <= 32'hd3000752; // 0x0130 - 8'h4d : RDATA <= 32'hd500c130; // 0x0134 - 8'h4e : RDATA <= 32'h4770600b; // 0x0138 - 8'h4f : RDATA <= 32'hbd1fb51f; // 0x013c - 8'h50 : RDATA <= 32'hbd10b510; // 0x0140 - 8'h51 : RDATA <= 32'hf915f000; // 0x0144 - 8'h52 : RDATA <= 32'hf7ff4611; // 0x0148 - 8'h53 : RDATA <= 32'hf000fff7; // 0x014c - 8'h54 : RDATA <= 32'hf000f868; // 0x0150 - 8'h55 : RDATA <= 32'hb403f92d; // 0x0154 - 8'h56 : RDATA <= 32'hfff2f7ff; // 0x0158 - 8'h57 : RDATA <= 32'hf000bc03; // 0x015c - 8'h58 : RDATA <= 32'h0000f933; // 0x0160 - 8'h59 : RDATA <= 32'h68012000; // 0x0164 - 8'h5a : RDATA <= 32'h6841468d; // 0x0168 - 8'h5b : RDATA <= 32'h00004708; // 0x016c - 8'h5c : RDATA <= 32'h48532141; // 0x0170 - 8'h5d : RDATA <= 32'h61010149; // 0x0174 - 8'h5e : RDATA <= 32'h60812101; // 0x0178 - 8'h5f : RDATA <= 32'h60814851; // 0x017c - 8'h60 : RDATA <= 32'h20204951; // 0x0180 - 8'h61 : RDATA <= 32'h47706188; // 0x0184 - 8'h62 : RDATA <= 32'h684a494e; // 0x0188 - 8'h63 : RDATA <= 32'hd1fc07d2; // 0x018c - 8'h64 : RDATA <= 32'h07d2684a; // 0x0190 - 8'h65 : RDATA <= 32'h6008d100; // 0x0194 - 8'h66 : RDATA <= 32'h494a4770; // 0x0198 - 8'h67 : RDATA <= 32'h2b007803; // 0x019c - 8'h68 : RDATA <= 32'h684ad009; // 0x01a0 - 8'h69 : RDATA <= 32'hd1fc07d2; // 0x01a4 - 8'h6a : RDATA <= 32'h07d2684a; // 0x01a8 - 8'h6b : RDATA <= 32'h600bd100; // 0x01ac - 8'h6c : RDATA <= 32'h2b001c40; // 0x01b0 - 8'h6d : RDATA <= 32'h4770d1f2; // 0x01b4 - 8'h6e : RDATA <= 32'h4c44b510; // 0x01b8 - 8'h6f : RDATA <= 32'h48416821; // 0x01bc - 8'h70 : RDATA <= 32'hd00a2900; // 0x01c0 - 8'h71 : RDATA <= 32'h781aa342; // 0x01c4 - 8'h72 : RDATA <= 32'hd0212a00; // 0x01c8 - 8'h73 : RDATA <= 32'h07c96841; // 0x01cc - 8'h74 : RDATA <= 32'h6841d1fc; // 0x01d0 - 8'h75 : RDATA <= 32'hd01707c9; // 0x01d4 - 8'h76 : RDATA <= 32'ha341e017; // 0x01d8 - 8'h77 : RDATA <= 32'h2a00781a; // 0x01dc - 8'h78 : RDATA <= 32'h6841d009; // 0x01e0 - 8'h79 : RDATA <= 32'hd1fc07c9; // 0x01e4 - 8'h7a : RDATA <= 32'h07c96841; // 0x01e8 - 8'h7b : RDATA <= 32'h6002d100; // 0x01ec - 8'h7c : RDATA <= 32'h2a001c5b; // 0x01f0 - 8'h7d : RDATA <= 32'h2204d1f2; // 0x01f4 - 8'h7e : RDATA <= 32'h07c96841; // 0x01f8 - 8'h7f : RDATA <= 32'h6841d1fc; // 0x01fc - 8'h80 : RDATA <= 32'hd10007c9; // 0x0200 - 8'h81 : RDATA <= 32'he7fe6002; // 0x0204 - 8'h82 : RDATA <= 32'h1c5b6002; // 0x0208 - 8'h83 : RDATA <= 32'hd1da2a00; // 0x020c - 8'h84 : RDATA <= 32'h60202000; // 0x0210 - 8'h85 : RDATA <= 32'h8f4ff3bf; // 0x0214 - 8'h86 : RDATA <= 32'h8f6ff3bf; // 0x0218 - 8'h87 : RDATA <= 32'hffa2f7ff; // 0x021c - 8'h88 : RDATA <= 32'hb510bd10; // 0x0220 - 8'h89 : RDATA <= 32'h48262141; // 0x0224 - 8'h8a : RDATA <= 32'h61010149; // 0x0228 - 8'h8b : RDATA <= 32'h60812101; // 0x022c - 8'h8c : RDATA <= 32'h60814824; // 0x0230 - 8'h8d : RDATA <= 32'h21204a24; // 0x0234 - 8'h8e : RDATA <= 32'ha32f6191; // 0x0238 - 8'h8f : RDATA <= 32'h2a00781a; // 0x023c - 8'h90 : RDATA <= 32'h6841d009; // 0x0240 - 8'h91 : RDATA <= 32'hd1fc07c9; // 0x0244 - 8'h92 : RDATA <= 32'h07c96841; // 0x0248 - 8'h93 : RDATA <= 32'h6002d100; // 0x024c - 8'h94 : RDATA <= 32'h2a001c5b; // 0x0250 - 8'h95 : RDATA <= 32'h4c1dd1f2; // 0x0254 - 8'h96 : RDATA <= 32'h29006821; // 0x0258 - 8'h97 : RDATA <= 32'ha31cd00a; // 0x025c - 8'h98 : RDATA <= 32'h2a00781a; // 0x0260 - 8'h99 : RDATA <= 32'h6841d021; // 0x0264 - 8'h9a : RDATA <= 32'hd1fc07c9; // 0x0268 - 8'h9b : RDATA <= 32'h07c96841; // 0x026c - 8'h9c : RDATA <= 32'he017d017; // 0x0270 - 8'h9d : RDATA <= 32'h781aa31a; // 0x0274 - 8'h9e : RDATA <= 32'hd0092a00; // 0x0278 - 8'h9f : RDATA <= 32'h07c96841; // 0x027c - 8'ha0 : RDATA <= 32'h6841d1fc; // 0x0280 - 8'ha1 : RDATA <= 32'hd10007c9; // 0x0284 - 8'ha2 : RDATA <= 32'h1c5b6002; // 0x0288 - 8'ha3 : RDATA <= 32'hd1f22a00; // 0x028c - 8'ha4 : RDATA <= 32'h68412204; // 0x0290 - 8'ha5 : RDATA <= 32'hd1fc07c9; // 0x0294 - 8'ha6 : RDATA <= 32'h07c96841; // 0x0298 - 8'ha7 : RDATA <= 32'h6002d100; // 0x029c - 8'ha8 : RDATA <= 32'h6002e7fe; // 0x02a0 - 8'ha9 : RDATA <= 32'h2a001c5b; // 0x02a4 - 8'haa : RDATA <= 32'h2000d1da; // 0x02a8 - 8'hab : RDATA <= 32'hf3bf6020; // 0x02ac - 8'hac : RDATA <= 32'hf3bf8f4f; // 0x02b0 - 8'had : RDATA <= 32'hf7ff8f6f; // 0x02b4 - 8'hae : RDATA <= 32'h2000ff55; // 0x02b8 - 8'haf : RDATA <= 32'h0000bd10; // 0x02bc - 8'hb0 : RDATA <= 32'h40006000; // 0x02c0 - 8'hb1 : RDATA <= 32'h4000e000; // 0x02c4 - 8'hb2 : RDATA <= 32'h40011000; // 0x02c8 - 8'hb3 : RDATA <= 32'h4001f000; // 0x02cc - 8'hb4 : RDATA <= 32'h52202a2a; // 0x02d0 - 8'hb5 : RDATA <= 32'h70616d65; // 0x02d4 - 8'hb6 : RDATA <= 32'h41523e2d; // 0x02d8 - 8'hb7 : RDATA <= 32'h000a324d; // 0x02dc - 8'hb8 : RDATA <= 32'h72724540; // 0x02e0 - 8'hb9 : RDATA <= 32'h203a726f; // 0x02e4 - 8'hba : RDATA <= 32'h414d4552; // 0x02e8 - 8'hbb : RDATA <= 32'h6c632050; // 0x02ec - 8'hbc : RDATA <= 32'h65726165; // 0x02f0 - 8'hbd : RDATA <= 32'h00000a64; // 0x02f4 - 8'hbe : RDATA <= 32'h530a0a0a; // 0x02f8 - 8'hbf : RDATA <= 32'h414c434f; // 0x02fc - 8'hc0 : RDATA <= 32'h203a5342; // 0x0300 - 8'hc1 : RDATA <= 32'h204d5241; // 0x0304 - 8'hc2 : RDATA <= 32'h74726f43; // 0x0308 - 8'hc3 : RDATA <= 32'h4d2d7865; // 0x030c - 8'hc4 : RDATA <= 32'h616e2030; // 0x0310 - 8'hc5 : RDATA <= 32'h6f736f6e; // 0x0314 - 8'hc6 : RDATA <= 32'h00000a63; // 0x0318 - 8'hc7 : RDATA <= 32'h48034904; // 0x031c - 8'hc8 : RDATA <= 32'h47706008; // 0x0320 - 8'hc9 : RDATA <= 32'h48014902; // 0x0324 - 8'hca : RDATA <= 32'h47706008; // 0x0328 - 8'hcb : RDATA <= 32'h05f5e100; // 0x032c - 8'hcc : RDATA <= 32'h30000000; // 0x0330 - 8'hcd : RDATA <= 32'h47804807; // 0x0334 - 8'hce : RDATA <= 32'h47004807; // 0x0338 - 8'hcf : RDATA <= 32'he7fee7fe; // 0x033c - 8'hd0 : RDATA <= 32'he7fee7fe; // 0x0340 - 8'hd1 : RDATA <= 32'he7fee7fe; // 0x0344 - 8'hd2 : RDATA <= 32'h49054804; // 0x0348 - 8'hd3 : RDATA <= 32'h4b064a05; // 0x034c - 8'hd4 : RDATA <= 32'h00004770; // 0x0350 - 8'hd5 : RDATA <= 32'h10000325; // 0x0354 - 8'hd6 : RDATA <= 32'h100000c1; // 0x0358 - 8'hd7 : RDATA <= 32'h30000068; // 0x035c - 8'hd8 : RDATA <= 32'h30000368; // 0x0360 - 8'hd9 : RDATA <= 32'h30000168; // 0x0364 - 8'hda : RDATA <= 32'h30000168; // 0x0368 - 8'hdb : RDATA <= 32'h47704770; // 0x036c - 8'hdc : RDATA <= 32'h46754770; // 0x0370 - 8'hdd : RDATA <= 32'hf824f000; // 0x0374 - 8'hde : RDATA <= 32'h000546ae; // 0x0378 - 8'hdf : RDATA <= 32'h46534669; // 0x037c - 8'he0 : RDATA <= 32'h00c008c0; // 0x0380 - 8'he1 : RDATA <= 32'hb0184685; // 0x0384 - 8'he2 : RDATA <= 32'hf7ffb520; // 0x0388 - 8'he3 : RDATA <= 32'hbc60ffdd; // 0x038c - 8'he4 : RDATA <= 32'h08492700; // 0x0390 - 8'he5 : RDATA <= 32'h260046b6; // 0x0394 - 8'he6 : RDATA <= 32'hc5c0c5c0; // 0x0398 - 8'he7 : RDATA <= 32'hc5c0c5c0; // 0x039c - 8'he8 : RDATA <= 32'hc5c0c5c0; // 0x03a0 - 8'he9 : RDATA <= 32'hc5c0c5c0; // 0x03a4 - 8'hea : RDATA <= 32'h00493d40; // 0x03a8 - 8'heb : RDATA <= 32'h4770468d; // 0x03ac - 8'hec : RDATA <= 32'h4604b510; // 0x03b0 - 8'hed : RDATA <= 32'h46c046c0; // 0x03b4 - 8'hee : RDATA <= 32'hf7ff4620; // 0x03b8 - 8'hef : RDATA <= 32'hbd10fecc; // 0x03bc - 8'hf0 : RDATA <= 32'h47704800; // 0x03c0 - 8'hf1 : RDATA <= 32'h30000004; // 0x03c4 - 8'hf2 : RDATA <= 32'h20184901; // 0x03c8 - 8'hf3 : RDATA <= 32'he7febeab; // 0x03cc - 8'hf4 : RDATA <= 32'h00020026; // 0x03d0 - 8'hf5 : RDATA <= 32'h00004770; // 0x03d4 - 8'hf6 : RDATA <= 32'h100003f8; // 0x03d8 - 8'hf7 : RDATA <= 32'h30000000; // 0x03dc - 8'hf8 : RDATA <= 32'h00000004; // 0x03e0 - 8'hf9 : RDATA <= 32'h10000104; // 0x03e4 - 8'hfa : RDATA <= 32'h100003fc; // 0x03e8 - 8'hfb : RDATA <= 32'h30000004; // 0x03ec - 8'hfc : RDATA <= 32'h00000364; // 0x03f0 - 8'hfd : RDATA <= 32'h10000120; // 0x03f4 - 8'hfe : RDATA <= 32'h05f5e100; // 0x03f8 - 8'hff : RDATA <= 32'h00000000; // 0x03fc - default : RDATA <=32'h0; - endcase -endmodule diff --git a/systems/mcu/src/gen_ahb_busmatrix/gen_soclabs_4x7_AhbMatrix.scr b/systems/mcu/src/gen_ahb_busmatrix/gen_soclabs_4x7_AhbMatrix.scr deleted file mode 100644 index a4d2556a2ac45249fb037110a2a7b4e860c5be0b..0000000000000000000000000000000000000000 --- a/systems/mcu/src/gen_ahb_busmatrix/gen_soclabs_4x7_AhbMatrix.scr +++ /dev/null @@ -1,2 +0,0 @@ -bin/BuildBusMatrix.pl -notimescales -xmldir xml -cfg soclabs_ahb32_4x7.xml -ipxact -ipxactsrcdir=ipxact/src -ipxacttgtdir=ipxact/built -over -verbose >& soclabs_ahb32_4x7.log - diff --git a/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.hex b/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.hex deleted file mode 100644 index 1ab6c31cc0bc29a13f3baf5d4cd2211c4b84252b..0000000000000000000000000000000000000000 --- a/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.hex +++ /dev/null @@ -1,4996 +0,0 @@ -60 -1E -00 -20 -01 -03 -00 -00 -09 -03 -00 -00 -0B -03 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -E9 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -8D -02 -00 -00 -ED -02 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -00 -00 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -00 -F0 -02 -F8 -00 -F0 -48 -F8 -0C -A0 -30 -C8 -08 -38 -24 -18 -2D -18 -A2 -46 -67 -1E -AB -46 -54 -46 -5D -46 -AC -42 -01 -D1 -00 -F0 -3A -F8 -7E -46 -0F -3E -0F -CC -B6 -46 -01 -26 -33 -42 -00 -D0 -FB -1A -A2 -46 -AB -46 -33 -43 -18 -47 -28 -12 -00 -00 -48 -12 -00 -00 -10 -3A -02 -D3 -78 -C8 -78 -C1 -FA -D8 -52 -07 -01 -D3 -30 -C8 -30 -C1 -01 -D5 -04 -68 -0C -60 -70 -47 -00 -00 -00 -23 -00 -24 -00 -25 -00 -26 -10 -3A -01 -D3 -78 -C1 -FB -D8 -52 -07 -00 -D3 -30 -C1 -00 -D5 -0B -60 -70 -47 -10 -B5 -64 -29 -02 -D1 -00 -F0 -73 -FA -10 -BD -00 -20 -10 -BD -1F -B5 -C0 -46 -C0 -46 -1F -BD -10 -B5 -10 -BD -00 -F0 -50 -FB -11 -46 -FF -F7 -F5 -FF -00 -F0 -25 -F9 -00 -F0 -68 -FB -03 -B4 -FF -F7 -F2 -FF -03 -BC -00 -F0 -BA -F9 -00 -00 -80 -F3 -09 -88 -70 -47 -EF -F3 -09 -80 -70 -47 -68 -46 -80 -F3 -09 -88 -06 -48 -00 -78 -C0 -07 -03 -D1 -03 -20 -80 -F3 -14 -88 -70 -47 -02 -20 -80 -F3 -14 -88 -70 -47 -00 -00 -0C -13 -00 -00 -06 -4B -9C -46 -EF -F3 -05 -83 -1B -06 -05 -D1 -EF -F3 -14 -83 -DB -07 -01 -D0 -00 -DF -70 -47 -60 -47 -00 -00 -C3 -08 -00 -00 -06 -4B -9C -46 -EF -F3 -05 -83 -1B -06 -05 -D1 -EF -F3 -14 -83 -DB -07 -01 -D0 -00 -DF -70 -47 -60 -47 -00 -00 -09 -0B -00 -00 -EF -F3 -09 -80 -81 -69 -89 -1E -09 -78 -00 -29 -33 -D1 -A6 -46 -1F -C8 -A4 -46 -74 -46 -E0 -47 -1F -4B -06 -CB -91 -42 -24 -D0 -08 -3B -00 -29 -10 -D0 -0C -B4 -20 -31 -01 -23 -4B -70 -EF -F3 -09 -83 -20 -3B -4B -60 -F0 -C3 -44 -46 -4D -46 -56 -46 -5F -46 -F0 -C3 -00 -F0 -BB -FE -0C -BC -1A -60 -20 -32 -53 -68 -10 -33 -F0 -CB -A0 -46 -A9 -46 -B2 -46 -BB -46 -83 -F3 -09 -88 -20 -3B -F0 -CB -50 -78 -00 -28 -03 -D0 -10 -78 -EF -F3 -09 -83 -18 -60 -02 -23 -DB -43 -18 -47 -10 -B5 -08 -4A -12 -68 -91 -42 -09 -D8 -07 -48 -89 -00 -40 -58 -86 -46 -1F -C8 -A4 -46 -F0 -47 -EF -F3 -09 -84 -0F -C4 -10 -BD -00 -00 -1C -00 -00 -20 -48 -08 -00 -00 -48 -08 -00 -00 -00 -F0 -A0 -FD -15 -4B -06 -CB -91 -42 -23 -D0 -08 -3B -0C -B4 -20 -31 -00 -23 -4B -70 -EF -F3 -09 -83 -20 -3B -4B -60 -F0 -C3 -44 -46 -4D -46 -56 -46 -5F -46 -F0 -C3 -00 -F0 -75 -FE -0C -BC -1A -60 -20 -32 -53 -68 -10 -33 -F0 -CB -A0 -46 -A9 -46 -B2 -46 -BB -46 -83 -F3 -09 -88 -20 -3B -F0 -CB -50 -78 -00 -28 -01 -D0 -10 -78 -18 -61 -02 -23 -DB -43 -18 -47 -00 -00 -1C -00 -00 -20 -00 -F0 -0A -FF -CE -E7 -00 -00 -00 -F0 -E4 -FA -00 -F0 -04 -FF -C8 -E7 -00 -00 -07 -48 -80 -47 -07 -48 -00 -47 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -04 -48 -05 -49 -05 -4A -06 -4B -70 -47 -00 -00 -85 -05 -00 -00 -C1 -00 -00 -00 -60 -1B -00 -20 -60 -1E -00 -20 -60 -1C -00 -20 -60 -1C -00 -20 -28 -4C -29 -4F -01 -20 -BC -46 -00 -DF -28 -A0 -00 -F0 -A8 -F9 -29 -4F -01 -20 -BC -46 -A1 -68 -00 -DF -F2 -E7 -27 -4D -21 -4C -27 -4F -01 -22 -29 -46 -10 -46 -BC -46 -00 -DF -21 -68 -25 -A0 -49 -1C -21 -60 -00 -F0 -18 -F9 -20 -68 -03 -28 -F0 -DB -25 -A0 -00 -F0 -8E -F9 -28 -A0 -00 -F0 -8B -F9 -00 -F0 -97 -F8 -E7 -E7 -00 -23 -2B -4F -1A -46 -01 -21 -28 -48 -BC -46 -00 -DF -11 -4C -00 -23 -60 -60 -1A -46 -01 -21 -26 -48 -BC -46 -00 -DF -26 -4F -A0 -60 -00 -20 -BC -46 -00 -DF -80 -B5 -24 -49 -00 -20 -88 -61 -00 -F0 -66 -F8 -22 -48 -00 -F0 -6B -F9 -22 -A0 -00 -F0 -68 -F9 -FF -F7 -DC -FE -2D -4F -00 -22 -11 -46 -2B -48 -BC -46 -00 -DF -00 -20 -80 -BD -00 -00 -00 -20 -15 -0A -00 -00 -74 -61 -73 -6B -20 -31 -20 -2D -3E -00 -00 -00 -6D -0A -00 -00 -FF -FF -00 -00 -C9 -0A -00 -00 -20 -20 -74 -61 -73 -6B -20 -32 -2C -20 -25 -64 -0A -00 -00 -00 -54 -61 -73 -6B -73 -20 -72 -61 -6E -20 -33 -20 -74 -69 -6D -65 -73 -2E -00 -00 -2A -2A -20 -54 -45 -53 -54 -20 -50 -41 -53 -53 -45 -44 -20 -2A -2A -20 -0A -00 -39 -03 -00 -00 -81 -11 -00 -00 -55 -03 -00 -00 -FD -11 -00 -00 -00 -E0 -00 -E0 -A8 -12 -00 -00 -2D -20 -45 -78 -65 -63 -75 -74 -65 -20 -74 -61 -73 -6B -20 -31 -20 -2D -3E -20 -74 -61 -73 -6B -20 -32 -20 -73 -65 -71 -75 -65 -6E -63 -65 -20 -74 -68 -72 -65 -65 -20 -74 -69 -6D -65 -73 -0A -00 -00 -00 -00 -89 -03 -00 -00 -DD -0F -00 -00 -0C -48 -10 -21 -01 -61 -41 -21 -81 -60 -0B -49 -20 -20 -88 -61 -70 -47 -08 -49 -4A -68 -D2 -07 -FC -D1 -08 -60 -70 -47 -05 -48 -41 -68 -89 -07 -FC -D5 -00 -68 -C0 -B2 -70 -47 -04 -20 -FF -F7 -F0 -FF -FE -E7 -00 -60 -00 -40 -00 -10 -01 -40 -10 -B5 -C0 -B2 -FF -F7 -E7 -FF -10 -BD -10 -B5 -FF -F7 -E9 -FF -FF -F7 -E1 -FF -10 -BD -00 -20 -C0 -43 -70 -47 -10 -B5 -C0 -B2 -FF -F7 -D9 -FF -10 -BD -FE -E7 -FE -E7 -70 -47 -FE -E7 -10 -B5 -00 -F0 -D0 -FE -00 -28 -05 -D0 -60 -21 -48 -43 -17 -49 -40 -18 -60 -38 -10 -BD -16 -48 -10 -BD -10 -B5 -16 -4A -11 -68 -08 -29 -00 -D3 -FE -E7 -0C -23 -4B -43 -13 -4C -49 -1C -1B -19 -03 -60 -18 -46 -11 -60 -00 -F0 -C0 -FB -01 -20 -10 -BD -B0 -B5 -04 -46 -00 -F0 -B0 -FE -00 -28 -04 -D0 -0D -4F -0C -49 -BC -46 -20 -68 -00 -DF -B0 -BD -B0 -B5 -04 -46 -00 -F0 -A4 -FE -00 -28 -03 -D0 -08 -4F -20 -68 -BC -46 -00 -DF -B0 -BD -00 -00 -FC -17 -00 -20 -FC -1A -00 -20 -14 -00 -00 -20 -3C -1A -00 -20 -FF -FF -00 -00 -65 -0D -00 -00 -BD -0C -00 -00 -04 -49 -03 -48 -08 -60 -70 -47 -02 -49 -01 -48 -08 -60 -70 -47 -00 -E1 -F5 -05 -18 -00 -00 -20 -00 -48 -70 -47 -FC -1A -00 -20 -70 -47 -00 -00 -0F -B4 -05 -49 -10 -B5 -03 -AA -02 -98 -00 -F0 -E7 -F8 -10 -BC -08 -BC -04 -B0 -18 -47 -00 -00 -0C -00 -00 -20 -F8 -B5 -04 -46 -0E -46 -00 -20 -2D -E0 -E1 -68 -20 -46 -88 -47 -00 -28 -2A -D0 -25 -28 -02 -D0 -62 -68 -A1 -68 -20 -E0 -E1 -68 -20 -46 -00 -27 -88 -47 -05 -00 -1F -D0 -28 -46 -41 -38 -19 -28 -02 -D8 -01 -27 -FF -02 -20 -35 -32 -46 -20 -46 -27 -60 -29 -46 -FF -F7 -9E -FD -00 -28 -08 -D0 -01 -28 -04 -D0 -F6 -1D -F6 -08 -F6 -00 -08 -36 -D9 -E7 -36 -1D -D7 -E7 -62 -68 -28 -46 -A1 -68 -90 -47 -20 -6A -40 -1C -20 -62 -CF -E7 -20 -6A -F8 -BD -00 -00 -F7 -B5 -00 -26 -75 -29 -10 -68 -00 -99 -14 -A5 -11 -D0 -C0 -46 -C0 -46 -00 -28 -02 -DA -40 -42 -11 -A5 -08 -E0 -00 -99 -09 -68 -8A -07 -01 -D5 -0F -A5 -02 -E0 -49 -07 -04 -D5 -0E -A5 -01 -26 -01 -E0 -C0 -46 -C0 -46 -00 -9F -00 -24 -24 -37 -04 -E0 -00 -F0 -9B -F8 -30 -31 -39 -55 -64 -1C -00 -28 -F8 -D1 -33 -46 -2A -46 -21 -46 -00 -98 -00 -F0 -23 -F8 -FE -BD -00 -00 -00 -00 -00 -00 -2D -00 -00 -00 -2B -00 -00 -00 -20 -00 -00 -00 -10 -B5 -04 -46 -03 -E0 -FF -F7 -11 -FF -40 -1C -08 -D0 -20 -78 -05 -49 -64 -1C -00 -28 -F6 -D1 -0A -20 -FF -F7 -07 -FF -10 -BD -00 -20 -C0 -43 -10 -BD -00 -00 -0C -00 -00 -20 -70 -47 -70 -47 -70 -47 -FF -B5 -04 -46 -0D -46 -81 -B0 -24 -30 -00 -90 -21 -68 -88 -06 -04 -D5 -10 -22 -E0 -69 -91 -43 -21 -60 -00 -E0 -01 -20 -A8 -42 -01 -DD -47 -1B -00 -E0 -00 -27 -04 -98 -A1 -69 -7A -19 -10 -18 -08 -1A -A0 -61 -20 -68 -C0 -06 -02 -D4 -20 -46 -C0 -46 -C0 -46 -00 -26 -08 -E0 -03 -98 -62 -68 -A1 -68 -80 -5D -90 -47 -20 -6A -40 -1C -76 -1C -20 -62 -04 -98 -86 -42 -F3 -DB -20 -68 -C0 -06 -0A -D5 -20 -46 -C0 -46 -C0 -46 -06 -E0 -62 -68 -A1 -68 -30 -20 -90 -47 -20 -6A -40 -1C -20 -62 -38 -46 -7F -1E -00 -28 -F4 -DC -07 -E0 -00 -98 -62 -68 -A1 -68 -40 -5D -90 -47 -20 -6A -40 -1C -20 -62 -28 -46 -6D -1E -00 -28 -F3 -DC -20 -46 -C0 -46 -C0 -46 -20 -68 -00 -06 -02 -D5 -02 -20 -05 -B0 -F0 -BD -01 -20 -FB -E7 -00 -00 -08 -4B -70 -B5 -0C -46 -7B -44 -00 -F0 -27 -F8 -05 -46 -20 -46 -FF -F7 -A5 -FE -00 -28 -02 -D0 -00 -20 -C0 -43 -70 -BD -28 -46 -70 -BD -00 -00 -3F -FD -FF -FF -01 -46 -80 -08 -08 -1A -02 -09 -10 -18 -02 -0A -10 -18 -02 -0C -10 -18 -C0 -08 -82 -00 -12 -18 -52 -00 -89 -1A -01 -E0 -0A -39 -40 -1C -0A -29 -FB -D2 -70 -47 -01 -69 -4A -1C -02 -61 -08 -78 -70 -47 -00 -B5 -8F -B0 -02 -91 -00 -21 -05 -91 -05 -49 -01 -93 -79 -44 -03 -91 -11 -46 -04 -90 -68 -46 -FF -F7 -E5 -FE -0F -B0 -00 -BD -00 -00 -E5 -FF -FF -FF -75 -46 -FF -F7 -C9 -FE -AE -46 -05 -00 -69 -46 -53 -46 -C0 -08 -C0 -00 -85 -46 -18 -B0 -20 -B5 -FF -F7 -7E -FD -60 -BC -00 -27 -49 -08 -B6 -46 -00 -26 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -40 -3D -49 -00 -8D -46 -70 -47 -04 -46 -C0 -46 -C0 -46 -20 -46 -FF -F7 -92 -FC -00 -00 -00 -00 -00 -00 -10 -B5 -00 -2A -06 -DA -52 -00 -52 -08 -D2 -1D -D3 -08 -DB -00 -10 -22 -03 -E0 -D2 -1C -93 -08 -9B -00 -0C -22 -00 -2B -02 -D0 -9C -18 -8C -42 -01 -D9 -01 -20 -10 -BD -82 -18 -83 -60 -41 -18 -02 -60 -41 -60 -C9 -1A -D0 -18 -88 -42 -02 -D8 -10 -60 -02 -46 -F9 -E7 -00 -20 -10 -60 -10 -BD -07 -48 -01 -68 -07 -48 -41 -61 -00 -21 -81 -61 -07 -21 -01 -61 -05 -48 -01 -6A -FF -22 -12 -06 -11 -43 -01 -62 -40 -17 -70 -47 -08 -13 -00 -00 -00 -E0 -00 -E0 -00 -ED -00 -E0 -70 -47 -01 -46 -EF -F3 -10 -80 -C2 -07 -D2 -0F -72 -B6 -08 -68 -00 -28 -01 -D0 -03 -68 -0B -60 -00 -2A -00 -D1 -62 -B6 -70 -47 -70 -B5 -0D -46 -00 -28 -0F -D0 -08 -49 -09 -4C -88 -42 -04 -D2 -22 -68 -01 -46 -10 -46 -00 -F0 -A3 -FA -20 -68 -45 -70 -05 -48 -00 -F0 -15 -F9 -00 -F0 -62 -FB -70 -BD -00 -00 -FF -FF -00 -00 -1C -00 -00 -20 -CC -1A -00 -20 -10 -B5 -0E -48 -0C -49 -42 -68 -0D -48 -0B -68 -00 -68 -93 -42 -04 -D0 -0A -60 -CB -88 -42 -1E -9A -18 -8A -80 -8A -88 -80 -B2 -82 -42 -08 -D1 -00 -20 -08 -60 -04 -48 -00 -F0 -F4 -F8 -01 -46 -02 -48 -00 -F0 -A1 -FA -10 -BD -30 -00 -00 -20 -CC -1A -00 -20 -24 -00 -00 -20 -70 -B5 -1B -4D -E8 -68 -00 -28 -31 -D0 -A8 -8A -40 -1E -A8 -82 -00 -26 -26 -E0 -A0 -68 -00 -28 -08 -D0 -61 -68 -41 -60 -60 -68 -00 -28 -02 -D0 -A1 -68 -81 -60 -66 -60 -A6 -60 -10 -48 -21 -46 -18 -38 -00 -F0 -7F -FA -A0 -8A -A8 -82 -60 -78 -04 -28 -04 -D1 -0C -49 -E0 -8A -09 -68 -40 -18 -A0 -82 -01 -20 -60 -70 -20 -21 -08 -55 -E0 -68 -E8 -60 -E0 -68 -00 -28 -01 -D0 -05 -61 -E6 -60 -26 -61 -A8 -8A -00 -28 -02 -D1 -EC -68 -00 -2C -D2 -D1 -70 -BD -E4 -1A -00 -20 -24 -00 -00 -20 -70 -B5 -04 -00 -0E -D0 -0C -4D -A1 -78 -28 -68 -01 -26 -82 -78 -91 -42 -0B -D9 -00 -F0 -70 -FA -28 -68 -46 -70 -20 -46 -00 -F0 -EB -FA -70 -BD -05 -48 -00 -F0 -98 -F8 -F8 -E7 -66 -70 -21 -46 -02 -48 -00 -F0 -43 -FA -70 -BD -1C -00 -00 -20 -CC -1A -00 -20 -10 -B5 -03 -21 -FF -F7 -62 -FF -10 -BD -00 -00 -10 -B5 -04 -46 -00 -8B -08 -43 -20 -83 -62 -78 -61 -8B -06 -2A -15 -D0 -05 -2A -12 -D1 -0A -46 -10 -42 -0F -D0 -02 -40 -62 -83 -88 -43 -20 -83 -20 -46 -00 -F0 -63 -FA -01 -20 -60 -70 -02 -21 -20 -20 -01 -55 -21 -46 -04 -48 -00 -F0 -1A -FA -10 -BD -0A -46 -82 -43 -ED -D0 -10 -BD -00 -00 -CC -1A -00 -20 -02 -46 -88 -00 -70 -B5 -14 -49 -40 -18 -40 -38 -C4 -6B -00 -2C -1D -D0 -20 -8B -10 -43 -20 -83 -61 -78 -65 -8B -06 -29 -17 -D0 -05 -29 -14 -D1 -29 -46 -08 -42 -11 -D0 -01 -40 -61 -83 -A8 -43 -20 -83 -20 -46 -00 -F0 -36 -FA -20 -8B -02 -21 -A8 -43 -20 -83 -01 -20 -60 -70 -20 -20 -01 -55 -20 -46 -FF -F7 -8D -FF -70 -BD -29 -46 -81 -43 -EB -D0 -70 -BD -E4 -17 -00 -20 -70 -B5 -03 -46 -0D -48 -0D -46 -04 -68 -00 -2A -20 -8B -08 -D0 -19 -46 -81 -43 -01 -D0 -06 -20 -09 -E0 -98 -43 -20 -83 -02 -20 -70 -BD -02 -46 -1A -40 -01 -D0 -62 -83 -F6 -E7 -05 -20 -C1 -B2 -63 -83 -28 -46 -FF -F7 -F0 -FE -01 -20 -70 -BD -1C -00 -00 -20 -81 -42 -02 -D3 -42 -68 -8A -42 -01 -D8 -01 -20 -70 -47 -EF -F3 -10 -82 -D2 -07 -D2 -0F -72 -B6 -03 -68 -0B -60 -01 -60 -00 -D1 -62 -B6 -00 -20 -70 -47 -01 -46 -40 -68 -42 -68 -4A -60 -0B -78 -00 -22 -02 -2B -05 -D0 -01 -2B -03 -D0 -03 -2B -01 -D0 -42 -60 -70 -47 -43 -68 -00 -2B -01 -D0 -99 -60 -42 -60 -82 -60 -70 -47 -70 -B5 -04 -46 -15 -46 -00 -20 -20 -70 -01 -22 -62 -70 -A1 -70 -60 -60 -A0 -60 -E0 -60 -20 -61 -A0 -82 -E0 -82 -20 -83 -23 -46 -60 -83 -20 -33 -18 -70 -58 -70 -60 -8C -00 -28 -03 -D1 -04 -48 -FF -F7 -9B -FE -A0 -62 -29 -46 -20 -46 -00 -F0 -0F -F8 -70 -BD -70 -01 -00 -20 -03 -48 -00 -21 -01 -60 -03 -49 -09 -68 -C1 -80 -70 -47 -00 -00 -30 -00 -00 -20 -04 -13 -00 -00 -30 -B5 -42 -8C -92 -08 -03 -D1 -0E -4A -12 -68 -12 -04 -92 -0C -83 -6A -92 -00 -9A -18 -53 -07 -00 -D5 -12 -1F -40 -3A -01 -23 -1B -06 -D3 -63 -00 -23 -91 -63 -1C -46 -9D -00 -5B -1C -54 -51 -0E -2B -FA -D3 -C3 -69 -13 -62 -42 -62 -C1 -62 -80 -6A -02 -49 -01 -60 -30 -BD -00 -13 -00 -00 -A5 -2E -5A -E2 -F8 -B5 -04 -46 -40 -68 -00 -26 -0F -46 -00 -28 -05 -D0 -60 -78 -02 -28 -18 -D0 -A0 -89 -00 -28 -2F -D0 -A0 -89 -E1 -89 -88 -42 -3E -D2 -20 -89 -80 -00 -00 -19 -07 -61 -72 -B6 -A0 -89 -40 -1C -A0 -81 -62 -B6 -20 -89 -40 -1C -80 -B2 -20 -81 -E1 -89 -88 -42 -00 -D1 -26 -81 -F8 -BD -66 -70 -20 -46 -FF -F7 -70 -FF -05 -46 -20 -30 -06 -70 -21 -89 -E8 -69 -89 -00 -09 -19 -08 -61 -72 -B6 -A0 -89 -40 -1C -A0 -81 -62 -B6 -20 -89 -40 -1C -80 -B2 -20 -81 -E1 -89 -88 -42 -0A -D1 -26 -81 -08 -E0 -20 -46 -FF -F7 -57 -FF -05 -46 -C0 -69 -04 -21 -07 -60 -20 -20 -41 -55 -01 -20 -68 -70 -28 -46 -00 -F0 -3D -F9 -29 -46 -03 -48 -00 -F0 -F9 -F8 -F8 -BD -03 -20 -FF -F7 -25 -FC -F8 -BD -CC -1A -00 -20 -03 -21 -01 -70 -00 -21 -41 -70 -41 -80 -41 -60 -81 -60 -70 -47 -F8 -B5 -05 -46 -40 -88 -00 -28 -04 -D0 -25 -4C -A9 -68 -22 -68 -91 -42 -01 -D0 -FF -20 -F8 -BD -40 -1E -00 -04 -00 -0C -68 -80 -3B -D1 -21 -68 -68 -78 -88 -70 -6A -68 -01 -27 -00 -2A -28 -D0 -28 -46 -FF -F7 -1E -FF -06 -46 -05 -21 -20 -30 -01 -70 -30 -46 -00 -F0 -08 -F9 -6F -80 -AE -60 -B0 -78 -68 -70 -15 -48 -21 -68 -40 -68 -8A -78 -80 -78 -82 -42 -01 -D3 -30 -46 -0A -E0 -11 -48 -00 -F0 -B8 -F8 -31 -46 -0F -48 -00 -F0 -B4 -F8 -20 -68 -47 -70 -77 -70 -10 -E0 -FF -F7 -50 -FE -21 -68 -00 -20 -20 -31 -08 -70 -0B -E0 -08 -4A -52 -68 -92 -78 -82 -42 -06 -D9 -05 -48 -00 -F0 -A1 -F8 -20 -68 -47 -70 -00 -20 -EC -E7 -00 -20 -F8 -BD -00 -00 -1C -00 -00 -20 -CC -1A -00 -20 -70 -B5 -0E -46 -18 -4D -04 -46 -41 -88 -28 -68 -00 -29 -07 -D0 -A1 -68 -81 -42 -08 -D1 -60 -88 -40 -1C -60 -80 -00 -20 -70 -BD -A0 -60 -80 -78 -60 -70 -F6 -E7 -00 -2E -0F -D0 -62 -78 -80 -78 -82 -42 -03 -D2 -88 -70 -A0 -68 -00 -F0 -A0 -F8 -60 -68 -00 -28 -06 -D0 -20 -46 -29 -68 -00 -F0 -71 -F8 -07 -E0 -01 -20 -70 -BD -28 -68 -60 -60 -28 -68 -00 -21 -84 -60 -41 -60 -09 -21 -30 -46 -FF -F7 -8C -FD -F2 -E7 -00 -00 -1C -00 -00 -20 -70 -B5 -18 -48 -01 -21 -00 -68 -41 -70 -00 -F0 -77 -F8 -16 -4C -65 -78 -13 -E0 -E8 -00 -01 -19 -48 -68 -02 -78 -00 -2A -17 -D0 -01 -2A -1A -D0 -00 -F0 -BC -F8 -E0 -78 -6D -1C -85 -42 -00 -D1 -00 -25 -72 -B6 -A0 -78 -40 -1E -A0 -70 -62 -B6 -A0 -78 -00 -28 -E8 -D1 -65 -70 -09 -48 -FF -F7 -8A -FE -00 -F0 -D7 -F8 -70 -BD -89 -68 -89 -B2 -FF -F7 -FC -FD -E6 -E7 -89 -68 -FF -F7 -E8 -FE -E2 -E7 -00 -00 -1C -00 -00 -20 -60 -17 -00 -20 -CC -1A -00 -20 -10 -B5 -12 -4A -D3 -68 -00 -2B -17 -D0 -13 -46 -9B -8A -04 -E0 -00 -2C -12 -D0 -22 -46 -A4 -8A -E3 -18 -D4 -68 -8B -42 -F7 -D3 -C4 -60 -D0 -60 -02 -61 -C4 -68 -00 -2C -00 -D0 -20 -61 -59 -1A -81 -82 -90 -8A -40 -1A -90 -82 -10 -BD -00 -24 -C4 -60 -D0 -60 -C9 -1A -02 -61 -91 -82 -84 -82 -10 -BD -00 -00 -E4 -1A -00 -20 -30 -B5 -02 -78 -00 -23 -02 -2A -03 -D0 -01 -2A -01 -D0 -03 -2A -00 -D1 -01 -23 -8C -78 -00 -E0 -10 -46 -42 -68 -00 -2A -02 -D0 -95 -78 -A5 -42 -F8 -D2 -4A -60 -41 -60 -00 -2B -04 -D0 -00 -2A -00 -D0 -91 -60 -88 -60 -30 -BD -00 -20 -FB -E7 -03 -49 -4A -68 -42 -60 -00 -22 -82 -60 -48 -60 -70 -47 -00 -00 -CC -1A -00 -20 -10 -B5 -83 -68 -04 -46 -00 -2B -05 -D1 -60 -78 -01 -28 -0C -D1 -06 -4B -03 -E0 -9B -68 -18 -78 -00 -28 -FB -D0 -20 -46 -00 -F0 -1D -F8 -21 -46 -18 -46 -FF -F7 -C3 -FF -10 -BD -CC -1A -00 -20 -10 -B5 -01 -69 -00 -29 -0F -D0 -C2 -68 -CA -60 -C3 -68 -00 -22 -00 -2B -07 -D0 -8B -8A -84 -8A -1B -19 -8B -82 -C3 -68 -19 -61 -C2 -60 -00 -E0 -8A -82 -02 -61 -10 -BD -00 -00 -81 -68 -00 -29 -07 -D0 -42 -68 -4A -60 -41 -68 -00 -29 -01 -D0 -80 -68 -88 -60 -70 -47 -06 -49 -06 -E0 -4A -68 -82 -42 -02 -D1 -40 -68 -48 -60 -70 -47 -11 -46 -00 -29 -F6 -D1 -70 -47 -00 -00 -CC -1A -00 -20 -10 -B5 -41 -68 -00 -29 -0E -D0 -FF -F7 -D9 -FD -04 -46 -FF -F7 -C7 -FF -01 -20 -60 -70 -03 -21 -20 -20 -01 -55 -21 -46 -04 -48 -FF -F7 -7E -FF -10 -BD -41 -88 -49 -1C -41 -80 -10 -BD -00 -00 -CC -1A -00 -20 -10 -B5 -07 -48 -00 -68 -41 -6A -80 -6A -81 -42 -03 -D3 -00 -68 -04 -49 -88 -42 -02 -D0 -01 -20 -FF -F7 -98 -FA -10 -BD -00 -00 -1C -00 -00 -20 -A5 -2E -5A -E2 -02 -49 -48 -60 -02 -21 -41 -70 -70 -47 -00 -00 -1C -00 -00 -20 -F7 -B5 -06 -46 -00 -20 -04 -46 -33 -4A -34 -49 -02 -E0 -83 -00 -D4 -50 -40 -1C -0B -88 -98 -42 -F9 -D3 -31 -48 -30 -22 -01 -88 -30 -48 -FF -F7 -25 -FC -30 -48 -01 -21 -00 -68 -C9 -07 -80 -B2 -42 -18 -2E -48 -01 -68 -2E -48 -FF -F7 -1A -FC -2D -48 -08 -22 -01 -88 -2D -48 -00 -68 -FF -F7 -13 -FC -2C -4F -FF -25 -FD -70 -7C -84 -2B -4A -00 -21 -38 -46 -FF -F7 -90 -FD -29 -48 -04 -21 -01 -70 -44 -60 -28 -48 -01 -70 -C4 -60 -04 -61 -84 -82 -78 -6A -20 -30 -FF -F7 -93 -F8 -25 -48 -26 -49 -07 -60 -02 -20 -78 -70 -23 -48 -04 -70 -44 -70 -09 -78 -C1 -70 -FF -F7 -99 -FD -22 -48 -01 -6A -2A -04 -11 -43 -01 -62 -C1 -69 -02 -6A -52 -02 -92 -0E -92 -06 -11 -43 -C1 -61 -FF -F7 -07 -FC -1C -49 -00 -28 -08 -60 -0B -DB -1B -49 -41 -18 -0D -70 -C2 -06 -D2 -0E -01 -21 -91 -40 -40 -11 -18 -4A -80 -00 -80 -18 -01 -60 -00 -23 -30 -46 -02 -9A -01 -99 -00 -F0 -67 -F8 -FE -BD -E4 -17 -00 -20 -FE -12 -00 -00 -18 -13 -00 -00 -40 -00 -00 -20 -00 -13 -00 -00 -1C -13 -00 -00 -70 -01 -00 -20 -22 -13 -00 -00 -10 -00 -00 -20 -9C -1A -00 -20 -ED -04 -00 -00 -CC -1A -00 -20 -E4 -1A -00 -20 -1C -00 -00 -20 -60 -17 -00 -20 -20 -13 -00 -00 -00 -ED -00 -E0 -2C -00 -00 -20 -00 -E4 -00 -E0 -00 -E1 -00 -E0 -10 -B5 -0B -48 -01 -21 -00 -68 -41 -70 -FF -F7 -DD -FE -FF -F7 -01 -FC -08 -48 -01 -68 -49 -1C -01 -60 -FF -F7 -1D -FC -00 -F0 -0D -F8 -05 -48 -FF -F7 -01 -FD -FF -F7 -4E -FF -10 -BD -00 -00 -1C -00 -00 -20 -24 -00 -00 -20 -CC -1A -00 -20 -70 -B5 -0D -4C -20 -68 -00 -28 -15 -D0 -A0 -88 -0B -4E -40 -1E -A0 -80 -0A -E0 -E8 -88 -FF -F7 -CA -F9 -A8 -88 -A0 -80 -28 -68 -20 -60 -29 -46 -30 -68 -FF -F7 -CF -FC -A0 -88 -00 -28 -02 -D1 -25 -68 -00 -2D -EE -D1 -70 -BD -38 -00 -00 -20 -10 -00 -00 -20 -FF -B5 -08 -06 -81 -B0 -0C -46 -16 -46 -1F -46 -00 -0E -00 -D1 -4C -1C -16 -48 -FF -F7 -95 -FB -05 -00 -25 -D0 -20 -02 -00 -0C -AE -62 -68 -84 -EF -61 -E1 -B2 -28 -46 -01 -9A -FF -F7 -D4 -FC -01 -24 -0F -48 -0F -49 -06 -E0 -A2 -00 -12 -18 -40 -3A -D2 -6B -00 -2A -04 -D0 -64 -1C -0A -88 -94 -42 -F5 -D9 -00 -24 -A1 -00 -08 -18 -40 -38 -C5 -63 -EC -70 -28 -46 -FF -F7 -FB -FB -06 -48 -00 -68 -20 -30 -04 -70 -20 -46 -05 -B0 -F0 -BD -40 -00 -00 -20 -E4 -17 -00 -20 -FE -12 -00 -00 -1C -00 -00 -20 -70 -B5 -21 -4C -00 -25 -21 -4E -21 -68 -00 -28 -02 -D0 -CA -78 -82 -42 -1A -D1 -4D -70 -FE -F7 -B4 -FF -21 -68 -48 -62 -FF -F7 -C3 -FE -20 -68 -C1 -78 -89 -00 -89 -19 -40 -39 -CD -63 -81 -6A -17 -48 -FF -F7 -6B -FC -21 -68 -16 -48 -8D -62 -FF -F7 -66 -FC -00 -20 -25 -60 -FF -F7 -C8 -FB -19 -E0 -13 -49 -09 -88 -88 -42 -17 -D8 -80 -00 -86 -19 -40 -3E -F4 -6B -00 -2C -11 -D0 -20 -46 -FF -F7 -6E -FE -20 -46 -FF -F7 -55 -FE -F5 -63 -08 -48 -A1 -6A -FF -F7 -4C -FC -21 -46 -07 -48 -A5 -62 -FF -F7 -47 -FC -00 -20 -70 -BD -FF -20 -70 -BD -00 -00 -1C -00 -00 -20 -E4 -17 -00 -20 -70 -01 -00 -20 -40 -00 -00 -20 -FE -12 -00 -00 -02 -48 -00 -68 -00 -28 -00 -D0 -C0 -78 -70 -47 -1C -00 -00 -20 -0A -43 -6F -72 -74 -65 -78 -20 -4D -69 -63 -72 -6F -63 -6F -6E -74 -72 -6F -6C -6C -65 -72 -20 -53 -79 -73 -74 -65 -6D -20 -44 -65 -73 -69 -67 -6E -20 -4B -69 -74 -20 -2D -20 -52 -54 -58 -20 -44 -65 -6D -6F -20 -2D -20 -72 -65 -76 -69 -73 -69 -6F -6E -20 -24 -52 -65 -76 -69 -73 -69 -6F -6E -3A -20 -32 -31 -34 -35 -35 -37 -20 -24 -0A -00 -00 -06 -00 -20 -03 -00 -01 -05 -00 -01 -00 -0F -27 -00 -00 -00 -00 -00 -00 -C8 -00 -00 -00 -00 -00 -00 -00 -2C -01 -00 -00 -F0 -15 -00 -00 -10 -00 -00 -00 -44 -13 -00 -00 -00 -00 -00 -20 -40 -00 -00 -00 -04 -01 -00 -00 -84 -13 -00 -00 -40 -00 -00 -20 -20 -1E -00 -00 -20 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -E1 -F5 -05 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 diff --git a/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.hex b/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.hex deleted file mode 100644 index 1ab6c31cc0bc29a13f3baf5d4cd2211c4b84252b..0000000000000000000000000000000000000000 --- a/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.hex +++ /dev/null @@ -1,4996 +0,0 @@ -60 -1E -00 -20 -01 -03 -00 -00 -09 -03 -00 -00 -0B -03 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -E9 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -8D -02 -00 -00 -ED -02 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -00 -00 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -00 -F0 -02 -F8 -00 -F0 -48 -F8 -0C -A0 -30 -C8 -08 -38 -24 -18 -2D -18 -A2 -46 -67 -1E -AB -46 -54 -46 -5D -46 -AC -42 -01 -D1 -00 -F0 -3A -F8 -7E -46 -0F -3E -0F -CC -B6 -46 -01 -26 -33 -42 -00 -D0 -FB -1A -A2 -46 -AB -46 -33 -43 -18 -47 -28 -12 -00 -00 -48 -12 -00 -00 -10 -3A -02 -D3 -78 -C8 -78 -C1 -FA -D8 -52 -07 -01 -D3 -30 -C8 -30 -C1 -01 -D5 -04 -68 -0C -60 -70 -47 -00 -00 -00 -23 -00 -24 -00 -25 -00 -26 -10 -3A -01 -D3 -78 -C1 -FB -D8 -52 -07 -00 -D3 -30 -C1 -00 -D5 -0B -60 -70 -47 -10 -B5 -64 -29 -02 -D1 -00 -F0 -73 -FA -10 -BD -00 -20 -10 -BD -1F -B5 -C0 -46 -C0 -46 -1F -BD -10 -B5 -10 -BD -00 -F0 -50 -FB -11 -46 -FF -F7 -F5 -FF -00 -F0 -25 -F9 -00 -F0 -68 -FB -03 -B4 -FF -F7 -F2 -FF -03 -BC -00 -F0 -BA -F9 -00 -00 -80 -F3 -09 -88 -70 -47 -EF -F3 -09 -80 -70 -47 -68 -46 -80 -F3 -09 -88 -06 -48 -00 -78 -C0 -07 -03 -D1 -03 -20 -80 -F3 -14 -88 -70 -47 -02 -20 -80 -F3 -14 -88 -70 -47 -00 -00 -0C -13 -00 -00 -06 -4B -9C -46 -EF -F3 -05 -83 -1B -06 -05 -D1 -EF -F3 -14 -83 -DB -07 -01 -D0 -00 -DF -70 -47 -60 -47 -00 -00 -C3 -08 -00 -00 -06 -4B -9C -46 -EF -F3 -05 -83 -1B -06 -05 -D1 -EF -F3 -14 -83 -DB -07 -01 -D0 -00 -DF -70 -47 -60 -47 -00 -00 -09 -0B -00 -00 -EF -F3 -09 -80 -81 -69 -89 -1E -09 -78 -00 -29 -33 -D1 -A6 -46 -1F -C8 -A4 -46 -74 -46 -E0 -47 -1F -4B -06 -CB -91 -42 -24 -D0 -08 -3B -00 -29 -10 -D0 -0C -B4 -20 -31 -01 -23 -4B -70 -EF -F3 -09 -83 -20 -3B -4B -60 -F0 -C3 -44 -46 -4D -46 -56 -46 -5F -46 -F0 -C3 -00 -F0 -BB -FE -0C -BC -1A -60 -20 -32 -53 -68 -10 -33 -F0 -CB -A0 -46 -A9 -46 -B2 -46 -BB -46 -83 -F3 -09 -88 -20 -3B -F0 -CB -50 -78 -00 -28 -03 -D0 -10 -78 -EF -F3 -09 -83 -18 -60 -02 -23 -DB -43 -18 -47 -10 -B5 -08 -4A -12 -68 -91 -42 -09 -D8 -07 -48 -89 -00 -40 -58 -86 -46 -1F -C8 -A4 -46 -F0 -47 -EF -F3 -09 -84 -0F -C4 -10 -BD -00 -00 -1C -00 -00 -20 -48 -08 -00 -00 -48 -08 -00 -00 -00 -F0 -A0 -FD -15 -4B -06 -CB -91 -42 -23 -D0 -08 -3B -0C -B4 -20 -31 -00 -23 -4B -70 -EF -F3 -09 -83 -20 -3B -4B -60 -F0 -C3 -44 -46 -4D -46 -56 -46 -5F -46 -F0 -C3 -00 -F0 -75 -FE -0C -BC -1A -60 -20 -32 -53 -68 -10 -33 -F0 -CB -A0 -46 -A9 -46 -B2 -46 -BB -46 -83 -F3 -09 -88 -20 -3B -F0 -CB -50 -78 -00 -28 -01 -D0 -10 -78 -18 -61 -02 -23 -DB -43 -18 -47 -00 -00 -1C -00 -00 -20 -00 -F0 -0A -FF -CE -E7 -00 -00 -00 -F0 -E4 -FA -00 -F0 -04 -FF -C8 -E7 -00 -00 -07 -48 -80 -47 -07 -48 -00 -47 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -04 -48 -05 -49 -05 -4A -06 -4B -70 -47 -00 -00 -85 -05 -00 -00 -C1 -00 -00 -00 -60 -1B -00 -20 -60 -1E -00 -20 -60 -1C -00 -20 -60 -1C -00 -20 -28 -4C -29 -4F -01 -20 -BC -46 -00 -DF -28 -A0 -00 -F0 -A8 -F9 -29 -4F -01 -20 -BC -46 -A1 -68 -00 -DF -F2 -E7 -27 -4D -21 -4C -27 -4F -01 -22 -29 -46 -10 -46 -BC -46 -00 -DF -21 -68 -25 -A0 -49 -1C -21 -60 -00 -F0 -18 -F9 -20 -68 -03 -28 -F0 -DB -25 -A0 -00 -F0 -8E -F9 -28 -A0 -00 -F0 -8B -F9 -00 -F0 -97 -F8 -E7 -E7 -00 -23 -2B -4F -1A -46 -01 -21 -28 -48 -BC -46 -00 -DF -11 -4C -00 -23 -60 -60 -1A -46 -01 -21 -26 -48 -BC -46 -00 -DF -26 -4F -A0 -60 -00 -20 -BC -46 -00 -DF -80 -B5 -24 -49 -00 -20 -88 -61 -00 -F0 -66 -F8 -22 -48 -00 -F0 -6B -F9 -22 -A0 -00 -F0 -68 -F9 -FF -F7 -DC -FE -2D -4F -00 -22 -11 -46 -2B -48 -BC -46 -00 -DF -00 -20 -80 -BD -00 -00 -00 -20 -15 -0A -00 -00 -74 -61 -73 -6B -20 -31 -20 -2D -3E -00 -00 -00 -6D -0A -00 -00 -FF -FF -00 -00 -C9 -0A -00 -00 -20 -20 -74 -61 -73 -6B -20 -32 -2C -20 -25 -64 -0A -00 -00 -00 -54 -61 -73 -6B -73 -20 -72 -61 -6E -20 -33 -20 -74 -69 -6D -65 -73 -2E -00 -00 -2A -2A -20 -54 -45 -53 -54 -20 -50 -41 -53 -53 -45 -44 -20 -2A -2A -20 -0A -00 -39 -03 -00 -00 -81 -11 -00 -00 -55 -03 -00 -00 -FD -11 -00 -00 -00 -E0 -00 -E0 -A8 -12 -00 -00 -2D -20 -45 -78 -65 -63 -75 -74 -65 -20 -74 -61 -73 -6B -20 -31 -20 -2D -3E -20 -74 -61 -73 -6B -20 -32 -20 -73 -65 -71 -75 -65 -6E -63 -65 -20 -74 -68 -72 -65 -65 -20 -74 -69 -6D -65 -73 -0A -00 -00 -00 -00 -89 -03 -00 -00 -DD -0F -00 -00 -0C -48 -10 -21 -01 -61 -41 -21 -81 -60 -0B -49 -20 -20 -88 -61 -70 -47 -08 -49 -4A -68 -D2 -07 -FC -D1 -08 -60 -70 -47 -05 -48 -41 -68 -89 -07 -FC -D5 -00 -68 -C0 -B2 -70 -47 -04 -20 -FF -F7 -F0 -FF -FE -E7 -00 -60 -00 -40 -00 -10 -01 -40 -10 -B5 -C0 -B2 -FF -F7 -E7 -FF -10 -BD -10 -B5 -FF -F7 -E9 -FF -FF -F7 -E1 -FF -10 -BD -00 -20 -C0 -43 -70 -47 -10 -B5 -C0 -B2 -FF -F7 -D9 -FF -10 -BD -FE -E7 -FE -E7 -70 -47 -FE -E7 -10 -B5 -00 -F0 -D0 -FE -00 -28 -05 -D0 -60 -21 -48 -43 -17 -49 -40 -18 -60 -38 -10 -BD -16 -48 -10 -BD -10 -B5 -16 -4A -11 -68 -08 -29 -00 -D3 -FE -E7 -0C -23 -4B -43 -13 -4C -49 -1C -1B -19 -03 -60 -18 -46 -11 -60 -00 -F0 -C0 -FB -01 -20 -10 -BD -B0 -B5 -04 -46 -00 -F0 -B0 -FE -00 -28 -04 -D0 -0D -4F -0C -49 -BC -46 -20 -68 -00 -DF -B0 -BD -B0 -B5 -04 -46 -00 -F0 -A4 -FE -00 -28 -03 -D0 -08 -4F -20 -68 -BC -46 -00 -DF -B0 -BD -00 -00 -FC -17 -00 -20 -FC -1A -00 -20 -14 -00 -00 -20 -3C -1A -00 -20 -FF -FF -00 -00 -65 -0D -00 -00 -BD -0C -00 -00 -04 -49 -03 -48 -08 -60 -70 -47 -02 -49 -01 -48 -08 -60 -70 -47 -00 -E1 -F5 -05 -18 -00 -00 -20 -00 -48 -70 -47 -FC -1A -00 -20 -70 -47 -00 -00 -0F -B4 -05 -49 -10 -B5 -03 -AA -02 -98 -00 -F0 -E7 -F8 -10 -BC -08 -BC -04 -B0 -18 -47 -00 -00 -0C -00 -00 -20 -F8 -B5 -04 -46 -0E -46 -00 -20 -2D -E0 -E1 -68 -20 -46 -88 -47 -00 -28 -2A -D0 -25 -28 -02 -D0 -62 -68 -A1 -68 -20 -E0 -E1 -68 -20 -46 -00 -27 -88 -47 -05 -00 -1F -D0 -28 -46 -41 -38 -19 -28 -02 -D8 -01 -27 -FF -02 -20 -35 -32 -46 -20 -46 -27 -60 -29 -46 -FF -F7 -9E -FD -00 -28 -08 -D0 -01 -28 -04 -D0 -F6 -1D -F6 -08 -F6 -00 -08 -36 -D9 -E7 -36 -1D -D7 -E7 -62 -68 -28 -46 -A1 -68 -90 -47 -20 -6A -40 -1C -20 -62 -CF -E7 -20 -6A -F8 -BD -00 -00 -F7 -B5 -00 -26 -75 -29 -10 -68 -00 -99 -14 -A5 -11 -D0 -C0 -46 -C0 -46 -00 -28 -02 -DA -40 -42 -11 -A5 -08 -E0 -00 -99 -09 -68 -8A -07 -01 -D5 -0F -A5 -02 -E0 -49 -07 -04 -D5 -0E -A5 -01 -26 -01 -E0 -C0 -46 -C0 -46 -00 -9F -00 -24 -24 -37 -04 -E0 -00 -F0 -9B -F8 -30 -31 -39 -55 -64 -1C -00 -28 -F8 -D1 -33 -46 -2A -46 -21 -46 -00 -98 -00 -F0 -23 -F8 -FE -BD -00 -00 -00 -00 -00 -00 -2D -00 -00 -00 -2B -00 -00 -00 -20 -00 -00 -00 -10 -B5 -04 -46 -03 -E0 -FF -F7 -11 -FF -40 -1C -08 -D0 -20 -78 -05 -49 -64 -1C -00 -28 -F6 -D1 -0A -20 -FF -F7 -07 -FF -10 -BD -00 -20 -C0 -43 -10 -BD -00 -00 -0C -00 -00 -20 -70 -47 -70 -47 -70 -47 -FF -B5 -04 -46 -0D -46 -81 -B0 -24 -30 -00 -90 -21 -68 -88 -06 -04 -D5 -10 -22 -E0 -69 -91 -43 -21 -60 -00 -E0 -01 -20 -A8 -42 -01 -DD -47 -1B -00 -E0 -00 -27 -04 -98 -A1 -69 -7A -19 -10 -18 -08 -1A -A0 -61 -20 -68 -C0 -06 -02 -D4 -20 -46 -C0 -46 -C0 -46 -00 -26 -08 -E0 -03 -98 -62 -68 -A1 -68 -80 -5D -90 -47 -20 -6A -40 -1C -76 -1C -20 -62 -04 -98 -86 -42 -F3 -DB -20 -68 -C0 -06 -0A -D5 -20 -46 -C0 -46 -C0 -46 -06 -E0 -62 -68 -A1 -68 -30 -20 -90 -47 -20 -6A -40 -1C -20 -62 -38 -46 -7F -1E -00 -28 -F4 -DC -07 -E0 -00 -98 -62 -68 -A1 -68 -40 -5D -90 -47 -20 -6A -40 -1C -20 -62 -28 -46 -6D -1E -00 -28 -F3 -DC -20 -46 -C0 -46 -C0 -46 -20 -68 -00 -06 -02 -D5 -02 -20 -05 -B0 -F0 -BD -01 -20 -FB -E7 -00 -00 -08 -4B -70 -B5 -0C -46 -7B -44 -00 -F0 -27 -F8 -05 -46 -20 -46 -FF -F7 -A5 -FE -00 -28 -02 -D0 -00 -20 -C0 -43 -70 -BD -28 -46 -70 -BD -00 -00 -3F -FD -FF -FF -01 -46 -80 -08 -08 -1A -02 -09 -10 -18 -02 -0A -10 -18 -02 -0C -10 -18 -C0 -08 -82 -00 -12 -18 -52 -00 -89 -1A -01 -E0 -0A -39 -40 -1C -0A -29 -FB -D2 -70 -47 -01 -69 -4A -1C -02 -61 -08 -78 -70 -47 -00 -B5 -8F -B0 -02 -91 -00 -21 -05 -91 -05 -49 -01 -93 -79 -44 -03 -91 -11 -46 -04 -90 -68 -46 -FF -F7 -E5 -FE -0F -B0 -00 -BD -00 -00 -E5 -FF -FF -FF -75 -46 -FF -F7 -C9 -FE -AE -46 -05 -00 -69 -46 -53 -46 -C0 -08 -C0 -00 -85 -46 -18 -B0 -20 -B5 -FF -F7 -7E -FD -60 -BC -00 -27 -49 -08 -B6 -46 -00 -26 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -40 -3D -49 -00 -8D -46 -70 -47 -04 -46 -C0 -46 -C0 -46 -20 -46 -FF -F7 -92 -FC -00 -00 -00 -00 -00 -00 -10 -B5 -00 -2A -06 -DA -52 -00 -52 -08 -D2 -1D -D3 -08 -DB -00 -10 -22 -03 -E0 -D2 -1C -93 -08 -9B -00 -0C -22 -00 -2B -02 -D0 -9C -18 -8C -42 -01 -D9 -01 -20 -10 -BD -82 -18 -83 -60 -41 -18 -02 -60 -41 -60 -C9 -1A -D0 -18 -88 -42 -02 -D8 -10 -60 -02 -46 -F9 -E7 -00 -20 -10 -60 -10 -BD -07 -48 -01 -68 -07 -48 -41 -61 -00 -21 -81 -61 -07 -21 -01 -61 -05 -48 -01 -6A -FF -22 -12 -06 -11 -43 -01 -62 -40 -17 -70 -47 -08 -13 -00 -00 -00 -E0 -00 -E0 -00 -ED -00 -E0 -70 -47 -01 -46 -EF -F3 -10 -80 -C2 -07 -D2 -0F -72 -B6 -08 -68 -00 -28 -01 -D0 -03 -68 -0B -60 -00 -2A -00 -D1 -62 -B6 -70 -47 -70 -B5 -0D -46 -00 -28 -0F -D0 -08 -49 -09 -4C -88 -42 -04 -D2 -22 -68 -01 -46 -10 -46 -00 -F0 -A3 -FA -20 -68 -45 -70 -05 -48 -00 -F0 -15 -F9 -00 -F0 -62 -FB -70 -BD -00 -00 -FF -FF -00 -00 -1C -00 -00 -20 -CC -1A -00 -20 -10 -B5 -0E -48 -0C -49 -42 -68 -0D -48 -0B -68 -00 -68 -93 -42 -04 -D0 -0A -60 -CB -88 -42 -1E -9A -18 -8A -80 -8A -88 -80 -B2 -82 -42 -08 -D1 -00 -20 -08 -60 -04 -48 -00 -F0 -F4 -F8 -01 -46 -02 -48 -00 -F0 -A1 -FA -10 -BD -30 -00 -00 -20 -CC -1A -00 -20 -24 -00 -00 -20 -70 -B5 -1B -4D -E8 -68 -00 -28 -31 -D0 -A8 -8A -40 -1E -A8 -82 -00 -26 -26 -E0 -A0 -68 -00 -28 -08 -D0 -61 -68 -41 -60 -60 -68 -00 -28 -02 -D0 -A1 -68 -81 -60 -66 -60 -A6 -60 -10 -48 -21 -46 -18 -38 -00 -F0 -7F -FA -A0 -8A -A8 -82 -60 -78 -04 -28 -04 -D1 -0C -49 -E0 -8A -09 -68 -40 -18 -A0 -82 -01 -20 -60 -70 -20 -21 -08 -55 -E0 -68 -E8 -60 -E0 -68 -00 -28 -01 -D0 -05 -61 -E6 -60 -26 -61 -A8 -8A -00 -28 -02 -D1 -EC -68 -00 -2C -D2 -D1 -70 -BD -E4 -1A -00 -20 -24 -00 -00 -20 -70 -B5 -04 -00 -0E -D0 -0C -4D -A1 -78 -28 -68 -01 -26 -82 -78 -91 -42 -0B -D9 -00 -F0 -70 -FA -28 -68 -46 -70 -20 -46 -00 -F0 -EB -FA -70 -BD -05 -48 -00 -F0 -98 -F8 -F8 -E7 -66 -70 -21 -46 -02 -48 -00 -F0 -43 -FA -70 -BD -1C -00 -00 -20 -CC -1A -00 -20 -10 -B5 -03 -21 -FF -F7 -62 -FF -10 -BD -00 -00 -10 -B5 -04 -46 -00 -8B -08 -43 -20 -83 -62 -78 -61 -8B -06 -2A -15 -D0 -05 -2A -12 -D1 -0A -46 -10 -42 -0F -D0 -02 -40 -62 -83 -88 -43 -20 -83 -20 -46 -00 -F0 -63 -FA -01 -20 -60 -70 -02 -21 -20 -20 -01 -55 -21 -46 -04 -48 -00 -F0 -1A -FA -10 -BD -0A -46 -82 -43 -ED -D0 -10 -BD -00 -00 -CC -1A -00 -20 -02 -46 -88 -00 -70 -B5 -14 -49 -40 -18 -40 -38 -C4 -6B -00 -2C -1D -D0 -20 -8B -10 -43 -20 -83 -61 -78 -65 -8B -06 -29 -17 -D0 -05 -29 -14 -D1 -29 -46 -08 -42 -11 -D0 -01 -40 -61 -83 -A8 -43 -20 -83 -20 -46 -00 -F0 -36 -FA -20 -8B -02 -21 -A8 -43 -20 -83 -01 -20 -60 -70 -20 -20 -01 -55 -20 -46 -FF -F7 -8D -FF -70 -BD -29 -46 -81 -43 -EB -D0 -70 -BD -E4 -17 -00 -20 -70 -B5 -03 -46 -0D -48 -0D -46 -04 -68 -00 -2A -20 -8B -08 -D0 -19 -46 -81 -43 -01 -D0 -06 -20 -09 -E0 -98 -43 -20 -83 -02 -20 -70 -BD -02 -46 -1A -40 -01 -D0 -62 -83 -F6 -E7 -05 -20 -C1 -B2 -63 -83 -28 -46 -FF -F7 -F0 -FE -01 -20 -70 -BD -1C -00 -00 -20 -81 -42 -02 -D3 -42 -68 -8A -42 -01 -D8 -01 -20 -70 -47 -EF -F3 -10 -82 -D2 -07 -D2 -0F -72 -B6 -03 -68 -0B -60 -01 -60 -00 -D1 -62 -B6 -00 -20 -70 -47 -01 -46 -40 -68 -42 -68 -4A -60 -0B -78 -00 -22 -02 -2B -05 -D0 -01 -2B -03 -D0 -03 -2B -01 -D0 -42 -60 -70 -47 -43 -68 -00 -2B -01 -D0 -99 -60 -42 -60 -82 -60 -70 -47 -70 -B5 -04 -46 -15 -46 -00 -20 -20 -70 -01 -22 -62 -70 -A1 -70 -60 -60 -A0 -60 -E0 -60 -20 -61 -A0 -82 -E0 -82 -20 -83 -23 -46 -60 -83 -20 -33 -18 -70 -58 -70 -60 -8C -00 -28 -03 -D1 -04 -48 -FF -F7 -9B -FE -A0 -62 -29 -46 -20 -46 -00 -F0 -0F -F8 -70 -BD -70 -01 -00 -20 -03 -48 -00 -21 -01 -60 -03 -49 -09 -68 -C1 -80 -70 -47 -00 -00 -30 -00 -00 -20 -04 -13 -00 -00 -30 -B5 -42 -8C -92 -08 -03 -D1 -0E -4A -12 -68 -12 -04 -92 -0C -83 -6A -92 -00 -9A -18 -53 -07 -00 -D5 -12 -1F -40 -3A -01 -23 -1B -06 -D3 -63 -00 -23 -91 -63 -1C -46 -9D -00 -5B -1C -54 -51 -0E -2B -FA -D3 -C3 -69 -13 -62 -42 -62 -C1 -62 -80 -6A -02 -49 -01 -60 -30 -BD -00 -13 -00 -00 -A5 -2E -5A -E2 -F8 -B5 -04 -46 -40 -68 -00 -26 -0F -46 -00 -28 -05 -D0 -60 -78 -02 -28 -18 -D0 -A0 -89 -00 -28 -2F -D0 -A0 -89 -E1 -89 -88 -42 -3E -D2 -20 -89 -80 -00 -00 -19 -07 -61 -72 -B6 -A0 -89 -40 -1C -A0 -81 -62 -B6 -20 -89 -40 -1C -80 -B2 -20 -81 -E1 -89 -88 -42 -00 -D1 -26 -81 -F8 -BD -66 -70 -20 -46 -FF -F7 -70 -FF -05 -46 -20 -30 -06 -70 -21 -89 -E8 -69 -89 -00 -09 -19 -08 -61 -72 -B6 -A0 -89 -40 -1C -A0 -81 -62 -B6 -20 -89 -40 -1C -80 -B2 -20 -81 -E1 -89 -88 -42 -0A -D1 -26 -81 -08 -E0 -20 -46 -FF -F7 -57 -FF -05 -46 -C0 -69 -04 -21 -07 -60 -20 -20 -41 -55 -01 -20 -68 -70 -28 -46 -00 -F0 -3D -F9 -29 -46 -03 -48 -00 -F0 -F9 -F8 -F8 -BD -03 -20 -FF -F7 -25 -FC -F8 -BD -CC -1A -00 -20 -03 -21 -01 -70 -00 -21 -41 -70 -41 -80 -41 -60 -81 -60 -70 -47 -F8 -B5 -05 -46 -40 -88 -00 -28 -04 -D0 -25 -4C -A9 -68 -22 -68 -91 -42 -01 -D0 -FF -20 -F8 -BD -40 -1E -00 -04 -00 -0C -68 -80 -3B -D1 -21 -68 -68 -78 -88 -70 -6A -68 -01 -27 -00 -2A -28 -D0 -28 -46 -FF -F7 -1E -FF -06 -46 -05 -21 -20 -30 -01 -70 -30 -46 -00 -F0 -08 -F9 -6F -80 -AE -60 -B0 -78 -68 -70 -15 -48 -21 -68 -40 -68 -8A -78 -80 -78 -82 -42 -01 -D3 -30 -46 -0A -E0 -11 -48 -00 -F0 -B8 -F8 -31 -46 -0F -48 -00 -F0 -B4 -F8 -20 -68 -47 -70 -77 -70 -10 -E0 -FF -F7 -50 -FE -21 -68 -00 -20 -20 -31 -08 -70 -0B -E0 -08 -4A -52 -68 -92 -78 -82 -42 -06 -D9 -05 -48 -00 -F0 -A1 -F8 -20 -68 -47 -70 -00 -20 -EC -E7 -00 -20 -F8 -BD -00 -00 -1C -00 -00 -20 -CC -1A -00 -20 -70 -B5 -0E -46 -18 -4D -04 -46 -41 -88 -28 -68 -00 -29 -07 -D0 -A1 -68 -81 -42 -08 -D1 -60 -88 -40 -1C -60 -80 -00 -20 -70 -BD -A0 -60 -80 -78 -60 -70 -F6 -E7 -00 -2E -0F -D0 -62 -78 -80 -78 -82 -42 -03 -D2 -88 -70 -A0 -68 -00 -F0 -A0 -F8 -60 -68 -00 -28 -06 -D0 -20 -46 -29 -68 -00 -F0 -71 -F8 -07 -E0 -01 -20 -70 -BD -28 -68 -60 -60 -28 -68 -00 -21 -84 -60 -41 -60 -09 -21 -30 -46 -FF -F7 -8C -FD -F2 -E7 -00 -00 -1C -00 -00 -20 -70 -B5 -18 -48 -01 -21 -00 -68 -41 -70 -00 -F0 -77 -F8 -16 -4C -65 -78 -13 -E0 -E8 -00 -01 -19 -48 -68 -02 -78 -00 -2A -17 -D0 -01 -2A -1A -D0 -00 -F0 -BC -F8 -E0 -78 -6D -1C -85 -42 -00 -D1 -00 -25 -72 -B6 -A0 -78 -40 -1E -A0 -70 -62 -B6 -A0 -78 -00 -28 -E8 -D1 -65 -70 -09 -48 -FF -F7 -8A -FE -00 -F0 -D7 -F8 -70 -BD -89 -68 -89 -B2 -FF -F7 -FC -FD -E6 -E7 -89 -68 -FF -F7 -E8 -FE -E2 -E7 -00 -00 -1C -00 -00 -20 -60 -17 -00 -20 -CC -1A -00 -20 -10 -B5 -12 -4A -D3 -68 -00 -2B -17 -D0 -13 -46 -9B -8A -04 -E0 -00 -2C -12 -D0 -22 -46 -A4 -8A -E3 -18 -D4 -68 -8B -42 -F7 -D3 -C4 -60 -D0 -60 -02 -61 -C4 -68 -00 -2C -00 -D0 -20 -61 -59 -1A -81 -82 -90 -8A -40 -1A -90 -82 -10 -BD -00 -24 -C4 -60 -D0 -60 -C9 -1A -02 -61 -91 -82 -84 -82 -10 -BD -00 -00 -E4 -1A -00 -20 -30 -B5 -02 -78 -00 -23 -02 -2A -03 -D0 -01 -2A -01 -D0 -03 -2A -00 -D1 -01 -23 -8C -78 -00 -E0 -10 -46 -42 -68 -00 -2A -02 -D0 -95 -78 -A5 -42 -F8 -D2 -4A -60 -41 -60 -00 -2B -04 -D0 -00 -2A -00 -D0 -91 -60 -88 -60 -30 -BD -00 -20 -FB -E7 -03 -49 -4A -68 -42 -60 -00 -22 -82 -60 -48 -60 -70 -47 -00 -00 -CC -1A -00 -20 -10 -B5 -83 -68 -04 -46 -00 -2B -05 -D1 -60 -78 -01 -28 -0C -D1 -06 -4B -03 -E0 -9B -68 -18 -78 -00 -28 -FB -D0 -20 -46 -00 -F0 -1D -F8 -21 -46 -18 -46 -FF -F7 -C3 -FF -10 -BD -CC -1A -00 -20 -10 -B5 -01 -69 -00 -29 -0F -D0 -C2 -68 -CA -60 -C3 -68 -00 -22 -00 -2B -07 -D0 -8B -8A -84 -8A -1B -19 -8B -82 -C3 -68 -19 -61 -C2 -60 -00 -E0 -8A -82 -02 -61 -10 -BD -00 -00 -81 -68 -00 -29 -07 -D0 -42 -68 -4A -60 -41 -68 -00 -29 -01 -D0 -80 -68 -88 -60 -70 -47 -06 -49 -06 -E0 -4A -68 -82 -42 -02 -D1 -40 -68 -48 -60 -70 -47 -11 -46 -00 -29 -F6 -D1 -70 -47 -00 -00 -CC -1A -00 -20 -10 -B5 -41 -68 -00 -29 -0E -D0 -FF -F7 -D9 -FD -04 -46 -FF -F7 -C7 -FF -01 -20 -60 -70 -03 -21 -20 -20 -01 -55 -21 -46 -04 -48 -FF -F7 -7E -FF -10 -BD -41 -88 -49 -1C -41 -80 -10 -BD -00 -00 -CC -1A -00 -20 -10 -B5 -07 -48 -00 -68 -41 -6A -80 -6A -81 -42 -03 -D3 -00 -68 -04 -49 -88 -42 -02 -D0 -01 -20 -FF -F7 -98 -FA -10 -BD -00 -00 -1C -00 -00 -20 -A5 -2E -5A -E2 -02 -49 -48 -60 -02 -21 -41 -70 -70 -47 -00 -00 -1C -00 -00 -20 -F7 -B5 -06 -46 -00 -20 -04 -46 -33 -4A -34 -49 -02 -E0 -83 -00 -D4 -50 -40 -1C -0B -88 -98 -42 -F9 -D3 -31 -48 -30 -22 -01 -88 -30 -48 -FF -F7 -25 -FC -30 -48 -01 -21 -00 -68 -C9 -07 -80 -B2 -42 -18 -2E -48 -01 -68 -2E -48 -FF -F7 -1A -FC -2D -48 -08 -22 -01 -88 -2D -48 -00 -68 -FF -F7 -13 -FC -2C -4F -FF -25 -FD -70 -7C -84 -2B -4A -00 -21 -38 -46 -FF -F7 -90 -FD -29 -48 -04 -21 -01 -70 -44 -60 -28 -48 -01 -70 -C4 -60 -04 -61 -84 -82 -78 -6A -20 -30 -FF -F7 -93 -F8 -25 -48 -26 -49 -07 -60 -02 -20 -78 -70 -23 -48 -04 -70 -44 -70 -09 -78 -C1 -70 -FF -F7 -99 -FD -22 -48 -01 -6A -2A -04 -11 -43 -01 -62 -C1 -69 -02 -6A -52 -02 -92 -0E -92 -06 -11 -43 -C1 -61 -FF -F7 -07 -FC -1C -49 -00 -28 -08 -60 -0B -DB -1B -49 -41 -18 -0D -70 -C2 -06 -D2 -0E -01 -21 -91 -40 -40 -11 -18 -4A -80 -00 -80 -18 -01 -60 -00 -23 -30 -46 -02 -9A -01 -99 -00 -F0 -67 -F8 -FE -BD -E4 -17 -00 -20 -FE -12 -00 -00 -18 -13 -00 -00 -40 -00 -00 -20 -00 -13 -00 -00 -1C -13 -00 -00 -70 -01 -00 -20 -22 -13 -00 -00 -10 -00 -00 -20 -9C -1A -00 -20 -ED -04 -00 -00 -CC -1A -00 -20 -E4 -1A -00 -20 -1C -00 -00 -20 -60 -17 -00 -20 -20 -13 -00 -00 -00 -ED -00 -E0 -2C -00 -00 -20 -00 -E4 -00 -E0 -00 -E1 -00 -E0 -10 -B5 -0B -48 -01 -21 -00 -68 -41 -70 -FF -F7 -DD -FE -FF -F7 -01 -FC -08 -48 -01 -68 -49 -1C -01 -60 -FF -F7 -1D -FC -00 -F0 -0D -F8 -05 -48 -FF -F7 -01 -FD -FF -F7 -4E -FF -10 -BD -00 -00 -1C -00 -00 -20 -24 -00 -00 -20 -CC -1A -00 -20 -70 -B5 -0D -4C -20 -68 -00 -28 -15 -D0 -A0 -88 -0B -4E -40 -1E -A0 -80 -0A -E0 -E8 -88 -FF -F7 -CA -F9 -A8 -88 -A0 -80 -28 -68 -20 -60 -29 -46 -30 -68 -FF -F7 -CF -FC -A0 -88 -00 -28 -02 -D1 -25 -68 -00 -2D -EE -D1 -70 -BD -38 -00 -00 -20 -10 -00 -00 -20 -FF -B5 -08 -06 -81 -B0 -0C -46 -16 -46 -1F -46 -00 -0E -00 -D1 -4C -1C -16 -48 -FF -F7 -95 -FB -05 -00 -25 -D0 -20 -02 -00 -0C -AE -62 -68 -84 -EF -61 -E1 -B2 -28 -46 -01 -9A -FF -F7 -D4 -FC -01 -24 -0F -48 -0F -49 -06 -E0 -A2 -00 -12 -18 -40 -3A -D2 -6B -00 -2A -04 -D0 -64 -1C -0A -88 -94 -42 -F5 -D9 -00 -24 -A1 -00 -08 -18 -40 -38 -C5 -63 -EC -70 -28 -46 -FF -F7 -FB -FB -06 -48 -00 -68 -20 -30 -04 -70 -20 -46 -05 -B0 -F0 -BD -40 -00 -00 -20 -E4 -17 -00 -20 -FE -12 -00 -00 -1C -00 -00 -20 -70 -B5 -21 -4C -00 -25 -21 -4E -21 -68 -00 -28 -02 -D0 -CA -78 -82 -42 -1A -D1 -4D -70 -FE -F7 -B4 -FF -21 -68 -48 -62 -FF -F7 -C3 -FE -20 -68 -C1 -78 -89 -00 -89 -19 -40 -39 -CD -63 -81 -6A -17 -48 -FF -F7 -6B -FC -21 -68 -16 -48 -8D -62 -FF -F7 -66 -FC -00 -20 -25 -60 -FF -F7 -C8 -FB -19 -E0 -13 -49 -09 -88 -88 -42 -17 -D8 -80 -00 -86 -19 -40 -3E -F4 -6B -00 -2C -11 -D0 -20 -46 -FF -F7 -6E -FE -20 -46 -FF -F7 -55 -FE -F5 -63 -08 -48 -A1 -6A -FF -F7 -4C -FC -21 -46 -07 -48 -A5 -62 -FF -F7 -47 -FC -00 -20 -70 -BD -FF -20 -70 -BD -00 -00 -1C -00 -00 -20 -E4 -17 -00 -20 -70 -01 -00 -20 -40 -00 -00 -20 -FE -12 -00 -00 -02 -48 -00 -68 -00 -28 -00 -D0 -C0 -78 -70 -47 -1C -00 -00 -20 -0A -43 -6F -72 -74 -65 -78 -20 -4D -69 -63 -72 -6F -63 -6F -6E -74 -72 -6F -6C -6C -65 -72 -20 -53 -79 -73 -74 -65 -6D -20 -44 -65 -73 -69 -67 -6E -20 -4B -69 -74 -20 -2D -20 -52 -54 -58 -20 -44 -65 -6D -6F -20 -2D -20 -72 -65 -76 -69 -73 -69 -6F -6E -20 -24 -52 -65 -76 -69 -73 -69 -6F -6E -3A -20 -32 -31 -34 -35 -35 -37 -20 -24 -0A -00 -00 -06 -00 -20 -03 -00 -01 -05 -00 -01 -00 -0F -27 -00 -00 -00 -00 -00 -00 -C8 -00 -00 -00 -00 -00 -00 -00 -2C -01 -00 -00 -F0 -15 -00 -00 -10 -00 -00 -00 -44 -13 -00 -00 -00 -00 -00 -20 -40 -00 -00 -00 -04 -01 -00 -00 -84 -13 -00 -00 -40 -00 -00 -20 -20 -1E -00 -00 -20 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -E1 -F5 -05 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 diff --git a/systems/mcu/aes/aes128_tests/aes128.h b/systems/nanosoc/aes/aes128_tests/aes128.h similarity index 100% rename from systems/mcu/aes/aes128_tests/aes128.h rename to systems/nanosoc/aes/aes128_tests/aes128.h diff --git a/systems/mcu/aes/aes128_tests/aes128_tests.c b/systems/nanosoc/aes/aes128_tests/aes128_tests.c similarity index 100% rename from systems/mcu/aes/aes128_tests/aes128_tests.c rename to systems/nanosoc/aes/aes128_tests/aes128_tests.c diff --git a/systems/mcu/aes/aes128_tests/dma_pl230_driver.c b/systems/nanosoc/aes/aes128_tests/dma_pl230_driver.c similarity index 100% rename from systems/mcu/aes/aes128_tests/dma_pl230_driver.c rename to systems/nanosoc/aes/aes128_tests/dma_pl230_driver.c diff --git a/systems/mcu/aes/aes128_tests/dma_pl230_driver.h b/systems/nanosoc/aes/aes128_tests/dma_pl230_driver.h similarity index 100% rename from systems/mcu/aes/aes128_tests/dma_pl230_driver.h rename to systems/nanosoc/aes/aes128_tests/dma_pl230_driver.h diff --git a/systems/mcu/aes/aes128_tests/makefile b/systems/nanosoc/aes/aes128_tests/makefile similarity index 100% rename from systems/mcu/aes/aes128_tests/makefile rename to systems/nanosoc/aes/aes128_tests/makefile diff --git a/systems/mcu/aes/verilog/soclabs_ahb_aes128_ctrl.v b/systems/nanosoc/aes/src/soclabs_ahb_aes128_ctrl.v similarity index 100% rename from systems/mcu/aes/verilog/soclabs_ahb_aes128_ctrl.v rename to systems/nanosoc/aes/src/soclabs_ahb_aes128_ctrl.v diff --git a/systems/mcu/verif/aes128_log_to_file.v b/systems/nanosoc/aes/verif/aes128_log_to_file.v similarity index 100% rename from systems/mcu/verif/aes128_log_to_file.v rename to systems/nanosoc/aes/verif/aes128_log_to_file.v diff --git a/systems/mcu/defines/pl230_defs.v b/systems/nanosoc/defines/pl230_defs.v similarity index 100% rename from systems/mcu/defines/pl230_defs.v rename to systems/nanosoc/defines/pl230_defs.v diff --git a/systems/mcu/fpga_imp/CI_verification/.gitkeep b/systems/nanosoc/fpga_imp/CI_verification/.gitkeep similarity index 100% rename from systems/mcu/fpga_imp/CI_verification/.gitkeep rename to systems/nanosoc/fpga_imp/CI_verification/.gitkeep diff --git a/systems/mcu/fpga_imp/CI_verification/load_bitfile.py b/systems/nanosoc/fpga_imp/CI_verification/load_bitfile.py similarity index 100% rename from systems/mcu/fpga_imp/CI_verification/load_bitfile.py rename to systems/nanosoc/fpga_imp/CI_verification/load_bitfile.py diff --git a/systems/mcu/fpga_imp/CI_verification/load_file_output b/systems/nanosoc/fpga_imp/CI_verification/load_file_output similarity index 100% rename from systems/mcu/fpga_imp/CI_verification/load_file_output rename to systems/nanosoc/fpga_imp/CI_verification/load_file_output diff --git a/systems/mcu/fpga_imp/CI_verification/run_ADP_verification.py b/systems/nanosoc/fpga_imp/CI_verification/run_ADP_verification.py similarity index 100% rename from systems/mcu/fpga_imp/CI_verification/run_ADP_verification.py rename to systems/nanosoc/fpga_imp/CI_verification/run_ADP_verification.py diff --git a/systems/mcu/fpga_imp/build_fpga_pynq_z2.scr b/systems/nanosoc/fpga_imp/build_fpga_pynq_z2.scr similarity index 100% rename from systems/mcu/fpga_imp/build_fpga_pynq_z2.scr rename to systems/nanosoc/fpga_imp/build_fpga_pynq_z2.scr diff --git a/systems/mcu/fpga_imp/build_fpga_pynq_zcu104.scr b/systems/nanosoc/fpga_imp/build_fpga_pynq_zcu104.scr similarity index 100% rename from systems/mcu/fpga_imp/build_fpga_pynq_zcu104.scr rename to systems/nanosoc/fpga_imp/build_fpga_pynq_zcu104.scr diff --git a/systems/mcu/fpga_imp/clean_fpga.scr b/systems/nanosoc/fpga_imp/clean_fpga.scr similarity index 100% rename from systems/mcu/fpga_imp/clean_fpga.scr rename to systems/nanosoc/fpga_imp/clean_fpga.scr diff --git a/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/bd/bd.tcl b/systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/bd/bd.tcl similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/bd/bd.tcl rename to systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/bd/bd.tcl diff --git a/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/component.xml b/systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/component.xml similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/component.xml rename to systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/component.xml diff --git a/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0.v b/systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0.v rename to systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0.v diff --git a/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_rx.v b/systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_rx.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_rx.v rename to systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_rx.v diff --git a/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_tx.v b/systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_tx.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_tx.v rename to systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_tx.v diff --git a/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_rx.v b/systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_rx.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_rx.v rename to systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_rx.v diff --git a/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_tx.v b/systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_tx.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_tx.v rename to systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_tx.v diff --git a/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/soclabs.org_user_ADPcontrol_1.0.zip b/systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/soclabs.org_user_ADPcontrol_1.0.zip similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/soclabs.org_user_ADPcontrol_1.0.zip rename to systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/soclabs.org_user_ADPcontrol_1.0.zip diff --git a/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPcontrol_v1_0.v b/systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPcontrol_v1_0.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPcontrol_v1_0.v rename to systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPcontrol_v1_0.v diff --git a/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmanager.v b/systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmanager.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmanager.v rename to systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmanager.v diff --git a/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/xgui/ADPcontrol_v1_0.tcl b/systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/xgui/ADPcontrol_v1_0.tcl similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/xgui/ADPcontrol_v1_0.tcl rename to systems/nanosoc/fpga_imp/ip_repo/ADPcontrol_1.0/xgui/ADPcontrol_v1_0.tcl diff --git a/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/bd/bd.tcl b/systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/bd/bd.tcl similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/bd/bd.tcl rename to systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/bd/bd.tcl diff --git a/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/component.xml b/systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/component.xml similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/component.xml rename to systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/component.xml diff --git a/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.mdd b/systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.mdd similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.mdd rename to systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.mdd diff --git a/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.tcl b/systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.tcl similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.tcl rename to systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.tcl diff --git a/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/Makefile b/systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/Makefile similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/Makefile rename to systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/Makefile diff --git a/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.c b/systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.c similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.c rename to systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.c diff --git a/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.h b/systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.h similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.h rename to systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.h diff --git a/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io_selftest.c b/systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io_selftest.c similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io_selftest.c rename to systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io_selftest.c diff --git a/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/soclabs.org_user_axi_stream_io_1.0.zip b/systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/soclabs.org_user_axi_stream_io_1.0.zip similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/soclabs.org_user_axi_stream_io_1.0.zip rename to systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/soclabs.org_user_axi_stream_io_1.0.zip diff --git a/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/src/axi_stream_io_v1_0_axi_s.v b/systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/src/axi_stream_io_v1_0_axi_s.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/src/axi_stream_io_v1_0_axi_s.v rename to systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/src/axi_stream_io_v1_0_axi_s.v diff --git a/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/xgui/axi_stream_io_v1_0.tcl b/systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/xgui/axi_stream_io_v1_0.tcl similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/xgui/axi_stream_io_v1_0.tcl rename to systems/nanosoc/fpga_imp/ip_repo/axi_stream_io_1.0/xgui/axi_stream_io_v1_0.tcl diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/bd/bd.tcl b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/bd/bd.tcl similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/bd/bd.tcl rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/bd/bd.tcl diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/component.xml b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/component.xml similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/component.xml rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/component.xml diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/ft1248x1_to_axi_streamio_0_2.xcix b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/ft1248x1_to_axi_streamio_0_2.xcix similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/ft1248x1_to_axi_streamio_0_2.xcix rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/ft1248x1_to_axi_streamio_0_2.xcix diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/SYNCHRONIZER_EDGES.v b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/SYNCHRONIZER_EDGES.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/SYNCHRONIZER_EDGES.v rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/SYNCHRONIZER_EDGES.v diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0.v b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0.v rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0.v diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_rxd8.v b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_rxd8.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_rxd8.v rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_rxd8.v diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_txd8.v b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_txd8.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_txd8.v rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_txd8.v diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/soclabs.org_user_ft1248x1_to_axi_streamio_1.0.zip b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/soclabs.org_user_ft1248x1_to_axi_streamio_1.0.zip similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/soclabs.org_user_ft1248x1_to_axi_streamio_1.0.zip rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/soclabs.org_user_ft1248x1_to_axi_streamio_1.0.zip diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/xgui/ft1248x1_to_axi_streamio_v1_0.tcl b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/xgui/ft1248x1_to_axi_streamio_v1_0.tcl similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/xgui/ft1248x1_to_axi_streamio_v1_0.tcl rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/xgui/ft1248x1_to_axi_streamio_v1_0.tcl diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/bd/bd.tcl b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/bd/bd.tcl similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/bd/bd.tcl rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/bd/bd.tcl diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/component.xml b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/component.xml similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/component.xml rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/component.xml diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ft1248x1_to_stream8_0.xcix b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ft1248x1_to_stream8_0.xcix similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ft1248x1_to_stream8_0.xcix rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ft1248x1_to_stream8_0.xcix diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0.v b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0.v rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0.v diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_RXD8.v b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_RXD8.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_RXD8.v rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_RXD8.v diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_TXD8.v b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_TXD8.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_TXD8.v rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_TXD8.v diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ip_project_archive.zip b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ip_project_archive.zip similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ip_project_archive.zip rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ip_project_archive.zip diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/soclabs.org_user_ft1248x1_to_stream8_1.0.zip b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/soclabs.org_user_ft1248x1_to_stream8_1.0.zip similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/soclabs.org_user_ft1248x1_to_stream8_1.0.zip rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/soclabs.org_user_ft1248x1_to_stream8_1.0.zip diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/ft1248x1_to_stream8.v b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/ft1248x1_to_stream8.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/ft1248x1_to_stream8.v rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/ft1248x1_to_stream8.v diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/synclib.v b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/synclib.v similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/synclib.v rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/synclib.v diff --git a/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/xgui/ft1248x1_to_stream8_v1_0.tcl b/systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/xgui/ft1248x1_to_stream8_v1_0.tcl similarity index 100% rename from systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/xgui/ft1248x1_to_stream8_v1_0.tcl rename to systems/nanosoc/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/xgui/ft1248x1_to_stream8_v1_0.tcl diff --git a/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/arm_tests/aes128_tests.bin b/systems/nanosoc/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/arm_tests/aes128_tests.bin similarity index 100% rename from systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/arm_tests/aes128_tests.bin rename to systems/nanosoc/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/arm_tests/aes128_tests.bin diff --git a/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/driver/uartlite.py b/systems/nanosoc/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/driver/uartlite.py similarity index 100% rename from systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/driver/uartlite.py rename to systems/nanosoc/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/driver/uartlite.py diff --git a/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb b/systems/nanosoc/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb similarity index 100% rename from systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb rename to systems/nanosoc/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb diff --git a/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb b/systems/nanosoc/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb similarity index 100% rename from systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb rename to systems/nanosoc/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb diff --git a/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb b/systems/nanosoc/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb similarity index 100% rename from systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb rename to systems/nanosoc/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb diff --git a/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/driver/uartlite.py b/systems/nanosoc/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/driver/uartlite.py similarity index 100% rename from systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/driver/uartlite.py rename to systems/nanosoc/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/driver/uartlite.py diff --git a/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb b/systems/nanosoc/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb similarity index 100% rename from systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb rename to systems/nanosoc/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb diff --git a/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb b/systems/nanosoc/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb similarity index 100% rename from systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb rename to systems/nanosoc/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb diff --git a/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb b/systems/nanosoc/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb similarity index 100% rename from systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb rename to systems/nanosoc/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb diff --git a/systems/mcu/fpga_imp/scripts/build_mcu_fpga_batch.tcl b/systems/nanosoc/fpga_imp/scripts/build_mcu_fpga_batch.tcl similarity index 100% rename from systems/mcu/fpga_imp/scripts/build_mcu_fpga_batch.tcl rename to systems/nanosoc/fpga_imp/scripts/build_mcu_fpga_batch.tcl diff --git a/systems/mcu/fpga_imp/scripts/build_mcu_fpga_ip.tcl b/systems/nanosoc/fpga_imp/scripts/build_mcu_fpga_ip.tcl similarity index 100% rename from systems/mcu/fpga_imp/scripts/build_mcu_fpga_ip.tcl rename to systems/nanosoc/fpga_imp/scripts/build_mcu_fpga_ip.tcl diff --git a/systems/mcu/fpga_imp/scripts/build_mcu_fpga_pynq_z2.tcl b/systems/nanosoc/fpga_imp/scripts/build_mcu_fpga_pynq_z2.tcl similarity index 100% rename from systems/mcu/fpga_imp/scripts/build_mcu_fpga_pynq_z2.tcl rename to systems/nanosoc/fpga_imp/scripts/build_mcu_fpga_pynq_z2.tcl diff --git a/systems/mcu/fpga_imp/scripts/build_mcu_fpga_pynq_zcu104.tcl b/systems/nanosoc/fpga_imp/scripts/build_mcu_fpga_pynq_zcu104.tcl similarity index 100% rename from systems/mcu/fpga_imp/scripts/build_mcu_fpga_pynq_zcu104.tcl rename to systems/nanosoc/fpga_imp/scripts/build_mcu_fpga_pynq_zcu104.tcl diff --git a/systems/mcu/fpga_imp/scripts/rtl_source_cm0.tcl b/systems/nanosoc/fpga_imp/scripts/rtl_source_cm0.tcl similarity index 100% rename from systems/mcu/fpga_imp/scripts/rtl_source_cm0.tcl rename to systems/nanosoc/fpga_imp/scripts/rtl_source_cm0.tcl diff --git a/systems/mcu/fpga_imp/scripts/rtl_source_cmsdk.tcl b/systems/nanosoc/fpga_imp/scripts/rtl_source_cmsdk.tcl similarity index 100% rename from systems/mcu/fpga_imp/scripts/rtl_source_cmsdk.tcl rename to systems/nanosoc/fpga_imp/scripts/rtl_source_cmsdk.tcl diff --git a/systems/mcu/fpga_imp/scripts/rtl_source_dma230.tcl b/systems/nanosoc/fpga_imp/scripts/rtl_source_dma230.tcl similarity index 100% rename from systems/mcu/fpga_imp/scripts/rtl_source_dma230.tcl rename to systems/nanosoc/fpga_imp/scripts/rtl_source_dma230.tcl diff --git a/systems/mcu/fpga_imp/scripts/rtl_source_fpga_ip.tcl b/systems/nanosoc/fpga_imp/scripts/rtl_source_fpga_ip.tcl similarity index 100% rename from systems/mcu/fpga_imp/scripts/rtl_source_fpga_ip.tcl rename to systems/nanosoc/fpga_imp/scripts/rtl_source_fpga_ip.tcl diff --git a/systems/mcu/fpga_imp/scripts/rtl_source_soclabs_ip.tcl b/systems/nanosoc/fpga_imp/scripts/rtl_source_soclabs_ip.tcl similarity index 100% rename from systems/mcu/fpga_imp/scripts/rtl_source_soclabs_ip.tcl rename to systems/nanosoc/fpga_imp/scripts/rtl_source_soclabs_ip.tcl diff --git a/systems/mcu/fpga_imp/target_fpga_ac701/fpga_pinmap.xdc b/systems/nanosoc/fpga_imp/target_fpga_ac701/fpga_pinmap.xdc similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_ac701/fpga_pinmap.xdc rename to systems/nanosoc/fpga_imp/target_fpga_ac701/fpga_pinmap.xdc diff --git a/systems/mcu/fpga_imp/target_fpga_ac701/fpga_synth.tcl b/systems/nanosoc/fpga_imp/target_fpga_ac701/fpga_synth.tcl similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_ac701/fpga_synth.tcl rename to systems/nanosoc/fpga_imp/target_fpga_ac701/fpga_synth.tcl diff --git a/systems/mcu/fpga_imp/target_fpga_ac701/fpga_timing.xdc b/systems/nanosoc/fpga_imp/target_fpga_ac701/fpga_timing.xdc similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_ac701/fpga_timing.xdc rename to systems/nanosoc/fpga_imp/target_fpga_ac701/fpga_timing.xdc diff --git a/systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_pinmap.xdc b/systems/nanosoc/fpga_imp/target_fpga_arm_mps3/fpga_pinmap.xdc similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_pinmap.xdc rename to systems/nanosoc/fpga_imp/target_fpga_arm_mps3/fpga_pinmap.xdc diff --git a/systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_synth.tcl b/systems/nanosoc/fpga_imp/target_fpga_arm_mps3/fpga_synth.tcl similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_synth.tcl rename to systems/nanosoc/fpga_imp/target_fpga_arm_mps3/fpga_synth.tcl diff --git a/systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_timing.xdc b/systems/nanosoc/fpga_imp/target_fpga_arm_mps3/fpga_timing.xdc similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_timing.xdc rename to systems/nanosoc/fpga_imp/target_fpga_arm_mps3/fpga_timing.xdc diff --git a/systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1.tcl b/systems/nanosoc/fpga_imp/target_fpga_pynq_z2/design_1.tcl similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1.tcl rename to systems/nanosoc/fpga_imp/target_fpga_pynq_z2/design_1.tcl diff --git a/systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1_wrapper.v b/systems/nanosoc/fpga_imp/target_fpga_pynq_z2/design_1_wrapper.v similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1_wrapper.v rename to systems/nanosoc/fpga_imp/target_fpga_pynq_z2/design_1_wrapper.v diff --git a/systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_pinmap.xdc b/systems/nanosoc/fpga_imp/target_fpga_pynq_z2/fpga_pinmap.xdc similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_pinmap.xdc rename to systems/nanosoc/fpga_imp/target_fpga_pynq_z2/fpga_pinmap.xdc diff --git a/systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_synth.tcl b/systems/nanosoc/fpga_imp/target_fpga_pynq_z2/fpga_synth.tcl similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_synth.tcl rename to systems/nanosoc/fpga_imp/target_fpga_pynq_z2/fpga_synth.tcl diff --git a/systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_timing.xdc b/systems/nanosoc/fpga_imp/target_fpga_pynq_z2/fpga_timing.xdc similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_timing.xdc rename to systems/nanosoc/fpga_imp/target_fpga_pynq_z2/fpga_timing.xdc diff --git a/systems/mcu/fpga_imp/target_fpga_zcu104/design_1.tcl b/systems/nanosoc/fpga_imp/target_fpga_zcu104/design_1.tcl similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_zcu104/design_1.tcl rename to systems/nanosoc/fpga_imp/target_fpga_zcu104/design_1.tcl diff --git a/systems/mcu/fpga_imp/target_fpga_zcu104/design_1_wrapper.v b/systems/nanosoc/fpga_imp/target_fpga_zcu104/design_1_wrapper.v similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_zcu104/design_1_wrapper.v rename to systems/nanosoc/fpga_imp/target_fpga_zcu104/design_1_wrapper.v diff --git a/systems/mcu/fpga_imp/target_fpga_zcu104/fpga_pinmap.xdc b/systems/nanosoc/fpga_imp/target_fpga_zcu104/fpga_pinmap.xdc similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_zcu104/fpga_pinmap.xdc rename to systems/nanosoc/fpga_imp/target_fpga_zcu104/fpga_pinmap.xdc diff --git a/systems/mcu/fpga_imp/target_fpga_zcu104/fpga_synth.tcl b/systems/nanosoc/fpga_imp/target_fpga_zcu104/fpga_synth.tcl similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_zcu104/fpga_synth.tcl rename to systems/nanosoc/fpga_imp/target_fpga_zcu104/fpga_synth.tcl diff --git a/systems/mcu/fpga_imp/target_fpga_zcu104/fpga_timing.xdc b/systems/nanosoc/fpga_imp/target_fpga_zcu104/fpga_timing.xdc similarity index 100% rename from systems/mcu/fpga_imp/target_fpga_zcu104/fpga_timing.xdc rename to systems/nanosoc/fpga_imp/target_fpga_zcu104/fpga_timing.xdc diff --git a/systems/mcu/makefile b/systems/nanosoc/makefile similarity index 100% rename from systems/mcu/makefile rename to systems/nanosoc/makefile diff --git a/systems/mcu/src/gen_ahb_busmatrix/README.txt b/systems/nanosoc/src/nanosoc_ahb_busmatrix/README.txt similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/README.txt rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/README.txt diff --git a/systems/mcu/src/gen_ahb_busmatrix/bin/BuildBusMatrix.pl b/systems/nanosoc/src/nanosoc_ahb_busmatrix/bin/BuildBusMatrix.pl similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/bin/BuildBusMatrix.pl rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/bin/BuildBusMatrix.pl diff --git a/systems/mcu/src/gen_ahb_busmatrix/bin/lib/xmlparser.pm b/systems/nanosoc/src/nanosoc_ahb_busmatrix/bin/lib/xmlparser.pm similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/bin/lib/xmlparser.pm rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/bin/lib/xmlparser.pm diff --git a/systems/nanosoc/src/nanosoc_ahb_busmatrix/gen_nanosoc_4x7_AhbMatrix.scr b/systems/nanosoc/src/nanosoc_ahb_busmatrix/gen_nanosoc_4x7_AhbMatrix.scr new file mode 100755 index 0000000000000000000000000000000000000000..17cb306753570a5454c0e5b8cb0d492013d6a5b0 --- /dev/null +++ b/systems/nanosoc/src/nanosoc_ahb_busmatrix/gen_nanosoc_4x7_AhbMatrix.scr @@ -0,0 +1,2 @@ +bin/BuildBusMatrix.pl -notimescales -xmldir xml -cfg nanosoc_ahb32_4x7.xml -ipxact -ipxactsrcdir=ipxact/src -ipxacttgtdir=ipxact/built -over -verbose >& nanosoc_ahb32_4x7.log + diff --git a/systems/nanosoc/src/nanosoc_ahb_busmatrix/ipxact/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix.xml b/systems/nanosoc/src/nanosoc_ahb_busmatrix/ipxact/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix.xml new file mode 100644 index 0000000000000000000000000000000000000000..aa85aa548f8b4404cda0cbf1c5663c9db800cbe8 --- /dev/null +++ b/systems/nanosoc/src/nanosoc_ahb_busmatrix/ipxact/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix.xml @@ -0,0 +1,4257 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - --> +<!-- The confidential and proprietary information contained in this file may --> +<!-- only be used by a person authorised under and to the extent permitted --> +<!-- by a subsisting licensing agreement from ARM Limited or its affiliates. --> +<!-- --> +<!-- (C) COPYRIGHT 2001-2017 ARM Limited or its affiliates. --> +<!-- ALL RIGHTS RESERVED --> +<!-- --> +<!-- This entire notice must be reproduced on all copies of this file --> +<!-- and copies of this file may only be made by a person if such person is --> +<!-- permitted to do so under the terms of a subsisting license agreement --> +<!-- from ARM Limited or its affiliates. --> +<!-- --> +<!-- SVN Information --> +<!-- --> +<!-- Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ --> +<!-- --> +<!-- Revision : $Revision: 371321 $ --> +<!-- --> +<!-- Release Information : Cortex-M System Design Kit-r1p1-00rel0 --> +<!-- --> +<!-- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - --> +<!-- Purpose : IP-XACT description for the main top of nanosoc_4x7_AhbMatrix --> +<!-- --> +<!-- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - --> + +<spirit:component xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" + xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" + xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd"> + <spirit:vendor>arm.com</spirit:vendor> + <spirit:library>CoreLink</spirit:library> + <spirit:name>nanosoc_4x7_AhbMatrix</spirit:name> + <spirit:version>r0p0_0</spirit:version> + + <spirit:busInterfaces> + + <!--Slave interfaces --> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Slave__adp</spirit:name> + <spirit:description>Slave port _adp</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:slave> + <spirit:memoryMapRef spirit:memoryMapRef="AHBLiteTarget_Slave__adp_MM"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__rom1" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram2" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram3" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__sys" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram8" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram9" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__exp" spirit:opaque="true"/> + </spirit:slave> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- HMASTER_adp unmapped --> + + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADY_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_adp</spirit:name> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Slave__dma</spirit:name> + <spirit:description>Slave port _dma</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:slave> + <spirit:memoryMapRef spirit:memoryMapRef="AHBLiteTarget_Slave__dma_MM"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__rom1" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram2" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram3" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__sys" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram8" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram9" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__exp" spirit:opaque="true"/> + </spirit:slave> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- HMASTER_dma unmapped --> + + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADY_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_dma</spirit:name> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Slave__dma2</spirit:name> + <spirit:description>Slave port _dma2</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:slave> + <spirit:memoryMapRef spirit:memoryMapRef="AHBLiteTarget_Slave__dma2_MM"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__rom1" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram2" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram3" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__sys" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram8" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram9" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__exp" spirit:opaque="true"/> + </spirit:slave> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- HMASTER_dma2 unmapped --> + + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADY_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_dma2</spirit:name> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Slave__cpu</spirit:name> + <spirit:description>Slave port _cpu</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:slave> + <spirit:memoryMapRef spirit:memoryMapRef="AHBLiteTarget_Slave__cpu_MM"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__rom1" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram2" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram3" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__sys" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram8" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram9" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__exp" spirit:opaque="true"/> + </spirit:slave> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- HMASTER_cpu unmapped --> + + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADY_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_cpu</spirit:name> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <!--Master interfaces --> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Master__rom1</spirit:name> + <spirit:description>Master port _rom1</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:master> + <spirit:addressSpaceRef spirit:addressSpaceRef="AHBLiteTarget_Master__rom1_AS"/> + </spirit:master> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- HMASTER_rom1 unmapped --> + + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYMUX_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_rom1</spirit:name> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Master__ram2</spirit:name> + <spirit:description>Master port _ram2</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:master> + <spirit:addressSpaceRef spirit:addressSpaceRef="AHBLiteTarget_Master__ram2_AS"/> + </spirit:master> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- HMASTER_ram2 unmapped --> + + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYMUX_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_ram2</spirit:name> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Master__ram3</spirit:name> + <spirit:description>Master port _ram3</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:master> + <spirit:addressSpaceRef spirit:addressSpaceRef="AHBLiteTarget_Master__ram3_AS"/> + </spirit:master> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- HMASTER_ram3 unmapped --> + + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYMUX_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_ram3</spirit:name> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Master__sys</spirit:name> + <spirit:description>Master port _sys</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:master> + <spirit:addressSpaceRef spirit:addressSpaceRef="AHBLiteTarget_Master__sys_AS"/> + </spirit:master> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- HMASTER_sys unmapped --> + + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYMUX_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_sys</spirit:name> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Master__ram8</spirit:name> + <spirit:description>Master port _ram8</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:master> + <spirit:addressSpaceRef spirit:addressSpaceRef="AHBLiteTarget_Master__ram8_AS"/> + </spirit:master> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- HMASTER_ram8 unmapped --> + + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYMUX_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_ram8</spirit:name> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Master__ram9</spirit:name> + <spirit:description>Master port _ram9</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:master> + <spirit:addressSpaceRef spirit:addressSpaceRef="AHBLiteTarget_Master__ram9_AS"/> + </spirit:master> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- HMASTER_ram9 unmapped --> + + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYMUX_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_ram9</spirit:name> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Master__exp</spirit:name> + <spirit:description>Master port _exp</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:master> + <spirit:addressSpaceRef spirit:addressSpaceRef="AHBLiteTarget_Master__exp_AS"/> + </spirit:master> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- HMASTER_exp unmapped --> + + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYMUX_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_exp</spirit:name> + <spirit:vector> + <spirit:left>0</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <!--Scan test dummy signals --> + <spirit:busInterface> + <spirit:name>DFTInterface_Slave</spirit:name> + <spirit:description>Scan test dummy signals, not connected until scan insertion</spirit:description> + <spirit:busType spirit:library="generic" spirit:name="DFTInterface" spirit:vendor="arm.com" spirit:version="r0p0_1"/> + <spirit:abstractionType spirit:library="generic" spirit:name="DFTInterface_rtl" spirit:vendor="arm.com" spirit:version="r0p0_1"/> + <spirit:slave/> + <spirit:portMaps> + + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>DFTSCANMODE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>SCANENABLE</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>DFTSI</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>SCANINHCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>DFTSO</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>SCANOUTHCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + </spirit:busInterfaces> + + <spirit:remapStates> + + <spirit:remapState> + <spirit:name>remap_0</spirit:name> + <spirit:description>Remap state remap_0</spirit:description> + <spirit:remapPorts> + <spirit:remapPort spirit:portNameRef="REMAP" spirit:portIndex="0">1</spirit:remapPort> + </spirit:remapPorts> + </spirit:remapState> + <spirit:remapState> + <spirit:name>remap_n0</spirit:name> + <spirit:description>Remap state remap_n0</spirit:description> + <spirit:remapPorts> + <spirit:remapPort spirit:portNameRef="REMAP" spirit:portIndex="0">0</spirit:remapPort> + </spirit:remapPorts> + </spirit:remapState> + + </spirit:remapStates> + + <spirit:addressSpaces> + + <spirit:addressSpace> + <spirit:name>AHBLiteTarget_Master__rom1_AS</spirit:name> + <spirit:description>_rom1 address space</spirit:description> + <spirit:range>4G</spirit:range> + <spirit:width>32</spirit:width> + <spirit:segments> + <spirit:segment> + <spirit:name>_rom1_0x00000000_0x0fffffff</spirit:name> + <spirit:addressOffset>0x00000000</spirit:addressOffset> + <spirit:range>0x010000000</spirit:range> + </spirit:segment> + <spirit:segment> + <spirit:name>_rom1_0x10000000_0x1fffffff</spirit:name> + <spirit:addressOffset>0x10000000</spirit:addressOffset> + <spirit:range>0x010000000</spirit:range> + </spirit:segment> + </spirit:segments> + <spirit:addressUnitBits>8</spirit:addressUnitBits> + </spirit:addressSpace> + + <spirit:addressSpace> + <spirit:name>AHBLiteTarget_Master__ram2_AS</spirit:name> + <spirit:description>_ram2 address space</spirit:description> + <spirit:range>4G</spirit:range> + <spirit:width>32</spirit:width> + <spirit:segments> + <spirit:segment> + <spirit:name>_ram2_0x00000000_0x0fffffff</spirit:name> + <spirit:addressOffset>0x00000000</spirit:addressOffset> + <spirit:range>0x010000000</spirit:range> + </spirit:segment> + <spirit:segment> + <spirit:name>_ram2_0x20000000_0x2fffffff</spirit:name> + <spirit:addressOffset>0x20000000</spirit:addressOffset> + <spirit:range>0x010000000</spirit:range> + </spirit:segment> + </spirit:segments> + <spirit:addressUnitBits>8</spirit:addressUnitBits> + </spirit:addressSpace> + + <spirit:addressSpace> + <spirit:name>AHBLiteTarget_Master__ram3_AS</spirit:name> + <spirit:description>_ram3 address space</spirit:description> + <spirit:range>4G</spirit:range> + <spirit:width>32</spirit:width> + <spirit:segments> + <spirit:segment> + <spirit:name>_ram3_0x30000000_0x3fffffff</spirit:name> + <spirit:addressOffset>0x30000000</spirit:addressOffset> + <spirit:range>0x010000000</spirit:range> + </spirit:segment> + </spirit:segments> + <spirit:addressUnitBits>8</spirit:addressUnitBits> + </spirit:addressSpace> + + <spirit:addressSpace> + <spirit:name>AHBLiteTarget_Master__sys_AS</spirit:name> + <spirit:description>_sys address space</spirit:description> + <spirit:range>4G</spirit:range> + <spirit:width>32</spirit:width> + <spirit:segments> + <spirit:segment> + <spirit:name>_sys_0x40000000_0x5fffffff</spirit:name> + <spirit:addressOffset>0x40000000</spirit:addressOffset> + <spirit:range>0x020000000</spirit:range> + </spirit:segment> + <spirit:segment> + <spirit:name>_sys_0xf0000000_0xf003ffff</spirit:name> + <spirit:addressOffset>0xf0000000</spirit:addressOffset> + <spirit:range>0x000040000</spirit:range> + </spirit:segment> + </spirit:segments> + <spirit:addressUnitBits>8</spirit:addressUnitBits> + </spirit:addressSpace> + + <spirit:addressSpace> + <spirit:name>AHBLiteTarget_Master__ram8_AS</spirit:name> + <spirit:description>_ram8 address space</spirit:description> + <spirit:range>4G</spirit:range> + <spirit:width>32</spirit:width> + <spirit:segments> + <spirit:segment> + <spirit:name>_ram8_0x80000000_0x8fffffff</spirit:name> + <spirit:addressOffset>0x80000000</spirit:addressOffset> + <spirit:range>0x010000000</spirit:range> + </spirit:segment> + </spirit:segments> + <spirit:addressUnitBits>8</spirit:addressUnitBits> + </spirit:addressSpace> + + <spirit:addressSpace> + <spirit:name>AHBLiteTarget_Master__ram9_AS</spirit:name> + <spirit:description>_ram9 address space</spirit:description> + <spirit:range>4G</spirit:range> + <spirit:width>32</spirit:width> + <spirit:segments> + <spirit:segment> + <spirit:name>_ram9_0x90000000_0x9fffffff</spirit:name> + <spirit:addressOffset>0x90000000</spirit:addressOffset> + <spirit:range>0x010000000</spirit:range> + </spirit:segment> + </spirit:segments> + <spirit:addressUnitBits>8</spirit:addressUnitBits> + </spirit:addressSpace> + + <spirit:addressSpace> + <spirit:name>AHBLiteTarget_Master__exp_AS</spirit:name> + <spirit:description>_exp address space</spirit:description> + <spirit:range>4G</spirit:range> + <spirit:width>32</spirit:width> + <spirit:segments> + <spirit:segment> + <spirit:name>_exp_0x60000000_0x7fffffff</spirit:name> + <spirit:addressOffset>0x60000000</spirit:addressOffset> + <spirit:range>0x020000000</spirit:range> + </spirit:segment> + <spirit:segment> + <spirit:name>_exp_0xa0000000_0xdfffffff</spirit:name> + <spirit:addressOffset>0xa0000000</spirit:addressOffset> + <spirit:range>0x040000000</spirit:range> + </spirit:segment> + </spirit:segments> + <spirit:addressUnitBits>8</spirit:addressUnitBits> + </spirit:addressSpace> + + </spirit:addressSpaces> + + <spirit:memoryMaps> + + <spirit:memoryMap> + <spirit:name>AHBLiteTarget_Slave__adp_MM</spirit:name> + <spirit:description>_adp memory map</spirit:description> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__rom1" + spirit:segmentRef="_rom1_0x10000000_0x1fffffff"> + <!-- Address_region 0x10000000-0x1fffffff --> + <spirit:name>AHBLiteTarget_Master__rom1_0x10000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x10000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x20000000_0x2fffffff"> + <!-- Address_region 0x20000000-0x2fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x20000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x20000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram3" + spirit:segmentRef="_ram3_0x30000000_0x3fffffff"> + <!-- Address_region 0x30000000-0x3fffffff --> + <spirit:name>AHBLiteTarget_Master__ram3_0x30000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x30000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__sys" + spirit:segmentRef="_sys_0x40000000_0x5fffffff"> + <!-- Address_region 0x40000000-0x5fffffff --> + <spirit:name>AHBLiteTarget_Master__sys_0x40000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x40000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0x60000000_0x7fffffff"> + <!-- Address_region 0x60000000-0x7fffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0x60000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x60000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram8" + spirit:segmentRef="_ram8_0x80000000_0x8fffffff"> + <!-- Address_region 0x80000000-0x8fffffff --> + <spirit:name>AHBLiteTarget_Master__ram8_0x80000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x80000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram9" + spirit:segmentRef="_ram9_0x90000000_0x9fffffff"> + <!-- Address_region 0x90000000-0x9fffffff --> + <spirit:name>AHBLiteTarget_Master__ram9_0x90000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x90000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0xa0000000_0xdfffffff"> + <!-- Address_region 0xa0000000-0xdfffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0xa0000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0xa0000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__sys" + spirit:segmentRef="_sys_0xf0000000_0xf003ffff"> + <!-- Address_region 0xf0000000-0xf003ffff --> + <spirit:name>AHBLiteTarget_Master__sys_0xf0000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0xf0000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:memoryRemap spirit:state="remap_0"> + <spirit:name>AHBLiteTarget_Slave__adp_remap_0_remap_MM</spirit:name> + <spirit:description>_adp remap_0 remap</spirit:description> + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x00000000_0x0fffffff"> + <!-- Remapped region, active when REMAP bitcombination is 0 address_region 0x00000000-0x0fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x00000000_0_state_remap_0_SM</spirit:name> + <spirit:baseAddress>0x00000000</spirit:baseAddress> + </spirit:subspaceMap> + </spirit:memoryRemap> + + <spirit:memoryRemap spirit:state="remap_n0"> + <spirit:name>AHBLiteTarget_Slave__adp_remap_n0_remap_MM</spirit:name> + <spirit:description>_adp remap_n0 remap</spirit:description> + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__rom1" + spirit:segmentRef="_rom1_0x00000000_0x0fffffff"> + <!-- Removable region, active only when REMAP bitcombination is n0 address_region 0x00000000-0x0fffffff --> + <spirit:name>AHBLiteTarget_Master__rom1_0x00000000_0_state_remap_n0_SM</spirit:name> + <spirit:baseAddress>0x00000000</spirit:baseAddress> + </spirit:subspaceMap> + </spirit:memoryRemap> + + </spirit:memoryMap> + + <spirit:memoryMap> + <spirit:name>AHBLiteTarget_Slave__dma_MM</spirit:name> + <spirit:description>_dma memory map</spirit:description> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x00000000_0x0fffffff"> + <!-- Address_region 0x00000000-0x0fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x00000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x00000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__rom1" + spirit:segmentRef="_rom1_0x10000000_0x1fffffff"> + <!-- Address_region 0x10000000-0x1fffffff --> + <spirit:name>AHBLiteTarget_Master__rom1_0x10000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x10000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x20000000_0x2fffffff"> + <!-- Address_region 0x20000000-0x2fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x20000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x20000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram3" + spirit:segmentRef="_ram3_0x30000000_0x3fffffff"> + <!-- Address_region 0x30000000-0x3fffffff --> + <spirit:name>AHBLiteTarget_Master__ram3_0x30000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x30000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__sys" + spirit:segmentRef="_sys_0x40000000_0x5fffffff"> + <!-- Address_region 0x40000000-0x5fffffff --> + <spirit:name>AHBLiteTarget_Master__sys_0x40000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x40000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0x60000000_0x7fffffff"> + <!-- Address_region 0x60000000-0x7fffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0x60000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x60000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram8" + spirit:segmentRef="_ram8_0x80000000_0x8fffffff"> + <!-- Address_region 0x80000000-0x8fffffff --> + <spirit:name>AHBLiteTarget_Master__ram8_0x80000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x80000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram9" + spirit:segmentRef="_ram9_0x90000000_0x9fffffff"> + <!-- Address_region 0x90000000-0x9fffffff --> + <spirit:name>AHBLiteTarget_Master__ram9_0x90000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x90000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0xa0000000_0xdfffffff"> + <!-- Address_region 0xa0000000-0xdfffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0xa0000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0xa0000000</spirit:baseAddress> + </spirit:subspaceMap> + + </spirit:memoryMap> + + <spirit:memoryMap> + <spirit:name>AHBLiteTarget_Slave__dma2_MM</spirit:name> + <spirit:description>_dma2 memory map</spirit:description> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x00000000_0x0fffffff"> + <!-- Address_region 0x00000000-0x0fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x00000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x00000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__rom1" + spirit:segmentRef="_rom1_0x10000000_0x1fffffff"> + <!-- Address_region 0x10000000-0x1fffffff --> + <spirit:name>AHBLiteTarget_Master__rom1_0x10000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x10000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x20000000_0x2fffffff"> + <!-- Address_region 0x20000000-0x2fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x20000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x20000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram3" + spirit:segmentRef="_ram3_0x30000000_0x3fffffff"> + <!-- Address_region 0x30000000-0x3fffffff --> + <spirit:name>AHBLiteTarget_Master__ram3_0x30000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x30000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__sys" + spirit:segmentRef="_sys_0x40000000_0x5fffffff"> + <!-- Address_region 0x40000000-0x5fffffff --> + <spirit:name>AHBLiteTarget_Master__sys_0x40000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x40000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0x60000000_0x7fffffff"> + <!-- Address_region 0x60000000-0x7fffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0x60000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x60000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram8" + spirit:segmentRef="_ram8_0x80000000_0x8fffffff"> + <!-- Address_region 0x80000000-0x8fffffff --> + <spirit:name>AHBLiteTarget_Master__ram8_0x80000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x80000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram9" + spirit:segmentRef="_ram9_0x90000000_0x9fffffff"> + <!-- Address_region 0x90000000-0x9fffffff --> + <spirit:name>AHBLiteTarget_Master__ram9_0x90000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x90000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0xa0000000_0xdfffffff"> + <!-- Address_region 0xa0000000-0xdfffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0xa0000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0xa0000000</spirit:baseAddress> + </spirit:subspaceMap> + + </spirit:memoryMap> + + <spirit:memoryMap> + <spirit:name>AHBLiteTarget_Slave__cpu_MM</spirit:name> + <spirit:description>_cpu memory map</spirit:description> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__rom1" + spirit:segmentRef="_rom1_0x10000000_0x1fffffff"> + <!-- Address_region 0x10000000-0x1fffffff --> + <spirit:name>AHBLiteTarget_Master__rom1_0x10000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x10000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x20000000_0x2fffffff"> + <!-- Address_region 0x20000000-0x2fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x20000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x20000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram3" + spirit:segmentRef="_ram3_0x30000000_0x3fffffff"> + <!-- Address_region 0x30000000-0x3fffffff --> + <spirit:name>AHBLiteTarget_Master__ram3_0x30000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x30000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__sys" + spirit:segmentRef="_sys_0x40000000_0x5fffffff"> + <!-- Address_region 0x40000000-0x5fffffff --> + <spirit:name>AHBLiteTarget_Master__sys_0x40000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x40000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0x60000000_0x7fffffff"> + <!-- Address_region 0x60000000-0x7fffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0x60000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x60000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram8" + spirit:segmentRef="_ram8_0x80000000_0x8fffffff"> + <!-- Address_region 0x80000000-0x8fffffff --> + <spirit:name>AHBLiteTarget_Master__ram8_0x80000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x80000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram9" + spirit:segmentRef="_ram9_0x90000000_0x9fffffff"> + <!-- Address_region 0x90000000-0x9fffffff --> + <spirit:name>AHBLiteTarget_Master__ram9_0x90000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x90000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0xa0000000_0xdfffffff"> + <!-- Address_region 0xa0000000-0xdfffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0xa0000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0xa0000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__sys" + spirit:segmentRef="_sys_0xf0000000_0xf003ffff"> + <!-- Address_region 0xf0000000-0xf003ffff --> + <spirit:name>AHBLiteTarget_Master__sys_0xf0000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0xf0000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:memoryRemap spirit:state="remap_0"> + <spirit:name>AHBLiteTarget_Slave__cpu_remap_0_remap_MM</spirit:name> + <spirit:description>_cpu remap_0 remap</spirit:description> + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x00000000_0x0fffffff"> + <!-- Remapped region, active when REMAP bitcombination is 0 address_region 0x00000000-0x0fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x00000000_0_state_remap_0_SM</spirit:name> + <spirit:baseAddress>0x00000000</spirit:baseAddress> + </spirit:subspaceMap> + </spirit:memoryRemap> + + <spirit:memoryRemap spirit:state="remap_n0"> + <spirit:name>AHBLiteTarget_Slave__cpu_remap_n0_remap_MM</spirit:name> + <spirit:description>_cpu remap_n0 remap</spirit:description> + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__rom1" + spirit:segmentRef="_rom1_0x00000000_0x0fffffff"> + <!-- Removable region, active only when REMAP bitcombination is n0 address_region 0x00000000-0x0fffffff --> + <spirit:name>AHBLiteTarget_Master__rom1_0x00000000_0_state_remap_n0_SM</spirit:name> + <spirit:baseAddress>0x00000000</spirit:baseAddress> + </spirit:subspaceMap> + </spirit:memoryRemap> + + </spirit:memoryMap> + + </spirit:memoryMaps> + + + <spirit:model> + <spirit:views> + <spirit:view> + <spirit:name>verilogsource</spirit:name> + <spirit:description>nanosoc_4x7_AhbMatrix bus matrix</spirit:description> + <spirit:envIdentifier>:*Simulation:</spirit:envIdentifier> + <spirit:envIdentifier>:*Synthesis:</spirit:envIdentifier> + <spirit:language>verilog</spirit:language> + <spirit:modelName>nanosoc_4x7_AhbMatrix</spirit:modelName> + <spirit:fileSetRef> + <spirit:localName>fs-verilogsource</spirit:localName> + </spirit:fileSetRef> + </spirit:view> + </spirit:views> + + <spirit:ports> + + <!-- Common clock and reset --> + + <spirit:port> + <spirit:name>HCLK</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESETn</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + + <!-- Remap port --> + <spirit:port> + <spirit:name>REMAP</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + <spirit:driver> + <spirit:defaultValue>0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + + <!-- Input signals of Slave interfaces --> + + <spirit:port> + <spirit:name>HSEL_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + <spirit:driver> + <spirit:defaultValue>0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTER_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + <spirit:driver> + <spirit:defaultValue>0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADY_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + + <spirit:port> + <spirit:name>HAUSER_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSEL_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + <spirit:driver> + <spirit:defaultValue>0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTER_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + <spirit:driver> + <spirit:defaultValue>1</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADY_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + + <spirit:port> + <spirit:name>HAUSER_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSEL_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + <spirit:driver> + <spirit:defaultValue>0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTER_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + <spirit:driver> + <spirit:defaultValue>2</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADY_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + + <spirit:port> + <spirit:name>HAUSER_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSEL_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + <spirit:driver> + <spirit:defaultValue>0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTER_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + <spirit:driver> + <spirit:defaultValue>3</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADY_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + + <spirit:port> + <spirit:name>HAUSER_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + + <!-- Input signals of Master interfaces --> + + <spirit:port> + <spirit:name>HRDATA_rom1</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_rom1</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_rom1</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_rom1</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_ram2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_ram2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_ram2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_ram2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_ram3</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_ram3</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_ram3</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_ram3</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_sys</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_sys</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_sys</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_sys</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_ram8</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_ram8</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_ram8</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_ram8</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_ram9</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_ram9</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_ram9</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_ram9</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_exp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_exp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_exp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_exp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + + <!-- Scan test dummy signals; not connected until scan insertion --> + + <spirit:port> + <spirit:name>SCANENABLE</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>SCANINHCLK</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + + <!-- Output signals of Master interfaces --> + + <spirit:port> + <spirit:name>HSEL_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTER_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYMUX_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSEL_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTER_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYMUX_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSEL_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTER_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYMUX_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSEL_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTER_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYMUX_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSEL_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTER_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYMUX_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSEL_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTER_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYMUX_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSEL_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTER_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYMUX_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + + <!-- Output signals of Slave interfaces --> + + <spirit:port> + <spirit:name>HRDATA_adp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_adp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_adp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_adp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_dma</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_dma</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_dma</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_dma</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_dma2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_dma2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_dma2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_dma2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_cpu</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_cpu</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_cpu</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_cpu</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + + <!-- Scan test dummy signals; not connected until scan insertion --> + + <spirit:port> + <spirit:name>SCANOUTHCLK</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + </spirit:ports> + </spirit:model> + + <spirit:fileSets> + <spirit:fileSet> + <spirit:name>fs-verilogsource</spirit:name> + <spirit:displayName/> + <spirit:description>File list for nanosoc_4x7_AhbMatrix</spirit:description> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + <spirit:isIncludeFile spirit:externalDeclarations="true">false</spirit:isIncludeFile> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix_default_slave.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MasterInput.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_adp.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_dma.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_dma2.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_cpu.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_Arbiter.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_SlaveOutput.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + </spirit:fileSet> + </spirit:fileSets> + + <spirit:description>nanosoc_4x7_AhbMatrix</spirit:description> + +</spirit:component> diff --git a/systems/nanosoc/src/nanosoc_ahb_busmatrix/ipxact/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix_lite.xml b/systems/nanosoc/src/nanosoc_ahb_busmatrix/ipxact/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix_lite.xml new file mode 100644 index 0000000000000000000000000000000000000000..39df5c3f083f440f68fa48ee8f7c53e15d4b8736 --- /dev/null +++ b/systems/nanosoc/src/nanosoc_ahb_busmatrix/ipxact/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix_lite.xml @@ -0,0 +1,3902 @@ +<?xml version="1.0" encoding="UTF-8"?> +<!-- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - --> +<!-- The confidential and proprietary information contained in this file may --> +<!-- only be used by a person authorised under and to the extent permitted --> +<!-- by a subsisting licensing agreement from ARM Limited or its affiliates. --> +<!-- --> +<!-- (C) COPYRIGHT 2001-2017 ARM Limited or its affiliates. --> +<!-- ALL RIGHTS RESERVED --> +<!-- --> +<!-- This entire notice must be reproduced on all copies of this file --> +<!-- and copies of this file may only be made by a person if such person is --> +<!-- permitted to do so under the terms of a subsisting license agreement --> +<!-- from ARM Limited or its affiliates. --> +<!-- --> +<!-- SVN Information --> +<!-- --> +<!-- Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ --> +<!-- --> +<!-- Revision : $Revision: 371321 $ --> +<!-- --> +<!-- Release Information : Cortex-M System Design Kit-r1p1-00rel0 --> +<!-- --> +<!-- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - --> +<!-- Purpose : IP-XACT description for the AHB-Lite wrapper of nanosoc_4x7_AhbMatrix_lite --> +<!-- --> +<!-- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - --> + +<spirit:component xmlns:spirit="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009" + xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" + xsi:schemaLocation="http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009 http://www.spiritconsortium.org/XMLSchema/SPIRIT/1685-2009/index.xsd"> + <spirit:vendor>arm.com</spirit:vendor> + <spirit:library>CoreLink</spirit:library> + <spirit:name>nanosoc_4x7_AhbMatrix_lite</spirit:name> + <spirit:version>r0p0_0</spirit:version> + + <spirit:busInterfaces> + + <!--Slave interfaces --> + + <spirit:busInterface> + <spirit:name>AHBLiteInitiator_Slave__adp</spirit:name> + <spirit:description>Slave port _adp</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteInitiator" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteInitiator_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:slave> + <spirit:memoryMapRef spirit:memoryMapRef="AHBLiteInitiator_Slave__adp_MM"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__rom1" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram2" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram3" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__sys" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram8" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram9" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__exp" spirit:opaque="true"/> + </spirit:slave> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADY_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_adp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteInitiator_Slave__dma</spirit:name> + <spirit:description>Slave port _dma</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteInitiator" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteInitiator_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:slave> + <spirit:memoryMapRef spirit:memoryMapRef="AHBLiteInitiator_Slave__dma_MM"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__rom1" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram2" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram3" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__sys" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram8" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram9" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__exp" spirit:opaque="true"/> + </spirit:slave> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADY_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_dma</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteInitiator_Slave__dma2</spirit:name> + <spirit:description>Slave port _dma2</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteInitiator" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteInitiator_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:slave> + <spirit:memoryMapRef spirit:memoryMapRef="AHBLiteInitiator_Slave__dma2_MM"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__rom1" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram2" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram3" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__sys" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram8" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram9" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__exp" spirit:opaque="true"/> + </spirit:slave> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADY_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_dma2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteInitiator_Slave__cpu</spirit:name> + <spirit:description>Slave port _cpu</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteInitiator" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteInitiator_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:slave> + <spirit:memoryMapRef spirit:memoryMapRef="AHBLiteInitiator_Slave__cpu_MM"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__rom1" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram2" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram3" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__sys" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram8" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__ram9" spirit:opaque="true"/> + <spirit:bridge spirit:masterRef="AHBLiteTarget_Master__exp" spirit:opaque="true"/> + </spirit:slave> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADY_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_cpu</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <!--Master interfaces --> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Master__rom1</spirit:name> + <spirit:description>Master port _rom1</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:master> + <spirit:addressSpaceRef spirit:addressSpaceRef="AHBLiteTarget_Master__rom1_AS"/> + </spirit:master> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYMUX_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_rom1</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Master__ram2</spirit:name> + <spirit:description>Master port _ram2</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:master> + <spirit:addressSpaceRef spirit:addressSpaceRef="AHBLiteTarget_Master__ram2_AS"/> + </spirit:master> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYMUX_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_ram2</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Master__ram3</spirit:name> + <spirit:description>Master port _ram3</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:master> + <spirit:addressSpaceRef spirit:addressSpaceRef="AHBLiteTarget_Master__ram3_AS"/> + </spirit:master> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYMUX_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_ram3</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Master__sys</spirit:name> + <spirit:description>Master port _sys</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:master> + <spirit:addressSpaceRef spirit:addressSpaceRef="AHBLiteTarget_Master__sys_AS"/> + </spirit:master> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYMUX_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_sys</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Master__ram8</spirit:name> + <spirit:description>Master port _ram8</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:master> + <spirit:addressSpaceRef spirit:addressSpaceRef="AHBLiteTarget_Master__ram8_AS"/> + </spirit:master> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYMUX_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_ram8</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Master__ram9</spirit:name> + <spirit:description>Master port _ram9</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:master> + <spirit:addressSpaceRef spirit:addressSpaceRef="AHBLiteTarget_Master__ram9_AS"/> + </spirit:master> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYMUX_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_ram9</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <spirit:busInterface> + <spirit:name>AHBLiteTarget_Master__exp</spirit:name> + <spirit:description>Master port _exp</spirit:description> + <spirit:busType spirit:library="AMBA3" spirit:name="AHBLiteTarget" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:abstractionType spirit:library="AMBA3" spirit:name="AHBLiteTarget_rtl" spirit:vendor="amba.com" spirit:version="r2p0_0"/> + <spirit:master> + <spirit:addressSpaceRef spirit:addressSpaceRef="AHBLiteTarget_Master__exp_AS"/> + </spirit:master> + + <spirit:portMaps> + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <!-- Outputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSELx</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSEL_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HADDR</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HADDR_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HTRANS</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HTRANS_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWRITE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWRITE_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HSIZE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HSIZE_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HBURST</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HBURST_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HPROT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HPROT_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWDATA_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HMASTLOCK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HMASTLOCK_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADY</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYMUX_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HAUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HAUSER_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HWUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HWUSER_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <!-- Inputs --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRDATA</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRDATA_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HREADYOUT</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HREADYOUT_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRESP</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESP_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>HRUSER</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRUSER_exp</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + <!--Scan test dummy signals --> + <spirit:busInterface> + <spirit:name>DFTInterface_Slave</spirit:name> + <spirit:description>Scan test dummy signals, not connected until scan insertion</spirit:description> + <spirit:busType spirit:library="generic" spirit:name="DFTInterface" spirit:vendor="arm.com" spirit:version="r0p0_1"/> + <spirit:abstractionType spirit:library="generic" spirit:name="DFTInterface_rtl" spirit:vendor="arm.com" spirit:version="r0p0_1"/> + <spirit:slave/> + <spirit:portMaps> + + <!-- Clock/reset --> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>CLK</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>RESETn</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>HRESETn</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>DFTSCANMODE</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>SCANENABLE</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>DFTSI</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>SCANINHCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + <spirit:portMap> + <spirit:logicalPort> + <spirit:name>DFTSO</spirit:name> + </spirit:logicalPort> + <spirit:physicalPort> + <spirit:name>SCANOUTHCLK</spirit:name> + </spirit:physicalPort> + </spirit:portMap> + </spirit:portMaps> + </spirit:busInterface> + + </spirit:busInterfaces> + + <spirit:remapStates> + + <spirit:remapState> + <spirit:name>remap_0</spirit:name> + <spirit:description>Remap state remap_0</spirit:description> + <spirit:remapPorts> + <spirit:remapPort spirit:portNameRef="REMAP" spirit:portIndex="0">1</spirit:remapPort> + </spirit:remapPorts> + </spirit:remapState> + <spirit:remapState> + <spirit:name>remap_n0</spirit:name> + <spirit:description>Remap state remap_n0</spirit:description> + <spirit:remapPorts> + <spirit:remapPort spirit:portNameRef="REMAP" spirit:portIndex="0">0</spirit:remapPort> + </spirit:remapPorts> + </spirit:remapState> + + </spirit:remapStates> + + <spirit:addressSpaces> + + <spirit:addressSpace> + <spirit:name>AHBLiteTarget_Master__rom1_AS</spirit:name> + <spirit:description>_rom1 address space</spirit:description> + <spirit:range>4G</spirit:range> + <spirit:width>32</spirit:width> + <spirit:segments> + <spirit:segment> + <spirit:name>_rom1_0x00000000_0x0fffffff</spirit:name> + <spirit:addressOffset>0x00000000</spirit:addressOffset> + <spirit:range>0x010000000</spirit:range> + </spirit:segment> + <spirit:segment> + <spirit:name>_rom1_0x10000000_0x1fffffff</spirit:name> + <spirit:addressOffset>0x10000000</spirit:addressOffset> + <spirit:range>0x010000000</spirit:range> + </spirit:segment> + </spirit:segments> + <spirit:addressUnitBits>8</spirit:addressUnitBits> + </spirit:addressSpace> + + <spirit:addressSpace> + <spirit:name>AHBLiteTarget_Master__ram2_AS</spirit:name> + <spirit:description>_ram2 address space</spirit:description> + <spirit:range>4G</spirit:range> + <spirit:width>32</spirit:width> + <spirit:segments> + <spirit:segment> + <spirit:name>_ram2_0x00000000_0x0fffffff</spirit:name> + <spirit:addressOffset>0x00000000</spirit:addressOffset> + <spirit:range>0x010000000</spirit:range> + </spirit:segment> + <spirit:segment> + <spirit:name>_ram2_0x20000000_0x2fffffff</spirit:name> + <spirit:addressOffset>0x20000000</spirit:addressOffset> + <spirit:range>0x010000000</spirit:range> + </spirit:segment> + </spirit:segments> + <spirit:addressUnitBits>8</spirit:addressUnitBits> + </spirit:addressSpace> + + <spirit:addressSpace> + <spirit:name>AHBLiteTarget_Master__ram3_AS</spirit:name> + <spirit:description>_ram3 address space</spirit:description> + <spirit:range>4G</spirit:range> + <spirit:width>32</spirit:width> + <spirit:segments> + <spirit:segment> + <spirit:name>_ram3_0x30000000_0x3fffffff</spirit:name> + <spirit:addressOffset>0x30000000</spirit:addressOffset> + <spirit:range>0x010000000</spirit:range> + </spirit:segment> + </spirit:segments> + <spirit:addressUnitBits>8</spirit:addressUnitBits> + </spirit:addressSpace> + + <spirit:addressSpace> + <spirit:name>AHBLiteTarget_Master__sys_AS</spirit:name> + <spirit:description>_sys address space</spirit:description> + <spirit:range>4G</spirit:range> + <spirit:width>32</spirit:width> + <spirit:segments> + <spirit:segment> + <spirit:name>_sys_0x40000000_0x5fffffff</spirit:name> + <spirit:addressOffset>0x40000000</spirit:addressOffset> + <spirit:range>0x020000000</spirit:range> + </spirit:segment> + <spirit:segment> + <spirit:name>_sys_0xf0000000_0xf003ffff</spirit:name> + <spirit:addressOffset>0xf0000000</spirit:addressOffset> + <spirit:range>0x000040000</spirit:range> + </spirit:segment> + </spirit:segments> + <spirit:addressUnitBits>8</spirit:addressUnitBits> + </spirit:addressSpace> + + <spirit:addressSpace> + <spirit:name>AHBLiteTarget_Master__ram8_AS</spirit:name> + <spirit:description>_ram8 address space</spirit:description> + <spirit:range>4G</spirit:range> + <spirit:width>32</spirit:width> + <spirit:segments> + <spirit:segment> + <spirit:name>_ram8_0x80000000_0x8fffffff</spirit:name> + <spirit:addressOffset>0x80000000</spirit:addressOffset> + <spirit:range>0x010000000</spirit:range> + </spirit:segment> + </spirit:segments> + <spirit:addressUnitBits>8</spirit:addressUnitBits> + </spirit:addressSpace> + + <spirit:addressSpace> + <spirit:name>AHBLiteTarget_Master__ram9_AS</spirit:name> + <spirit:description>_ram9 address space</spirit:description> + <spirit:range>4G</spirit:range> + <spirit:width>32</spirit:width> + <spirit:segments> + <spirit:segment> + <spirit:name>_ram9_0x90000000_0x9fffffff</spirit:name> + <spirit:addressOffset>0x90000000</spirit:addressOffset> + <spirit:range>0x010000000</spirit:range> + </spirit:segment> + </spirit:segments> + <spirit:addressUnitBits>8</spirit:addressUnitBits> + </spirit:addressSpace> + + <spirit:addressSpace> + <spirit:name>AHBLiteTarget_Master__exp_AS</spirit:name> + <spirit:description>_exp address space</spirit:description> + <spirit:range>4G</spirit:range> + <spirit:width>32</spirit:width> + <spirit:segments> + <spirit:segment> + <spirit:name>_exp_0x60000000_0x7fffffff</spirit:name> + <spirit:addressOffset>0x60000000</spirit:addressOffset> + <spirit:range>0x020000000</spirit:range> + </spirit:segment> + <spirit:segment> + <spirit:name>_exp_0xa0000000_0xdfffffff</spirit:name> + <spirit:addressOffset>0xa0000000</spirit:addressOffset> + <spirit:range>0x040000000</spirit:range> + </spirit:segment> + </spirit:segments> + <spirit:addressUnitBits>8</spirit:addressUnitBits> + </spirit:addressSpace> + + </spirit:addressSpaces> + + <spirit:memoryMaps> + + <spirit:memoryMap> + <spirit:name>AHBLiteInitiator_Slave__adp_MM</spirit:name> + <spirit:description>_adp memory map</spirit:description> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__rom1" + spirit:segmentRef="_rom1_0x10000000_0x1fffffff"> + <!-- Address_region 0x10000000-0x1fffffff --> + <spirit:name>AHBLiteTarget_Master__rom1_0x10000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x10000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x20000000_0x2fffffff"> + <!-- Address_region 0x20000000-0x2fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x20000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x20000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram3" + spirit:segmentRef="_ram3_0x30000000_0x3fffffff"> + <!-- Address_region 0x30000000-0x3fffffff --> + <spirit:name>AHBLiteTarget_Master__ram3_0x30000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x30000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__sys" + spirit:segmentRef="_sys_0x40000000_0x5fffffff"> + <!-- Address_region 0x40000000-0x5fffffff --> + <spirit:name>AHBLiteTarget_Master__sys_0x40000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x40000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0x60000000_0x7fffffff"> + <!-- Address_region 0x60000000-0x7fffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0x60000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x60000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram8" + spirit:segmentRef="_ram8_0x80000000_0x8fffffff"> + <!-- Address_region 0x80000000-0x8fffffff --> + <spirit:name>AHBLiteTarget_Master__ram8_0x80000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x80000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram9" + spirit:segmentRef="_ram9_0x90000000_0x9fffffff"> + <!-- Address_region 0x90000000-0x9fffffff --> + <spirit:name>AHBLiteTarget_Master__ram9_0x90000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x90000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0xa0000000_0xdfffffff"> + <!-- Address_region 0xa0000000-0xdfffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0xa0000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0xa0000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__sys" + spirit:segmentRef="_sys_0xf0000000_0xf003ffff"> + <!-- Address_region 0xf0000000-0xf003ffff --> + <spirit:name>AHBLiteTarget_Master__sys_0xf0000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0xf0000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:memoryRemap spirit:state="remap_0"> + <spirit:name>AHBLiteInitiator_Slave__adp_remap_0_remap_MM</spirit:name> + <spirit:description>_adp remap_0 remap</spirit:description> + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x00000000_0x0fffffff"> + <!-- Remapped region, active when REMAP bitcombination is 0 address_region 0x00000000-0x0fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x00000000_0_state_remap_0_SM</spirit:name> + <spirit:baseAddress>0x00000000</spirit:baseAddress> + </spirit:subspaceMap> + </spirit:memoryRemap> + + <spirit:memoryRemap spirit:state="remap_n0"> + <spirit:name>AHBLiteInitiator_Slave__adp_remap_n0_remap_MM</spirit:name> + <spirit:description>_adp remap_n0 remap</spirit:description> + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__rom1" + spirit:segmentRef="_rom1_0x00000000_0x0fffffff"> + <!-- Removable region, active only when REMAP bitcombination is n0 address_region 0x00000000-0x0fffffff --> + <spirit:name>AHBLiteTarget_Master__rom1_0x00000000_0_state_remap_n0_SM</spirit:name> + <spirit:baseAddress>0x00000000</spirit:baseAddress> + </spirit:subspaceMap> + </spirit:memoryRemap> + + </spirit:memoryMap> + + <spirit:memoryMap> + <spirit:name>AHBLiteInitiator_Slave__dma_MM</spirit:name> + <spirit:description>_dma memory map</spirit:description> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x00000000_0x0fffffff"> + <!-- Address_region 0x00000000-0x0fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x00000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x00000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__rom1" + spirit:segmentRef="_rom1_0x10000000_0x1fffffff"> + <!-- Address_region 0x10000000-0x1fffffff --> + <spirit:name>AHBLiteTarget_Master__rom1_0x10000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x10000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x20000000_0x2fffffff"> + <!-- Address_region 0x20000000-0x2fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x20000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x20000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram3" + spirit:segmentRef="_ram3_0x30000000_0x3fffffff"> + <!-- Address_region 0x30000000-0x3fffffff --> + <spirit:name>AHBLiteTarget_Master__ram3_0x30000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x30000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__sys" + spirit:segmentRef="_sys_0x40000000_0x5fffffff"> + <!-- Address_region 0x40000000-0x5fffffff --> + <spirit:name>AHBLiteTarget_Master__sys_0x40000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x40000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0x60000000_0x7fffffff"> + <!-- Address_region 0x60000000-0x7fffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0x60000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x60000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram8" + spirit:segmentRef="_ram8_0x80000000_0x8fffffff"> + <!-- Address_region 0x80000000-0x8fffffff --> + <spirit:name>AHBLiteTarget_Master__ram8_0x80000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x80000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram9" + spirit:segmentRef="_ram9_0x90000000_0x9fffffff"> + <!-- Address_region 0x90000000-0x9fffffff --> + <spirit:name>AHBLiteTarget_Master__ram9_0x90000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x90000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0xa0000000_0xdfffffff"> + <!-- Address_region 0xa0000000-0xdfffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0xa0000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0xa0000000</spirit:baseAddress> + </spirit:subspaceMap> + + </spirit:memoryMap> + + <spirit:memoryMap> + <spirit:name>AHBLiteInitiator_Slave__dma2_MM</spirit:name> + <spirit:description>_dma2 memory map</spirit:description> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x00000000_0x0fffffff"> + <!-- Address_region 0x00000000-0x0fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x00000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x00000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__rom1" + spirit:segmentRef="_rom1_0x10000000_0x1fffffff"> + <!-- Address_region 0x10000000-0x1fffffff --> + <spirit:name>AHBLiteTarget_Master__rom1_0x10000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x10000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x20000000_0x2fffffff"> + <!-- Address_region 0x20000000-0x2fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x20000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x20000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram3" + spirit:segmentRef="_ram3_0x30000000_0x3fffffff"> + <!-- Address_region 0x30000000-0x3fffffff --> + <spirit:name>AHBLiteTarget_Master__ram3_0x30000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x30000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__sys" + spirit:segmentRef="_sys_0x40000000_0x5fffffff"> + <!-- Address_region 0x40000000-0x5fffffff --> + <spirit:name>AHBLiteTarget_Master__sys_0x40000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x40000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0x60000000_0x7fffffff"> + <!-- Address_region 0x60000000-0x7fffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0x60000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x60000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram8" + spirit:segmentRef="_ram8_0x80000000_0x8fffffff"> + <!-- Address_region 0x80000000-0x8fffffff --> + <spirit:name>AHBLiteTarget_Master__ram8_0x80000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x80000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram9" + spirit:segmentRef="_ram9_0x90000000_0x9fffffff"> + <!-- Address_region 0x90000000-0x9fffffff --> + <spirit:name>AHBLiteTarget_Master__ram9_0x90000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x90000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0xa0000000_0xdfffffff"> + <!-- Address_region 0xa0000000-0xdfffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0xa0000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0xa0000000</spirit:baseAddress> + </spirit:subspaceMap> + + </spirit:memoryMap> + + <spirit:memoryMap> + <spirit:name>AHBLiteInitiator_Slave__cpu_MM</spirit:name> + <spirit:description>_cpu memory map</spirit:description> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__rom1" + spirit:segmentRef="_rom1_0x10000000_0x1fffffff"> + <!-- Address_region 0x10000000-0x1fffffff --> + <spirit:name>AHBLiteTarget_Master__rom1_0x10000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x10000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x20000000_0x2fffffff"> + <!-- Address_region 0x20000000-0x2fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x20000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x20000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram3" + spirit:segmentRef="_ram3_0x30000000_0x3fffffff"> + <!-- Address_region 0x30000000-0x3fffffff --> + <spirit:name>AHBLiteTarget_Master__ram3_0x30000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x30000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__sys" + spirit:segmentRef="_sys_0x40000000_0x5fffffff"> + <!-- Address_region 0x40000000-0x5fffffff --> + <spirit:name>AHBLiteTarget_Master__sys_0x40000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x40000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0x60000000_0x7fffffff"> + <!-- Address_region 0x60000000-0x7fffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0x60000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x60000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram8" + spirit:segmentRef="_ram8_0x80000000_0x8fffffff"> + <!-- Address_region 0x80000000-0x8fffffff --> + <spirit:name>AHBLiteTarget_Master__ram8_0x80000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x80000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram9" + spirit:segmentRef="_ram9_0x90000000_0x9fffffff"> + <!-- Address_region 0x90000000-0x9fffffff --> + <spirit:name>AHBLiteTarget_Master__ram9_0x90000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0x90000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__exp" + spirit:segmentRef="_exp_0xa0000000_0xdfffffff"> + <!-- Address_region 0xa0000000-0xdfffffff --> + <spirit:name>AHBLiteTarget_Master__exp_0xa0000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0xa0000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__sys" + spirit:segmentRef="_sys_0xf0000000_0xf003ffff"> + <!-- Address_region 0xf0000000-0xf003ffff --> + <spirit:name>AHBLiteTarget_Master__sys_0xf0000000_0_state_always_SM</spirit:name> + <spirit:baseAddress>0xf0000000</spirit:baseAddress> + </spirit:subspaceMap> + + <spirit:memoryRemap spirit:state="remap_0"> + <spirit:name>AHBLiteInitiator_Slave__cpu_remap_0_remap_MM</spirit:name> + <spirit:description>_cpu remap_0 remap</spirit:description> + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__ram2" + spirit:segmentRef="_ram2_0x00000000_0x0fffffff"> + <!-- Remapped region, active when REMAP bitcombination is 0 address_region 0x00000000-0x0fffffff --> + <spirit:name>AHBLiteTarget_Master__ram2_0x00000000_0_state_remap_0_SM</spirit:name> + <spirit:baseAddress>0x00000000</spirit:baseAddress> + </spirit:subspaceMap> + </spirit:memoryRemap> + + <spirit:memoryRemap spirit:state="remap_n0"> + <spirit:name>AHBLiteInitiator_Slave__cpu_remap_n0_remap_MM</spirit:name> + <spirit:description>_cpu remap_n0 remap</spirit:description> + <spirit:subspaceMap spirit:masterRef="AHBLiteTarget_Master__rom1" + spirit:segmentRef="_rom1_0x00000000_0x0fffffff"> + <!-- Removable region, active only when REMAP bitcombination is n0 address_region 0x00000000-0x0fffffff --> + <spirit:name>AHBLiteTarget_Master__rom1_0x00000000_0_state_remap_n0_SM</spirit:name> + <spirit:baseAddress>0x00000000</spirit:baseAddress> + </spirit:subspaceMap> + </spirit:memoryRemap> + + </spirit:memoryMap> + + </spirit:memoryMaps> + + + <spirit:model> + <spirit:views> + <spirit:view> + <spirit:name>verilogsource</spirit:name> + <spirit:description>nanosoc_4x7_AhbMatrix_lite bus matrix</spirit:description> + <spirit:envIdentifier>:*Simulation:</spirit:envIdentifier> + <spirit:envIdentifier>:*Synthesis:</spirit:envIdentifier> + <spirit:language>verilog</spirit:language> + <spirit:modelName>nanosoc_4x7_AhbMatrix_lite</spirit:modelName> + <spirit:fileSetRef> + <spirit:localName>fs-verilogsource</spirit:localName> + </spirit:fileSetRef> + </spirit:view> + </spirit:views> + + <spirit:ports> + + <!-- Common clock and reset --> + + <spirit:port> + <spirit:name>HCLK</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESETn</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + + <!-- Remap port --> + <spirit:port> + <spirit:name>REMAP</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + <spirit:driver> + <spirit:defaultValue>0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + + <!-- Input signals of Slave interfaces --> + + <spirit:port> + <spirit:name>HADDR_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + <spirit:driver> + <spirit:defaultValue>0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_adp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + <spirit:driver> + <spirit:defaultValue>0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_dma</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + <spirit:driver> + <spirit:defaultValue>0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_dma2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + <spirit:driver> + <spirit:defaultValue>0</spirit:defaultValue> + </spirit:driver> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_cpu</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + + <!-- Input signals of Master interfaces --> + + <spirit:port> + <spirit:name>HRDATA_rom1</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_rom1</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_rom1</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_rom1</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_ram2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_ram2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_ram2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_ram2</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_ram3</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_ram3</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_ram3</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_ram3</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_sys</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_sys</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_sys</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_sys</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_ram8</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_ram8</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_ram8</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_ram8</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_ram9</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_ram9</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_ram9</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_ram9</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_exp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYOUT_exp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_exp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_exp</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + + <!-- Scan test dummy signals; not connected until scan insertion --> + + <spirit:port> + <spirit:name>SCANENABLE</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>SCANINHCLK</spirit:name> + <spirit:wire> + <spirit:direction>in</spirit:direction> + </spirit:wire> + </spirit:port> + + <!-- Output signals of Master interfaces --> + + <spirit:port> + <spirit:name>HSEL_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYMUX_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_rom1</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSEL_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYMUX_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_ram2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSEL_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYMUX_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_ram3</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSEL_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYMUX_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_sys</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSEL_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYMUX_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_ram8</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSEL_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYMUX_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_ram9</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSEL_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HADDR_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HTRANS_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWRITE_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HSIZE_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HBURST_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>2</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HPROT_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>3</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWDATA_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HMASTLOCK_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADYMUX_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HAUSER_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HWUSER_exp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + + <!-- Output signals of Slave interfaces --> + + <spirit:port> + <spirit:name>HRDATA_adp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADY_adp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_adp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_adp</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_dma</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADY_dma</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_dma</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_dma</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_dma2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADY_dma2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_dma2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_dma2</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRDATA_cpu</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>31</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HREADY_cpu</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRESP_cpu</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + <spirit:port> + <spirit:name>HRUSER_cpu</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + <spirit:vector> + <spirit:left>1</spirit:left> + <spirit:right>0</spirit:right> + </spirit:vector> + </spirit:wire> + </spirit:port> + + <!-- Scan test dummy signals; not connected until scan insertion --> + + <spirit:port> + <spirit:name>SCANOUTHCLK</spirit:name> + <spirit:wire> + <spirit:direction>out</spirit:direction> + </spirit:wire> + </spirit:port> + </spirit:ports> + </spirit:model> + + <spirit:fileSets> + <spirit:fileSet> + <spirit:name>fs-verilogsource</spirit:name> + <spirit:displayName/> + <spirit:description>File list for nanosoc_4x7_AhbMatrix_lite</spirit:description> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix_lite.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + <spirit:isIncludeFile spirit:externalDeclarations="true">false</spirit:isIncludeFile> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix_default_slave.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MasterInput.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_adp.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_dma.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_dma2.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_cpu.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_Arbiter.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + <spirit:file> + <spirit:name>../../../verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_SlaveOutput.v</spirit:name> + <spirit:fileType>verilogSource-2001</spirit:fileType> + </spirit:file> + </spirit:fileSet> + </spirit:fileSets> + + <spirit:description>nanosoc_4x7_AhbMatrix_lite</spirit:description> + +</spirit:component> diff --git a/systems/mcu/src/gen_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.xml b/systems/nanosoc/src/nanosoc_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.xml similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.xml rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.xml diff --git a/systems/mcu/src/gen_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.xml b/systems/nanosoc/src/nanosoc_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.xml similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.xml rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.xml diff --git a/systems/mcu/src/gen_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_ipxact.xml b/systems/nanosoc/src/nanosoc_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_ipxact.xml similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_ipxact.xml rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_ipxact.xml diff --git a/systems/mcu/src/gen_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_lite_ipxact.xml b/systems/nanosoc/src/nanosoc_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_lite_ipxact.xml similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_lite_ipxact.xml rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_lite_ipxact.xml diff --git a/systems/nanosoc/src/nanosoc_ahb_busmatrix/nanosoc_ahb32_4x7.log b/systems/nanosoc/src/nanosoc_ahb_busmatrix/nanosoc_ahb32_4x7.log new file mode 100644 index 0000000000000000000000000000000000000000..49ac38cdf6f52e748c3b2baf264940aa771bc353 --- /dev/null +++ b/systems/nanosoc/src/nanosoc_ahb_busmatrix/nanosoc_ahb32_4x7.log @@ -0,0 +1,60 @@ + +============================================================== += The confidential and proprietary information contained in this file may += only be used by a person authorised under and to the extent permitted += by a subsisting licensing agreement from Arm Limited or its affiliates. += += (C) COPYRIGHT 2001-2013,2017 Arm Limited or its affiliates. += ALL RIGHTS RESERVED += += This entire notice must be reproduced on all copies of this file += and copies of this file may only be made by a person if such person is += permitted to do so under the terms of a subsisting license agreement += from Arm Limited or its affiliates. += += BuildBusMatrix.pl += += Run Date : 28/04/2023 14:54:35 +============================================================== + +Script accepted the following parameters: + + - Configuration file : 'xml/nanosoc_ahb32_4x7.xml' + - Top-level name : 'nanosoc_4x7_AhbMatrix' + - Slave interfaces : 4 + - Master interfaces : 7 + - Architecture type : 'ahb2' + - Arbitration scheme : 'burst' + - Address map : user defined + - Connectivity mapping : _adp -> _rom1, _ram2, _ram3, _sys, _exp, _ram8, _ram9, + _dma -> _rom1, _ram2, _ram3, _sys, _exp, _ram8, _ram9, + _dma2 -> _rom1, _ram2, _ram3, _sys, _exp, _ram8, _ram9, + _cpu -> _rom1, _ram2, _ram3, _sys, _exp, _ram8, _ram9 + - Connectivity type : full + - Routing data width : 32 + - Routing address width : 32 + - User signal width : 2 + - Timescales : no + - Configuration directory : './verilog/built' + - Source directory : './verilog/src' + - IPXact target directory : 'ipxact/built' + - IPXact source directory : 'ipxact/src' + - Overwrite mode : enabled + +Creating the bus matrix variant... + + - Rendering 'nanosoc_4x7_AhbMatrix_default_slave.v' + - Rendering 'nanosoc_4x7_MatrixDecode_dma2.v' + - Rendering 'nanosoc_4x7_Arbiter.v' + - Rendering 'nanosoc_4x7_SlaveOutput.v' + - Rendering 'nanosoc_4x7_AhbMatrix.v' + - Rendering 'nanosoc_4x7_AhbMatrix_lite.xml' + - Rendering 'nanosoc_4x7_MasterInput.v' + - Rendering 'nanosoc_4x7_MatrixDecode_dma.v' + - Rendering 'nanosoc_4x7_AhbMatrix.xml' + - Rendering 'nanosoc_4x7_AhbMatrix_lite.v' + - Rendering 'nanosoc_4x7_MatrixDecode_adp.v' + - Rendering 'nanosoc_4x7_MatrixDecode_cpu.v' + +Done! + diff --git a/systems/mcu/src/gen_ahb_busmatrix/soclabs_ahb32_4x7.log b/systems/nanosoc/src/nanosoc_ahb_busmatrix/soclabs_ahb32_4x7.log similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/soclabs_ahb32_4x7.log rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/soclabs_ahb32_4x7.log diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix.v similarity index 98% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix.v index 6179e6075f7fc9e1b45fa9c32e09dfccb8fc2853..496b49ff0f4094139ab5a5cbbb3c113608268d6c 100644 --- a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.v +++ b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix.v @@ -43,7 +43,7 @@ -module soclabs_4x7_AhbMatrix ( +module nanosoc_4x7_AhbMatrix ( // Common AHB signals HCLK, @@ -971,7 +971,7 @@ module soclabs_4x7_AhbMatrix ( // ----------------------------------------------------------------------------- // Input stage for SI0 - soclabs_4x7_MasterInput u_soclabs_4x7_MasterInput_0 ( + nanosoc_4x7_MasterInput u_nanosoc_4x7_MasterInput_0 ( // Common AHB signals .HCLK (HCLK), @@ -1016,7 +1016,7 @@ module soclabs_4x7_AhbMatrix ( // Input stage for SI1 - soclabs_4x7_MasterInput u_soclabs_4x7_MasterInput_1 ( + nanosoc_4x7_MasterInput u_nanosoc_4x7_MasterInput_1 ( // Common AHB signals .HCLK (HCLK), @@ -1061,7 +1061,7 @@ module soclabs_4x7_AhbMatrix ( // Input stage for SI2 - soclabs_4x7_MasterInput u_soclabs_4x7_MasterInput_2 ( + nanosoc_4x7_MasterInput u_nanosoc_4x7_MasterInput_2 ( // Common AHB signals .HCLK (HCLK), @@ -1106,7 +1106,7 @@ module soclabs_4x7_AhbMatrix ( // Input stage for SI3 - soclabs_4x7_MasterInput u_soclabs_4x7_MasterInput_3 ( + nanosoc_4x7_MasterInput u_nanosoc_4x7_MasterInput_3 ( // Common AHB signals .HCLK (HCLK), @@ -1151,7 +1151,7 @@ module soclabs_4x7_AhbMatrix ( // Matrix decoder for SI0 - soclabs_4x7_MatrixDecode_adp u_soclabs_4x7_matrixdecode_adp ( + nanosoc_4x7_MatrixDecode_adp u_nanosoc_4x7_matrixdecode_adp ( // Common AHB signals .HCLK (HCLK), @@ -1233,7 +1233,7 @@ module soclabs_4x7_AhbMatrix ( // Matrix decoder for SI1 - soclabs_4x7_MatrixDecode_dma u_soclabs_4x7_matrixdecode_dma ( + nanosoc_4x7_MatrixDecode_dma u_nanosoc_4x7_matrixdecode_dma ( // Common AHB signals .HCLK (HCLK), @@ -1312,7 +1312,7 @@ module soclabs_4x7_AhbMatrix ( // Matrix decoder for SI2 - soclabs_4x7_MatrixDecode_dma2 u_soclabs_4x7_matrixdecode_dma2 ( + nanosoc_4x7_MatrixDecode_dma2 u_nanosoc_4x7_matrixdecode_dma2 ( // Common AHB signals .HCLK (HCLK), @@ -1391,7 +1391,7 @@ module soclabs_4x7_AhbMatrix ( // Matrix decoder for SI3 - soclabs_4x7_MatrixDecode_cpu u_soclabs_4x7_matrixdecode_cpu ( + nanosoc_4x7_MatrixDecode_cpu u_nanosoc_4x7_matrixdecode_cpu ( // Common AHB signals .HCLK (HCLK), @@ -1473,7 +1473,7 @@ module soclabs_4x7_AhbMatrix ( // Output stage for MI0 - soclabs_4x7_SlaveOutput u_soclabs_4x7_slaveoutput_0 ( + nanosoc_4x7_SlaveOutput u_nanosoc_4x7_slaveoutput_0 ( // Common AHB signals .HCLK (HCLK), @@ -1569,7 +1569,7 @@ module soclabs_4x7_AhbMatrix ( // Output stage for MI1 - soclabs_4x7_SlaveOutput u_soclabs_4x7_slaveoutput_1 ( + nanosoc_4x7_SlaveOutput u_nanosoc_4x7_slaveoutput_1 ( // Common AHB signals .HCLK (HCLK), @@ -1665,7 +1665,7 @@ module soclabs_4x7_AhbMatrix ( // Output stage for MI2 - soclabs_4x7_SlaveOutput u_soclabs_4x7_slaveoutput_2 ( + nanosoc_4x7_SlaveOutput u_nanosoc_4x7_slaveoutput_2 ( // Common AHB signals .HCLK (HCLK), @@ -1761,7 +1761,7 @@ module soclabs_4x7_AhbMatrix ( // Output stage for MI3 - soclabs_4x7_SlaveOutput u_soclabs_4x7_slaveoutput_3 ( + nanosoc_4x7_SlaveOutput u_nanosoc_4x7_slaveoutput_3 ( // Common AHB signals .HCLK (HCLK), @@ -1857,7 +1857,7 @@ module soclabs_4x7_AhbMatrix ( // Output stage for MI4 - soclabs_4x7_SlaveOutput u_soclabs_4x7_slaveoutput_4 ( + nanosoc_4x7_SlaveOutput u_nanosoc_4x7_slaveoutput_4 ( // Common AHB signals .HCLK (HCLK), @@ -1953,7 +1953,7 @@ module soclabs_4x7_AhbMatrix ( // Output stage for MI5 - soclabs_4x7_SlaveOutput u_soclabs_4x7_slaveoutput_5 ( + nanosoc_4x7_SlaveOutput u_nanosoc_4x7_slaveoutput_5 ( // Common AHB signals .HCLK (HCLK), @@ -2049,7 +2049,7 @@ module soclabs_4x7_AhbMatrix ( // Output stage for MI6 - soclabs_4x7_SlaveOutput u_soclabs_4x7_slaveoutput_6 ( + nanosoc_4x7_SlaveOutput u_nanosoc_4x7_slaveoutput_6 ( // Common AHB signals .HCLK (HCLK), diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_default_slave.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix_default_slave.v similarity index 99% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_default_slave.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix_default_slave.v index 156124e9f5ae70c06a0d4ef9e0517603eb826211..4d2fd4a9006c0c0274f133e1e455504dd5708ff9 100644 --- a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_default_slave.v +++ b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix_default_slave.v @@ -28,7 +28,7 @@ -module soclabs_4x7_AhbMatrix_default_slave ( +module nanosoc_4x7_AhbMatrix_default_slave ( // Common AHB signals HCLK, diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix_lite.v similarity index 99% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix_lite.v index f17138d10ed6515f84e2451985c6bc13832a8cc1..b4653fd3ffe57818632752d958ee1a2baccfbff9 100644 --- a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.v +++ b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_AhbMatrix_lite.v @@ -30,7 +30,7 @@ -module soclabs_4x7_AhbMatrix_lite ( +module nanosoc_4x7_AhbMatrix_lite ( // Common AHB signals HCLK, @@ -757,7 +757,7 @@ module soclabs_4x7_AhbMatrix_lite ( assign i_hresp_exp = {{1{tie_low}}, HRESP_exp}; // BusMatrix instance - soclabs_4x7_AhbMatrix usoclabs_4x7_AhbMatrix ( + nanosoc_4x7_AhbMatrix unanosoc_4x7_AhbMatrix ( .HCLK (HCLK), .HRESETn (HRESETn), .REMAP (REMAP), diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_Arbiter.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_Arbiter.v similarity index 99% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_Arbiter.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_Arbiter.v index 062079a2940b40ecfb6c7b5e3a45279e1eefe13b..53832f60fe0d83e30b788a13db8ef389b6a28b0c 100644 --- a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_Arbiter.v +++ b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_Arbiter.v @@ -32,7 +32,7 @@ -module soclabs_4x7_Arbiter ( +module nanosoc_4x7_Arbiter ( // Common AHB signals HCLK , diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MasterInput.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MasterInput.v similarity index 99% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MasterInput.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MasterInput.v index 4e083b9add91ea2addc9fa0c12d7bdec835ce4a4..0c2a3c172d09265352a1b209da921d6f2bc1a88a 100644 --- a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MasterInput.v +++ b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MasterInput.v @@ -29,7 +29,7 @@ -module soclabs_4x7_MasterInput ( +module nanosoc_4x7_MasterInput ( // Common AHB signals HCLK, diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_cpu.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_adp.v similarity index 99% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_cpu.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_adp.v index bd40d1edc936f6ad218fcb41f04a09a4271bb219..19020129adcdfdf8935aa5b8baa417176c7b8370 100644 --- a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_cpu.v +++ b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_adp.v @@ -33,7 +33,7 @@ -module soclabs_4x7_MatrixDecode_cpu ( +module nanosoc_4x7_MatrixDecode_adp ( // Common AHB signals HCLK, @@ -300,7 +300,7 @@ module soclabs_4x7_MatrixDecode_cpu ( // Default slave (accessed when HADDR is unmapped) //------------------------------------------------------------------------------ - soclabs_4x7_AhbMatrix_default_slave u_soclabs_4x7_AhbMatrix_default_slave ( + nanosoc_4x7_AhbMatrix_default_slave u_nanosoc_4x7_AhbMatrix_default_slave ( // Common AHB signals .HCLK (HCLK), diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_adp.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_cpu.v similarity index 99% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_adp.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_cpu.v index 50a072540d7df984c5cd5294fef055359c1e804d..383eb8292d27ee07eff044f2e2a9c1c9d978f2c2 100644 --- a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_adp.v +++ b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_cpu.v @@ -33,7 +33,7 @@ -module soclabs_4x7_MatrixDecode_adp ( +module nanosoc_4x7_MatrixDecode_cpu ( // Common AHB signals HCLK, @@ -300,7 +300,7 @@ module soclabs_4x7_MatrixDecode_adp ( // Default slave (accessed when HADDR is unmapped) //------------------------------------------------------------------------------ - soclabs_4x7_AhbMatrix_default_slave u_soclabs_4x7_AhbMatrix_default_slave ( + nanosoc_4x7_AhbMatrix_default_slave u_nanosoc_4x7_AhbMatrix_default_slave ( // Common AHB signals .HCLK (HCLK), diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_dma.v similarity index 99% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_dma.v index 0f0e1e24575d2a3abbcd2fa3499f971eaf865eb5..8c18a1260c5515cfd2111098a54d6d632a475dbd 100644 --- a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma.v +++ b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_dma.v @@ -33,7 +33,7 @@ -module soclabs_4x7_MatrixDecode_dma ( +module nanosoc_4x7_MatrixDecode_dma ( // Common AHB signals HCLK, @@ -292,7 +292,7 @@ module soclabs_4x7_MatrixDecode_dma ( // Default slave (accessed when HADDR is unmapped) //------------------------------------------------------------------------------ - soclabs_4x7_AhbMatrix_default_slave u_soclabs_4x7_AhbMatrix_default_slave ( + nanosoc_4x7_AhbMatrix_default_slave u_nanosoc_4x7_AhbMatrix_default_slave ( // Common AHB signals .HCLK (HCLK), diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma2.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_dma2.v similarity index 99% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma2.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_dma2.v index a0acb7f6c15252af9c4f4dd14b4cc8b4d5b2e9db..74dd1af6c6ae16626fb3e319177d31be7d4db5d2 100644 --- a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma2.v +++ b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_MatrixDecode_dma2.v @@ -33,7 +33,7 @@ -module soclabs_4x7_MatrixDecode_dma2 ( +module nanosoc_4x7_MatrixDecode_dma2 ( // Common AHB signals HCLK, @@ -292,7 +292,7 @@ module soclabs_4x7_MatrixDecode_dma2 ( // Default slave (accessed when HADDR is unmapped) //------------------------------------------------------------------------------ - soclabs_4x7_AhbMatrix_default_slave u_soclabs_4x7_AhbMatrix_default_slave ( + nanosoc_4x7_AhbMatrix_default_slave u_nanosoc_4x7_AhbMatrix_default_slave ( // Common AHB signals .HCLK (HCLK), diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_SlaveOutput.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_SlaveOutput.v similarity index 99% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_SlaveOutput.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_SlaveOutput.v index a572d454ab493202d99c3edc4c46ed67f9373041..3521e530943c83630d3644ceb8a2666005db2e5f 100644 --- a/systems/mcu/src/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_SlaveOutput.v +++ b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/built/nanosoc_4x7_AhbMatrix/nanosoc_4x7_SlaveOutput.v @@ -32,7 +32,7 @@ -module soclabs_4x7_SlaveOutput ( +module nanosoc_4x7_SlaveOutput ( // Common AHB signals HCLK, @@ -341,7 +341,7 @@ module soclabs_4x7_SlaveOutput ( assign req_port3 = held_tran_op3 & sel_op3; // Arbiter instance for resolving requests to this output stage - soclabs_4x7_Arbiter u_output_arb ( + nanosoc_4x7_Arbiter u_output_arb ( .HCLK (HCLK), .HRESETn (HRESETn), diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_burst_arb.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_burst_arb.v similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_burst_arb.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_burst_arb.v diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_decode.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_decode.v similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_decode.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_decode.v diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_default_slave.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_default_slave.v similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_default_slave.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_default_slave.v diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_fixed_arb.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_fixed_arb.v similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_fixed_arb.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_fixed_arb.v diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_input_stage.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_input_stage.v similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_input_stage.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_input_stage.v diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_output_stage.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_output_stage.v similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_output_stage.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_output_stage.v diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_round_arb.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_round_arb.v similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_round_arb.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_round_arb.v diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_arb.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_arb.v similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_arb.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_arb.v diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_output_stage.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_output_stage.v similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_output_stage.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_output_stage.v diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix.v similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix.v diff --git a/systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix_lite.v b/systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix_lite.v similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix_lite.v rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix_lite.v diff --git a/systems/mcu/src/gen_ahb_busmatrix/xml/example2x3_full.xml b/systems/nanosoc/src/nanosoc_ahb_busmatrix/xml/example2x3_full.xml similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/xml/example2x3_full.xml rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/xml/example2x3_full.xml diff --git a/systems/mcu/src/gen_ahb_busmatrix/xml/example2x3_sparse.xml b/systems/nanosoc/src/nanosoc_ahb_busmatrix/xml/example2x3_sparse.xml similarity index 100% rename from systems/mcu/src/gen_ahb_busmatrix/xml/example2x3_sparse.xml rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/xml/example2x3_sparse.xml diff --git a/systems/mcu/src/gen_ahb_busmatrix/xml/soclabs_ahb32_4x7.xml b/systems/nanosoc/src/nanosoc_ahb_busmatrix/xml/nanosoc_ahb32_4x7.xml similarity index 96% rename from systems/mcu/src/gen_ahb_busmatrix/xml/soclabs_ahb32_4x7.xml rename to systems/nanosoc/src/nanosoc_ahb_busmatrix/xml/nanosoc_ahb32_4x7.xml index b1ddc80c117821e2174ec12d18de2336f0a78f60..10f7aa161092934c8d45da95bf08b891bf99537d 100644 --- a/systems/mcu/src/gen_ahb_busmatrix/xml/soclabs_ahb32_4x7.xml +++ b/systems/nanosoc/src/nanosoc_ahb_busmatrix/xml/nanosoc_ahb32_4x7.xml @@ -52,11 +52,11 @@ <routing_data_width>32</routing_data_width> <routing_address_width>32</routing_address_width> <user_signal_width>2</user_signal_width> - <bus_matrix_name>soclabs_4x7_AhbMatrix</bus_matrix_name> - <input_stage_name>soclabs_4x7_MasterInput</input_stage_name> - <matrix_decode_name>soclabs_4x7_MatrixDecode</matrix_decode_name> - <output_arbiter_name>soclabs_4x7_Arbiter</output_arbiter_name> - <output_stage_name>soclabs_4x7_SlaveOutput</output_stage_name> + <bus_matrix_name>nanosoc_4x7_AhbMatrix</bus_matrix_name> + <input_stage_name>nanosoc_4x7_MasterInput</input_stage_name> + <matrix_decode_name>nanosoc_4x7_MatrixDecode</matrix_decode_name> + <output_arbiter_name>nanosoc_4x7_Arbiter</output_arbiter_name> + <output_stage_name>nanosoc_4x7_SlaveOutput</output_stage_name> <!-- Slave interface definitions --> diff --git a/systems/mcu/src/bootrom/ahb_bootrom.v b/systems/nanosoc/src/verilog/nanosoc_ahb_bootrom.v similarity index 66% rename from systems/mcu/src/bootrom/ahb_bootrom.v rename to systems/nanosoc/src/verilog/nanosoc_ahb_bootrom.v index 5031a98de8ff274fb846a9bc902ca595037db7ce..fe370eb275927568287b69ac0db0b3ae99864af7 100644 --- a/systems/mcu/src/bootrom/ahb_bootrom.v +++ b/systems/nanosoc/src/verilog/nanosoc_ahb_bootrom.v @@ -1,4 +1,15 @@ -module ahb_bootrom #( +//----------------------------------------------------------------------------- +// NanoSoC AHB to Bootrom Interface +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// David Flynn (d.w.flynn@soton.ac.uk) +// +// Copyright � 2021-3, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- + +module nanosoc_ahb_bootrom #( // Parameters parameter AW = 10 // Address width ) diff --git a/systems/mcu/src/cmsdk_ahb_cs_rom_table.v b/systems/nanosoc/src/verilog/nanosoc_ahb_cs_rom_table.v similarity index 96% rename from systems/mcu/src/cmsdk_ahb_cs_rom_table.v rename to systems/nanosoc/src/verilog/nanosoc_ahb_cs_rom_table.v index 505b097314327cc03b6bab280846541e518240a2..f6345a2650eaae984bc9504121afc017f93e1946 100644 --- a/systems/mcu/src/cmsdk_ahb_cs_rom_table.v +++ b/systems/nanosoc/src/verilog/nanosoc_ahb_cs_rom_table.v @@ -1,3 +1,14 @@ +//----------------------------------------------------------------------------- +// NanoSoC AHB CoreSight ROM Table adapted from Arm CMSDK AHB CoreSight ROM Table +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// David Flynn (d.w.flynn@soton.ac.uk) +// +// Copyright � 2021-3, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- + //----------------------------------------------------------------------------- // The confidential and proprietary information contained in this file may // only be used by a person authorised under and to the extent permitted @@ -63,7 +74,7 @@ // ECOREVNUM bus should be easily identifiable and modifiable. //----------------------------------------------------------------------------- -module cmsdk_ahb_cs_rom_table +module nanosoc_ahb_cs_rom_table #( // ------------------------------------------------------------ // ROM Table BASE Address diff --git a/systems/mcu/src/cmsdk_apb_subsystem.v b/systems/nanosoc/src/verilog/nanosoc_apb_subsystem.v similarity index 98% rename from systems/mcu/src/cmsdk_apb_subsystem.v rename to systems/nanosoc/src/verilog/nanosoc_apb_subsystem.v index 3e42ff1065c0de483f8d0e06e6829c675cce373a..e3e46d37b66c56d86faaee04e022e4107db3ac21 100755 --- a/systems/mcu/src/cmsdk_apb_subsystem.v +++ b/systems/nanosoc/src/verilog/nanosoc_apb_subsystem.v @@ -1,3 +1,14 @@ +//----------------------------------------------------------------------------- +// NanoSoC APB Subsystem adapted from Arm CMSDK APB Subsystem +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// David Flynn (d.w.flynn@soton.ac.uk) +// +// Copyright � 2021-3, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- + //----------------------------------------------------------------------------- // The confidential and proprietary information contained in this file may // only be used by a person authorised under and to the extent permitted @@ -23,7 +34,7 @@ //----------------------------------------------------------------------------- // Abstract : APB sub system //----------------------------------------------------------------------------- -module cmsdk_apb_subsystem #( +module nanosoc_apb_subsystem #( // Enable setting for APB extension ports // By default, all four extension ports are not used. // This can be overriden by parameters at instantiations. diff --git a/systems/mcu/src/cmsdk_apb_usrt.v b/systems/nanosoc/src/verilog/nanosoc_apb_usrt.v similarity index 99% rename from systems/mcu/src/cmsdk_apb_usrt.v rename to systems/nanosoc/src/verilog/nanosoc_apb_usrt.v index f695ecc23108654e6983c19fdd5e9ad049a6cd25..8df136a6aaa944ef5f3b5dc0c5e1d94586117960 100644 --- a/systems/mcu/src/cmsdk_apb_usrt.v +++ b/systems/nanosoc/src/verilog/nanosoc_apb_usrt.v @@ -1,12 +1,12 @@ //----------------------------------------------------------------------------- -// customised top-level example Cortex-M0 controller +// NanoSoC APB USRT adapted from Arm CMSDK APB UART // A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. // // Contributors // // David Flynn (d.w.flynn@soton.ac.uk) // -// Copyright � 2021-2, SoC Labs (www.soclabs.org) +// Copyright � 2021-3, SoC Labs (www.soclabs.org) //----------------------------------------------------------------------------- //----------------------------------------------------------------------------- @@ -61,7 +61,7 @@ // 0x3E0 - 0x3FC ID registers //------------------------------------- -module cmsdk_apb_usrt ( +module nanosoc_apb_usrt ( // -------------------------------------------------------------------------- // Port Definitions // -------------------------------------------------------------------------- diff --git a/systems/mcu/src/nanosoc_chip.v b/systems/nanosoc/src/verilog/nanosoc_chip.v similarity index 100% rename from systems/mcu/src/nanosoc_chip.v rename to systems/nanosoc/src/verilog/nanosoc_chip.v diff --git a/systems/mcu/src/nanosoc_chip_pads.v b/systems/nanosoc/src/verilog/nanosoc_chip_pads.v similarity index 100% rename from systems/mcu/src/nanosoc_chip_pads.v rename to systems/nanosoc/src/verilog/nanosoc_chip_pads.v diff --git a/systems/mcu/src/nanosoc_cpu.v b/systems/nanosoc/src/verilog/nanosoc_cpu.v similarity index 100% rename from systems/mcu/src/nanosoc_cpu.v rename to systems/nanosoc/src/verilog/nanosoc_cpu.v diff --git a/systems/mcu/src/cmsdk_mcu_clkctrl.v b/systems/nanosoc/src/verilog/nanosoc_mcu_clkctrl.v similarity index 97% rename from systems/mcu/src/cmsdk_mcu_clkctrl.v rename to systems/nanosoc/src/verilog/nanosoc_mcu_clkctrl.v index a994d017f4cb9d304bd31559392446b796ef8379..80be7812559e3186ad7a5d7b20220ae96991adfb 100644 --- a/systems/mcu/src/cmsdk_mcu_clkctrl.v +++ b/systems/nanosoc/src/verilog/nanosoc_mcu_clkctrl.v @@ -1,12 +1,12 @@ //----------------------------------------------------------------------------- -// customised Cortex-M0 'nanosoc' controller +// NanoSoC Clock Controller adapted from ARM CMSDK Simple clock controller // A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. // // Contributors // // David Flynn (d.w.flynn@soton.ac.uk) // -// Copyright � 2021-3, SoC Labs (www.soclabs.org) +// Copyright � 2021-3, SoC Labs (www.soclabs.org) //----------------------------------------------------------------------------- //----------------------------------------------------------------------------- @@ -37,7 +37,7 @@ // Note : Most of the clock gating are handled by the example PMU provided // in the Cortex-M0/Cortex-M0+ deliverable. -module cmsdk_mcu_clkctrl #( +module nanosoc_mcu_clkctrl #( parameter CLKGATE_PRESENT = 0) ( input wire XTAL1, // Clock source diff --git a/systems/mcu/src/cmsdk_mcu_pin_mux.v b/systems/nanosoc/src/verilog/nanosoc_mcu_pin_mux.v similarity index 94% rename from systems/mcu/src/cmsdk_mcu_pin_mux.v rename to systems/nanosoc/src/verilog/nanosoc_mcu_pin_mux.v index 82d0ae5d32d2233ac83213d5d1c1b94ebd6dd671..963807e1f57c6714cfcb567d1b1e06b17cd4d34d 100644 --- a/systems/mcu/src/cmsdk_mcu_pin_mux.v +++ b/systems/nanosoc/src/verilog/nanosoc_mcu_pin_mux.v @@ -1,3 +1,14 @@ +//----------------------------------------------------------------------------- +// NanoSoC Pin Multiplexing Controller adapted from ARM CMSDK Pin multiplexing control +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// David Flynn (d.w.flynn@soton.ac.uk) +// +// Copyright � 2021-3, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- + //----------------------------------------------------------------------------- // The confidential and proprietary information contained in this file may // only be used by a person authorised under and to the extent permitted @@ -25,7 +36,7 @@ // microcontroller //----------------------------------------------------------------------------- // -module cmsdk_mcu_pin_mux ( +module nanosoc_mcu_pin_mux ( //------------------------------------------- // I/O ports //------------------------------------------- diff --git a/systems/mcu/src/cmsdk_mcu_stclkctrl.v b/systems/nanosoc/src/verilog/nanosoc_mcu_stclkctrl.v similarity index 83% rename from systems/mcu/src/cmsdk_mcu_stclkctrl.v rename to systems/nanosoc/src/verilog/nanosoc_mcu_stclkctrl.v index 30221aa2af4c4e618d43a84b21db796883ad6ae8..b4ea23cad824aeaa73c5a8b8711e546b5fc9fa10 100644 --- a/systems/mcu/src/cmsdk_mcu_stclkctrl.v +++ b/systems/nanosoc/src/verilog/nanosoc_mcu_stclkctrl.v @@ -1,3 +1,14 @@ +//----------------------------------------------------------------------------- +// NanoSoC SysTick controller adapted from Arm CMSDK Simple control for SysTick signals for Cortex-M processor +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// David Flynn (d.w.flynn@soton.ac.uk) +// +// Copyright � 2021-3, SoC Labs (www.soclabs.org) +//----------------------------------------------------------------------------- + //----------------------------------------------------------------------------- // The confidential and proprietary information contained in this file may // only be used by a person authorised under and to the extent permitted @@ -24,7 +35,7 @@ // Abstract : Simple control for SysTick signals for Cortex-M processor //----------------------------------------------------------------------------- -module cmsdk_mcu_stclkctrl #( +module nanosoc_mcu_stclkctrl #( // Ratio between FCLK and SysTck reference clock parameter DIV_RATIO = 18'd01000, diff --git a/systems/mcu/src/cmsdk_mcu_sysctrl.v b/systems/nanosoc/src/verilog/nanosoc_mcu_sysctrl.v similarity index 98% rename from systems/mcu/src/cmsdk_mcu_sysctrl.v rename to systems/nanosoc/src/verilog/nanosoc_mcu_sysctrl.v index 383b4af8544cb3249dba4825e6d44d61c7ff49fd..cfdf5d14ea1b10be9e4a49d55d64a9aa4aa9d01a 100644 --- a/systems/mcu/src/cmsdk_mcu_sysctrl.v +++ b/systems/nanosoc/src/verilog/nanosoc_mcu_sysctrl.v @@ -1,12 +1,12 @@ //----------------------------------------------------------------------------- -// customised Cortex-M0 'nanosoc' controller +// NanoSoC System Controller adapted from Arm CMSDK System Controller // A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. // // Contributors // // David Flynn (d.w.flynn@soton.ac.uk) // -// Copyright � 2021-3, SoC Labs (www.soclabs.org) +// Copyright � 2021-3, SoC Labs (www.soclabs.org) //----------------------------------------------------------------------------- //----------------------------------------------------------------------------- @@ -52,7 +52,7 @@ // //------------------------------------- -module cmsdk_mcu_sysctrl #( +module nanosoc_mcu_sysctrl #( parameter BE = 0 // By default use little endian ) diff --git a/systems/mcu/src/nanosoc_sys_ahb_decode.v b/systems/nanosoc/src/verilog/nanosoc_sys_ahb_decode.v similarity index 97% rename from systems/mcu/src/nanosoc_sys_ahb_decode.v rename to systems/nanosoc/src/verilog/nanosoc_sys_ahb_decode.v index 9bea4ac9e1b96428e54c1ccd27f57ce4c88d4309..15d6d07ced7a86532c57de25f370183d21234143 100644 --- a/systems/mcu/src/nanosoc_sys_ahb_decode.v +++ b/systems/nanosoc/src/verilog/nanosoc_sys_ahb_decode.v @@ -1,12 +1,12 @@ //----------------------------------------------------------------------------- -// customised Cortex-M0 'nanosoc' controller +// NanoSoC AHB decoder adapted from Arm CMSDK AHB decoder // A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. // // Contributors // // David Flynn (d.w.flynn@soton.ac.uk) // -// Copyright � 2021-3, SoC Labs (www.soclabs.org) +// Copyright � 2021-3, SoC Labs (www.soclabs.org) //----------------------------------------------------------------------------- //----------------------------------------------------------------------------- diff --git a/systems/mcu/src/nanosoc_sysio.v b/systems/nanosoc/src/verilog/nanosoc_sysio.v similarity index 99% rename from systems/mcu/src/nanosoc_sysio.v rename to systems/nanosoc/src/verilog/nanosoc_sysio.v index 29306dc6980b8a69a41fd944ee79ae1f917ddf62..5b5f208fb3af232372de3de0865567e255898a08 100644 --- a/systems/mcu/src/nanosoc_sysio.v +++ b/systems/nanosoc/src/verilog/nanosoc_sysio.v @@ -6,7 +6,7 @@ // // David Flynn (d.w.flynn@soton.ac.uk) // -// Copyright � 2021-3, SoC Labs (www.soclabs.org) +// Copyright � 2021-3, SoC Labs (www.soclabs.org) //----------------------------------------------------------------------------- //----------------------------------------------------------------------------- @@ -397,7 +397,7 @@ module nanosoc_sysio ); // APB subsystem for timers, UARTs - cmsdk_apb_subsystem #( + nanosoc_apb_subsystem #( .APB_EXT_PORT12_ENABLE (1), .APB_EXT_PORT13_ENABLE (1), .APB_EXT_PORT14_ENABLE (1), diff --git a/systems/mcu/src/cmsdk_clkreset.v b/systems/nanosoc/src/verilog/nanososc_clkreset.v similarity index 91% rename from systems/mcu/src/cmsdk_clkreset.v rename to systems/nanosoc/src/verilog/nanososc_clkreset.v index d30c3c8441cc413437ae5779d0827097bb97a8f0..c420e2dde4df7319c50bc2249ac2ae4b5c49a34f 100644 --- a/systems/mcu/src/cmsdk_clkreset.v +++ b/systems/nanosoc/src/verilog/nanososc_clkreset.v @@ -1,12 +1,12 @@ //----------------------------------------------------------------------------- -// customised Cortex-M0 'nanosoc' controller +// NanoSoC clock and power on generator adapted from Arm CMSDK Simple clock and power on reset generator // A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. // // Contributors // // David Flynn (d.w.flynn@soton.ac.uk) // -// Copyright � 2021-3, SoC Labs (www.soclabs.org) +// Copyright � 2021-3, SoC Labs (www.soclabs.org) //----------------------------------------------------------------------------- //----------------------------------------------------------------------------- @@ -36,7 +36,7 @@ //----------------------------------------------------------------------------- `timescale 1ns/1ps -module cmsdk_clkreset( +module nanosoc_clkreset( output wire CLK, output wire NRST); diff --git a/test_io/adp_control/verilog/ADPcontrol_v1_0.v b/systems/nanosoc/test_io/adp_control/verilog/ADPcontrol_v1_0.v similarity index 100% rename from test_io/adp_control/verilog/ADPcontrol_v1_0.v rename to systems/nanosoc/test_io/adp_control/verilog/ADPcontrol_v1_0.v diff --git a/test_io/adp_control/verilog/ADPmanager.v b/systems/nanosoc/test_io/adp_control/verilog/ADPmanager.v similarity index 100% rename from test_io/adp_control/verilog/ADPmanager.v rename to systems/nanosoc/test_io/adp_control/verilog/ADPmanager.v diff --git a/test_io/axi_stream_io/verilog/axi_stream_io_v1_0.v b/systems/nanosoc/test_io/axi_stream_io/verilog/axi_stream_io_v1_0.v similarity index 100% rename from test_io/axi_stream_io/verilog/axi_stream_io_v1_0.v rename to systems/nanosoc/test_io/axi_stream_io/verilog/axi_stream_io_v1_0.v diff --git a/test_io/axi_stream_io/verilog/axi_stream_io_v1_0_axi_s.v b/systems/nanosoc/test_io/axi_stream_io/verilog/axi_stream_io_v1_0_axi_s.v similarity index 100% rename from test_io/axi_stream_io/verilog/axi_stream_io_v1_0_axi_s.v rename to systems/nanosoc/test_io/axi_stream_io/verilog/axi_stream_io_v1_0_axi_s.v diff --git a/test_io/ft1248_stream_io/verilog/ft1248_stream_io_v1_0.v b/systems/nanosoc/test_io/ft1248_stream_io/verilog/ft1248_stream_io_v1_0.v similarity index 100% rename from test_io/ft1248_stream_io/verilog/ft1248_stream_io_v1_0.v rename to systems/nanosoc/test_io/ft1248_stream_io/verilog/ft1248_stream_io_v1_0.v diff --git a/systems/mcu/src/cmsdk_ft1248x1_adpio.v b/systems/nanosoc/test_io/nanosoc_ft1248x1_adpio.v similarity index 97% rename from systems/mcu/src/cmsdk_ft1248x1_adpio.v rename to systems/nanosoc/test_io/nanosoc_ft1248x1_adpio.v index 89ecfe668de15e22decfa5cc6e242e9427f6dde6..766afa1a5eb3c024a8739625711fd9300455444f 100644 --- a/systems/mcu/src/cmsdk_ft1248x1_adpio.v +++ b/systems/nanosoc/test_io/nanosoc_ft1248x1_adpio.v @@ -1,12 +1,12 @@ //----------------------------------------------------------------------------- -// customised example Cortex-M0 controller UART with file logging +// NanoSoC FT1248 ADP UART file logging // A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. // // Contributors // // David Flynn (d.w.flynn@soton.ac.uk) // -// Copyright � 2022, SoC Labs (www.soclabs.org) +// Copyright � 2022, SoC Labs (www.soclabs.org) //----------------------------------------------------------------------------- //----------------------------------------------------------------------------- @@ -15,7 +15,7 @@ //----------------------------------------------------------------------------- -module cmsdk_ft1248x1_adpio +module nanosoc_ft1248x1_adpio #(parameter ADPFILENAME = "adp.cmd", parameter VERBOSE = 0) ( diff --git a/systems/mcu/testcodes/adp_demo/adp.cmd b/systems/nanosoc/testcodes/adp_demo/adp.cmd similarity index 100% rename from systems/mcu/testcodes/adp_demo/adp.cmd rename to systems/nanosoc/testcodes/adp_demo/adp.cmd diff --git a/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvopt b/systems/nanosoc/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvopt rename to systems/nanosoc/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvopt diff --git a/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvproj b/systems/nanosoc/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvproj rename to systems/nanosoc/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvproj diff --git a/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvopt b/systems/nanosoc/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvopt rename to systems/nanosoc/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvopt diff --git a/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvproj b/systems/nanosoc/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvproj rename to systems/nanosoc/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvproj diff --git a/systems/mcu/testcodes/apb_mux_tests/makefile b/systems/nanosoc/testcodes/apb_mux_tests/makefile similarity index 100% rename from systems/mcu/testcodes/apb_mux_tests/makefile rename to systems/nanosoc/testcodes/apb_mux_tests/makefile diff --git a/systems/mcu/testcodes/bootloader/bootloader_cm0.uvopt b/systems/nanosoc/testcodes/bootloader/bootloader_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/bootloader/bootloader_cm0.uvopt rename to systems/nanosoc/testcodes/bootloader/bootloader_cm0.uvopt diff --git a/systems/mcu/testcodes/bootloader/bootloader_cm0.uvproj b/systems/nanosoc/testcodes/bootloader/bootloader_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/bootloader/bootloader_cm0.uvproj rename to systems/nanosoc/testcodes/bootloader/bootloader_cm0.uvproj diff --git a/systems/mcu/testcodes/bootloader/bootloader_cm0p.uvopt b/systems/nanosoc/testcodes/bootloader/bootloader_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/bootloader/bootloader_cm0p.uvopt rename to systems/nanosoc/testcodes/bootloader/bootloader_cm0p.uvopt diff --git a/systems/mcu/testcodes/bootloader/bootloader_cm0p.uvproj b/systems/nanosoc/testcodes/bootloader/bootloader_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/bootloader/bootloader_cm0p.uvproj rename to systems/nanosoc/testcodes/bootloader/bootloader_cm0p.uvproj diff --git a/systems/mcu/testcodes/bootloader/makefile b/systems/nanosoc/testcodes/bootloader/makefile similarity index 100% rename from systems/mcu/testcodes/bootloader/makefile rename to systems/nanosoc/testcodes/bootloader/makefile diff --git a/systems/mcu/testcodes/debug_tests/debug_tests_cm0.uvopt b/systems/nanosoc/testcodes/debug_tests/debug_tests_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/debug_tests/debug_tests_cm0.uvopt rename to systems/nanosoc/testcodes/debug_tests/debug_tests_cm0.uvopt diff --git a/systems/mcu/testcodes/debug_tests/debug_tests_cm0.uvproj b/systems/nanosoc/testcodes/debug_tests/debug_tests_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/debug_tests/debug_tests_cm0.uvproj rename to systems/nanosoc/testcodes/debug_tests/debug_tests_cm0.uvproj diff --git a/systems/mcu/testcodes/debug_tests/debug_tests_cm0p.uvopt b/systems/nanosoc/testcodes/debug_tests/debug_tests_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/debug_tests/debug_tests_cm0p.uvopt rename to systems/nanosoc/testcodes/debug_tests/debug_tests_cm0p.uvopt diff --git a/systems/mcu/testcodes/debug_tests/debug_tests_cm0p.uvproj b/systems/nanosoc/testcodes/debug_tests/debug_tests_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/debug_tests/debug_tests_cm0p.uvproj rename to systems/nanosoc/testcodes/debug_tests/debug_tests_cm0p.uvproj diff --git a/systems/mcu/testcodes/debug_tests/makefile b/systems/nanosoc/testcodes/debug_tests/makefile similarity index 100% rename from systems/mcu/testcodes/debug_tests/makefile rename to systems/nanosoc/testcodes/debug_tests/makefile diff --git a/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvopt b/systems/nanosoc/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvopt rename to systems/nanosoc/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvopt diff --git a/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvproj b/systems/nanosoc/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvproj rename to systems/nanosoc/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvproj diff --git a/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvopt b/systems/nanosoc/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvopt rename to systems/nanosoc/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvopt diff --git a/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvproj b/systems/nanosoc/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvproj rename to systems/nanosoc/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvproj diff --git a/systems/mcu/testcodes/default_slaves_tests/makefile b/systems/nanosoc/testcodes/default_slaves_tests/makefile similarity index 100% rename from systems/mcu/testcodes/default_slaves_tests/makefile rename to systems/nanosoc/testcodes/default_slaves_tests/makefile diff --git a/systems/mcu/testcodes/dhry/dhry_cm0.uvopt b/systems/nanosoc/testcodes/dhry/dhry_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/dhry/dhry_cm0.uvopt rename to systems/nanosoc/testcodes/dhry/dhry_cm0.uvopt diff --git a/systems/mcu/testcodes/dhry/dhry_cm0.uvproj b/systems/nanosoc/testcodes/dhry/dhry_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/dhry/dhry_cm0.uvproj rename to systems/nanosoc/testcodes/dhry/dhry_cm0.uvproj diff --git a/systems/mcu/testcodes/dhry/dhry_cm0p.uvopt b/systems/nanosoc/testcodes/dhry/dhry_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/dhry/dhry_cm0p.uvopt rename to systems/nanosoc/testcodes/dhry/dhry_cm0p.uvopt diff --git a/systems/mcu/testcodes/dhry/dhry_cm0p.uvproj b/systems/nanosoc/testcodes/dhry/dhry_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/dhry/dhry_cm0p.uvproj rename to systems/nanosoc/testcodes/dhry/dhry_cm0p.uvproj diff --git a/systems/mcu/testcodes/dhry/makefile b/systems/nanosoc/testcodes/dhry/makefile similarity index 100% rename from systems/mcu/testcodes/dhry/makefile rename to systems/nanosoc/testcodes/dhry/makefile diff --git a/systems/mcu/testcodes/dhry/transcript b/systems/nanosoc/testcodes/dhry/transcript similarity index 100% rename from systems/mcu/testcodes/dhry/transcript rename to systems/nanosoc/testcodes/dhry/transcript diff --git a/systems/mcu/testcodes/dma_tests/dma_tests_cm0.uvopt b/systems/nanosoc/testcodes/dma_tests/dma_tests_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/dma_tests/dma_tests_cm0.uvopt rename to systems/nanosoc/testcodes/dma_tests/dma_tests_cm0.uvopt diff --git a/systems/mcu/testcodes/dma_tests/dma_tests_cm0.uvproj b/systems/nanosoc/testcodes/dma_tests/dma_tests_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/dma_tests/dma_tests_cm0.uvproj rename to systems/nanosoc/testcodes/dma_tests/dma_tests_cm0.uvproj diff --git a/systems/mcu/testcodes/dma_tests/dma_tests_cm0p.uvopt b/systems/nanosoc/testcodes/dma_tests/dma_tests_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/dma_tests/dma_tests_cm0p.uvopt rename to systems/nanosoc/testcodes/dma_tests/dma_tests_cm0p.uvopt diff --git a/systems/mcu/testcodes/dma_tests/dma_tests_cm0p.uvproj b/systems/nanosoc/testcodes/dma_tests/dma_tests_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/dma_tests/dma_tests_cm0p.uvproj rename to systems/nanosoc/testcodes/dma_tests/dma_tests_cm0p.uvproj diff --git a/systems/mcu/testcodes/dma_tests/makefile b/systems/nanosoc/testcodes/dma_tests/makefile similarity index 100% rename from systems/mcu/testcodes/dma_tests/makefile rename to systems/nanosoc/testcodes/dma_tests/makefile diff --git a/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvopt b/systems/nanosoc/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvopt rename to systems/nanosoc/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvopt diff --git a/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvproj b/systems/nanosoc/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvproj rename to systems/nanosoc/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvproj diff --git a/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvopt b/systems/nanosoc/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvopt rename to systems/nanosoc/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvopt diff --git a/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvproj b/systems/nanosoc/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvproj rename to systems/nanosoc/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvproj diff --git a/systems/mcu/testcodes/dualtimer_demo/makefile b/systems/nanosoc/testcodes/dualtimer_demo/makefile similarity index 100% rename from systems/mcu/testcodes/dualtimer_demo/makefile rename to systems/nanosoc/testcodes/dualtimer_demo/makefile diff --git a/systems/mcu/testcodes/generic/config_id.h b/systems/nanosoc/testcodes/generic/config_id.h similarity index 100% rename from systems/mcu/testcodes/generic/config_id.h rename to systems/nanosoc/testcodes/generic/config_id.h diff --git a/systems/mcu/testcodes/generic/mcu_debugtester_interface.c b/systems/nanosoc/testcodes/generic/mcu_debugtester_interface.c similarity index 100% rename from systems/mcu/testcodes/generic/mcu_debugtester_interface.c rename to systems/nanosoc/testcodes/generic/mcu_debugtester_interface.c diff --git a/systems/mcu/testcodes/generic/mcu_debugtester_interface.h b/systems/nanosoc/testcodes/generic/mcu_debugtester_interface.h similarity index 100% rename from systems/mcu/testcodes/generic/mcu_debugtester_interface.h rename to systems/nanosoc/testcodes/generic/mcu_debugtester_interface.h diff --git a/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvopt b/systems/nanosoc/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvopt rename to systems/nanosoc/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvopt diff --git a/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvproj b/systems/nanosoc/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvproj rename to systems/nanosoc/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvproj diff --git a/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvopt b/systems/nanosoc/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvopt rename to systems/nanosoc/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvopt diff --git a/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvproj b/systems/nanosoc/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvproj rename to systems/nanosoc/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvproj diff --git a/systems/mcu/testcodes/gpio_driver_tests/makefile b/systems/nanosoc/testcodes/gpio_driver_tests/makefile similarity index 100% rename from systems/mcu/testcodes/gpio_driver_tests/makefile rename to systems/nanosoc/testcodes/gpio_driver_tests/makefile diff --git a/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0.uvopt b/systems/nanosoc/testcodes/gpio_tests/gpio_tests_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/gpio_tests/gpio_tests_cm0.uvopt rename to systems/nanosoc/testcodes/gpio_tests/gpio_tests_cm0.uvopt diff --git a/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0.uvproj b/systems/nanosoc/testcodes/gpio_tests/gpio_tests_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/gpio_tests/gpio_tests_cm0.uvproj rename to systems/nanosoc/testcodes/gpio_tests/gpio_tests_cm0.uvproj diff --git a/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0p.uvopt b/systems/nanosoc/testcodes/gpio_tests/gpio_tests_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/gpio_tests/gpio_tests_cm0p.uvopt rename to systems/nanosoc/testcodes/gpio_tests/gpio_tests_cm0p.uvopt diff --git a/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0p.uvproj b/systems/nanosoc/testcodes/gpio_tests/gpio_tests_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/gpio_tests/gpio_tests_cm0p.uvproj rename to systems/nanosoc/testcodes/gpio_tests/gpio_tests_cm0p.uvproj diff --git a/systems/mcu/testcodes/gpio_tests/makefile b/systems/nanosoc/testcodes/gpio_tests/makefile similarity index 100% rename from systems/mcu/testcodes/gpio_tests/makefile rename to systems/nanosoc/testcodes/gpio_tests/makefile diff --git a/systems/mcu/testcodes/hello/.project b/systems/nanosoc/testcodes/hello/.project similarity index 100% rename from systems/mcu/testcodes/hello/.project rename to systems/nanosoc/testcodes/hello/.project diff --git a/systems/mcu/testcodes/hello/hello.c b/systems/nanosoc/testcodes/hello/hello.c similarity index 100% rename from systems/mcu/testcodes/hello/hello.c rename to systems/nanosoc/testcodes/hello/hello.c diff --git a/systems/mcu/testcodes/hello/hello_cm0.uvopt b/systems/nanosoc/testcodes/hello/hello_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/hello/hello_cm0.uvopt rename to systems/nanosoc/testcodes/hello/hello_cm0.uvopt diff --git a/systems/mcu/testcodes/hello/hello_cm0.uvproj b/systems/nanosoc/testcodes/hello/hello_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/hello/hello_cm0.uvproj rename to systems/nanosoc/testcodes/hello/hello_cm0.uvproj diff --git a/systems/mcu/testcodes/hello/hello_cm0p.uvopt b/systems/nanosoc/testcodes/hello/hello_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/hello/hello_cm0p.uvopt rename to systems/nanosoc/testcodes/hello/hello_cm0p.uvopt diff --git a/systems/mcu/testcodes/hello/hello_cm0p.uvproj b/systems/nanosoc/testcodes/hello/hello_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/hello/hello_cm0p.uvproj rename to systems/nanosoc/testcodes/hello/hello_cm0p.uvproj diff --git a/systems/mcu/testcodes/hello/makefile b/systems/nanosoc/testcodes/hello/makefile similarity index 100% rename from systems/mcu/testcodes/hello/makefile rename to systems/nanosoc/testcodes/hello/makefile diff --git a/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0.uvopt b/systems/nanosoc/testcodes/interrupt_demo/interrupt_demo_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0.uvopt rename to systems/nanosoc/testcodes/interrupt_demo/interrupt_demo_cm0.uvopt diff --git a/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0.uvproj b/systems/nanosoc/testcodes/interrupt_demo/interrupt_demo_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0.uvproj rename to systems/nanosoc/testcodes/interrupt_demo/interrupt_demo_cm0.uvproj diff --git a/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0p.uvopt b/systems/nanosoc/testcodes/interrupt_demo/interrupt_demo_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0p.uvopt rename to systems/nanosoc/testcodes/interrupt_demo/interrupt_demo_cm0p.uvopt diff --git a/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0p.uvproj b/systems/nanosoc/testcodes/interrupt_demo/interrupt_demo_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0p.uvproj rename to systems/nanosoc/testcodes/interrupt_demo/interrupt_demo_cm0p.uvproj diff --git a/systems/mcu/testcodes/interrupt_demo/makefile b/systems/nanosoc/testcodes/interrupt_demo/makefile similarity index 100% rename from systems/mcu/testcodes/interrupt_demo/makefile rename to systems/nanosoc/testcodes/interrupt_demo/makefile diff --git a/systems/mcu/testcodes/memory_tests/makefile b/systems/nanosoc/testcodes/memory_tests/makefile similarity index 100% rename from systems/mcu/testcodes/memory_tests/makefile rename to systems/nanosoc/testcodes/memory_tests/makefile diff --git a/systems/mcu/testcodes/memory_tests/memory_tests_cm0.uvopt b/systems/nanosoc/testcodes/memory_tests/memory_tests_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/memory_tests/memory_tests_cm0.uvopt rename to systems/nanosoc/testcodes/memory_tests/memory_tests_cm0.uvopt diff --git a/systems/mcu/testcodes/memory_tests/memory_tests_cm0.uvproj b/systems/nanosoc/testcodes/memory_tests/memory_tests_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/memory_tests/memory_tests_cm0.uvproj rename to systems/nanosoc/testcodes/memory_tests/memory_tests_cm0.uvproj diff --git a/systems/mcu/testcodes/memory_tests/memory_tests_cm0p.uvopt b/systems/nanosoc/testcodes/memory_tests/memory_tests_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/memory_tests/memory_tests_cm0p.uvopt rename to systems/nanosoc/testcodes/memory_tests/memory_tests_cm0p.uvopt diff --git a/systems/mcu/testcodes/memory_tests/memory_tests_cm0p.uvproj b/systems/nanosoc/testcodes/memory_tests/memory_tests_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/memory_tests/memory_tests_cm0p.uvproj rename to systems/nanosoc/testcodes/memory_tests/memory_tests_cm0p.uvproj diff --git a/systems/mcu/testcodes/romtable_tests/makefile b/systems/nanosoc/testcodes/romtable_tests/makefile similarity index 100% rename from systems/mcu/testcodes/romtable_tests/makefile rename to systems/nanosoc/testcodes/romtable_tests/makefile diff --git a/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0.uvopt b/systems/nanosoc/testcodes/romtable_tests/romtable_tests_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/romtable_tests/romtable_tests_cm0.uvopt rename to systems/nanosoc/testcodes/romtable_tests/romtable_tests_cm0.uvopt diff --git a/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0.uvproj b/systems/nanosoc/testcodes/romtable_tests/romtable_tests_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/romtable_tests/romtable_tests_cm0.uvproj rename to systems/nanosoc/testcodes/romtable_tests/romtable_tests_cm0.uvproj diff --git a/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0p.uvopt b/systems/nanosoc/testcodes/romtable_tests/romtable_tests_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/romtable_tests/romtable_tests_cm0p.uvopt rename to systems/nanosoc/testcodes/romtable_tests/romtable_tests_cm0p.uvopt diff --git a/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0p.uvproj b/systems/nanosoc/testcodes/romtable_tests/romtable_tests_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/romtable_tests/romtable_tests_cm0p.uvproj rename to systems/nanosoc/testcodes/romtable_tests/romtable_tests_cm0p.uvproj diff --git a/systems/mcu/testcodes/rtx_demo/RTX_Config.c b/systems/nanosoc/testcodes/rtx_demo/RTX_Config.c similarity index 100% rename from systems/mcu/testcodes/rtx_demo/RTX_Config.c rename to systems/nanosoc/testcodes/rtx_demo/RTX_Config.c diff --git a/systems/mcu/testcodes/rtx_demo/makefile b/systems/nanosoc/testcodes/rtx_demo/makefile similarity index 100% rename from systems/mcu/testcodes/rtx_demo/makefile rename to systems/nanosoc/testcodes/rtx_demo/makefile diff --git a/systems/mcu/testcodes/rtx_demo/rtx_demo.c b/systems/nanosoc/testcodes/rtx_demo/rtx_demo.c similarity index 100% rename from systems/mcu/testcodes/rtx_demo/rtx_demo.c rename to systems/nanosoc/testcodes/rtx_demo/rtx_demo.c diff --git a/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.uvopt b/systems/nanosoc/testcodes/rtx_demo/rtx_demo_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.uvopt rename to systems/nanosoc/testcodes/rtx_demo/rtx_demo_cm0.uvopt diff --git a/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.uvproj b/systems/nanosoc/testcodes/rtx_demo/rtx_demo_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.uvproj rename to systems/nanosoc/testcodes/rtx_demo/rtx_demo_cm0.uvproj diff --git a/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.uvopt b/systems/nanosoc/testcodes/rtx_demo/rtx_demo_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.uvopt rename to systems/nanosoc/testcodes/rtx_demo/rtx_demo_cm0p.uvopt diff --git a/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.uvproj b/systems/nanosoc/testcodes/rtx_demo/rtx_demo_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.uvproj rename to systems/nanosoc/testcodes/rtx_demo/rtx_demo_cm0p.uvproj diff --git a/systems/mcu/testcodes/self_reset_demo/makefile b/systems/nanosoc/testcodes/self_reset_demo/makefile similarity index 100% rename from systems/mcu/testcodes/self_reset_demo/makefile rename to systems/nanosoc/testcodes/self_reset_demo/makefile diff --git a/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0.uvopt b/systems/nanosoc/testcodes/self_reset_demo/self_reset_demo_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0.uvopt rename to systems/nanosoc/testcodes/self_reset_demo/self_reset_demo_cm0.uvopt diff --git a/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0.uvproj b/systems/nanosoc/testcodes/self_reset_demo/self_reset_demo_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0.uvproj rename to systems/nanosoc/testcodes/self_reset_demo/self_reset_demo_cm0.uvproj diff --git a/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0p.uvopt b/systems/nanosoc/testcodes/self_reset_demo/self_reset_demo_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0p.uvopt rename to systems/nanosoc/testcodes/self_reset_demo/self_reset_demo_cm0p.uvopt diff --git a/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0p.uvproj b/systems/nanosoc/testcodes/self_reset_demo/self_reset_demo_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0p.uvproj rename to systems/nanosoc/testcodes/self_reset_demo/self_reset_demo_cm0p.uvproj diff --git a/systems/mcu/testcodes/sleep_demo/makefile b/systems/nanosoc/testcodes/sleep_demo/makefile similarity index 100% rename from systems/mcu/testcodes/sleep_demo/makefile rename to systems/nanosoc/testcodes/sleep_demo/makefile diff --git a/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0.uvopt b/systems/nanosoc/testcodes/sleep_demo/sleep_demo_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/sleep_demo/sleep_demo_cm0.uvopt rename to systems/nanosoc/testcodes/sleep_demo/sleep_demo_cm0.uvopt diff --git a/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0.uvproj b/systems/nanosoc/testcodes/sleep_demo/sleep_demo_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/sleep_demo/sleep_demo_cm0.uvproj rename to systems/nanosoc/testcodes/sleep_demo/sleep_demo_cm0.uvproj diff --git a/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0p.uvopt b/systems/nanosoc/testcodes/sleep_demo/sleep_demo_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/sleep_demo/sleep_demo_cm0p.uvopt rename to systems/nanosoc/testcodes/sleep_demo/sleep_demo_cm0p.uvopt diff --git a/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0p.uvproj b/systems/nanosoc/testcodes/sleep_demo/sleep_demo_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/sleep_demo/sleep_demo_cm0p.uvproj rename to systems/nanosoc/testcodes/sleep_demo/sleep_demo_cm0p.uvproj diff --git a/systems/mcu/testcodes/timer_driver_tests/makefile b/systems/nanosoc/testcodes/timer_driver_tests/makefile similarity index 100% rename from systems/mcu/testcodes/timer_driver_tests/makefile rename to systems/nanosoc/testcodes/timer_driver_tests/makefile diff --git a/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvopt b/systems/nanosoc/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvopt rename to systems/nanosoc/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvopt diff --git a/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvproj b/systems/nanosoc/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvproj rename to systems/nanosoc/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvproj diff --git a/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvopt b/systems/nanosoc/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvopt rename to systems/nanosoc/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvopt diff --git a/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvproj b/systems/nanosoc/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvproj rename to systems/nanosoc/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvproj diff --git a/systems/mcu/testcodes/timer_tests/makefile b/systems/nanosoc/testcodes/timer_tests/makefile similarity index 100% rename from systems/mcu/testcodes/timer_tests/makefile rename to systems/nanosoc/testcodes/timer_tests/makefile diff --git a/systems/mcu/testcodes/timer_tests/timer_tests_cm0.uvopt b/systems/nanosoc/testcodes/timer_tests/timer_tests_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/timer_tests/timer_tests_cm0.uvopt rename to systems/nanosoc/testcodes/timer_tests/timer_tests_cm0.uvopt diff --git a/systems/mcu/testcodes/timer_tests/timer_tests_cm0.uvproj b/systems/nanosoc/testcodes/timer_tests/timer_tests_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/timer_tests/timer_tests_cm0.uvproj rename to systems/nanosoc/testcodes/timer_tests/timer_tests_cm0.uvproj diff --git a/systems/mcu/testcodes/timer_tests/timer_tests_cm0p.uvopt b/systems/nanosoc/testcodes/timer_tests/timer_tests_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/timer_tests/timer_tests_cm0p.uvopt rename to systems/nanosoc/testcodes/timer_tests/timer_tests_cm0p.uvopt diff --git a/systems/mcu/testcodes/timer_tests/timer_tests_cm0p.uvproj b/systems/nanosoc/testcodes/timer_tests/timer_tests_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/timer_tests/timer_tests_cm0p.uvproj rename to systems/nanosoc/testcodes/timer_tests/timer_tests_cm0p.uvproj diff --git a/systems/mcu/testcodes/uart_driver_tests/makefile b/systems/nanosoc/testcodes/uart_driver_tests/makefile similarity index 100% rename from systems/mcu/testcodes/uart_driver_tests/makefile rename to systems/nanosoc/testcodes/uart_driver_tests/makefile diff --git a/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvopt b/systems/nanosoc/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvopt rename to systems/nanosoc/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvopt diff --git a/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvproj b/systems/nanosoc/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvproj rename to systems/nanosoc/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvproj diff --git a/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvopt b/systems/nanosoc/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvopt rename to systems/nanosoc/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvopt diff --git a/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvproj b/systems/nanosoc/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvproj rename to systems/nanosoc/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvproj diff --git a/systems/mcu/testcodes/uart_tests/makefile b/systems/nanosoc/testcodes/uart_tests/makefile similarity index 100% rename from systems/mcu/testcodes/uart_tests/makefile rename to systems/nanosoc/testcodes/uart_tests/makefile diff --git a/systems/mcu/testcodes/uart_tests/uart_tests_cm0.uvopt b/systems/nanosoc/testcodes/uart_tests/uart_tests_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/uart_tests/uart_tests_cm0.uvopt rename to systems/nanosoc/testcodes/uart_tests/uart_tests_cm0.uvopt diff --git a/systems/mcu/testcodes/uart_tests/uart_tests_cm0.uvproj b/systems/nanosoc/testcodes/uart_tests/uart_tests_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/uart_tests/uart_tests_cm0.uvproj rename to systems/nanosoc/testcodes/uart_tests/uart_tests_cm0.uvproj diff --git a/systems/mcu/testcodes/uart_tests/uart_tests_cm0p.uvopt b/systems/nanosoc/testcodes/uart_tests/uart_tests_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/uart_tests/uart_tests_cm0p.uvopt rename to systems/nanosoc/testcodes/uart_tests/uart_tests_cm0p.uvopt diff --git a/systems/mcu/testcodes/uart_tests/uart_tests_cm0p.uvproj b/systems/nanosoc/testcodes/uart_tests/uart_tests_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/uart_tests/uart_tests_cm0p.uvproj rename to systems/nanosoc/testcodes/uart_tests/uart_tests_cm0p.uvproj diff --git a/systems/mcu/testcodes/watchdog_demo/makefile b/systems/nanosoc/testcodes/watchdog_demo/makefile similarity index 100% rename from systems/mcu/testcodes/watchdog_demo/makefile rename to systems/nanosoc/testcodes/watchdog_demo/makefile diff --git a/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0.uvopt b/systems/nanosoc/testcodes/watchdog_demo/watchdog_demo_cm0.uvopt similarity index 100% rename from systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0.uvopt rename to systems/nanosoc/testcodes/watchdog_demo/watchdog_demo_cm0.uvopt diff --git a/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0.uvproj b/systems/nanosoc/testcodes/watchdog_demo/watchdog_demo_cm0.uvproj similarity index 100% rename from systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0.uvproj rename to systems/nanosoc/testcodes/watchdog_demo/watchdog_demo_cm0.uvproj diff --git a/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0p.uvopt b/systems/nanosoc/testcodes/watchdog_demo/watchdog_demo_cm0p.uvopt similarity index 100% rename from systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0p.uvopt rename to systems/nanosoc/testcodes/watchdog_demo/watchdog_demo_cm0p.uvopt diff --git a/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0p.uvproj b/systems/nanosoc/testcodes/watchdog_demo/watchdog_demo_cm0p.uvproj similarity index 100% rename from systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0p.uvproj rename to systems/nanosoc/testcodes/watchdog_demo/watchdog_demo_cm0p.uvproj diff --git a/systems/mcu/v2html_doc.tgz b/systems/nanosoc/v2html_doc.tgz similarity index 100% rename from systems/mcu/v2html_doc.tgz rename to systems/nanosoc/v2html_doc.tgz diff --git a/systems/mcu/verif/axi_stream_io_8_buffer.v b/systems/nanosoc/verif/axi_stream_io_8_buffer.v similarity index 100% rename from systems/mcu/verif/axi_stream_io_8_buffer.v rename to systems/nanosoc/verif/axi_stream_io_8_buffer.v diff --git a/systems/mcu/verif/axi_stream_io_8_rxd_to_file.v b/systems/nanosoc/verif/axi_stream_io_8_rxd_to_file.v similarity index 100% rename from systems/mcu/verif/axi_stream_io_8_rxd_to_file.v rename to systems/nanosoc/verif/axi_stream_io_8_rxd_to_file.v diff --git a/systems/mcu/verif/axi_stream_io_8_txd_from_file.v b/systems/nanosoc/verif/axi_stream_io_8_txd_from_file.v similarity index 100% rename from systems/mcu/verif/axi_stream_io_8_txd_from_file.v rename to systems/nanosoc/verif/axi_stream_io_8_txd_from_file.v diff --git a/systems/mcu/verif/dma_log_to_file.v b/systems/nanosoc/verif/dma_log_to_file.v similarity index 100% rename from systems/mcu/verif/dma_log_to_file.v rename to systems/nanosoc/verif/dma_log_to_file.v diff --git a/systems/mcu/verif/ft1248x1_to_axi_streamio_v1_0.v b/systems/nanosoc/verif/ft1248x1_to_axi_stream_io_v1_0.v similarity index 99% rename from systems/mcu/verif/ft1248x1_to_axi_streamio_v1_0.v rename to systems/nanosoc/verif/ft1248x1_to_axi_stream_io_v1_0.v index e09c10337424d36584b15b137c0e0e8e4ebe070e..96ba001af4ac83c70af5558f18e61f79f0f73c5d 100644 --- a/systems/mcu/verif/ft1248x1_to_axi_streamio_v1_0.v +++ b/systems/nanosoc/verif/ft1248x1_to_axi_stream_io_v1_0.v @@ -13,7 +13,7 @@ // Abstract : FT1248 1-bit data off-chip interface (emulate FT232H device) //----------------------------------------------------------------------------- - module ft1248x1_to_axi_streamio_v1_0 # + module ft1248x1_to_axi_stream_io_v1_0 # ( // Users to add parameters here diff --git a/systems/mcu/verif/ft1248x1_track.v b/systems/nanosoc/verif/ft1248x1_track.v similarity index 100% rename from systems/mcu/verif/ft1248x1_track.v rename to systems/nanosoc/verif/ft1248x1_track.v diff --git a/systems/mcu/src/cmsdk_uart_capture.v b/systems/nanosoc/verif/nanosoc_uart_capture.v similarity index 98% rename from systems/mcu/src/cmsdk_uart_capture.v rename to systems/nanosoc/verif/nanosoc_uart_capture.v index cbc46f7ed04fd18feaa300f2e03dc542864feb42..4862d12b541afc65fee97fdff555c69916bf3282 100644 --- a/systems/mcu/src/cmsdk_uart_capture.v +++ b/systems/nanosoc/verif/nanosoc_uart_capture.v @@ -1,12 +1,12 @@ //----------------------------------------------------------------------------- -// updated UART RXD capture with file logging +// NanoSoC UART RXD capture with file logging adapted from Arm CMSDK Uart Capture // A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. // // Contributors // // David Flynn (d.w.flynn@soton.ac.uk) // -// Copyright � 2021, SoC Labs (www.soclabs.org) +// Copyright � 2021, SoC Labs (www.soclabs.org) //----------------------------------------------------------------------------- //----------------------------------------------------------------------------- @@ -51,7 +51,7 @@ // ESC - 0x12 Clear DEBUG_TESTER_ENABLE to 0 -module cmsdk_uart_capture +module nanosoc_uart_capture #(parameter LOGFILENAME = "uart.log", parameter VERBOSE = 0) ( diff --git a/systems/mcu/verif/tb_nanosoc.v b/systems/nanosoc/verif/tb_nanosoc.v similarity index 100% rename from systems/mcu/verif/tb_nanosoc.v rename to systems/nanosoc/verif/tb_nanosoc.v diff --git a/systems/mcu/verif/track_tb_iostream.v b/systems/nanosoc/verif/track_tb_iostream.v similarity index 100% rename from systems/mcu/verif/track_tb_iostream.v rename to systems/nanosoc/verif/track_tb_iostream.v