diff --git a/.gitignore b/.gitignore index cc55bc656cb9ad4cfb60ce8fb14e7b43ea916d86..b69497d4307031b10fc1639305e8aae64abadaf9 100644 --- a/.gitignore +++ b/.gitignore @@ -8,11 +8,11 @@ /software/*/*.o # Compile Test Code Removal -/system/testcodes/*/*.elf -/system/testcodes/*/*.ELF -/system/testcodes/*/*.hex -/system/testcodes/*/*.lst -/system/testcodes/*/*.o +system/testcodes/*/*.elf +system/testcodes/*/*.ELF +system/testcodes/*/*.hex +system/testcodes/*/*.lst +system/testcodes/*/*.o # Bootrom removal /system/src/bootrom/verilog/* diff --git a/system/aes/aes128_tests/makefile b/system/aes/aes128_tests/makefile index 446792012a9bd7066c67df9d399e76fbf193efdc..390704d24f1a6df39d4a94938b1f934a8e19425a 100644 --- a/system/aes/aes128_tests/makefile +++ b/system/aes/aes128_tests/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0 # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/makefile b/system/makefile index 4ce056683920563e9c8e05ca518cd82af53c1b7b..8b3fcecbd4ac0e75ba5d67905af88ca1b182c176 100644 --- a/system/makefile +++ b/system/makefile @@ -80,6 +80,10 @@ else MEM_INIT := endif +# Bootrom Parameters: +BOOTROM_ADDRW ?= 8 +BOOTROM_HEX ?= $(NANOSOC_TECH_DIR)/system/testcodes/bootloader/bootloader.hex + ADP_PATH := $(shell realpath $(ADP_FILE)) ADP_OPTIONS := -define ADP_FILE=\"$(ADP_PATH)\" @@ -191,22 +195,10 @@ run_xm : code compile_xm @if [ ! -d $(SIM_DIR)/logs ] ; then \ mkdir $(SIM_DIR)/logs; \ fi -# Bootrom generation -ifneq ($(BOOTROM_GEN),) -# Create directories for bootrom for this simulation - mkdir -p $(SIM_DIR)/bootrom/hex/ - mkdir -p $(SIM_DIR)/bootrom/verilog/ - mkdir -p $(SIM_DIR)/bootrom/bintxt/ -# Copy bootrom hex to simulaiton directory - cp $(TESTCODES_DIR)/bootloader/bootloader.hex $(SIM_DIR)/bootrom/hex/ -endif @echo run > $(SIM_DIR)/run.tcl.tmp @echo exit >> $(SIM_DIR)/run.tcl.tmp @mv $(SIM_DIR)/run.tcl.tmp $(SIM_DIR)/run.tcl cd $(SIM_DIR); xmsim $(XMSIM_OPTIONS) -input run.tcl | tee logs/run_$(TESTNAME).log ; -ifneq ($(BOOTROM_GEN),) - cp -r $(SIM_DIR)/bootrom $(PROJ_SYS_DIR) -endif # @make verify # Run simulation in interactive mode @@ -308,6 +300,9 @@ bootrom: fi ;\ fi ;\ cp $(BOOTLOADER).hex $(SIM_DIR)/$(BOOTLOADER).hex ;\ + mkdir -p $(PROJ_SYS_DIR)/bootrom/verilog/ ;\ + mkdir -p $(PROJ_SYS_DIR)/bootrom/bintxt/ ;\ + python3 bootrom_gen.py -a $(BOOTROM_ADDRW) -i $(BOOTLOADER).hex -v $(PROJ_SYS_DIR)/bootrom/verilog/bootrom.v -b $(PROJ_SYS_DIR)/bootrom/bintxt/bootrom.bintxt ;\ cd $(SIM_DIR) ) # Compile test code diff --git a/system/testcodes/apb_mux_tests/makefile b/system/testcodes/apb_mux_tests/makefile index dd1c49101c6b1ebc9a31e484f62ab85400718dac..8f0b78d869245d629e4b33aa3fac85f3282d0946 100644 --- a/system/testcodes/apb_mux_tests/makefile +++ b/system/testcodes/apb_mux_tests/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/bootloader/bootloader.ELF b/system/testcodes/bootloader/bootloader.ELF deleted file mode 100644 index 3d2933865ef2cae7dee4cc45de7a991191ea60b8..0000000000000000000000000000000000000000 Binary files a/system/testcodes/bootloader/bootloader.ELF and /dev/null differ diff --git a/system/testcodes/bootloader/bootloader.hex b/system/testcodes/bootloader/bootloader.hex deleted file mode 100644 index ce820229ded4e80f74279c05db88c9d44b2e4ea9..0000000000000000000000000000000000000000 --- a/system/testcodes/bootloader/bootloader.hex +++ /dev/null @@ -1,1020 +0,0 @@ -68 -03 -00 -30 -35 -03 -00 -10 -3D -03 -00 -10 -3F -03 -00 -10 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -41 -03 -00 -10 -00 -00 -00 -00 -00 -00 -00 -00 -43 -03 -00 -10 -45 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -47 -03 -00 -10 -00 -F0 -02 -F8 -00 -F0 -3E -F8 -0C -A0 -30 -C8 -08 -38 -24 -18 -2D -18 -A2 -46 -67 -1E -AB -46 -54 -46 -5D -46 -AC -42 -01 -D1 -00 -F0 -30 -F8 -7E -46 -0F -3E -0F -CC -B6 -46 -01 -26 -33 -42 -00 -D0 -FB -1A -A2 -46 -AB -46 -33 -43 -18 -47 -DC -02 -00 -00 -FC -02 -00 -00 -10 -3A -02 -D3 -78 -C8 -78 -C1 -FA -D8 -52 -07 -01 -D3 -30 -C8 -30 -C1 -01 -D5 -04 -68 -0C -60 -70 -47 -00 -00 -00 -23 -00 -24 -00 -25 -00 -26 -10 -3A -01 -D3 -78 -C1 -FB -D8 -52 -07 -00 -D3 -30 -C1 -00 -D5 -0B -60 -70 -47 -1F -B5 -1F -BD -10 -B5 -10 -BD -00 -F0 -15 -F9 -11 -46 -FF -F7 -F7 -FF -00 -F0 -68 -F8 -00 -F0 -2D -F9 -03 -B4 -FF -F7 -F2 -FF -03 -BC -00 -F0 -33 -F9 -00 -00 -00 -20 -01 -68 -8D -46 -41 -68 -08 -47 -00 -00 -41 -21 -53 -48 -49 -01 -01 -61 -01 -21 -81 -60 -51 -48 -81 -60 -51 -49 -20 -20 -88 -61 -70 -47 -4E -49 -4A -68 -D2 -07 -FC -D1 -4A -68 -D2 -07 -00 -D1 -08 -60 -70 -47 -4A -49 -03 -78 -00 -2B -09 -D0 -4A -68 -D2 -07 -FC -D1 -4A -68 -D2 -07 -00 -D1 -0B -60 -40 -1C -00 -2B -F2 -D1 -70 -47 -10 -B5 -44 -4C -21 -68 -41 -48 -00 -29 -0A -D0 -42 -A3 -1A -78 -00 -2A -21 -D0 -41 -68 -C9 -07 -FC -D1 -41 -68 -C9 -07 -17 -D0 -17 -E0 -41 -A3 -1A -78 -00 -2A -09 -D0 -41 -68 -C9 -07 -FC -D1 -41 -68 -C9 -07 -00 -D1 -02 -60 -5B -1C -00 -2A -F2 -D1 -04 -22 -41 -68 -C9 -07 -FC -D1 -41 -68 -C9 -07 -00 -D1 -02 -60 -FE -E7 -02 -60 -5B -1C -00 -2A -DA -D1 -00 -20 -20 -60 -BF -F3 -4F -8F -BF -F3 -6F -8F -FF -F7 -A2 -FF -10 -BD -10 -B5 -41 -21 -26 -48 -49 -01 -01 -61 -01 -21 -81 -60 -24 -48 -81 -60 -24 -4A -20 -21 -91 -61 -2F -A3 -1A -78 -00 -2A -09 -D0 -41 -68 -C9 -07 -FC -D1 -41 -68 -C9 -07 -00 -D1 -02 -60 -5B -1C -00 -2A -F2 -D1 -1D -4C -21 -68 -00 -29 -0A -D0 -1C -A3 -1A -78 -00 -2A -21 -D0 -41 -68 -C9 -07 -FC -D1 -41 -68 -C9 -07 -17 -D0 -17 -E0 -1A -A3 -1A -78 -00 -2A -09 -D0 -41 -68 -C9 -07 -FC -D1 -41 -68 -C9 -07 -00 -D1 -02 -60 -5B -1C -00 -2A -F2 -D1 -04 -22 -41 -68 -C9 -07 -FC -D1 -41 -68 -C9 -07 -00 -D1 -02 -60 -FE -E7 -02 -60 -5B -1C -00 -2A -DA -D1 -00 -20 -20 -60 -BF -F3 -4F -8F -BF -F3 -6F -8F -FF -F7 -55 -FF -00 -20 -10 -BD -00 -00 -00 -60 -00 -40 -00 -E0 -00 -40 -00 -10 -01 -40 -00 -F0 -01 -40 -2A -2A -20 -52 -65 -6D -61 -70 -2D -3E -52 -41 -4D -32 -0A -00 -40 -45 -72 -72 -6F -72 -3A -20 -52 -45 -4D -41 -50 -20 -63 -6C -65 -61 -72 -65 -64 -0A -00 -00 -0A -0A -0A -53 -4F -43 -4C -41 -42 -53 -3A -20 -41 -52 -4D -20 -43 -6F -72 -74 -65 -78 -2D -4D -30 -20 -6E -61 -6E -6F -73 -6F -63 -0A -00 -00 -04 -49 -03 -48 -08 -60 -70 -47 -02 -49 -01 -48 -08 -60 -70 -47 -00 -E1 -F5 -05 -00 -00 -00 -30 -07 -48 -80 -47 -07 -48 -00 -47 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -04 -48 -05 -49 -05 -4A -06 -4B -70 -47 -00 -00 -25 -03 -00 -10 -C1 -00 -00 -10 -68 -00 -00 -30 -68 -03 -00 -30 -68 -01 -00 -30 -68 -01 -00 -30 -70 -47 -70 -47 -70 -47 -75 -46 -00 -F0 -24 -F8 -AE -46 -05 -00 -69 -46 -53 -46 -C0 -08 -C0 -00 -85 -46 -18 -B0 -20 -B5 -FF -F7 -DD -FF -60 -BC -00 -27 -49 -08 -B6 -46 -00 -26 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -40 -3D -49 -00 -8D -46 -70 -47 -10 -B5 -04 -46 -C0 -46 -C0 -46 -20 -46 -FF -F7 -CC -FE -10 -BD -00 -48 -70 -47 -04 -00 -00 -30 -01 -49 -18 -20 -AB -BE -FE -E7 -26 -00 -02 -00 -70 -47 -00 -00 -F8 -03 -00 -10 -00 -00 -00 -30 -04 -00 -00 -00 -04 -01 -00 -10 -FC -03 -00 -10 -04 -00 -00 -30 -64 -03 -00 -00 -20 -01 -00 -10 -00 -E1 -F5 -05 diff --git a/system/testcodes/bootloader/bootloader.lst b/system/testcodes/bootloader/bootloader.lst deleted file mode 100644 index 43f81833492969c0f761e7b1d268f719132ce67e..0000000000000000000000000000000000000000 --- a/system/testcodes/bootloader/bootloader.lst +++ /dev/null @@ -1,1013 +0,0 @@ - -======================================================================== - -** ELF Header Information - - File Name: bootloader.ELF - - Machine class: ELFCLASS32 (32-bit) - Data encoding: ELFDATA2LSB (Little endian) - Header version: EV_CURRENT (Current version) - Operating System ABI: none - ABI Version: 0 - File Type: ET_EXEC (Executable) (2) - Machine: EM_ARM (ARM) - - Image Entry point: 0x100000c1 - Flags: EF_ARM_HASENTRY + EF_ARM_ABI_FLOAT_SOFT (0x05000202) - - ARM ELF revision: 5 (ABI version 2) - - Conforms to Soft float procedure-call standard - - Built with - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armasm [4d35fa] - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armcc [4d365d] - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armlink [4d3601] - - Header size: 52 bytes (0x34) - Program header entry size: 32 bytes (0x20) - Section header entry size: 40 bytes (0x28) - - Program header entries: 1 - Section header entries: 16 - - Program header offset: 22144 (0x00005680) - Section header offset: 22176 (0x000056a0) - - Section header string table index: 15 - -======================================================================== - -** Program header #0 (PT_LOAD) [PF_X + PF_W + PF_R + PF_ARM_ENTRY] - Size : 1888 bytes (1020 bytes in file) - Virtual address: 0x10000000 (Alignment 8) - - -======================================================================== - -** Section #1 'ER_RO' (SHT_PROGBITS) [SHF_ALLOC + SHF_EXECINSTR] - Size : 1016 bytes (alignment 4) - Address: 0x10000000 - - $d.realdata - RESET - __Vectors - 0x10000000: 30000368 h..0 DCD 805307240 - 0x10000004: 10000335 5... DCD 268436277 - 0x10000008: 1000033d =... DCD 268436285 - 0x1000000c: 1000033f ?... DCD 268436287 - 0x10000010: 00000000 .... DCD 0 - 0x10000014: 00000000 .... DCD 0 - 0x10000018: 00000000 .... DCD 0 - 0x1000001c: 00000000 .... DCD 0 - 0x10000020: 00000000 .... DCD 0 - 0x10000024: 00000000 .... DCD 0 - 0x10000028: 00000000 .... DCD 0 - 0x1000002c: 10000341 A... DCD 268436289 - 0x10000030: 00000000 .... DCD 0 - 0x10000034: 00000000 .... DCD 0 - 0x10000038: 10000343 C... DCD 268436291 - 0x1000003c: 10000345 E... DCD 268436293 - 0x10000040: 10000347 G... DCD 268436295 - 0x10000044: 10000347 G... DCD 268436295 - 0x10000048: 10000347 G... DCD 268436295 - 0x1000004c: 10000347 G... DCD 268436295 - 0x10000050: 10000347 G... DCD 268436295 - 0x10000054: 10000347 G... DCD 268436295 - 0x10000058: 10000347 G... DCD 268436295 - 0x1000005c: 10000347 G... DCD 268436295 - 0x10000060: 10000347 G... DCD 268436295 - 0x10000064: 10000347 G... DCD 268436295 - 0x10000068: 10000347 G... DCD 268436295 - 0x1000006c: 10000347 G... DCD 268436295 - 0x10000070: 10000347 G... DCD 268436295 - 0x10000074: 10000347 G... DCD 268436295 - 0x10000078: 10000347 G... DCD 268436295 - 0x1000007c: 10000347 G... DCD 268436295 - 0x10000080: 10000347 G... DCD 268436295 - 0x10000084: 10000347 G... DCD 268436295 - 0x10000088: 10000347 G... DCD 268436295 - 0x1000008c: 10000347 G... DCD 268436295 - 0x10000090: 10000347 G... DCD 268436295 - 0x10000094: 10000347 G... DCD 268436295 - 0x10000098: 10000347 G... DCD 268436295 - 0x1000009c: 10000347 G... DCD 268436295 - 0x100000a0: 10000347 G... DCD 268436295 - 0x100000a4: 10000347 G... DCD 268436295 - 0x100000a8: 10000347 G... DCD 268436295 - 0x100000ac: 10000347 G... DCD 268436295 - 0x100000b0: 10000347 G... DCD 268436295 - 0x100000b4: 10000347 G... DCD 268436295 - 0x100000b8: 10000347 G... DCD 268436295 - 0x100000bc: 10000347 G... DCD 268436295 - $t - !!!main - __Vectors_End - __main - 0x100000c0: f000f802 .... BL __scatterload ; 0x100000c8 - 0x100000c4: f000f83e ..>. BL __rt_entry ; 0x10000144 - !!!scatter - __scatterload - __scatterload_rt2 - __scatterload_rt2_thumb_only - 0x100000c8: a00c .. ADR r0,{pc}+0x34 ; 0x100000fc - 0x100000ca: c830 0. LDM r0!,{r4,r5} - 0x100000cc: 3808 .8 SUBS r0,r0,#8 - 0x100000ce: 1824 $. ADDS r4,r4,r0 - 0x100000d0: 182d -. ADDS r5,r5,r0 - 0x100000d2: 46a2 .F MOV r10,r4 - 0x100000d4: 1e67 g. SUBS r7,r4,#1 - 0x100000d6: 46ab .F MOV r11,r5 - __scatterload_null - 0x100000d8: 4654 TF MOV r4,r10 - 0x100000da: 465d ]F MOV r5,r11 - 0x100000dc: 42ac .B CMP r4,r5 - 0x100000de: d101 .. BNE 0x100000e4 ; __scatterload_null + 12 - 0x100000e0: f000f830 ..0. BL __rt_entry ; 0x10000144 - 0x100000e4: 467e ~F MOV r6,pc - 0x100000e6: 3e0f .> SUBS r6,r6,#0xf - 0x100000e8: cc0f .. LDM r4!,{r0-r3} - 0x100000ea: 46b6 .F MOV lr,r6 - 0x100000ec: 2601 .& MOVS r6,#1 - 0x100000ee: 4233 3B TST r3,r6 - 0x100000f0: d000 .. BEQ 0x100000f4 ; __scatterload_null + 28 - 0x100000f2: 1afb .. SUBS r3,r7,r3 - 0x100000f4: 46a2 .F MOV r10,r4 - 0x100000f6: 46ab .F MOV r11,r5 - 0x100000f8: 4333 3C ORRS r3,r3,r6 - 0x100000fa: 4718 .G BX r3 - $d - 0x100000fc: 000002dc .... DCD 732 - 0x10000100: 000002fc .... DCD 764 - $t - !!handler_copy - __scatterload_copy - 0x10000104: 3a10 .: SUBS r2,r2,#0x10 - 0x10000106: d302 .. BCC 0x1000010e ; __scatterload_copy + 10 - 0x10000108: c878 x. LDM r0!,{r3-r6} - 0x1000010a: c178 x. STM r1!,{r3-r6} - 0x1000010c: d8fa .. BHI __scatterload_copy ; 0x10000104 - 0x1000010e: 0752 R. LSLS r2,r2,#29 - 0x10000110: d301 .. BCC 0x10000116 ; __scatterload_copy + 18 - 0x10000112: c830 0. LDM r0!,{r4,r5} - 0x10000114: c130 0. STM r1!,{r4,r5} - 0x10000116: d501 .. BPL 0x1000011c ; __scatterload_copy + 24 - 0x10000118: 6804 .h LDR r4,[r0,#0] - 0x1000011a: 600c .` STR r4,[r1,#0] - 0x1000011c: 4770 pG BX lr - 0x1000011e: 0000 .. MOVS r0,r0 - !!handler_zi - __scatterload_zeroinit - 0x10000120: 2300 .# MOVS r3,#0 - 0x10000122: 2400 .$ MOVS r4,#0 - 0x10000124: 2500 .% MOVS r5,#0 - 0x10000126: 2600 .& MOVS r6,#0 - 0x10000128: 3a10 .: SUBS r2,r2,#0x10 - 0x1000012a: d301 .. BCC 0x10000130 ; __scatterload_zeroinit + 16 - 0x1000012c: c178 x. STM r1!,{r3-r6} - 0x1000012e: d8fb .. BHI 0x10000128 ; __scatterload_zeroinit + 8 - 0x10000130: 0752 R. LSLS r2,r2,#29 - 0x10000132: d300 .. BCC 0x10000136 ; __scatterload_zeroinit + 22 - 0x10000134: c130 0. STM r1!,{r4,r5} - 0x10000136: d500 .. BPL 0x1000013a ; __scatterload_zeroinit + 26 - 0x10000138: 600b .` STR r3,[r1,#0] - 0x1000013a: 4770 pG BX lr - .ARM.Collect$$libinit$$00000000 - __rt_lib_init - 0x1000013c: b51f .. PUSH {r0-r4,lr} - .ARM.Collect$$libinit$$00000002 - .ARM.Collect$$libinit$$00000004 - .ARM.Collect$$libinit$$0000000A - .ARM.Collect$$libinit$$0000000C - .ARM.Collect$$libinit$$0000000E - .ARM.Collect$$libinit$$00000011 - .ARM.Collect$$libinit$$00000013 - .ARM.Collect$$libinit$$00000015 - .ARM.Collect$$libinit$$00000017 - .ARM.Collect$$libinit$$00000019 - .ARM.Collect$$libinit$$0000001B - .ARM.Collect$$libinit$$0000001D - .ARM.Collect$$libinit$$0000001F - .ARM.Collect$$libinit$$00000021 - .ARM.Collect$$libinit$$00000023 - .ARM.Collect$$libinit$$00000025 - .ARM.Collect$$libinit$$0000002C - .ARM.Collect$$libinit$$0000002E - .ARM.Collect$$libinit$$00000030 - .ARM.Collect$$libinit$$00000032 - .ARM.Collect$$libinit$$00000033 - __rt_lib_init_alloca_1 - __rt_lib_init_argv_1 - __rt_lib_init_atexit_1 - __rt_lib_init_clock_1 - __rt_lib_init_cpp_1 - __rt_lib_init_exceptions_1 - __rt_lib_init_fp_1 - __rt_lib_init_fp_trap_1 - __rt_lib_init_getenv_1 - __rt_lib_init_heap_1 - __rt_lib_init_lc_collate_1 - __rt_lib_init_lc_ctype_1 - __rt_lib_init_lc_monetary_1 - __rt_lib_init_lc_numeric_1 - __rt_lib_init_lc_time_1 - __rt_lib_init_preinit_1 - __rt_lib_init_rand_1 - __rt_lib_init_return - __rt_lib_init_signal_1 - __rt_lib_init_stdio_1 - __rt_lib_init_user_alloc_1 - 0x1000013e: bd1f .. POP {r0-r4,pc} - .ARM.Collect$$libshutdown$$00000000 - __rt_lib_shutdown - 0x10000140: b510 .. PUSH {r4,lr} - .ARM.Collect$$libshutdown$$00000002 - .ARM.Collect$$libshutdown$$00000004 - .ARM.Collect$$libshutdown$$00000006 - .ARM.Collect$$libshutdown$$00000009 - .ARM.Collect$$libshutdown$$0000000C - .ARM.Collect$$libshutdown$$0000000E - .ARM.Collect$$libshutdown$$00000011 - .ARM.Collect$$libshutdown$$00000012 - __rt_lib_shutdown_cpp_1 - __rt_lib_shutdown_fini_1 - __rt_lib_shutdown_fp_trap_1 - __rt_lib_shutdown_heap_1 - __rt_lib_shutdown_return - __rt_lib_shutdown_signal_1 - __rt_lib_shutdown_stdio_1 - __rt_lib_shutdown_user_alloc_1 - 0x10000142: bd10 .. POP {r4,pc} - .ARM.Collect$$rtentry$$00000000 - .ARM.Collect$$rtentry$$00000002 - .ARM.Collect$$rtentry$$00000004 - __rt_entry - __rt_entry_presh_1 - __rt_entry_sh - 0x10000144: f000f915 .... BL __user_setup_stackheap ; 0x10000372 - 0x10000148: 4611 .F MOV r1,r2 - .ARM.Collect$$rtentry$$00000009 - .ARM.Collect$$rtentry$$0000000A - __rt_entry_li - __rt_entry_postsh_1 - 0x1000014a: f7fffff7 .... BL __rt_lib_init ; 0x1000013c - .ARM.Collect$$rtentry$$0000000C - .ARM.Collect$$rtentry$$0000000D - __rt_entry_main - __rt_entry_postli_1 - 0x1000014e: f000f868 ..h. BL main ; 0x10000222 - 0x10000152: f000f92d ..-. BL exit ; 0x100003b0 - .ARM.Collect$$rtexit$$00000000 - __rt_exit - 0x10000156: b403 .. PUSH {r0,r1} - .ARM.Collect$$rtexit$$00000002 - .ARM.Collect$$rtexit$$00000003 - __rt_exit_ls - __rt_exit_prels_1 - 0x10000158: f7fffff2 .... BL __rt_lib_shutdown ; 0x10000140 - .ARM.Collect$$rtexit$$00000004 - __rt_exit_exit - 0x1000015c: bc03 .. POP {r0,r1} - 0x1000015e: f000f933 ..3. BL _sys_exit ; 0x100003c8 - 0x10000162: 0000 .. MOVS r0,r0 - .emb_text - FlashLoader_ASM - 0x10000164: 2000 . MOVS r0,#0 - 0x10000166: 6801 .h LDR r1,[r0,#0] - 0x10000168: 468d .F MOV sp,r1 - 0x1000016a: 6841 Ah LDR r1,[r0,#4] - 0x1000016c: 4708 .G BX r1 - 0x1000016e: 0000 .. MOVS r0,r0 - .text - UartStdOutInit - 0x10000170: 2141 A! MOVS r1,#0x41 - 0x10000172: 4853 SH LDR r0,[pc,#332] ; [0x100002c0] = 0x40006000 - 0x10000174: 0149 I. LSLS r1,r1,#5 - 0x10000176: 6101 .a STR r1,[r0,#0x10] - 0x10000178: 2101 .! MOVS r1,#1 - 0x1000017a: 6081 .` STR r1,[r0,#8] - 0x1000017c: 4851 QH LDR r0,[pc,#324] ; [0x100002c4] = 0x4000e000 - 0x1000017e: 6081 .` STR r1,[r0,#8] - 0x10000180: 4951 QI LDR r1,[pc,#324] ; [0x100002c8] = 0x40011000 - 0x10000182: 2020 MOVS r0,#0x20 - 0x10000184: 6188 .a STR r0,[r1,#0x18] - 0x10000186: 4770 pG BX lr - UartPutc - 0x10000188: 494e NI LDR r1,[pc,#312] ; [0x100002c4] = 0x4000e000 - 0x1000018a: 684a Jh LDR r2,[r1,#4] - 0x1000018c: 07d2 .. LSLS r2,r2,#31 - 0x1000018e: d1fc .. BNE 0x1000018a ; UartPutc + 2 - 0x10000190: 684a Jh LDR r2,[r1,#4] - 0x10000192: 07d2 .. LSLS r2,r2,#31 - 0x10000194: d100 .. BNE 0x10000198 ; UartPutc + 16 - 0x10000196: 6008 .` STR r0,[r1,#0] - 0x10000198: 4770 pG BX lr - UartPuts - 0x1000019a: 494a JI LDR r1,[pc,#296] ; [0x100002c4] = 0x4000e000 - 0x1000019c: 7803 .x LDRB r3,[r0,#0] - 0x1000019e: 2b00 .+ CMP r3,#0 - 0x100001a0: d009 .. BEQ 0x100001b6 ; UartPuts + 28 - 0x100001a2: 684a Jh LDR r2,[r1,#4] - 0x100001a4: 07d2 .. LSLS r2,r2,#31 - 0x100001a6: d1fc .. BNE 0x100001a2 ; UartPuts + 8 - 0x100001a8: 684a Jh LDR r2,[r1,#4] - 0x100001aa: 07d2 .. LSLS r2,r2,#31 - 0x100001ac: d100 .. BNE 0x100001b0 ; UartPuts + 22 - 0x100001ae: 600b .` STR r3,[r1,#0] - 0x100001b0: 1c40 @. ADDS r0,r0,#1 - 0x100001b2: 2b00 .+ CMP r3,#0 - 0x100001b4: d1f2 .. BNE 0x1000019c ; UartPuts + 2 - 0x100001b6: 4770 pG BX lr - FlashLoader - 0x100001b8: b510 .. PUSH {r4,lr} - 0x100001ba: 4c44 DL LDR r4,[pc,#272] ; [0x100002cc] = 0x4001f000 - 0x100001bc: 6821 !h LDR r1,[r4,#0] - 0x100001be: 4841 AH LDR r0,[pc,#260] ; [0x100002c4] = 0x4000e000 - 0x100001c0: 2900 .) CMP r1,#0 - 0x100001c2: d00a .. BEQ 0x100001da ; FlashLoader + 34 - 0x100001c4: a342 B. ADR r3,{pc}+0x10c ; 0x100002d0 - 0x100001c6: 781a .x LDRB r2,[r3,#0] - 0x100001c8: 2a00 .* CMP r2,#0 - 0x100001ca: d021 !. BEQ 0x10000210 ; FlashLoader + 88 - 0x100001cc: 6841 Ah LDR r1,[r0,#4] - 0x100001ce: 07c9 .. LSLS r1,r1,#31 - 0x100001d0: d1fc .. BNE 0x100001cc ; FlashLoader + 20 - 0x100001d2: 6841 Ah LDR r1,[r0,#4] - 0x100001d4: 07c9 .. LSLS r1,r1,#31 - 0x100001d6: d017 .. BEQ 0x10000208 ; FlashLoader + 80 - 0x100001d8: e017 .. B 0x1000020a ; FlashLoader + 82 - 0x100001da: a341 A. ADR r3,{pc}+0x106 ; 0x100002e0 - 0x100001dc: 781a .x LDRB r2,[r3,#0] - 0x100001de: 2a00 .* CMP r2,#0 - 0x100001e0: d009 .. BEQ 0x100001f6 ; FlashLoader + 62 - 0x100001e2: 6841 Ah LDR r1,[r0,#4] - 0x100001e4: 07c9 .. LSLS r1,r1,#31 - 0x100001e6: d1fc .. BNE 0x100001e2 ; FlashLoader + 42 - 0x100001e8: 6841 Ah LDR r1,[r0,#4] - 0x100001ea: 07c9 .. LSLS r1,r1,#31 - 0x100001ec: d100 .. BNE 0x100001f0 ; FlashLoader + 56 - 0x100001ee: 6002 .` STR r2,[r0,#0] - 0x100001f0: 1c5b [. ADDS r3,r3,#1 - 0x100001f2: 2a00 .* CMP r2,#0 - 0x100001f4: d1f2 .. BNE 0x100001dc ; FlashLoader + 36 - 0x100001f6: 2204 ." MOVS r2,#4 - 0x100001f8: 6841 Ah LDR r1,[r0,#4] - 0x100001fa: 07c9 .. LSLS r1,r1,#31 - 0x100001fc: d1fc .. BNE 0x100001f8 ; FlashLoader + 64 - 0x100001fe: 6841 Ah LDR r1,[r0,#4] - 0x10000200: 07c9 .. LSLS r1,r1,#31 - 0x10000202: d100 .. BNE 0x10000206 ; FlashLoader + 78 - 0x10000204: 6002 .` STR r2,[r0,#0] - 0x10000206: e7fe .. B 0x10000206 ; FlashLoader + 78 - 0x10000208: 6002 .` STR r2,[r0,#0] - 0x1000020a: 1c5b [. ADDS r3,r3,#1 - 0x1000020c: 2a00 .* CMP r2,#0 - 0x1000020e: d1da .. BNE 0x100001c6 ; FlashLoader + 14 - 0x10000210: 2000 . MOVS r0,#0 - 0x10000212: 6020 ` STR r0,[r4,#0] - 0x10000214: f3bf8f4f ..O. DSB - 0x10000218: f3bf8f6f ..o. ISB - 0x1000021c: f7ffffa2 .... BL FlashLoader_ASM ; 0x10000164 - 0x10000220: bd10 .. POP {r4,pc} - main - 0x10000222: b510 .. PUSH {r4,lr} - 0x10000224: 2141 A! MOVS r1,#0x41 - 0x10000226: 4826 &H LDR r0,[pc,#152] ; [0x100002c0] = 0x40006000 - 0x10000228: 0149 I. LSLS r1,r1,#5 - 0x1000022a: 6101 .a STR r1,[r0,#0x10] - 0x1000022c: 2101 .! MOVS r1,#1 - 0x1000022e: 6081 .` STR r1,[r0,#8] - 0x10000230: 4824 $H LDR r0,[pc,#144] ; [0x100002c4] = 0x4000e000 - 0x10000232: 6081 .` STR r1,[r0,#8] - 0x10000234: 4a24 $J LDR r2,[pc,#144] ; [0x100002c8] = 0x40011000 - 0x10000236: 2120 ! MOVS r1,#0x20 - 0x10000238: 6191 .a STR r1,[r2,#0x18] - 0x1000023a: a32f /. ADR r3,{pc}+0xbe ; 0x100002f8 - 0x1000023c: 781a .x LDRB r2,[r3,#0] - 0x1000023e: 2a00 .* CMP r2,#0 - 0x10000240: d009 .. BEQ 0x10000256 ; main + 52 - 0x10000242: 6841 Ah LDR r1,[r0,#4] - 0x10000244: 07c9 .. LSLS r1,r1,#31 - 0x10000246: d1fc .. BNE 0x10000242 ; main + 32 - 0x10000248: 6841 Ah LDR r1,[r0,#4] - 0x1000024a: 07c9 .. LSLS r1,r1,#31 - 0x1000024c: d100 .. BNE 0x10000250 ; main + 46 - 0x1000024e: 6002 .` STR r2,[r0,#0] - 0x10000250: 1c5b [. ADDS r3,r3,#1 - 0x10000252: 2a00 .* CMP r2,#0 - 0x10000254: d1f2 .. BNE 0x1000023c ; main + 26 - 0x10000256: 4c1d .L LDR r4,[pc,#116] ; [0x100002cc] = 0x4001f000 - 0x10000258: 6821 !h LDR r1,[r4,#0] - 0x1000025a: 2900 .) CMP r1,#0 - 0x1000025c: d00a .. BEQ 0x10000274 ; main + 82 - 0x1000025e: a31c .. ADR r3,{pc}+0x72 ; 0x100002d0 - 0x10000260: 781a .x LDRB r2,[r3,#0] - 0x10000262: 2a00 .* CMP r2,#0 - 0x10000264: d021 !. BEQ 0x100002aa ; main + 136 - 0x10000266: 6841 Ah LDR r1,[r0,#4] - 0x10000268: 07c9 .. LSLS r1,r1,#31 - 0x1000026a: d1fc .. BNE 0x10000266 ; main + 68 - 0x1000026c: 6841 Ah LDR r1,[r0,#4] - 0x1000026e: 07c9 .. LSLS r1,r1,#31 - 0x10000270: d017 .. BEQ 0x100002a2 ; main + 128 - 0x10000272: e017 .. B 0x100002a4 ; main + 130 - 0x10000274: a31a .. ADR r3,{pc}+0x6c ; 0x100002e0 - 0x10000276: 781a .x LDRB r2,[r3,#0] - 0x10000278: 2a00 .* CMP r2,#0 - 0x1000027a: d009 .. BEQ 0x10000290 ; main + 110 - 0x1000027c: 6841 Ah LDR r1,[r0,#4] - 0x1000027e: 07c9 .. LSLS r1,r1,#31 - 0x10000280: d1fc .. BNE 0x1000027c ; main + 90 - 0x10000282: 6841 Ah LDR r1,[r0,#4] - 0x10000284: 07c9 .. LSLS r1,r1,#31 - 0x10000286: d100 .. BNE 0x1000028a ; main + 104 - 0x10000288: 6002 .` STR r2,[r0,#0] - 0x1000028a: 1c5b [. ADDS r3,r3,#1 - 0x1000028c: 2a00 .* CMP r2,#0 - 0x1000028e: d1f2 .. BNE 0x10000276 ; main + 84 - 0x10000290: 2204 ." MOVS r2,#4 - 0x10000292: 6841 Ah LDR r1,[r0,#4] - 0x10000294: 07c9 .. LSLS r1,r1,#31 - 0x10000296: d1fc .. BNE 0x10000292 ; main + 112 - 0x10000298: 6841 Ah LDR r1,[r0,#4] - 0x1000029a: 07c9 .. LSLS r1,r1,#31 - 0x1000029c: d100 .. BNE 0x100002a0 ; main + 126 - 0x1000029e: 6002 .` STR r2,[r0,#0] - 0x100002a0: e7fe .. B 0x100002a0 ; main + 126 - 0x100002a2: 6002 .` STR r2,[r0,#0] - 0x100002a4: 1c5b [. ADDS r3,r3,#1 - 0x100002a6: 2a00 .* CMP r2,#0 - 0x100002a8: d1da .. BNE 0x10000260 ; main + 62 - 0x100002aa: 2000 . MOVS r0,#0 - 0x100002ac: 6020 ` STR r0,[r4,#0] - 0x100002ae: f3bf8f4f ..O. DSB - 0x100002b2: f3bf8f6f ..o. ISB - 0x100002b6: f7ffff55 ..U. BL FlashLoader_ASM ; 0x10000164 - 0x100002ba: 2000 . MOVS r0,#0 - 0x100002bc: bd10 .. POP {r4,pc} - $d - 0x100002be: 0000 .. DCW 0 - 0x100002c0: 40006000 .`.@ DCD 1073766400 - 0x100002c4: 4000e000 ...@ DCD 1073799168 - 0x100002c8: 40011000 ...@ DCD 1073811456 - 0x100002cc: 4001f000 ...@ DCD 1073868800 - 0x100002d0: 52202a2a ** R DCD 1377839658 - 0x100002d4: 70616d65 emap DCD 1885433189 - 0x100002d8: 41523e2d ->RA DCD 1095908909 - 0x100002dc: 000a324d M2.. DCD 668237 - 0x100002e0: 72724540 @Err DCD 1920091456 - 0x100002e4: 203a726f or: DCD 540701295 - 0x100002e8: 414d4552 REMA DCD 1095583058 - 0x100002ec: 6c632050 P cl DCD 1818435664 - 0x100002f0: 65726165 eare DCD 1701994853 - 0x100002f4: 00000a64 d... DCD 2660 - 0x100002f8: 530a0a0a ...S DCD 1393166858 - 0x100002fc: 414c434f OCLA DCD 1095517007 - 0x10000300: 203a5342 BS: DCD 540693314 - 0x10000304: 204d5241 ARM DCD 541938241 - 0x10000308: 74726f43 Cort DCD 1953656643 - 0x1000030c: 4d2d7865 ex-M DCD 1294825573 - 0x10000310: 616e2030 0 na DCD 1634607152 - 0x10000314: 6f736f6e noso DCD 1869836142 - 0x10000318: 00000a63 c... DCD 2659 - $t - .text - SystemCoreClockUpdate - 0x1000031c: 4904 .I LDR r1,[pc,#16] ; [0x10000330] = 0x30000000 - 0x1000031e: 4803 .H LDR r0,[pc,#12] ; [0x1000032c] = 0x5f5e100 - 0x10000320: 6008 .` STR r0,[r1,#0] - 0x10000322: 4770 pG BX lr - SystemInit - 0x10000324: 4902 .I LDR r1,[pc,#8] ; [0x10000330] = 0x30000000 - 0x10000326: 4801 .H LDR r0,[pc,#4] ; [0x1000032c] = 0x5f5e100 - 0x10000328: 6008 .` STR r0,[r1,#0] - 0x1000032a: 4770 pG BX lr - $d - 0x1000032c: 05f5e100 .... DCD 100000000 - 0x10000330: 30000000 ...0 DCD 805306368 - $t - .text - Reset_Handler - 0x10000334: 4807 .H LDR r0,[pc,#28] ; [0x10000354] = 0x10000325 - 0x10000336: 4780 .G BLX r0 - 0x10000338: 4807 .H LDR r0,[pc,#28] ; [0x10000358] = 0x100000c1 - 0x1000033a: 4700 .G BX r0 - NMI_Handler - 0x1000033c: e7fe .. B NMI_Handler ; 0x1000033c - HardFault_Handler - 0x1000033e: e7fe .. B HardFault_Handler ; 0x1000033e - SVC_Handler - 0x10000340: e7fe .. B SVC_Handler ; 0x10000340 - PendSV_Handler - 0x10000342: e7fe .. B PendSV_Handler ; 0x10000342 - SysTick_Handler - 0x10000344: e7fe .. B SysTick_Handler ; 0x10000344 - DMA_Handler - DUALTIMER_HANDLER - EXP0_Handler - EXP1_Handler - EXP2_Handler - EXP3_Handler - EXPB_Handler - EXPC_Handler - EXPD_Handler - PORT0_0_Handler - PORT0_10_Handler - PORT0_11_Handler - PORT0_12_Handler - PORT0_13_Handler - PORT0_14_Handler - PORT0_15_Handler - PORT0_1_Handler - PORT0_2_Handler - PORT0_3_Handler - PORT0_4_Handler - PORT0_5_Handler - PORT0_6_Handler - PORT0_7_Handler - PORT0_8_Handler - PORT0_9_Handler - PORT0_COMB_Handler - PORT1_COMB_Handler - TIMER0_Handler - TIMER1_Handler - UARTOVF2_Handler - UARTRX2_Handler - UARTTX2_Handler - 0x10000346: e7fe .. B DMA_Handler ; 0x10000346 - __user_initial_stackheap - 0x10000348: 4804 .H LDR r0,[pc,#16] ; [0x1000035c] = 0x30000068 - 0x1000034a: 4905 .I LDR r1,[pc,#20] ; [0x10000360] = 0x30000368 - 0x1000034c: 4a05 .J LDR r2,[pc,#20] ; [0x10000364] = 0x30000168 - 0x1000034e: 4b06 .K LDR r3,[pc,#24] ; [0x10000368] = 0x30000168 - 0x10000350: 4770 pG BX lr - $d - 0x10000352: 0000 .. DCW 0 - 0x10000354: 10000325 %... DCD 268436261 - 0x10000358: 100000c1 .... DCD 268435649 - 0x1000035c: 30000068 h..0 DCD 805306472 - 0x10000360: 30000368 h..0 DCD 805307240 - 0x10000364: 30000168 h..0 DCD 805306728 - 0x10000368: 30000168 h..0 DCD 805306728 - $t - .text - __use_two_region_memory - 0x1000036c: 4770 pG BX lr - __rt_heap_escrow$2region - 0x1000036e: 4770 pG BX lr - __rt_heap_expand$2region - 0x10000370: 4770 pG BX lr - .text - __user_setup_stackheap - 0x10000372: 4675 uF MOV r5,lr - 0x10000374: f000f824 ..$. BL __user_libspace ; 0x100003c0 - 0x10000378: 46ae .F MOV lr,r5 - 0x1000037a: 0005 .. MOVS r5,r0 - 0x1000037c: 4669 iF MOV r1,sp - 0x1000037e: 4653 SF MOV r3,r10 - 0x10000380: 08c0 .. LSRS r0,r0,#3 - 0x10000382: 00c0 .. LSLS r0,r0,#3 - 0x10000384: 4685 .F MOV sp,r0 - 0x10000386: b018 .. ADD sp,sp,#0x60 - 0x10000388: b520 . PUSH {r5,lr} - 0x1000038a: f7ffffdd .... BL __user_initial_stackheap ; 0x10000348 - 0x1000038e: bc60 `. POP {r5,r6} - 0x10000390: 2700 .' MOVS r7,#0 - 0x10000392: 0849 I. LSRS r1,r1,#1 - 0x10000394: 46b6 .F MOV lr,r6 - 0x10000396: 2600 .& MOVS r6,#0 - 0x10000398: c5c0 .. STM r5!,{r6,r7} - 0x1000039a: c5c0 .. STM r5!,{r6,r7} - 0x1000039c: c5c0 .. STM r5!,{r6,r7} - 0x1000039e: c5c0 .. STM r5!,{r6,r7} - 0x100003a0: c5c0 .. STM r5!,{r6,r7} - 0x100003a2: c5c0 .. STM r5!,{r6,r7} - 0x100003a4: c5c0 .. STM r5!,{r6,r7} - 0x100003a6: c5c0 .. STM r5!,{r6,r7} - 0x100003a8: 3d40 @= SUBS r5,r5,#0x40 - 0x100003aa: 0049 I. LSLS r1,r1,#1 - 0x100003ac: 468d .F MOV sp,r1 - 0x100003ae: 4770 pG BX lr - .text - exit - 0x100003b0: b510 .. PUSH {r4,lr} - 0x100003b2: 4604 .F MOV r4,r0 - 0x100003b4: 46c0 .F MOV r8,r8 - 0x100003b6: 46c0 .F MOV r8,r8 - 0x100003b8: 4620 F MOV r0,r4 - 0x100003ba: f7fffecc .... BL __rt_exit ; 0x10000156 - 0x100003be: bd10 .. POP {r4,pc} - .text - __user_libspace - __user_perproc_libspace - __user_perthread_libspace - 0x100003c0: 4800 .H LDR r0,[pc,#0] ; [0x100003c4] = 0x30000004 - 0x100003c2: 4770 pG BX lr - $d - 0x100003c4: 30000004 ...0 DCD 805306372 - $t - .text - _sys_exit - 0x100003c8: 4901 .I LDR r1,[pc,#4] ; [0x100003d0] = 0x20026 - 0x100003ca: 2018 . MOVS r0,#0x18 - 0x100003cc: beab .. BKPT #0xab - 0x100003ce: e7fe .. B 0x100003ce ; _sys_exit + 6 - $d - 0x100003d0: 00020026 &... DCD 131110 - $t - .text - __I$use$semihosting - __use_no_semihosting_swi - 0x100003d4: 4770 pG BX lr - .text - __semihosting_library_function - 0x100003d6: 0000 .. MOVS r0,r0 - $d.realdata - Region$$Table$$Base - 0x100003d8: 100003f8 .... DCD 268436472 - 0x100003dc: 30000000 ...0 DCD 805306368 - 0x100003e0: 00000004 .... DCD 4 - 0x100003e4: 10000104 .... DCD 268435716 - 0x100003e8: 100003fc .... DCD 268436476 - 0x100003ec: 30000004 ...0 DCD 805306372 - 0x100003f0: 00000364 d... DCD 868 - 0x100003f4: 10000120 ... DCD 268435744 - Region$$Table$$Limit - -** Section #2 'ER_RW' (SHT_PROGBITS) [SHF_ALLOC + SHF_WRITE] - Size : 4 bytes (alignment 4) - Address: 0x30000000 - - 0x30000000: 00 e1 f5 05 .... - - -** Section #3 'ER_ZI' (SHT_NOBITS) [SHF_ALLOC + SHF_WRITE] - Size : 868 bytes (alignment 8) - Address: 0x30000004 - - -** Section #4 '.debug_abbrev' (SHT_PROGBITS) - Size : 1476 bytes - - -** Section #5 '.debug_frame' (SHT_PROGBITS) - Size : 912 bytes - - -** Section #6 '.debug_info' (SHT_PROGBITS) - Size : 3508 bytes - - -** Section #7 '.debug_line' (SHT_PROGBITS) - Size : 1236 bytes - - -** Section #8 '.debug_loc' (SHT_PROGBITS) - Size : 684 bytes - - -** Section #9 '.debug_macinfo' (SHT_PROGBITS) - Size : 2212 bytes - - -** Section #10 '.debug_pubnames' (SHT_PROGBITS) - Size : 223 bytes - - -** Section #11 '.symtab' (SHT_SYMTAB) - Size : 4080 bytes (alignment 4) - String table #12 '.strtab' - Last local symbol no. 136 - - Symbol table .symtab (254 symbols, 136 local) - - # Symbol Name Value Bind Sec Type Vis Size - ======================================================================== - - 1 $d.realdata 0x10000000 Lc 1 -- De - 2 $t 0x100000c0 Lc 1 -- De - 3 $d 0x100000fc Lc 1 -- De - 4 $t 0x10000104 Lc 1 -- De - 5 $d 0x100002be Lc 1 -- De - 6 $t 0x1000031c Lc 1 -- De - 7 $d 0x1000032c Lc 1 -- De - 8 $t 0x10000334 Lc 1 -- De - 9 $d 0x10000352 Lc 1 -- De - 10 $t 0x1000036c Lc 1 -- De - 11 $d 0x100003c4 Lc 1 -- De - 12 $t 0x100003c8 Lc 1 -- De - 13 $d 0x100003d0 Lc 1 -- De - 14 $t 0x100003d4 Lc 1 -- De - 15 $d.realdata 0x100003d8 Lc 1 -- De - 16 $d.realdata 0x30000000 Lc 2 -- De - 17 $d.realdata 0x30000004 Lc 3 -- De - 18 ../../../../software/common/bootloader/bootloader.c - 0x00000000 Lc Abs File De - 19 .emb_text 0x10000164 Lc 1 Sect De 0xa - 20 ../../../../software/common/bootloader/bootloader.c - 0x00000000 Lc Abs File De - 21 .text 0x10000170 Lc 1 Sect De - 22 ../../../../software/cmsis/Device/ARM/CMSDK_CM0/Source/system_CMSDK_CM0.c - 0x00000000 Lc Abs File De - 23 ../../../../software/cmsis/Device/ARM/CMSDK_CM0/Source/system_CMSDK_CM0.c - 0x00000000 Lc Abs File De - 24 .text 0x1000031c Lc 1 Sect De - 25 .data 0x30000000 Lc 2 Sect De 0x4 - 26 ../../../../software/cmsis/Device/ARM/CMSDK_CM0/Source/ARM/startup_CMSDK_CM0.s - 0x00000000 Lc Abs File De - 27 STACK 0x30000168 Lc 3 Sect De 0x200 - 28 Stack_Mem 0x30000168 Lc 3 Data De 0x200 - 29 __initial_sp 0x30000368 Lc 3 Data De - 30 HEAP 0x30000068 Lc 3 Sect De 0x100 - 31 Heap_Mem 0x30000068 Lc 3 Data De 0x100 - 32 RESET 0x10000000 Lc 1 Sect De 0xc0 - 33 .text 0x10000334 Lc 1 Sect De 0x38 - 34 dc.s 0x00000000 Lc Abs File De - 35 ../clib/heapaux.c 0x00000000 Lc Abs File De - 36 .text 0x1000036c Lc 1 Sect De - 37 ../clib/angel/startup.s 0x00000000 Lc Abs File De - 38 !!!main 0x100000c0 Lc 1 Sect De 0x8 - 39 ../clib/angel/kernel.s 0x00000000 Lc Abs File De - 40 .ARM.Collect$$rtentry$$00000000 - 0x10000144 Lc 1 Sect De - 41 ../clib/angel/kernel.s 0x00000000 Lc Abs File De - 42 .ARM.Collect$$rtentry$$00000002 - 0x10000144 Lc 1 Sect De - 43 .ARM.Collect$$rtentry$$00000009 - 0x1000014a Lc 1 Sect De - 44 .ARM.Collect$$rtentry$$0000000A - 0x1000014a Lc 1 Sect De 0x4 - 45 .ARM.Collect$$rtentry$$0000000C - 0x1000014e Lc 1 Sect De - 46 .ARM.Collect$$rtentry$$0000000D - 0x1000014e Lc 1 Sect De 0x8 - 47 ../clib/angel/kernel.s 0x00000000 Lc Abs File De - 48 .ARM.Collect$$rtentry$$00000004 - 0x10000144 Lc 1 Sect De 0x6 - 49 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 50 .text 0x10000372 Lc 1 Sect De 0x3e - 51 ../clib/stdlib.c 0x00000000 Lc Abs File De - 52 .text 0x100003b0 Lc 1 Sect De - 53 ../clib/angel/boardlib.s 0x00000000 Lc Abs File De - 54 ../clib/angel/boardlib.s 0x00000000 Lc Abs File De - 55 ../clib/angel/boardlib.s 0x00000000 Lc Abs File De - 56 ../clib/libinit.s 0x00000000 Lc Abs File De - 57 .ARM.Collect$$libinit$$00000000 - 0x1000013c Lc 1 Sect De 0x2 - 58 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 59 .text 0x100003c0 Lc 1 Sect De 0x8 - 60 .bss 0x30000004 Lc 3 Sect De 0x60 - 61 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 62 ../clib/angel/kernel.s 0x00000000 Lc Abs File De - 63 .ARM.Collect$$rtexit$$00000000 - 0x10000156 Lc 1 Sect De 0x2 - 64 ../clib/libinit.s 0x00000000 Lc Abs File De - 65 .ARM.Collect$$libinit$$00000002 - 0x1000013e Lc 1 Sect De - 66 .ARM.Collect$$libinit$$00000004 - 0x1000013e Lc 1 Sect De - 67 .ARM.Collect$$libinit$$0000000A - 0x1000013e Lc 1 Sect De - 68 .ARM.Collect$$libinit$$0000000C - 0x1000013e Lc 1 Sect De - 69 .ARM.Collect$$libinit$$0000000E - 0x1000013e Lc 1 Sect De - 70 .ARM.Collect$$libinit$$00000011 - 0x1000013e Lc 1 Sect De - 71 .ARM.Collect$$libinit$$00000013 - 0x1000013e Lc 1 Sect De - 72 .ARM.Collect$$libinit$$00000015 - 0x1000013e Lc 1 Sect De - 73 .ARM.Collect$$libinit$$00000017 - 0x1000013e Lc 1 Sect De - 74 .ARM.Collect$$libinit$$00000019 - 0x1000013e Lc 1 Sect De - 75 .ARM.Collect$$libinit$$0000001B - 0x1000013e Lc 1 Sect De - 76 .ARM.Collect$$libinit$$0000001D - 0x1000013e Lc 1 Sect De - 77 .ARM.Collect$$libinit$$0000001F - 0x1000013e Lc 1 Sect De - 78 .ARM.Collect$$libinit$$00000021 - 0x1000013e Lc 1 Sect De - 79 .ARM.Collect$$libinit$$00000023 - 0x1000013e Lc 1 Sect De - 80 .ARM.Collect$$libinit$$00000025 - 0x1000013e Lc 1 Sect De - 81 .ARM.Collect$$libinit$$0000002C - 0x1000013e Lc 1 Sect De - 82 .ARM.Collect$$libinit$$0000002E - 0x1000013e Lc 1 Sect De - 83 .ARM.Collect$$libinit$$00000030 - 0x1000013e Lc 1 Sect De - 84 .ARM.Collect$$libinit$$00000032 - 0x1000013e Lc 1 Sect De - 85 .ARM.Collect$$libinit$$00000033 - 0x1000013e Lc 1 Sect De 0x2 - 86 ../clib/angel/kernel.s 0x00000000 Lc Abs File De - 87 .ARM.Collect$$rtexit$$00000002 - 0x10000158 Lc 1 Sect De - 88 .ARM.Collect$$rtexit$$00000003 - 0x10000158 Lc 1 Sect De 0x4 - 89 .ARM.Collect$$rtexit$$00000004 - 0x1000015c Lc 1 Sect De 0x6 - 90 ../clib/armsys.c 0x00000000 Lc Abs File De - 91 ../fplib/cfplib/fpinit.c 0x00000000 Lc Abs File De - 92 ../clib/angel/sysapp.c 0x00000000 Lc Abs File De - 93 .text 0x100003c8 Lc 1 Sect De - 94 ../clib/angel/boardlib.s 0x00000000 Lc Abs File De - 95 ../clib/armsys.c 0x00000000 Lc Abs File De - 96 ../clib/armsys.c 0x00000000 Lc Abs File De - 97 ../clib/libinit.s 0x00000000 Lc Abs File De - 98 .ARM.Collect$$libshutdown$$00000000 - 0x10000140 Lc 1 Sect De 0x2 - 99 ../clib/angel/sysapp.c 0x00000000 Lc Abs File De - 100 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 101 .text 0x100003d4 Lc 1 Sect De 0x2 - 102 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 103 .text 0x100003d6 Lc 1 Sect De - 104 ../clib/heapalloc.c 0x00000000 Lc Abs File De - 105 ../clib/signal.c 0x00000000 Lc Abs File De - 106 ../clib/signal.c 0x00000000 Lc Abs File De - 107 ../clib/libinit.s 0x00000000 Lc Abs File De - 108 .ARM.Collect$$libshutdown$$00000002 - 0x10000142 Lc 1 Sect De - 109 .ARM.Collect$$libshutdown$$00000004 - 0x10000142 Lc 1 Sect De - 110 .ARM.Collect$$libshutdown$$00000006 - 0x10000142 Lc 1 Sect De - 111 .ARM.Collect$$libshutdown$$00000009 - 0x10000142 Lc 1 Sect De - 112 .ARM.Collect$$libshutdown$$0000000C - 0x10000142 Lc 1 Sect De - 113 .ARM.Collect$$libshutdown$$0000000E - 0x10000142 Lc 1 Sect De - 114 .ARM.Collect$$libshutdown$$00000011 - 0x10000142 Lc 1 Sect De - 115 .ARM.Collect$$libshutdown$$00000012 - 0x10000142 Lc 1 Sect De 0x2 - 116 ../clib/angel/rt.s 0x00000000 Lc Abs File De - 117 ../clib/signal.c 0x00000000 Lc Abs File De - 118 ../clib/signal.c 0x00000000 Lc Abs File De - 119 ../clib/signal.c 0x00000000 Lc Abs File De - 120 ../clib/signal.c 0x00000000 Lc Abs File De - 121 ../clib/angel/sysapp.c 0x00000000 Lc Abs File De - 122 ../clib/signal.s 0x00000000 Lc Abs File De - 123 ../clib/signal.c 0x00000000 Lc Abs File De - 124 ../clib/signal.c 0x00000000 Lc Abs File De - 125 ../clib/signal.c 0x00000000 Lc Abs File De - 126 ../clib/signal.c 0x00000000 Lc Abs File De - 127 ../clib/signal.c 0x00000000 Lc Abs File De - 128 ../clib/signal.c 0x00000000 Lc Abs File De - 129 ../clib/signal.c 0x00000000 Lc Abs File De - 130 ../clib/signal.c 0x00000000 Lc Abs File De - 131 ../clib/angel/scatterp.s 0x00000000 Lc Abs File De - 132 !!!scatter 0x100000c8 Lc 1 Sect De 0x3c - 133 ../clib/angel/handlers.s 0x00000000 Lc Abs File De - 134 !!handler_copy 0x10000104 Lc 1 Sect De 0x1a - 135 ../clib/angel/handlers.s 0x00000000 Lc Abs File De - 136 !!handler_zi 0x10000120 Lc 1 Sect De 0x1c - 137 BuildAttributes$$THM_ISAv3M$S$PE$A:L22$X:L11$S22$IEEE1$IW$~IW$USESV6$~STKCKD$USESV7$~SHL$OTIME$ROPI$EBA8$UX$STANDARDLIB$REQ8$EABIv2 - 0x00000000 Gb Abs -- Hi - 138 __ARM_use_no_argv 0x00000000 Gb Abs -- Hi - 139 __Vectors_Size 0x000000c0 Gb Abs -- Hi - 140 __Vectors 0x10000000 Gb 1 Data Hi 0x4 - 141 __Vectors_End 0x100000c0 Gb 1 Data Hi - 142 __main 0x100000c1 Gb 1 Code Hi 0x8 - 143 __scatterload 0x100000c9 Gb 1 Code Hi - 144 __scatterload_rt2 0x100000c9 Gb 1 Code Hi 0x34 - 145 __scatterload_rt2_thumb_only - 0x100000c9 Gb 1 Code Hi - 146 __scatterload_null 0x100000d9 Gb 1 Code Hi - 147 __scatterload_copy 0x10000105 Gb 1 Code Hi 0x1a - 148 __scatterload_zeroinit 0x10000121 Gb 1 Code Hi 0x1c - 149 __rt_lib_init 0x1000013d Gb 1 Code De - 150 __rt_lib_init_alloca_1 0x1000013f Gb 1 Code Hi - 151 __rt_lib_init_argv_1 0x1000013f Gb 1 Code Hi - 152 __rt_lib_init_atexit_1 0x1000013f Gb 1 Code Hi - 153 __rt_lib_init_clock_1 0x1000013f Gb 1 Code Hi - 154 __rt_lib_init_cpp_1 0x1000013f Gb 1 Code Hi - 155 __rt_lib_init_exceptions_1 0x1000013f Gb 1 Code Hi - 156 __rt_lib_init_fp_1 0x1000013f Gb 1 Code Hi - 157 __rt_lib_init_fp_trap_1 0x1000013f Gb 1 Code Hi - 158 __rt_lib_init_getenv_1 0x1000013f Gb 1 Code Hi - 159 __rt_lib_init_heap_1 0x1000013f Gb 1 Code Hi - 160 __rt_lib_init_lc_collate_1 0x1000013f Gb 1 Code Hi - 161 __rt_lib_init_lc_ctype_1 0x1000013f Gb 1 Code Hi - 162 __rt_lib_init_lc_monetary_1 - 0x1000013f Gb 1 Code Hi - 163 __rt_lib_init_lc_numeric_1 0x1000013f Gb 1 Code Hi - 164 __rt_lib_init_lc_time_1 0x1000013f Gb 1 Code Hi - 165 __rt_lib_init_preinit_1 0x1000013f Gb 1 Code Hi - 166 __rt_lib_init_rand_1 0x1000013f Gb 1 Code Hi - 167 __rt_lib_init_return 0x1000013f Gb 1 Code Hi - 168 __rt_lib_init_signal_1 0x1000013f Gb 1 Code Hi - 169 __rt_lib_init_stdio_1 0x1000013f Gb 1 Code Hi - 170 __rt_lib_init_user_alloc_1 0x1000013f Gb 1 Code Hi - 171 __rt_lib_shutdown 0x10000141 Gb 1 Code De - 172 __rt_lib_shutdown_cpp_1 0x10000143 Gb 1 Code Hi - 173 __rt_lib_shutdown_fini_1 0x10000143 Gb 1 Code Hi - 174 __rt_lib_shutdown_fp_trap_1 - 0x10000143 Gb 1 Code Hi - 175 __rt_lib_shutdown_heap_1 0x10000143 Gb 1 Code Hi - 176 __rt_lib_shutdown_return 0x10000143 Gb 1 Code Hi - 177 __rt_lib_shutdown_signal_1 0x10000143 Gb 1 Code Hi - 178 __rt_lib_shutdown_stdio_1 0x10000143 Gb 1 Code Hi - 179 __rt_lib_shutdown_user_alloc_1 - 0x10000143 Gb 1 Code Hi - 180 __rt_entry 0x10000145 Gb 1 Code Hi - 181 __rt_entry_presh_1 0x10000145 Gb 1 Code Hi - 182 __rt_entry_sh 0x10000145 Gb 1 Code Hi - 183 __rt_entry_li 0x1000014b Gb 1 Code Hi - 184 __rt_entry_postsh_1 0x1000014b Gb 1 Code Hi - 185 __rt_entry_main 0x1000014f Gb 1 Code Hi - 186 __rt_entry_postli_1 0x1000014f Gb 1 Code Hi - 187 __rt_exit 0x10000157 Gb 1 Code De - 188 __rt_exit_ls 0x10000159 Gb 1 Code Hi - 189 __rt_exit_prels_1 0x10000159 Gb 1 Code Hi - 190 __rt_exit_exit 0x1000015d Gb 1 Code Hi - 191 FlashLoader_ASM 0x10000165 Gb 1 Code Hi 0xa - 192 UartStdOutInit 0x10000171 Gb 1 Code Hi 0x18 - 193 UartPutc 0x10000189 Gb 1 Code Hi 0x12 - 194 UartPuts 0x1000019b Gb 1 Code Hi 0x1e - 195 FlashLoader 0x100001b9 Gb 1 Code Hi 0x6a - 196 main 0x10000223 Gb 1 Code Hi 0x9c - 197 SystemCoreClockUpdate 0x1000031d Gb 1 Code Hi 0x8 - 198 SystemInit 0x10000325 Gb 1 Code Hi 0x8 - 199 Reset_Handler 0x10000335 Wk 1 Code Hi 0x8 - 200 NMI_Handler 0x1000033d Wk 1 Code Hi 0x2 - 201 HardFault_Handler 0x1000033f Wk 1 Code Hi 0x2 - 202 SVC_Handler 0x10000341 Wk 1 Code Hi 0x2 - 203 PendSV_Handler 0x10000343 Wk 1 Code Hi 0x2 - 204 SysTick_Handler 0x10000345 Wk 1 Code Hi 0x2 - 205 DMA_Handler 0x10000347 Wk 1 Code Hi - 206 DUALTIMER_HANDLER 0x10000347 Wk 1 Code Hi - 207 EXP0_Handler 0x10000347 Wk 1 Code Hi - 208 EXP1_Handler 0x10000347 Wk 1 Code Hi - 209 EXP2_Handler 0x10000347 Wk 1 Code Hi - 210 EXP3_Handler 0x10000347 Wk 1 Code Hi - 211 EXPB_Handler 0x10000347 Wk 1 Code Hi - 212 EXPC_Handler 0x10000347 Wk 1 Code Hi - 213 EXPD_Handler 0x10000347 Wk 1 Code Hi - 214 PORT0_0_Handler 0x10000347 Wk 1 Code Hi - 215 PORT0_10_Handler 0x10000347 Wk 1 Code Hi - 216 PORT0_11_Handler 0x10000347 Wk 1 Code Hi - 217 PORT0_12_Handler 0x10000347 Wk 1 Code Hi - 218 PORT0_13_Handler 0x10000347 Wk 1 Code Hi - 219 PORT0_14_Handler 0x10000347 Wk 1 Code Hi - 220 PORT0_15_Handler 0x10000347 Wk 1 Code Hi - 221 PORT0_1_Handler 0x10000347 Wk 1 Code Hi - 222 PORT0_2_Handler 0x10000347 Wk 1 Code Hi - 223 PORT0_3_Handler 0x10000347 Wk 1 Code Hi - 224 PORT0_4_Handler 0x10000347 Wk 1 Code Hi - 225 PORT0_5_Handler 0x10000347 Wk 1 Code Hi - 226 PORT0_6_Handler 0x10000347 Wk 1 Code Hi - 227 PORT0_7_Handler 0x10000347 Wk 1 Code Hi - 228 PORT0_8_Handler 0x10000347 Wk 1 Code Hi - 229 PORT0_9_Handler 0x10000347 Wk 1 Code Hi - 230 PORT0_COMB_Handler 0x10000347 Wk 1 Code Hi - 231 PORT1_COMB_Handler 0x10000347 Wk 1 Code Hi - 232 TIMER0_Handler 0x10000347 Wk 1 Code Hi - 233 TIMER1_Handler 0x10000347 Wk 1 Code Hi - 234 UARTOVF2_Handler 0x10000347 Wk 1 Code Hi - 235 UARTRX2_Handler 0x10000347 Wk 1 Code Hi - 236 UARTTX2_Handler 0x10000347 Wk 1 Code Hi - 237 __user_initial_stackheap 0x10000349 Gb 1 Code Hi 0xa - 238 __use_two_region_memory 0x1000036d Gb 1 Code Hi 0x2 - 239 __rt_heap_escrow$2region 0x1000036f Gb 1 Code De 0x2 - 240 __rt_heap_expand$2region 0x10000371 Gb 1 Code De 0x2 - 241 __user_setup_stackheap 0x10000373 Gb 1 Code De 0x3e - 242 exit 0x100003b1 Gb 1 Code De 0x10 - 243 __user_libspace 0x100003c1 Wk 1 Code De 0x8 - 244 __user_perproc_libspace 0x100003c1 Wk 1 Code De - 245 __user_perthread_libspace 0x100003c1 Wk 1 Code De - 246 _sys_exit 0x100003c9 Gb 1 Code De 0x8 - 247 __I$use$semihosting 0x100003d5 Gb 1 Code Hi - 248 __use_no_semihosting_swi 0x100003d5 Gb 1 Code Hi 0x2 - 249 __semihosting_library_function - 0x100003d7 Gb 1 Code Hi - 250 Region$$Table$$Base 0x100003d8 Gb 1 -- Hi - 251 Region$$Table$$Limit 0x100003f8 Gb 1 -- Hi - 252 SystemCoreClock 0x30000000 Gb 2 Data Hi 0x4 - 253 __libspace_start 0x30000004 Gb 3 Data De 0x60 - 254 __temporary_stack_top$libspace - 0x30000064 Gb 3 Data De - - -** Section #12 '.strtab' (SHT_STRTAB) - Size : 4356 bytes - - -** Section #13 '.note' (SHT_NOTE) - Size : 28 bytes (alignment 4) - - -** Section #14 '.comment' (SHT_PROGBITS) - Size : 2200 bytes - - -** Section #15 '.shstrtab' (SHT_STRTAB) - Size : 156 bytes - - diff --git a/system/testcodes/bootloader/bootloader.o b/system/testcodes/bootloader/bootloader.o deleted file mode 100644 index e3ed2740ee230070adff2dac7b10fe4bd2ce87bc..0000000000000000000000000000000000000000 Binary files a/system/testcodes/bootloader/bootloader.o and /dev/null differ diff --git a/system/testcodes/bootloader/bootrom_gen.py b/system/testcodes/bootloader/bootrom_gen.py new file mode 100755 index 0000000000000000000000000000000000000000..6a3f8d9b986b814d47cd193a7fcfe81a4f40adf5 --- /dev/null +++ b/system/testcodes/bootloader/bootrom_gen.py @@ -0,0 +1,122 @@ +#!/usr/bin/env python3 +#------------------------------------------------------------------------------------ +# Verilog and Binary Bootrom Generation Script +# A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +# +# Contributors +# +# David Mapstone (d.a.mapstone@soton.ac.uk) +# Copyright (c) 2023, SoC Labs (www.soclabs.org) +#------------------------------------------------------------------------------------ + +import argparse +import math +from string import Template +from datetime import datetime + +v_template_head = f"""//------------------------------------------------------------------------------------ +// Auto-generated synthesizable Bootrom +// +// Generated from bootrom_gen.py +// +// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. +// +// Contributors +// +// David Flynn (d.w.flynn@soton.ac.uk) +// Date: $date +// Copyright (c) 2021-3, SoC Labs (www.soclabs.org) +//------------------------------------------------------------------------------------ +module bootrom ( + input wire CLK, + input wire EN, + input wire [$address_width:2] ADDR, + output reg [31:0] RDATA ); +reg [$address_width:2] addr_r; +always @(posedge CLK) if (EN) addr_r <= ADDR; +always @(addr_r) + case(addr_r[$address_width:2]) +""" + +v_template_foot = """ default : RDATA <=32'h0; + endcase +endmodule""" + + +def bootrom_gen(args): + # Extract Data from Parsed Arguments + input_hex = args.input_hex + address_width = args.address_width + output_verilog = args.verilog_output + output_binary = args.binary_output + + # Create Binary and Verilog Outputs + print(f"Generating Bootrom {input_hex}") + bootrom_verilog, bootrom_binary = output_construct(input_hex, address_width) + + # Write Out Verilog File + f_verilog = open(output_verilog, "w") + f_verilog.write(bootrom_verilog) + f_verilog.close() + + # Write Out Binary File + f_binary = open(output_binary, "w") + f_binary.write(bootrom_binary) + f_binary.close() + +def output_construct(input_hex, address_width): + # Read in Hex File + f = open(input_hex, "r") + hex_bytes = f.readlines() + f.close() + + # Number of bytes expected depending on address_width + address_bytes = 1 << (address_width + 2) + + # Fill hex_bytes with zeros for addresses than aren't in the hex file + while (len(hex_bytes) < address_bytes): hex_bytes.append("00") + hex_words = math.ceil(len(hex_bytes)/4) + hex_data = [] + + # Combine bytes into words + for i in range(hex_words): + temp_hex_word= f"{hex_bytes[i*4+3].rstrip()}{hex_bytes[(i*4)+2].rstrip()}{hex_bytes[(i*4)+1].rstrip()}{hex_bytes[(i*4)].rstrip()}" + hex_data.append(int(temp_hex_word, 16)) + + # Get Date and Time to put in Generated Header + date_str = datetime.today().strftime('%Y-%m-%d %H:%M:%S') + + # Generate Verilog Header from Template + temp_bootrom_obj = Template(v_template_head) + temp_verilog = temp_bootrom_obj.substitute( + address_width=address_width+1, + date=date_str + ) + bootrom_verilog = temp_verilog + + bootrom_binary = "" + + # Append Hex Data to File + for i, word in enumerate(hex_data): + if address_width > 8: + temp_verilog = f""" {address_width:d}'h{i:03x} : RDATA <= 32'h{word:08x}; // 0x{i*4:04x}\n""" + else: + temp_verilog = f""" {address_width:d}'h{i:02x} : RDATA <= 32'h{word:08x}; // 0x{i*4:04x}\n""" + temp_binary = f"""{word:032b}\n""" + bootrom_verilog += temp_verilog + bootrom_binary += temp_binary + + # Append footer to Verilog file + bootrom_verilog += v_template_foot + + return bootrom_verilog, bootrom_binary + +if __name__ == "__main__": + # Capture Arguments from Command Line + parser = argparse.ArgumentParser(description='Generates NanoSoC CPU Bootrom File') + parser.add_argument("-i", "--input_hex", type=str, help="Input Hex File to Generate Bootrom from") + parser.add_argument("-a", "--address_width", type=int, help="Address Width (In 32bit Words) of Bootrom") + parser.add_argument("-v", "--verilog_output", type=str, help="Output Bootrom verilog file") + parser.add_argument("-b", "--binary_output", type=str, help="Output Bootrom binary file") + args = parser.parse_args() + bootrom_gen(args) \ No newline at end of file diff --git a/system/testcodes/bootloader/makefile b/system/testcodes/bootloader/makefile index c62e3215a58ced73875ab679c2a8a2e3e9166f16..63296a3a81359e016dcc5c8c0b415318bd202f0b 100644 --- a/system/testcodes/bootloader/makefile +++ b/system/testcodes/bootloader/makefile @@ -6,7 +6,7 @@ #// #// David Flynn (d.w.flynn@soton.ac.uk) #// -#// Copyright � 2021-3, SoC Labs (www.soclabs.org) +#// Copyright � 2021-3, SoC Labs (www.soclabs.org) #//----------------------------------------------------------------------------- #----------------------------------------------------------------------------- @@ -43,7 +43,7 @@ CPU_PRODUCT = CORTEX_M0 # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/bootloader/startup_CMSDK_CM0.o b/system/testcodes/bootloader/startup_CMSDK_CM0.o deleted file mode 100644 index 6e6d32a95ef8068eb8631cfaf7a506cdad2374eb..0000000000000000000000000000000000000000 Binary files a/system/testcodes/bootloader/startup_CMSDK_CM0.o and /dev/null differ diff --git a/system/testcodes/bootloader/system_CMSDK_CM0.o b/system/testcodes/bootloader/system_CMSDK_CM0.o deleted file mode 100644 index 9c853cf9b7cc6e95e17d16dcef7eba37e6ee6d9e..0000000000000000000000000000000000000000 Binary files a/system/testcodes/bootloader/system_CMSDK_CM0.o and /dev/null differ diff --git a/system/testcodes/debug_tests/makefile b/system/testcodes/debug_tests/makefile index 1c0d5f15c1ab4123a00d634aec3644ba4faf1466..477ff41916339d9899621a5092254e543b372cff 100644 --- a/system/testcodes/debug_tests/makefile +++ b/system/testcodes/debug_tests/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0 # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include GENERIC_DIR = ../generic diff --git a/system/testcodes/default_slaves_tests/makefile b/system/testcodes/default_slaves_tests/makefile index 2437c5ce159c80575ace2888fc641389ba5df544..31c1a34731bd947e8564b6e9ddd4ce6abaafda39 100644 --- a/system/testcodes/default_slaves_tests/makefile +++ b/system/testcodes/default_slaves_tests/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/dhry/dhry.ELF b/system/testcodes/dhry/dhry.ELF deleted file mode 100644 index 36adcb60214dd159fdb3b58a9511f3a26825d70b..0000000000000000000000000000000000000000 Binary files a/system/testcodes/dhry/dhry.ELF and /dev/null differ diff --git a/system/testcodes/dhry/dhry.hex b/system/testcodes/dhry/dhry.hex deleted file mode 100644 index 4300a2fac3041637ff1b9bcf493b9208f35d3f3b..0000000000000000000000000000000000000000 --- a/system/testcodes/dhry/dhry.hex +++ /dev/null @@ -1,5424 +0,0 @@ -68 -2B -00 -30 -8D -0C -00 -00 -95 -0C -00 -00 -97 -0C -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -99 -0C -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -9B -0C -00 -00 -9D -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -9F -0C -00 -00 -00 -F0 -02 -F8 -00 -F0 -54 -F8 -0C -A0 -30 -C8 -08 -38 -24 -18 -2D -18 -A2 -46 -67 -1E -AB -46 -54 -46 -5D -46 -AC -42 -01 -D1 -00 -F0 -46 -F8 -7E -46 -0F -3E -0F -CC -B6 -46 -01 -26 -33 -42 -00 -D0 -FB -1A -A2 -46 -AB -46 -33 -43 -18 -47 -E8 -13 -00 -00 -08 -14 -00 -00 -10 -3A -02 -D3 -78 -C8 -78 -C1 -FA -D8 -52 -07 -01 -D3 -30 -C8 -30 -C1 -01 -D5 -04 -68 -0C -60 -70 -47 -00 -00 -00 -23 -00 -24 -00 -25 -00 -26 -10 -3A -01 -D3 -78 -C1 -FB -D8 -52 -07 -00 -D3 -30 -C1 -00 -D5 -0B -60 -70 -47 -10 -B5 -64 -29 -02 -D1 -00 -F0 -97 -FE -10 -BD -63 -29 -02 -D1 -01 -F0 -EA -F8 -10 -BD -73 -29 -02 -D1 -01 -F0 -ED -F8 -10 -BD -00 -20 -10 -BD -1F -B5 -00 -98 -01 -99 -01 -F0 -20 -F8 -1F -BD -10 -B5 -10 -BD -01 -F0 -48 -F9 -11 -46 -FF -F7 -F3 -FF -00 -F0 -84 -F8 -01 -F0 -60 -F9 -03 -B4 -FF -F7 -F2 -FF -03 -BC -00 -F0 -AE -FD -00 -00 -70 -B5 -05 -46 -0C -46 -16 -46 -02 -E0 -0F -CC -0F -C5 -10 -3E -10 -2E -FA -D2 -08 -2E -02 -D3 -03 -CC -03 -C5 -08 -3E -04 -2E -07 -D3 -01 -CC -01 -C5 -36 -1F -03 -E0 -21 -78 -29 -70 -64 -1C -6D -1C -76 -1E -F9 -D2 -70 -BD -CB -4B -02 -68 -19 -78 -0A -32 -41 -29 -02 -D0 -00 -2C -FB -D1 -70 -47 -19 -69 -52 -1E -51 -1A -01 -60 -70 -47 -10 -B5 -C4 -49 -8A -68 -00 -2A -01 -D0 -12 -68 -02 -60 -8A -68 -09 -69 -0A -20 -0C -32 -00 -F0 -E8 -FC -10 -BD -F8 -B5 -BD -4F -05 -46 -04 -68 -B8 -68 -4E -C8 -4E -C4 -4E -C8 -4E -C4 -0F -C8 -0F -C4 -05 -20 -30 -3C -E8 -60 -E0 -60 -28 -68 -20 -60 -20 -46 -FF -F7 -DE -FF -20 -79 -00 -28 -07 -D0 -28 -68 -1E -C8 -1E -C5 -1E -C8 -1E -C5 -0F -C8 -0F -C5 -F8 -BD -06 -20 -E0 -60 -21 -46 -28 -7A -08 -31 -00 -F0 -A0 -FC -B8 -68 -22 -46 -00 -68 -20 -60 -0C -32 -0A -21 -E0 -68 -00 -F0 -B8 -FC -F8 -BD -A5 -48 -01 -78 -41 -29 -06 -D0 -00 -21 -42 -69 -11 -43 -41 -61 -42 -21 -41 -70 -70 -47 -01 -21 -F7 -E7 -9F -48 -41 -21 -01 -70 -00 -21 -41 -61 -70 -47 -F0 -B5 -99 -B0 -00 -F0 -2F -FD -30 -20 -00 -F0 -51 -FD -98 -4F -F8 -60 -30 -20 -00 -F0 -4C -FD -B8 -60 -F9 -68 -01 -60 -00 -25 -05 -71 -02 -24 -04 -72 -28 -21 -C1 -60 -1F -22 -92 -A1 -10 -30 -FF -F7 -6B -FF -98 -A0 -4E -C8 -10 -AC -4E -C4 -0F -C8 -0F -C4 -9D -48 -0A -21 -07 -90 -C1 -65 -9C -A0 -00 -F0 -62 -FD -9B -A0 -00 -F0 -5F -FD -99 -A0 -00 -F0 -5C -FD -78 -68 -00 -28 -06 -D0 -A3 -A0 -00 -F0 -56 -FD -94 -A0 -00 -F0 -53 -FD -05 -E0 -AA -A0 -00 -F0 -4F -FD -91 -A0 -00 -F0 -4C -FD -B3 -A0 -00 -F0 -49 -FD -C8 -20 -03 -90 -8D -A0 -00 -F0 -44 -FD -BD -A0 -03 -99 -00 -F0 -40 -FD -C8 -48 -C7 -49 -41 -61 -85 -61 -05 -21 -01 -61 -81 -69 -00 -29 -FC -D0 -C4 -48 -80 -69 -01 -25 -B8 -61 -FF -F7 -A2 -FF -FF -F7 -93 -FF -02 -20 -01 -90 -C0 -A0 -4E -C8 -0B -96 -0A -93 -09 -92 -08 -91 -0F -C8 -0C -AE -0F -C6 -01 -20 -69 -46 -08 -76 -03 -24 -08 -A9 -10 -A8 -00 -F0 -60 -FC -00 -28 -00 -D1 -2F -E3 -00 -20 -78 -61 -01 -98 -03 -28 -0D -DA -81 -00 -40 -18 -00 -1B -02 -90 -02 -AA -21 -46 -01 -98 -00 -F0 -26 -FC -01 -98 -40 -1C -01 -90 -A0 -42 -F1 -DB -02 -46 -B4 -49 -B4 -48 -02 -9B -00 -F0 -1F -FC -B8 -68 -FF -F7 -31 -FF -78 -78 -41 -26 -41 -28 -1D -D3 -43 -21 -30 -46 -00 -F0 -31 -FC -69 -46 -09 -7E -88 -42 -10 -D1 -06 -A9 -00 -20 -00 -F0 -E7 -FB -AA -A0 -1E -C8 -0B -94 -0A -93 -09 -92 -08 -91 -0F -C8 -0F -93 -0E -92 -0D -91 -0C -90 -2C -46 -3D -61 -76 -1C -78 -78 -F6 -B2 -86 -42 -E1 -D9 -01 -98 -02 -99 -44 -43 -20 -46 -00 -F0 -D7 -FD -02 -99 -01 -90 -61 -1A -CA -00 -51 -1A -0C -1A -01 -A8 -FF -F7 -E4 -FE -03 -98 -6D -1C -85 -42 -94 -DD -8C -48 -80 -69 -F8 -61 -9E -A0 -00 -F0 -C2 -FC -4A -A0 -00 -F0 -BF -FC -9F -A0 -00 -F0 -BC -FC -47 -A0 -00 -F0 -B9 -FC -AA -A0 -39 -69 -00 -F0 -B5 -FC -05 -21 -AE -A0 -00 -F0 -B1 -FC -B4 -A0 -79 -69 -00 -F0 -AD -FC -01 -21 -AA -A0 -00 -F0 -A9 -FC -39 -78 -B6 -A0 -00 -F0 -A5 -FC -41 -21 -BB -A0 -00 -F0 -A1 -FC -79 -78 -C0 -A0 -00 -F0 -9D -FC -42 -21 -B7 -A0 -00 -F0 -99 -FC -7F -48 -01 -6A -C3 -A0 -00 -F0 -94 -FC -07 -21 -9E -A0 -00 -F0 -90 -FC -07 -98 -C1 -6D -C5 -A0 -00 -F0 -8B -FC -CB -A0 -00 -F0 -88 -FC -D4 -A0 -00 -F0 -85 -FC -B8 -68 -01 -68 -D5 -A0 -00 -F0 -80 -FC -DA -A0 -00 -F0 -7D -FC -B8 -68 -01 -79 -E5 -A0 -00 -F0 -78 -FC -00 -21 -90 -A0 -00 -F0 -74 -FC -B8 -68 -01 -7A -E7 -A0 -00 -F0 -6F -FC -02 -21 -8B -A0 -00 -F0 -6B -FC -B8 -68 -C1 -68 -EA -A0 -00 -F0 -66 -FC -11 -21 -87 -A0 -00 -F0 -62 -FC -B9 -68 -ED -A0 -10 -31 -00 -F0 -5D -FC -F2 -A0 -00 -F0 -5A -FC -FE -A0 -00 -F0 -57 -FC -F8 -68 -01 -68 -BE -A0 -00 -F0 -52 -FC -FE -E1 -00 -00 -00 -00 -00 -30 -44 -48 -52 -59 -53 -54 -4F -4E -45 -20 -50 -52 -4F -47 -52 -41 -4D -2C -20 -53 -4F -4D -45 -20 -53 -54 -52 -49 -4E -47 -00 -00 -44 -48 -52 -59 -53 -54 -4F -4E -45 -20 -50 -52 -4F -47 -52 -41 -4D -2C -20 -31 -27 -53 -54 -20 -53 -54 -52 -49 -4E -47 -00 -00 -F4 -06 -00 -30 -0A -00 -00 -00 -44 -68 -72 -79 -73 -74 -6F -6E -65 -20 -42 -65 -6E -63 -68 -6D -61 -72 -6B -2C -20 -56 -65 -72 -73 -69 -6F -6E -20 -32 -2E -31 -20 -28 -4C -61 -6E -67 -75 -61 -67 -65 -3A -20 -43 -29 -0A -00 -50 -72 -6F -67 -72 -61 -6D -20 -63 -6F -6D -70 -69 -6C -65 -64 -20 -77 -69 -74 -68 -20 -27 -72 -65 -67 -69 -73 -74 -65 -72 -27 -20 -61 -74 -74 -72 -69 -62 -75 -74 -65 -0A -00 -50 -72 -6F -67 -72 -61 -6D -20 -63 -6F -6D -70 -69 -6C -65 -64 -20 -77 -69 -74 -68 -6F -75 -74 -20 -27 -72 -65 -67 -69 -73 -74 -65 -72 -27 -20 -61 -74 -74 -72 -69 -62 -75 -74 -65 -0A -00 -00 -50 -6C -65 -61 -73 -65 -20 -67 -69 -76 -65 -20 -74 -68 -65 -20 -6E -75 -6D -62 -65 -72 -20 -6F -66 -20 -72 -75 -6E -73 -20 -74 -68 -72 -6F -75 -67 -68 -20 -74 -68 -65 -20 -62 -65 -6E -63 -68 -6D -61 -72 -6B -3A -20 -00 -00 -45 -78 -65 -63 -75 -74 -69 -6F -6E -20 -73 -74 -61 -72 -74 -73 -2C -20 -25 -64 -20 -72 -75 -6E -73 -20 -74 -68 -72 -6F -75 -67 -68 -20 -44 -68 -72 -79 -73 -74 -6F -6E -65 -0A -00 -00 -00 -00 -FF -FF -FF -00 -00 -E0 -00 -E0 -44 -48 -52 -59 -53 -54 -4F -4E -45 -20 -50 -52 -4F -47 -52 -41 -4D -2C -20 -32 -27 -4E -44 -20 -53 -54 -52 -49 -4E -47 -00 -00 -F4 -00 -00 -30 -2C -00 -00 -30 -44 -48 -52 -59 -53 -54 -4F -4E -45 -20 -50 -52 -4F -47 -52 -41 -4D -2C -20 -33 -27 -52 -44 -20 -53 -54 -52 -49 -4E -47 -00 -00 -45 -78 -65 -63 -75 -74 -69 -6F -6E -20 -65 -6E -64 -73 -0A -00 -46 -69 -6E -61 -6C -20 -76 -61 -6C -75 -65 -73 -20 -6F -66 -20 -74 -68 -65 -20 -76 -61 -72 -69 -61 -62 -6C -65 -73 -20 -75 -73 -65 -64 -20 -69 -6E -20 -74 -68 -65 -20 -62 -65 -6E -63 -68 -6D -61 -72 -6B -3A -0A -00 -00 -00 -49 -6E -74 -5F -47 -6C -6F -62 -3A -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -25 -64 -0A -00 -00 -00 -00 -20 -20 -20 -20 -20 -20 -20 -20 -73 -68 -6F -75 -6C -64 -20 -62 -65 -3A -20 -20 -20 -25 -64 -0A -00 -00 -00 -00 -42 -6F -6F -6C -5F -47 -6C -6F -62 -3A -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -25 -64 -0A -00 -00 -00 -00 -43 -68 -5F -31 -5F -47 -6C -6F -62 -3A -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -25 -63 -0A -00 -00 -00 -00 -20 -20 -20 -20 -20 -20 -20 -20 -73 -68 -6F -75 -6C -64 -20 -62 -65 -3A -20 -20 -20 -25 -63 -0A -00 -00 -00 -00 -43 -68 -5F -32 -5F -47 -6C -6F -62 -3A -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -25 -63 -0A -00 -00 -00 -00 -41 -72 -72 -5F -31 -5F -47 -6C -6F -62 -5B -38 -5D -3A -20 -20 -20 -20 -20 -20 -20 -25 -64 -0A -00 -00 -00 -00 -41 -72 -72 -5F -32 -5F -47 -6C -6F -62 -5B -38 -5D -5B -37 -5D -3A -20 -20 -20 -20 -25 -64 -0A -00 -00 -00 -00 -20 -20 -20 -20 -20 -20 -20 -20 -73 -68 -6F -75 -6C -64 -20 -62 -65 -3A -20 -20 -20 -4E -75 -6D -62 -65 -72 -5F -4F -66 -5F -52 -75 -6E -73 -20 -2B -20 -31 -30 -0A -00 -00 -00 -50 -74 -72 -5F -47 -6C -6F -62 -2D -3E -0A -00 -20 -20 -50 -74 -72 -5F -43 -6F -6D -70 -3A -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -25 -64 -0A -00 -00 -00 -00 -20 -20 -20 -20 -20 -20 -20 -20 -73 -68 -6F -75 -6C -64 -20 -62 -65 -3A -20 -20 -20 -28 -69 -6D -70 -6C -65 -6D -65 -6E -74 -61 -74 -69 -6F -6E -2D -64 -65 -70 -65 -6E -64 -65 -6E -74 -29 -0A -00 -00 -00 -00 -20 -20 -44 -69 -73 -63 -72 -3A -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -25 -64 -0A -00 -00 -00 -00 -20 -20 -45 -6E -75 -6D -5F -43 -6F -6D -70 -3A -20 -20 -20 -20 -20 -20 -20 -20 -20 -25 -64 -0A -00 -00 -00 -00 -20 -20 -49 -6E -74 -5F -43 -6F -6D -70 -3A -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -25 -64 -0A -00 -00 -00 -00 -20 -20 -53 -74 -72 -5F -43 -6F -6D -70 -3A -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -25 -73 -0A -00 -00 -00 -00 -20 -20 -20 -20 -20 -20 -20 -20 -73 -68 -6F -75 -6C -64 -20 -62 -65 -3A -20 -20 -20 -44 -48 -52 -59 -53 -54 -4F -4E -45 -20 -50 -52 -4F -47 -52 -41 -4D -2C -20 -53 -4F -4D -45 -20 -53 -54 -52 -49 -4E -47 -0A -00 -00 -00 -00 -4E -65 -78 -74 -5F -50 -74 -72 -5F -47 -6C -6F -62 -2D -3E -0A -00 -00 -00 -00 -35 -A0 -00 -F0 -4F -FA -F8 -68 -01 -79 -43 -48 -00 -F0 -4A -FA -00 -21 -42 -48 -00 -F0 -46 -FA -F8 -68 -01 -7A -40 -48 -00 -F0 -41 -FA -01 -21 -3D -48 -00 -F0 -3D -FA -F8 -68 -C1 -68 -3D -48 -00 -F0 -38 -FA -12 -21 -39 -48 -00 -F0 -34 -FA -F9 -68 -3A -48 -10 -31 -00 -F0 -2F -FA -39 -48 -00 -F0 -2C -FA -38 -A0 -01 -99 -00 -F0 -28 -FA -05 -21 -31 -48 -00 -F0 -24 -FA -21 -46 -3B -A0 -00 -F0 -20 -FA -0D -21 -2D -48 -00 -F0 -1C -FA -3E -A0 -02 -99 -00 -F0 -18 -FA -07 -21 -29 -48 -00 -F0 -14 -FA -68 -46 -01 -7E -40 -A0 -00 -F0 -0F -FA -01 -21 -24 -48 -00 -F0 -0B -FA -10 -A9 -43 -A0 -00 -F0 -07 -FA -49 -A0 -00 -F0 -04 -FA -08 -A9 -55 -A0 -00 -F0 -00 -FA -5A -A0 -00 -F0 -FD -F9 -67 -A0 -00 -F0 -FA -F9 -F9 -69 -B8 -69 -42 -1A -3A -62 -64 -A0 -03 -99 -00 -F0 -F2 -F9 -6D -A0 -00 -F0 -EF -F9 -04 -20 -00 -F0 -A3 -F9 -00 -20 -19 -B0 -F0 -BD -01 -20 -CE -E4 -00 -00 -20 -20 -20 -20 -20 -20 -20 -20 -73 -68 -6F -75 -6C -64 -20 -62 -65 -3A -20 -20 -20 -28 -69 -6D -70 -6C -65 -6D -65 -6E -74 -61 -74 -69 -6F -6E -2D -64 -65 -70 -65 -6E -64 -65 -6E -74 -29 -2C -20 -73 -61 -6D -65 -20 -61 -73 -20 -61 -62 -6F -76 -65 -0A -00 -38 -08 -00 -00 -EC -06 -00 -00 -54 -08 -00 -00 -70 -08 -00 -00 -8C -08 -00 -00 -A8 -08 -00 -00 -49 -6E -74 -5F -31 -5F -4C -6F -63 -3A -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -25 -64 -0A -00 -00 -00 -00 -49 -6E -74 -5F -32 -5F -4C -6F -63 -3A -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -25 -64 -0A -00 -00 -00 -00 -49 -6E -74 -5F -33 -5F -4C -6F -63 -3A -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -25 -64 -0A -00 -00 -00 -00 -45 -6E -75 -6D -5F -4C -6F -63 -3A -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -25 -64 -0A -00 -00 -00 -00 -53 -74 -72 -5F -31 -5F -4C -6F -63 -3A -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -25 -73 -0A -00 -00 -00 -00 -20 -20 -20 -20 -20 -20 -20 -20 -73 -68 -6F -75 -6C -64 -20 -62 -65 -3A -20 -20 -20 -44 -48 -52 -59 -53 -54 -4F -4E -45 -20 -50 -52 -4F -47 -52 -41 -4D -2C -20 -31 -27 -53 -54 -20 -53 -54 -52 -49 -4E -47 -0A -00 -00 -00 -00 -53 -74 -72 -5F -32 -5F -4C -6F -63 -3A -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -20 -25 -73 -0A -00 -00 -00 -00 -20 -20 -20 -20 -20 -20 -20 -20 -73 -68 -6F -75 -6C -64 -20 -62 -65 -3A -20 -20 -20 -44 -48 -52 -59 -53 -54 -4F -4E -45 -20 -50 -52 -4F -47 -52 -41 -4D -2C -20 -32 -27 -4E -44 -20 -53 -54 -52 -49 -4E -47 -0A -00 -00 -00 -00 -0A -00 -00 -00 -4E -75 -6D -62 -65 -72 -20 -6F -66 -20 -63 -79 -63 -6C -65 -73 -20 -66 -6F -72 -20 -25 -64 -20 -69 -74 -65 -72 -61 -74 -69 -6F -6E -20 -69 -73 -20 -25 -64 -0A -00 -00 -00 -00 -2A -2A -20 -54 -45 -53 -54 -20 -50 -41 -53 -53 -45 -44 -20 -2A -2A -20 -0A -00 -02 -28 -01 -D0 -00 -20 -70 -47 -01 -20 -70 -47 -10 -B5 -02 -46 -08 -70 -FF -F7 -F5 -FF -03 -46 -03 -20 -00 -2B -00 -D1 -08 -70 -00 -23 -05 -2A -08 -D2 -14 -00 -7C -44 -24 -79 -24 -19 -A7 -44 -02 -04 -09 -03 -0B -00 -0B -70 -10 -BD -2C -4A -12 -68 -64 -2A -F9 -DC -02 -E0 -01 -20 -00 -E0 -02 -20 -08 -70 -10 -BD -80 -1C -08 -18 -10 -60 -70 -47 -70 -B4 -54 -1D -92 -00 -14 -32 -83 -50 -16 -18 -B4 -67 -73 -60 -C8 -23 -63 -43 -59 -18 -8C -50 -8B -18 -19 -46 -80 -39 -5C -60 -CC -6F -05 -25 -64 -1C -CC -67 -1F -21 -C9 -01 -80 -58 -59 -18 -08 -62 -18 -48 -05 -60 -70 -BC -70 -47 -88 -42 -01 -D0 -00 -20 -70 -47 -15 -49 -08 -70 -01 -20 -70 -47 -70 -B5 -0B -46 -05 -46 -02 -24 -18 -19 -41 -78 -28 -5D -FF -F7 -EF -FF -00 -28 -01 -D1 -41 -22 -64 -1C -02 -2C -F4 -DD -10 -46 -57 -38 -03 -28 -00 -D2 -07 -24 -52 -2A -0A -D0 -19 -46 -28 -46 -00 -F0 -46 -F9 -00 -28 -06 -DD -04 -48 -E4 -1D -04 -60 -01 -20 -70 -BD -01 -20 -70 -BD -00 -20 -70 -BD -00 -00 -10 -00 -00 -30 -00 -00 -00 -30 -04 -49 -03 -48 -08 -60 -70 -47 -02 -49 -01 -48 -08 -60 -70 -47 -00 -E1 -F5 -05 -24 -00 -00 -30 -07 -48 -80 -47 -07 -48 -00 -47 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -04 -48 -05 -49 -05 -4A -06 -4B -70 -47 -00 -00 -7D -0C -00 -00 -C1 -00 -00 -00 -68 -28 -00 -30 -68 -2B -00 -30 -68 -29 -00 -30 -68 -29 -00 -30 -10 -B5 -C0 -B2 -00 -F0 -1D -F8 -10 -BD -10 -B5 -00 -F0 -1F -F8 -00 -F0 -17 -F8 -10 -BD -00 -20 -C0 -43 -70 -47 -10 -B5 -C0 -B2 -00 -F0 -0F -F8 -10 -BD -FE -E7 -41 -20 -0E -4A -40 -01 -10 -61 -01 -21 -91 -60 -0C -4A -10 -61 -91 -60 -0C -49 -20 -20 -88 -61 -70 -47 -09 -49 -4A -68 -D2 -07 -FC -D1 -08 -60 -70 -47 -05 -48 -41 -68 -89 -07 -FC -D5 -00 -68 -C0 -B2 -70 -47 -04 -20 -FF -F7 -F0 -FF -FE -E7 -00 -60 -00 -40 -00 -E0 -00 -40 -00 -10 -01 -40 -70 -47 -70 -B5 -06 -46 -00 -F0 -19 -FA -05 -68 -30 -46 -0B -30 -C4 -08 -E4 -00 -B4 -42 -01 -D8 -00 -20 -70 -BD -68 -68 -2A -46 -00 -28 -18 -D0 -01 -68 -A1 -42 -11 -D3 -0B -46 -21 -46 -08 -31 -8B -42 -08 -D3 -43 -68 -01 -19 -4B -60 -03 -68 -1B -1B -0B -60 -51 -60 -04 -60 -01 -E0 -41 -68 -51 -60 -00 -1D -70 -BD -02 -46 -40 -68 -00 -28 -E6 -D1 -21 -46 -28 -46 -00 -F0 -F7 -F9 -00 -28 -DC -D1 -70 -BD -0F -B4 -05 -49 -10 -B5 -03 -AA -02 -98 -00 -F0 -CB -FA -10 -BC -08 -BC -04 -B0 -18 -47 -00 -00 -28 -00 -00 -30 -F8 -B5 -0E -46 -04 -46 -00 -20 -20 -62 -20 -46 -E1 -68 -88 -47 -00 -28 -29 -D0 -25 -28 -02 -D0 -62 -68 -A1 -68 -20 -E0 -E1 -68 -20 -46 -00 -27 -88 -47 -05 -00 -1E -D0 -28 -46 -41 -38 -19 -28 -02 -D8 -01 -27 -FF -02 -20 -35 -32 -46 -20 -46 -27 -60 -29 -46 -FF -F7 -A2 -F9 -00 -28 -08 -D0 -01 -28 -04 -D0 -F6 -1D -F6 -08 -F6 -00 -08 -36 -D9 -E7 -36 -1D -D7 -E7 -62 -68 -28 -46 -A1 -68 -90 -47 -20 -6A -40 -1C -CF -E7 -20 -6A -F8 -BD -70 -B5 -0C -46 -05 -46 -01 -2A -05 -D0 -28 -78 -80 -06 -00 -D5 -EA -69 -00 -23 -02 -E0 -01 -23 -05 -E0 -5B -1C -93 -42 -02 -D2 -E0 -5C -00 -28 -F9 -D1 -A8 -69 -E6 -18 -C0 -1A -A8 -61 -28 -6A -C0 -18 -28 -62 -28 -46 -C0 -46 -C0 -46 -04 -E0 -6A -68 -20 -78 -A9 -68 -64 -1C -90 -47 -B4 -42 -F8 -D3 -28 -46 -C0 -46 -C0 -46 -70 -BD -00 -00 -F7 -B5 -00 -25 -75 -29 -10 -68 -00 -99 -14 -A6 -11 -D0 -C0 -46 -C0 -46 -00 -28 -02 -DA -40 -42 -11 -A6 -08 -E0 -00 -99 -09 -68 -8A -07 -01 -D5 -0F -A6 -02 -E0 -49 -07 -04 -D5 -0E -A6 -01 -25 -01 -E0 -C0 -46 -C0 -46 -00 -9F -00 -24 -24 -37 -04 -E0 -00 -F0 -57 -FA -30 -31 -39 -55 -64 -1C -00 -28 -F8 -D1 -2B -46 -32 -46 -21 -46 -00 -98 -00 -F0 -C9 -F9 -FE -BD -00 -00 -00 -00 -00 -00 -2D -00 -00 -00 -2B -00 -00 -00 -20 -00 -00 -00 -82 -07 -8B -07 -9A -42 -37 -D1 -70 -B5 -92 -0F -0A -D0 -03 -24 -02 -78 -0B -78 -40 -1C -49 -1C -00 -2A -2B -D0 -9A -42 -29 -D1 -20 -42 -F5 -D1 -1D -4E -F4 -01 -04 -C8 -08 -C9 -95 -1B -95 -43 -25 -40 -10 -D1 -9A -42 -07 -D1 -04 -C8 -08 -C9 -95 -1B -95 -43 -25 -40 -08 -D1 -9A -42 -EF -D0 -12 -BA -1B -BA -01 -20 -9A -42 -00 -D2 -40 -42 -70 -BD -12 -BA -1B -BA -2D -BA -18 -24 -10 -00 -E0 -40 -19 -00 -E1 -40 -40 -1A -05 -D1 -2E -00 -E6 -40 -02 -D1 -08 -3C -F4 -D1 -D0 -1A -70 -BD -02 -78 -0B -78 -40 -1C -49 -1C -00 -2A -09 -D0 -9A -42 -07 -D1 -02 -78 -0B -78 -40 -1C -49 -1C -00 -2A -01 -D0 -9A -42 -EF -D0 -D0 -1A -70 -47 -01 -01 -01 -01 -00 -22 -03 -09 -8B -42 -73 -D3 -03 -0A -8B -42 -58 -D3 -03 -0B -8B -42 -3C -D3 -03 -0C -8B -42 -21 -D3 -12 -E0 -03 -46 -0B -43 -7F -D4 -00 -22 -43 -08 -8B -42 -74 -D3 -03 -09 -8B -42 -5F -D3 -03 -0A -8B -42 -44 -D3 -03 -0B -8B -42 -28 -D3 -03 -0C -8B -42 -0D -D3 -FF -22 -09 -02 -12 -BA -03 -0C -8B -42 -02 -D3 -12 -12 -09 -02 -65 -D0 -03 -0B -8B -42 -19 -D3 -00 -E0 -09 -0A -C3 -0B -8B -42 -01 -D3 -CB -03 -C0 -1A -52 -41 -83 -0B -8B -42 -01 -D3 -8B -03 -C0 -1A -52 -41 -43 -0B -8B -42 -01 -D3 -4B -03 -C0 -1A -52 -41 -03 -0B -8B -42 -01 -D3 -0B -03 -C0 -1A -52 -41 -C3 -0A -8B -42 -01 -D3 -CB -02 -C0 -1A -52 -41 -83 -0A -8B -42 -01 -D3 -8B -02 -C0 -1A -52 -41 -43 -0A -8B -42 -01 -D3 -4B -02 -C0 -1A -52 -41 -03 -0A -8B -42 -01 -D3 -0B -02 -C0 -1A -52 -41 -CD -D2 -C3 -09 -8B -42 -01 -D3 -CB -01 -C0 -1A -52 -41 -83 -09 -8B -42 -01 -D3 -8B -01 -C0 -1A -52 -41 -43 -09 -8B -42 -01 -D3 -4B -01 -C0 -1A -52 -41 -03 -09 -8B -42 -01 -D3 -0B -01 -C0 -1A -52 -41 -C3 -08 -8B -42 -01 -D3 -CB -00 -C0 -1A -52 -41 -83 -08 -8B -42 -01 -D3 -8B -00 -C0 -1A -52 -41 -43 -08 -8B -42 -01 -D3 -4B -00 -C0 -1A -52 -41 -41 -1A -00 -D2 -01 -46 -52 -41 -10 -46 -70 -47 -5D -E0 -CA -0F -00 -D0 -49 -42 -03 -10 -00 -D3 -40 -42 -53 -40 -00 -22 -9C -46 -03 -09 -8B -42 -2D -D3 -03 -0A -8B -42 -12 -D3 -FC -22 -89 -01 -12 -BA -03 -0A -8B -42 -0C -D3 -89 -01 -92 -11 -8B -42 -08 -D3 -89 -01 -92 -11 -8B -42 -04 -D3 -89 -01 -3A -D0 -92 -11 -00 -E0 -89 -09 -C3 -09 -8B -42 -01 -D3 -CB -01 -C0 -1A -52 -41 -83 -09 -8B -42 -01 -D3 -8B -01 -C0 -1A -52 -41 -43 -09 -8B -42 -01 -D3 -4B -01 -C0 -1A -52 -41 -03 -09 -8B -42 -01 -D3 -0B -01 -C0 -1A -52 -41 -C3 -08 -8B -42 -01 -D3 -CB -00 -C0 -1A -52 -41 -83 -08 -8B -42 -01 -D3 -8B -00 -C0 -1A -52 -41 -D9 -D2 -43 -08 -8B -42 -01 -D3 -4B -00 -C0 -1A -52 -41 -41 -1A -00 -D2 -01 -46 -63 -46 -52 -41 -5B -10 -10 -46 -01 -D3 -40 -42 -00 -2B -00 -D5 -49 -42 -70 -47 -63 -46 -5B -10 -00 -D3 -40 -42 -01 -B5 -00 -20 -C0 -46 -C0 -46 -02 -BD -70 -47 -70 -47 -70 -47 -00 -00 -00 -48 -70 -47 -0C -28 -00 -30 -70 -47 -70 -47 -70 -47 -70 -47 -38 -B5 -04 -46 -0A -46 -00 -20 -69 -46 -C0 -46 -C0 -46 -00 -28 -05 -D0 -02 -46 -20 -46 -00 -99 -00 -F0 -FC -F8 -01 -20 -38 -BD -10 -B5 -01 -20 -00 -F0 -28 -F9 -10 -BD -F8 -B5 -C0 -46 -C0 -46 -05 -46 -00 -20 -0C -46 -10 -20 -C0 -46 -06 -46 -A8 -19 -A0 -42 -0D -D9 -32 -46 -69 -46 -00 -20 -C0 -46 -C0 -46 -07 -00 -01 -D1 -00 -F0 -12 -F9 -00 -98 -A0 -42 -00 -D0 -05 -46 -C4 -19 -FF -F7 -C7 -FF -F6 -1D -07 -46 -05 -60 -F0 -08 -C0 -00 -46 -19 -28 -46 -00 -F0 -08 -F8 -B4 -42 -04 -D0 -31 -46 -38 -68 -A2 -1B -00 -F0 -C9 -F8 -F8 -BD -00 -21 -01 -60 -41 -60 -80 -60 -70 -47 -10 -20 -70 -47 -70 -B5 -04 -46 -05 -1F -FF -F7 -AA -FF -00 -68 -00 -2C -1A -D0 -44 -68 -01 -E0 -20 -46 -64 -68 -00 -2C -01 -D0 -AC -42 -F9 -D3 -01 -68 -0A -18 -AA -42 -04 -D1 -2A -68 -05 -46 -89 -18 -01 -60 -00 -E0 -45 -60 -28 -68 -41 -19 -A1 -42 -05 -D1 -61 -68 -69 -60 -21 -68 -40 -18 -28 -60 -70 -BD -6C -60 -70 -BD -FF -B5 -04 -46 -0D -46 -81 -B0 -24 -30 -00 -90 -21 -68 -88 -06 -04 -D5 -10 -22 -E0 -69 -91 -43 -21 -60 -00 -E0 -01 -20 -A8 -42 -01 -DD -47 -1B -00 -E0 -00 -27 -04 -98 -A1 -69 -7A -19 -10 -18 -08 -1A -A0 -61 -20 -78 -C0 -06 -02 -D4 -20 -46 -C0 -46 -C0 -46 -00 -26 -08 -E0 -03 -98 -62 -68 -A1 -68 -80 -5D -90 -47 -20 -6A -40 -1C -76 -1C -20 -62 -04 -98 -86 -42 -F3 -DB -20 -78 -C0 -06 -0A -D5 -20 -46 -C0 -46 -C0 -46 -06 -E0 -62 -68 -A1 -68 -30 -20 -90 -47 -20 -6A -40 -1C -20 -62 -38 -46 -7F -1E -00 -28 -F4 -DC -07 -E0 -00 -98 -62 -68 -A1 -68 -40 -5D -90 -47 -20 -6A -40 -1C -20 -62 -28 -46 -6D -1E -00 -28 -F3 -DC -20 -46 -C0 -46 -C0 -46 -20 -78 -00 -06 -02 -D5 -02 -20 -05 -B0 -F0 -BD -01 -20 -FB -E7 -10 -B5 -43 -69 -00 -2B -02 -D0 -C0 -46 -C0 -46 -01 -E0 -FF -F7 -80 -FD -01 -20 -10 -BD -12 -78 -01 -46 -24 -31 -0A -70 -00 -22 -4A -70 -01 -22 -EC -E7 -11 -68 -00 -22 -D2 -43 -E8 -E7 -08 -4B -70 -B5 -0D -46 -7B -44 -00 -F0 -45 -F8 -04 -46 -28 -46 -FF -F7 -C5 -FC -00 -28 -02 -D0 -00 -20 -C0 -43 -70 -BD -20 -46 -70 -BD -00 -00 -7F -F9 -FF -FF -01 -46 -80 -08 -08 -1A -02 -09 -10 -18 -02 -0A -10 -18 -02 -0C -10 -18 -C0 -08 -82 -00 -12 -18 -52 -00 -89 -1A -01 -E0 -40 -1C -0A -39 -0A -29 -FB -D2 -70 -47 -00 -48 -70 -47 -04 -28 -00 -30 -10 -B5 -03 -46 -40 -68 -01 -E0 -03 -46 -40 -68 -00 -28 -01 -D0 -88 -42 -F9 -D3 -18 -68 -C3 -18 -8B -42 -06 -D0 -CB -1C -D8 -08 -C0 -00 -00 -1D -41 -1A -52 -1A -01 -46 -08 -1D -0A -60 -FF -F7 -26 -FF -10 -BD -01 -69 -4A -1C -02 -61 -08 -78 -70 -47 -00 -B5 -8F -B0 -02 -91 -00 -21 -05 -91 -05 -49 -01 -93 -79 -44 -03 -91 -11 -46 -04 -90 -68 -46 -FF -F7 -E3 -FC -0F -B0 -00 -BD -00 -00 -E5 -FF -FF -FF -10 -B5 -00 -F0 -31 -F8 -00 -F0 -28 -F8 -10 -BD -75 -46 -FF -F7 -C1 -FF -AE -46 -05 -00 -69 -46 -53 -46 -C0 -08 -C0 -00 -85 -46 -18 -B0 -20 -B5 -FF -F7 -40 -FC -60 -BC -00 -27 -49 -08 -B6 -46 -00 -26 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -40 -3D -49 -00 -8D -46 -70 -47 -10 -B5 -04 -46 -C0 -46 -C0 -46 -20 -46 -FE -F7 -99 -FE -10 -BD -10 -B5 -00 -28 -01 -D0 -FF -F7 -47 -FC -10 -BD -00 -00 -10 -B5 -01 -28 -05 -D0 -00 -21 -03 -A0 -00 -F0 -21 -F8 -01 -20 -10 -BD -09 -A1 -F8 -E7 -00 -00 -53 -49 -47 -52 -54 -4D -45 -4D -3A -20 -4F -75 -74 -20 -6F -66 -20 -68 -65 -61 -70 -20 -6D -65 -6D -6F -72 -79 -00 -00 -00 -00 -3A -20 -48 -65 -61 -70 -20 -6D -65 -6D -6F -72 -79 -20 -63 -6F -72 -72 -75 -70 -74 -65 -64 -00 -70 -B5 -05 -46 -0C -46 -0A -20 -00 -E0 -6D -1C -FF -F7 -10 -FC -00 -2D -06 -D0 -28 -78 -00 -28 -F7 -D1 -02 -E0 -64 -1C -FF -F7 -07 -FC -00 -2C -02 -D0 -20 -78 -00 -28 -F7 -D1 -0A -20 -FF -F7 -FF -FB -70 -BD -04 -15 -00 -00 -00 -00 -00 -30 -2C -00 -00 -00 -04 -01 -00 -00 -30 -15 -00 -00 -2C -00 -00 -30 -3C -2B -00 -00 -20 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -E1 -F5 -05 -00 -00 -00 -00 diff --git a/system/testcodes/dhry/dhry.lst b/system/testcodes/dhry/dhry.lst deleted file mode 100644 index 8aa07d2c29bf1047910206fd66e4abfa5d07c8f3..0000000000000000000000000000000000000000 --- a/system/testcodes/dhry/dhry.lst +++ /dev/null @@ -1,3015 +0,0 @@ - -======================================================================== - -** ELF Header Information - - File Name: dhry.elf - - Machine class: ELFCLASS32 (32-bit) - Data encoding: ELFDATA2LSB (Little endian) - Header version: EV_CURRENT (Current version) - Operating System ABI: none - ABI Version: 0 - File Type: ET_EXEC (Executable) (2) - Machine: EM_ARM (ARM) - - Image Entry point: 0x000000c1 - Flags: EF_ARM_HASENTRY + EF_ARM_ABI_FLOAT_SOFT (0x05000202) - - ARM ELF revision: 5 (ABI version 2) - - Conforms to Soft float procedure-call standard - - Built with - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armasm [4d35fa] - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armcc [4d365d] - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armlink [4d3601] - - Header size: 52 bytes (0x34) - Program header entry size: 32 bytes (0x20) - Section header entry size: 40 bytes (0x28) - - Program header entries: 1 - Section header entries: 16 - - Program header offset: 42208 (0x0000a4e0) - Section header offset: 42240 (0x0000a500) - - Section header string table index: 15 - -======================================================================== - -** Program header #0 (PT_LOAD) [PF_X + PF_W + PF_R + PF_ARM_ENTRY] - Size : 16492 bytes (5424 bytes in file) - Virtual address: 0x00000000 (Alignment 8) - - -======================================================================== - -** Section #1 'ER_RO' (SHT_PROGBITS) [SHF_ALLOC + SHF_EXECINSTR] - Size : 5380 bytes (alignment 4) - Address: 0x00000000 - - $d.realdata - RESET - __Vectors - 0x00000000: 30002b68 h+.0 DCD 805317480 - 0x00000004: 00000c8d .... DCD 3213 - 0x00000008: 00000c95 .... DCD 3221 - 0x0000000c: 00000c97 .... DCD 3223 - 0x00000010: 00000000 .... DCD 0 - 0x00000014: 00000000 .... DCD 0 - 0x00000018: 00000000 .... DCD 0 - 0x0000001c: 00000000 .... DCD 0 - 0x00000020: 00000000 .... DCD 0 - 0x00000024: 00000000 .... DCD 0 - 0x00000028: 00000000 .... DCD 0 - 0x0000002c: 00000c99 .... DCD 3225 - 0x00000030: 00000000 .... DCD 0 - 0x00000034: 00000000 .... DCD 0 - 0x00000038: 00000c9b .... DCD 3227 - 0x0000003c: 00000c9d .... DCD 3229 - 0x00000040: 00000c9f .... DCD 3231 - 0x00000044: 00000c9f .... DCD 3231 - 0x00000048: 00000c9f .... DCD 3231 - 0x0000004c: 00000c9f .... DCD 3231 - 0x00000050: 00000c9f .... DCD 3231 - 0x00000054: 00000c9f .... DCD 3231 - 0x00000058: 00000c9f .... DCD 3231 - 0x0000005c: 00000c9f .... DCD 3231 - 0x00000060: 00000c9f .... DCD 3231 - 0x00000064: 00000c9f .... DCD 3231 - 0x00000068: 00000c9f .... DCD 3231 - 0x0000006c: 00000c9f .... DCD 3231 - 0x00000070: 00000c9f .... DCD 3231 - 0x00000074: 00000c9f .... DCD 3231 - 0x00000078: 00000c9f .... DCD 3231 - 0x0000007c: 00000c9f .... DCD 3231 - 0x00000080: 00000c9f .... DCD 3231 - 0x00000084: 00000c9f .... DCD 3231 - 0x00000088: 00000c9f .... DCD 3231 - 0x0000008c: 00000c9f .... DCD 3231 - 0x00000090: 00000c9f .... DCD 3231 - 0x00000094: 00000c9f .... DCD 3231 - 0x00000098: 00000c9f .... DCD 3231 - 0x0000009c: 00000c9f .... DCD 3231 - 0x000000a0: 00000c9f .... DCD 3231 - 0x000000a4: 00000c9f .... DCD 3231 - 0x000000a8: 00000c9f .... DCD 3231 - 0x000000ac: 00000c9f .... DCD 3231 - 0x000000b0: 00000c9f .... DCD 3231 - 0x000000b4: 00000c9f .... DCD 3231 - 0x000000b8: 00000c9f .... DCD 3231 - 0x000000bc: 00000c9f .... DCD 3231 - $t - !!!main - __Vectors_End - __main - 0x000000c0: f000f802 .... BL __scatterload ; 0xc8 - 0x000000c4: f000f854 ..T. BL __rt_entry ; 0x170 - !!!scatter - __scatterload - __scatterload_rt2 - __scatterload_rt2_thumb_only - 0x000000c8: a00c .. ADR r0,{pc}+0x34 ; 0xfc - 0x000000ca: c830 0. LDM r0!,{r4,r5} - 0x000000cc: 3808 .8 SUBS r0,r0,#8 - 0x000000ce: 1824 $. ADDS r4,r4,r0 - 0x000000d0: 182d -. ADDS r5,r5,r0 - 0x000000d2: 46a2 .F MOV r10,r4 - 0x000000d4: 1e67 g. SUBS r7,r4,#1 - 0x000000d6: 46ab .F MOV r11,r5 - __scatterload_null - 0x000000d8: 4654 TF MOV r4,r10 - 0x000000da: 465d ]F MOV r5,r11 - 0x000000dc: 42ac .B CMP r4,r5 - 0x000000de: d101 .. BNE 0xe4 ; __scatterload_null + 12 - 0x000000e0: f000f846 ..F. BL __rt_entry ; 0x170 - 0x000000e4: 467e ~F MOV r6,pc - 0x000000e6: 3e0f .> SUBS r6,r6,#0xf - 0x000000e8: cc0f .. LDM r4!,{r0-r3} - 0x000000ea: 46b6 .F MOV lr,r6 - 0x000000ec: 2601 .& MOVS r6,#1 - 0x000000ee: 4233 3B TST r3,r6 - 0x000000f0: d000 .. BEQ 0xf4 ; __scatterload_null + 28 - 0x000000f2: 1afb .. SUBS r3,r7,r3 - 0x000000f4: 46a2 .F MOV r10,r4 - 0x000000f6: 46ab .F MOV r11,r5 - 0x000000f8: 4333 3C ORRS r3,r3,r6 - 0x000000fa: 4718 .G BX r3 - $d - 0x000000fc: 000013e8 .... DCD 5096 - 0x00000100: 00001408 .... DCD 5128 - $t - !!handler_copy - __scatterload_copy - 0x00000104: 3a10 .: SUBS r2,r2,#0x10 - 0x00000106: d302 .. BCC 0x10e ; __scatterload_copy + 10 - 0x00000108: c878 x. LDM r0!,{r3-r6} - 0x0000010a: c178 x. STM r1!,{r3-r6} - 0x0000010c: d8fa .. BHI __scatterload_copy ; 0x104 - 0x0000010e: 0752 R. LSLS r2,r2,#29 - 0x00000110: d301 .. BCC 0x116 ; __scatterload_copy + 18 - 0x00000112: c830 0. LDM r0!,{r4,r5} - 0x00000114: c130 0. STM r1!,{r4,r5} - 0x00000116: d501 .. BPL 0x11c ; __scatterload_copy + 24 - 0x00000118: 6804 .h LDR r4,[r0,#0] - 0x0000011a: 600c .` STR r4,[r1,#0] - 0x0000011c: 4770 pG BX lr - 0x0000011e: 0000 .. MOVS r0,r0 - !!handler_zi - __scatterload_zeroinit - 0x00000120: 2300 .# MOVS r3,#0 - 0x00000122: 2400 .$ MOVS r4,#0 - 0x00000124: 2500 .% MOVS r5,#0 - 0x00000126: 2600 .& MOVS r6,#0 - 0x00000128: 3a10 .: SUBS r2,r2,#0x10 - 0x0000012a: d301 .. BCC 0x130 ; __scatterload_zeroinit + 16 - 0x0000012c: c178 x. STM r1!,{r3-r6} - 0x0000012e: d8fb .. BHI 0x128 ; __scatterload_zeroinit + 8 - 0x00000130: 0752 R. LSLS r2,r2,#29 - 0x00000132: d300 .. BCC 0x136 ; __scatterload_zeroinit + 22 - 0x00000134: c130 0. STM r1!,{r4,r5} - 0x00000136: d500 .. BPL 0x13a ; __scatterload_zeroinit + 26 - 0x00000138: 600b .` STR r3,[r1,#0] - 0x0000013a: 4770 pG BX lr - .ARM.Collect$$_printf_percent$$00000000 - _printf_percent - 0x0000013c: b510 .. PUSH {r4,lr} - .ARM.Collect$$_printf_percent$$00000009 - _printf_d - 0x0000013e: 2964 d) CMP r1,#0x64 - 0x00000140: d102 .. BNE _printf_c ; 0x148 - 0x00000142: f000fe97 .... BL _printf_int_dec ; 0xe74 - 0x00000146: bd10 .. POP {r4,pc} - .ARM.Collect$$_printf_percent$$00000013 - _printf_c - 0x00000148: 2963 c) CMP r1,#0x63 - 0x0000014a: d102 .. BNE _printf_s ; 0x152 - 0x0000014c: f001f8ea .... BL _printf_char ; 0x1324 - 0x00000150: bd10 .. POP {r4,pc} - .ARM.Collect$$_printf_percent$$00000014 - _printf_s - 0x00000152: 2973 s) CMP r1,#0x73 - 0x00000154: d102 .. BNE _printf_percent_end ; 0x15c - 0x00000156: f001f8ed .... BL _printf_string ; 0x1334 - 0x0000015a: bd10 .. POP {r4,pc} - .ARM.Collect$$_printf_percent$$00000017 - _printf_percent_end - 0x0000015c: 2000 . MOVS r0,#0 - 0x0000015e: bd10 .. POP {r4,pc} - .ARM.Collect$$libinit$$00000000 - __rt_lib_init - 0x00000160: b51f .. PUSH {r0-r4,lr} - .ARM.Collect$$libinit$$00000002 - .ARM.Collect$$libinit$$00000004 - .ARM.Collect$$libinit$$00000005 - __rt_lib_init_fp_1 - __rt_lib_init_heap_2 - __rt_lib_init_preinit_1 - 0x00000162: 9800 .. LDR r0,[sp,#0] - 0x00000164: 9901 .. LDR r1,[sp,#4] - 0x00000166: f001f820 .. . BL _init_alloc ; 0x11aa - .ARM.Collect$$libinit$$0000000A - .ARM.Collect$$libinit$$0000000C - .ARM.Collect$$libinit$$0000000E - .ARM.Collect$$libinit$$00000011 - .ARM.Collect$$libinit$$00000013 - .ARM.Collect$$libinit$$00000015 - .ARM.Collect$$libinit$$00000017 - .ARM.Collect$$libinit$$00000019 - .ARM.Collect$$libinit$$0000001B - .ARM.Collect$$libinit$$0000001D - .ARM.Collect$$libinit$$0000001F - .ARM.Collect$$libinit$$00000021 - .ARM.Collect$$libinit$$00000023 - .ARM.Collect$$libinit$$00000025 - .ARM.Collect$$libinit$$0000002C - .ARM.Collect$$libinit$$0000002E - .ARM.Collect$$libinit$$00000030 - .ARM.Collect$$libinit$$00000032 - .ARM.Collect$$libinit$$00000033 - __rt_lib_init_alloca_1 - __rt_lib_init_argv_1 - __rt_lib_init_atexit_1 - __rt_lib_init_clock_1 - __rt_lib_init_cpp_1 - __rt_lib_init_exceptions_1 - __rt_lib_init_fp_trap_1 - __rt_lib_init_getenv_1 - __rt_lib_init_heap_1 - __rt_lib_init_lc_collate_1 - __rt_lib_init_lc_ctype_1 - __rt_lib_init_lc_monetary_1 - __rt_lib_init_lc_numeric_1 - __rt_lib_init_lc_time_1 - __rt_lib_init_rand_1 - __rt_lib_init_return - __rt_lib_init_signal_1 - __rt_lib_init_stdio_1 - __rt_lib_init_user_alloc_1 - 0x0000016a: bd1f .. POP {r0-r4,pc} - .ARM.Collect$$libshutdown$$00000000 - __rt_lib_shutdown - 0x0000016c: b510 .. PUSH {r4,lr} - .ARM.Collect$$libshutdown$$00000002 - .ARM.Collect$$libshutdown$$00000004 - .ARM.Collect$$libshutdown$$00000006 - .ARM.Collect$$libshutdown$$00000009 - .ARM.Collect$$libshutdown$$0000000C - .ARM.Collect$$libshutdown$$0000000E - .ARM.Collect$$libshutdown$$00000011 - .ARM.Collect$$libshutdown$$00000012 - __rt_lib_shutdown_cpp_1 - __rt_lib_shutdown_fini_1 - __rt_lib_shutdown_fp_trap_1 - __rt_lib_shutdown_heap_1 - __rt_lib_shutdown_return - __rt_lib_shutdown_signal_1 - __rt_lib_shutdown_stdio_1 - __rt_lib_shutdown_user_alloc_1 - 0x0000016e: bd10 .. POP {r4,pc} - .ARM.Collect$$rtentry$$00000000 - .ARM.Collect$$rtentry$$00000002 - .ARM.Collect$$rtentry$$00000004 - __rt_entry - __rt_entry_presh_1 - __rt_entry_sh - 0x00000170: f001f948 ..H. BL __user_setup_stackheap ; 0x1404 - 0x00000174: 4611 .F MOV r1,r2 - .ARM.Collect$$rtentry$$00000009 - .ARM.Collect$$rtentry$$0000000A - __rt_entry_li - __rt_entry_postsh_1 - 0x00000176: f7fffff3 .... BL __rt_lib_init ; 0x160 - .ARM.Collect$$rtentry$$0000000C - .ARM.Collect$$rtentry$$0000000D - __rt_entry_main - __rt_entry_postli_1 - 0x0000017a: f000f884 .... BL main ; 0x286 - 0x0000017e: f001f960 ..`. BL exit ; 0x1442 - .ARM.Collect$$rtexit$$00000000 - __rt_exit - 0x00000182: b403 .. PUSH {r0,r1} - .ARM.Collect$$rtexit$$00000002 - .ARM.Collect$$rtexit$$00000003 - __rt_exit_ls - __rt_exit_prels_1 - 0x00000184: f7fffff2 .... BL __rt_lib_shutdown ; 0x16c - .ARM.Collect$$rtexit$$00000004 - __rt_exit_exit - 0x00000188: bc03 .. POP {r0,r1} - 0x0000018a: f000fdae .... BL _sys_exit ; 0xcea - 0x0000018e: 0000 .. MOVS r0,r0 - .emb_text - __aeabi_memcpy4 - __aeabi_memcpy8 - 0x00000190: b570 p. PUSH {r4-r6,lr} - 0x00000192: 4605 .F MOV r5,r0 - 0x00000194: 460c .F MOV r4,r1 - 0x00000196: 4616 .F MOV r6,r2 - 0x00000198: e002 .. B 0x1a0 ; __aeabi_memcpy4 + 16 - 0x0000019a: cc0f .. LDM r4!,{r0-r3} - 0x0000019c: c50f .. STM r5!,{r0-r3} - 0x0000019e: 3e10 .> SUBS r6,r6,#0x10 - 0x000001a0: 2e10 .. CMP r6,#0x10 - 0x000001a2: d2fa .. BCS 0x19a ; __aeabi_memcpy4 + 10 - 0x000001a4: 2e08 .. CMP r6,#8 - 0x000001a6: d302 .. BCC 0x1ae ; __aeabi_memcpy4 + 30 - 0x000001a8: cc03 .. LDM r4!,{r0,r1} - 0x000001aa: c503 .. STM r5!,{r0,r1} - 0x000001ac: 3e08 .> SUBS r6,r6,#8 - 0x000001ae: 2e04 .. CMP r6,#4 - 0x000001b0: d307 .. BCC 0x1c2 ; __aeabi_memcpy4 + 50 - 0x000001b2: cc01 .. LDM r4!,{r0} - 0x000001b4: c501 .. STM r5!,{r0} - 0x000001b6: 1f36 6. SUBS r6,r6,#4 - 0x000001b8: e003 .. B 0x1c2 ; __aeabi_memcpy4 + 50 - 0x000001ba: 7821 !x LDRB r1,[r4,#0] - 0x000001bc: 7029 )p STRB r1,[r5,#0] - 0x000001be: 1c64 d. ADDS r4,r4,#1 - 0x000001c0: 1c6d m. ADDS r5,r5,#1 - 0x000001c2: 1e76 v. SUBS r6,r6,#1 - 0x000001c4: d2f9 .. BCS 0x1ba ; __aeabi_memcpy4 + 42 - 0x000001c6: bd70 p. POP {r4-r6,pc} - .text - .emb_text - Proc_2 - _maybe_terminate_alloc - 0x000001c8: 4bcb .K LDR r3,[pc,#812] ; [0x4f8] = 0x30000000 - 0x000001ca: 6802 .h LDR r2,[r0,#0] - 0x000001cc: 7819 .x LDRB r1,[r3,#0] - 0x000001ce: 320a .2 ADDS r2,r2,#0xa - 0x000001d0: 2941 A) CMP r1,#0x41 - 0x000001d2: d002 .. BEQ 0x1da ; Proc_2 + 18 - 0x000001d4: 2c00 ., CMP r4,#0 - 0x000001d6: d1fb .. BNE 0x1d0 ; Proc_2 + 8 - 0x000001d8: 4770 pG BX lr - 0x000001da: 6919 .i LDR r1,[r3,#0x10] - 0x000001dc: 1e52 R. SUBS r2,r2,#1 - 0x000001de: 1a51 Q. SUBS r1,r2,r1 - 0x000001e0: 6001 .` STR r1,[r0,#0] - 0x000001e2: 4770 pG BX lr - Proc_3 - 0x000001e4: b510 .. PUSH {r4,lr} - 0x000001e6: 49c4 .I LDR r1,[pc,#784] ; [0x4f8] = 0x30000000 - 0x000001e8: 688a .h LDR r2,[r1,#8] - 0x000001ea: 2a00 .* CMP r2,#0 - 0x000001ec: d001 .. BEQ 0x1f2 ; Proc_3 + 14 - 0x000001ee: 6812 .h LDR r2,[r2,#0] - 0x000001f0: 6002 .` STR r2,[r0,#0] - 0x000001f2: 688a .h LDR r2,[r1,#8] - 0x000001f4: 6909 .i LDR r1,[r1,#0x10] - 0x000001f6: 200a . MOVS r0,#0xa - 0x000001f8: 320c .2 ADDS r2,r2,#0xc - 0x000001fa: f000fce8 .... BL Proc_7 ; 0xbce - 0x000001fe: bd10 .. POP {r4,pc} - Proc_1 - 0x00000200: b5f8 .. PUSH {r3-r7,lr} - 0x00000202: 4fbd .O LDR r7,[pc,#756] ; [0x4f8] = 0x30000000 - 0x00000204: 4605 .F MOV r5,r0 - 0x00000206: 6804 .h LDR r4,[r0,#0] - 0x00000208: 68b8 .h LDR r0,[r7,#8] - 0x0000020a: c84e N. LDM r0!,{r1-r3,r6} - 0x0000020c: c44e N. STM r4!,{r1-r3,r6} - 0x0000020e: c84e N. LDM r0!,{r1-r3,r6} - 0x00000210: c44e N. STM r4!,{r1-r3,r6} - 0x00000212: c80f .. LDM r0,{r0-r3} - 0x00000214: c40f .. STM r4!,{r0-r3} - 0x00000216: 2005 . MOVS r0,#5 - 0x00000218: 3c30 0< SUBS r4,r4,#0x30 - 0x0000021a: 60e8 .` STR r0,[r5,#0xc] - 0x0000021c: 60e0 .` STR r0,[r4,#0xc] - 0x0000021e: 6828 (h LDR r0,[r5,#0] - 0x00000220: 6020 ` STR r0,[r4,#0] - 0x00000222: 4620 F MOV r0,r4 - 0x00000224: f7ffffde .... BL Proc_3 ; 0x1e4 - 0x00000228: 7920 y LDRB r0,[r4,#4] - 0x0000022a: 2800 .( CMP r0,#0 - 0x0000022c: d007 .. BEQ 0x23e ; Proc_1 + 62 - 0x0000022e: 6828 (h LDR r0,[r5,#0] - 0x00000230: c81e .. LDM r0!,{r1-r4} - 0x00000232: c51e .. STM r5!,{r1-r4} - 0x00000234: c81e .. LDM r0!,{r1-r4} - 0x00000236: c51e .. STM r5!,{r1-r4} - 0x00000238: c80f .. LDM r0,{r0-r3} - 0x0000023a: c50f .. STM r5!,{r0-r3} - 0x0000023c: bdf8 .. POP {r3-r7,pc} - 0x0000023e: 2006 . MOVS r0,#6 - 0x00000240: 60e0 .` STR r0,[r4,#0xc] - 0x00000242: 4621 !F MOV r1,r4 - 0x00000244: 7a28 (z LDRB r0,[r5,#8] - 0x00000246: 3108 .1 ADDS r1,r1,#8 - 0x00000248: f000fca0 .... BL Proc_6 ; 0xb8c - 0x0000024c: 68b8 .h LDR r0,[r7,#8] - 0x0000024e: 4622 "F MOV r2,r4 - 0x00000250: 6800 .h LDR r0,[r0,#0] - 0x00000252: 6020 ` STR r0,[r4,#0] - 0x00000254: 320c .2 ADDS r2,r2,#0xc - 0x00000256: 210a .! MOVS r1,#0xa - 0x00000258: 68e0 .h LDR r0,[r4,#0xc] - 0x0000025a: f000fcb8 .... BL Proc_7 ; 0xbce - 0x0000025e: bdf8 .. POP {r3-r7,pc} - Proc_4 - 0x00000260: 48a5 .H LDR r0,[pc,#660] ; [0x4f8] = 0x30000000 - 0x00000262: 7801 .x LDRB r1,[r0,#0] - 0x00000264: 2941 A) CMP r1,#0x41 - 0x00000266: d006 .. BEQ 0x276 ; Proc_4 + 22 - 0x00000268: 2100 .! MOVS r1,#0 - 0x0000026a: 6942 Bi LDR r2,[r0,#0x14] - 0x0000026c: 4311 .C ORRS r1,r1,r2 - 0x0000026e: 6141 Aa STR r1,[r0,#0x14] - 0x00000270: 2142 B! MOVS r1,#0x42 - 0x00000272: 7041 Ap STRB r1,[r0,#1] - 0x00000274: 4770 pG BX lr - 0x00000276: 2101 .! MOVS r1,#1 - 0x00000278: e7f7 .. B 0x26a ; Proc_4 + 10 - Proc_5 - 0x0000027a: 489f .H LDR r0,[pc,#636] ; [0x4f8] = 0x30000000 - 0x0000027c: 2141 A! MOVS r1,#0x41 - 0x0000027e: 7001 .p STRB r1,[r0,#0] - 0x00000280: 2100 .! MOVS r1,#0 - 0x00000282: 6141 Aa STR r1,[r0,#0x14] - 0x00000284: 4770 pG BX lr - main - 0x00000286: b5f0 .. PUSH {r4-r7,lr} - 0x00000288: b099 .. SUB sp,sp,#0x64 - 0x0000028a: f000fd2f ../. BL UartStdOutInit ; 0xcec - 0x0000028e: 2030 0 MOVS r0,#0x30 - 0x00000290: f000fd51 ..Q. BL malloc ; 0xd36 - 0x00000294: 4f98 .O LDR r7,[pc,#608] ; [0x4f8] = 0x30000000 - 0x00000296: 60f8 .` STR r0,[r7,#0xc] - 0x00000298: 2030 0 MOVS r0,#0x30 - 0x0000029a: f000fd4c ..L. BL malloc ; 0xd36 - 0x0000029e: 60b8 .` STR r0,[r7,#8] - 0x000002a0: 68f9 .h LDR r1,[r7,#0xc] - 0x000002a2: 6001 .` STR r1,[r0,#0] - 0x000002a4: 2500 .% MOVS r5,#0 - 0x000002a6: 7105 .q STRB r5,[r0,#4] - 0x000002a8: 2402 .$ MOVS r4,#2 - 0x000002aa: 7204 .r STRB r4,[r0,#8] - 0x000002ac: 2128 (! MOVS r1,#0x28 - 0x000002ae: 60c1 .` STR r1,[r0,#0xc] - 0x000002b0: 221f ." MOVS r2,#0x1f - 0x000002b2: a192 .. ADR r1,{pc}+0x24a ; 0x4fc - 0x000002b4: 3010 .0 ADDS r0,r0,#0x10 - 0x000002b6: f7ffff6b ..k. BL __aeabi_memcpy4 ; 0x190 - 0x000002ba: a098 .. ADR r0,{pc}+0x262 ; 0x51c - 0x000002bc: c84e N. LDM r0!,{r1-r3,r6} - 0x000002be: ac10 .. ADD r4,sp,#0x40 - 0x000002c0: c44e N. STM r4!,{r1-r3,r6} - 0x000002c2: c80f .. LDM r0,{r0-r3} - 0x000002c4: c40f .. STM r4!,{r0-r3} - 0x000002c6: 489d .H LDR r0,[pc,#628] ; [0x53c] = 0x300006f4 - 0x000002c8: 210a .! MOVS r1,#0xa - 0x000002ca: 9007 .. STR r0,[sp,#0x1c] - 0x000002cc: 65c1 .e STR r1,[r0,#0x5c] - 0x000002ce: a09c .. ADR r0,{pc}+0x272 ; 0x540 - 0x000002d0: f000fd62 ..b. BL __2printf ; 0xd98 - 0x000002d4: a09b .. ADR r0,{pc}+0x270 ; 0x544 - 0x000002d6: f000fd5f .._. BL __2printf ; 0xd98 - 0x000002da: a099 .. ADR r0,{pc}+0x266 ; 0x540 - 0x000002dc: f000fd5c ..\. BL __2printf ; 0xd98 - 0x000002e0: 6878 xh LDR r0,[r7,#4] - 0x000002e2: 2800 .( CMP r0,#0 - 0x000002e4: d006 .. BEQ 0x2f4 ; main + 110 - 0x000002e6: a0a3 .. ADR r0,{pc}+0x28e ; 0x574 - 0x000002e8: f000fd56 ..V. BL __2printf ; 0xd98 - 0x000002ec: a094 .. ADR r0,{pc}+0x254 ; 0x540 - 0x000002ee: f000fd53 ..S. BL __2printf ; 0xd98 - 0x000002f2: e005 .. B 0x300 ; main + 122 - 0x000002f4: a0aa .. ADR r0,{pc}+0x2ac ; 0x5a0 - 0x000002f6: f000fd4f ..O. BL __2printf ; 0xd98 - 0x000002fa: a091 .. ADR r0,{pc}+0x246 ; 0x540 - 0x000002fc: f000fd4c ..L. BL __2printf ; 0xd98 - 0x00000300: a0b3 .. ADR r0,{pc}+0x2d0 ; 0x5d0 - 0x00000302: f000fd49 ..I. BL __2printf ; 0xd98 - 0x00000306: 20c8 . MOVS r0,#0xc8 - 0x00000308: 9003 .. STR r0,[sp,#0xc] - 0x0000030a: a08d .. ADR r0,{pc}+0x236 ; 0x540 - 0x0000030c: f000fd44 ..D. BL __2printf ; 0xd98 - 0x00000310: a0bd .. ADR r0,{pc}+0x2f8 ; 0x608 - 0x00000312: 9903 .. LDR r1,[sp,#0xc] - 0x00000314: f000fd40 ..@. BL __2printf ; 0xd98 - 0x00000318: 48c8 .H LDR r0,[pc,#800] ; [0x63c] = 0xe000e000 - 0x0000031a: 49c7 .I LDR r1,[pc,#796] ; [0x638] = 0xffffff - 0x0000031c: 6141 Aa STR r1,[r0,#0x14] - 0x0000031e: 6185 .a STR r5,[r0,#0x18] - 0x00000320: 2105 .! MOVS r1,#5 - 0x00000322: 6101 .a STR r1,[r0,#0x10] - 0x00000324: 6981 .i LDR r1,[r0,#0x18] - 0x00000326: 2900 .) CMP r1,#0 - 0x00000328: d0fc .. BEQ 0x324 ; main + 158 - 0x0000032a: 48c4 .H LDR r0,[pc,#784] ; [0x63c] = 0xe000e000 - 0x0000032c: 6980 .i LDR r0,[r0,#0x18] - 0x0000032e: 2501 .% MOVS r5,#1 - 0x00000330: 61b8 .a STR r0,[r7,#0x18] - 0x00000332: f7ffffa2 .... BL Proc_5 ; 0x27a - 0x00000336: f7ffff93 .... BL Proc_4 ; 0x260 - 0x0000033a: 2002 . MOVS r0,#2 - 0x0000033c: 9001 .. STR r0,[sp,#4] - 0x0000033e: a0c0 .. ADR r0,{pc}+0x302 ; 0x640 - 0x00000340: c84e N. LDM r0!,{r1-r3,r6} - 0x00000342: 960b .. STR r6,[sp,#0x2c] - 0x00000344: 930a .. STR r3,[sp,#0x28] - 0x00000346: 9209 .. STR r2,[sp,#0x24] - 0x00000348: 9108 .. STR r1,[sp,#0x20] - 0x0000034a: c80f .. LDM r0,{r0-r3} - 0x0000034c: ae0c .. ADD r6,sp,#0x30 - 0x0000034e: c60f .. STM r6!,{r0-r3} - 0x00000350: 2001 . MOVS r0,#1 - 0x00000352: 4669 iF MOV r1,sp - 0x00000354: 7608 .v STRB r0,[r1,#0x18] - 0x00000356: 2403 .$ MOVS r4,#3 - 0x00000358: a908 .. ADD r1,sp,#0x20 - 0x0000035a: a810 .. ADD r0,sp,#0x40 - 0x0000035c: f000fc60 ..`. BL Func_2 ; 0xc20 - 0x00000360: 2800 .( CMP r0,#0 - 0x00000362: d100 .. BNE 0x366 ; main + 224 - 0x00000364: e32f /. B 0x9c6 ; main + 1856 - 0x00000366: 2000 . MOVS r0,#0 - 0x00000368: 6178 xa STR r0,[r7,#0x14] - 0x0000036a: 9801 .. LDR r0,[sp,#4] - 0x0000036c: 2803 .( CMP r0,#3 - 0x0000036e: da0d .. BGE 0x38c ; main + 262 - 0x00000370: 0081 .. LSLS r1,r0,#2 - 0x00000372: 1840 @. ADDS r0,r0,r1 - 0x00000374: 1b00 .. SUBS r0,r0,r4 - 0x00000376: 9002 .. STR r0,[sp,#8] - 0x00000378: aa02 .. ADD r2,sp,#8 - 0x0000037a: 4621 !F MOV r1,r4 - 0x0000037c: 9801 .. LDR r0,[sp,#4] - 0x0000037e: f000fc26 ..&. BL Proc_7 ; 0xbce - 0x00000382: 9801 .. LDR r0,[sp,#4] - 0x00000384: 1c40 @. ADDS r0,r0,#1 - 0x00000386: 9001 .. STR r0,[sp,#4] - 0x00000388: 42a0 .B CMP r0,r4 - 0x0000038a: dbf1 .. BLT 0x370 ; main + 234 - 0x0000038c: 4602 .F MOV r2,r0 - 0x0000038e: 49b4 .I LDR r1,[pc,#720] ; [0x660] = 0x300000f4 - 0x00000390: 48b4 .H LDR r0,[pc,#720] ; [0x664] = 0x3000002c - 0x00000392: 9b02 .. LDR r3,[sp,#8] - 0x00000394: f000fc1f .... BL Proc_8 ; 0xbd6 - 0x00000398: 68b8 .h LDR r0,[r7,#8] - 0x0000039a: f7ffff31 ..1. BL Proc_1 ; 0x200 - 0x0000039e: 7878 xx LDRB r0,[r7,#1] - 0x000003a0: 2641 A& MOVS r6,#0x41 - 0x000003a2: 2841 A( CMP r0,#0x41 - 0x000003a4: d31d .. BCC 0x3e2 ; main + 348 - 0x000003a6: 2143 C! MOVS r1,#0x43 - 0x000003a8: 4630 0F MOV r0,r6 - 0x000003aa: f000fc31 ..1. BL Func_1 ; 0xc10 - 0x000003ae: 4669 iF MOV r1,sp - 0x000003b0: 7e09 .~ LDRB r1,[r1,#0x18] - 0x000003b2: 4288 .B CMP r0,r1 - 0x000003b4: d110 .. BNE 0x3d8 ; main + 338 - 0x000003b6: a906 .. ADD r1,sp,#0x18 - 0x000003b8: 2000 . MOVS r0,#0 - 0x000003ba: f000fbe7 .... BL Proc_6 ; 0xb8c - 0x000003be: a0aa .. ADR r0,{pc}+0x2aa ; 0x668 - 0x000003c0: c81e .. LDM r0!,{r1-r4} - 0x000003c2: 940b .. STR r4,[sp,#0x2c] - 0x000003c4: 930a .. STR r3,[sp,#0x28] - 0x000003c6: 9209 .. STR r2,[sp,#0x24] - 0x000003c8: 9108 .. STR r1,[sp,#0x20] - 0x000003ca: c80f .. LDM r0,{r0-r3} - 0x000003cc: 930f .. STR r3,[sp,#0x3c] - 0x000003ce: 920e .. STR r2,[sp,#0x38] - 0x000003d0: 910d .. STR r1,[sp,#0x34] - 0x000003d2: 900c .. STR r0,[sp,#0x30] - 0x000003d4: 462c ,F MOV r4,r5 - 0x000003d6: 613d =a STR r5,[r7,#0x10] - 0x000003d8: 1c76 v. ADDS r6,r6,#1 - 0x000003da: 7878 xx LDRB r0,[r7,#1] - 0x000003dc: b2f6 .. UXTB r6,r6 - 0x000003de: 4286 .B CMP r6,r0 - 0x000003e0: d9e1 .. BLS 0x3a6 ; main + 288 - 0x000003e2: 9801 .. LDR r0,[sp,#4] - 0x000003e4: 9902 .. LDR r1,[sp,#8] - 0x000003e6: 4344 DC MULS r4,r0,r4 - 0x000003e8: 4620 F MOV r0,r4 - 0x000003ea: f000fdd7 .... BL __aeabi_idiv ; 0xf9c - 0x000003ee: 9902 .. LDR r1,[sp,#8] - 0x000003f0: 9001 .. STR r0,[sp,#4] - 0x000003f2: 1a61 a. SUBS r1,r4,r1 - 0x000003f4: 00ca .. LSLS r2,r1,#3 - 0x000003f6: 1a51 Q. SUBS r1,r2,r1 - 0x000003f8: 1a0c .. SUBS r4,r1,r0 - 0x000003fa: a801 .. ADD r0,sp,#4 - 0x000003fc: f7fffee4 .... BL Proc_2 ; 0x1c8 - 0x00000400: 9803 .. LDR r0,[sp,#0xc] - 0x00000402: 1c6d m. ADDS r5,r5,#1 - 0x00000404: 4285 .B CMP r5,r0 - 0x00000406: dd94 .. BLE 0x332 ; main + 172 - 0x00000408: 488c .H LDR r0,[pc,#560] ; [0x63c] = 0xe000e000 - 0x0000040a: 6980 .i LDR r0,[r0,#0x18] - 0x0000040c: 61f8 .a STR r0,[r7,#0x1c] - 0x0000040e: a09e .. ADR r0,{pc}+0x27a ; 0x688 - 0x00000410: f000fcc2 .... BL __2printf ; 0xd98 - 0x00000414: a04a J. ADR r0,{pc}+0x12c ; 0x540 - 0x00000416: f000fcbf .... BL __2printf ; 0xd98 - 0x0000041a: a09f .. ADR r0,{pc}+0x27e ; 0x698 - 0x0000041c: f000fcbc .... BL __2printf ; 0xd98 - 0x00000420: a047 G. ADR r0,{pc}+0x120 ; 0x540 - 0x00000422: f000fcb9 .... BL __2printf ; 0xd98 - 0x00000426: a0aa .. ADR r0,{pc}+0x2aa ; 0x6d0 - 0x00000428: 6939 9i LDR r1,[r7,#0x10] - 0x0000042a: f000fcb5 .... BL __2printf ; 0xd98 - 0x0000042e: 2105 .! MOVS r1,#5 - 0x00000430: a0ae .. ADR r0,{pc}+0x2bc ; 0x6ec - 0x00000432: f000fcb1 .... BL __2printf ; 0xd98 - 0x00000436: a0b4 .. ADR r0,{pc}+0x2d2 ; 0x708 - 0x00000438: 6979 yi LDR r1,[r7,#0x14] - 0x0000043a: f000fcad .... BL __2printf ; 0xd98 - 0x0000043e: 2101 .! MOVS r1,#1 - 0x00000440: a0aa .. ADR r0,{pc}+0x2ac ; 0x6ec - 0x00000442: f000fca9 .... BL __2printf ; 0xd98 - 0x00000446: 7839 9x LDRB r1,[r7,#0] - 0x00000448: a0b6 .. ADR r0,{pc}+0x2dc ; 0x724 - 0x0000044a: f000fca5 .... BL __2printf ; 0xd98 - 0x0000044e: 2141 A! MOVS r1,#0x41 - 0x00000450: a0bb .. ADR r0,{pc}+0x2f0 ; 0x740 - 0x00000452: f000fca1 .... BL __2printf ; 0xd98 - 0x00000456: 7879 yx LDRB r1,[r7,#1] - 0x00000458: a0c0 .. ADR r0,{pc}+0x304 ; 0x75c - 0x0000045a: f000fc9d .... BL __2printf ; 0xd98 - 0x0000045e: 2142 B! MOVS r1,#0x42 - 0x00000460: a0b7 .. ADR r0,{pc}+0x2e0 ; 0x740 - 0x00000462: f000fc99 .... BL __2printf ; 0xd98 - 0x00000466: 487f .H LDR r0,[pc,#508] ; [0x664] = 0x3000002c - 0x00000468: 6a01 .j LDR r1,[r0,#0x20] - 0x0000046a: a0c3 .. ADR r0,{pc}+0x30e ; 0x778 - 0x0000046c: f000fc94 .... BL __2printf ; 0xd98 - 0x00000470: 2107 .! MOVS r1,#7 - 0x00000472: a09e .. ADR r0,{pc}+0x27a ; 0x6ec - 0x00000474: f000fc90 .... BL __2printf ; 0xd98 - 0x00000478: 9807 .. LDR r0,[sp,#0x1c] - 0x0000047a: 6dc1 .m LDR r1,[r0,#0x5c] - 0x0000047c: a0c5 .. ADR r0,{pc}+0x318 ; 0x794 - 0x0000047e: f000fc8b .... BL __2printf ; 0xd98 - 0x00000482: a0cb .. ADR r0,{pc}+0x32e ; 0x7b0 - 0x00000484: f000fc88 .... BL __2printf ; 0xd98 - 0x00000488: a0d4 .. ADR r0,{pc}+0x354 ; 0x7dc - 0x0000048a: f000fc85 .... BL __2printf ; 0xd98 - 0x0000048e: 68b8 .h LDR r0,[r7,#8] - 0x00000490: 6801 .h LDR r1,[r0,#0] - 0x00000492: a0d5 .. ADR r0,{pc}+0x356 ; 0x7e8 - 0x00000494: f000fc80 .... BL __2printf ; 0xd98 - 0x00000498: a0da .. ADR r0,{pc}+0x36c ; 0x804 - 0x0000049a: f000fc7d ..}. BL __2printf ; 0xd98 - 0x0000049e: 68b8 .h LDR r0,[r7,#8] - 0x000004a0: 7901 .y LDRB r1,[r0,#4] - 0x000004a2: a0e5 .. ADR r0,{pc}+0x396 ; 0x838 - 0x000004a4: f000fc78 ..x. BL __2printf ; 0xd98 - 0x000004a8: 2100 .! MOVS r1,#0 - 0x000004aa: a090 .. ADR r0,{pc}+0x242 ; 0x6ec - 0x000004ac: f000fc74 ..t. BL __2printf ; 0xd98 - 0x000004b0: 68b8 .h LDR r0,[r7,#8] - 0x000004b2: 7a01 .z LDRB r1,[r0,#8] - 0x000004b4: a0e7 .. ADR r0,{pc}+0x3a0 ; 0x854 - 0x000004b6: f000fc6f ..o. BL __2printf ; 0xd98 - 0x000004ba: 2102 .! MOVS r1,#2 - 0x000004bc: a08b .. ADR r0,{pc}+0x230 ; 0x6ec - 0x000004be: f000fc6b ..k. BL __2printf ; 0xd98 - 0x000004c2: 68b8 .h LDR r0,[r7,#8] - 0x000004c4: 68c1 .h LDR r1,[r0,#0xc] - 0x000004c6: a0ea .. ADR r0,{pc}+0x3aa ; 0x870 - 0x000004c8: f000fc66 ..f. BL __2printf ; 0xd98 - 0x000004cc: 2111 .! MOVS r1,#0x11 - 0x000004ce: a087 .. ADR r0,{pc}+0x21e ; 0x6ec - 0x000004d0: f000fc62 ..b. BL __2printf ; 0xd98 - 0x000004d4: 68b9 .h LDR r1,[r7,#8] - 0x000004d6: a0ed .. ADR r0,{pc}+0x3b6 ; 0x88c - 0x000004d8: 3110 .1 ADDS r1,r1,#0x10 - 0x000004da: f000fc5d ..]. BL __2printf ; 0xd98 - 0x000004de: a0f2 .. ADR r0,{pc}+0x3ca ; 0x8a8 - 0x000004e0: f000fc5a ..Z. BL __2printf ; 0xd98 - 0x000004e4: a0fe .. ADR r0,{pc}+0x3fc ; 0x8e0 - 0x000004e6: f000fc57 ..W. BL __2printf ; 0xd98 - 0x000004ea: 68f8 .h LDR r0,[r7,#0xc] - 0x000004ec: 6801 .h LDR r1,[r0,#0] - 0x000004ee: a0be .. ADR r0,{pc}+0x2fa ; 0x7e8 - 0x000004f0: f000fc52 ..R. BL __2printf ; 0xd98 - 0x000004f4: e1fe .. B 0x8f4 ; main + 1646 - $d - 0x000004f6: 0000 .. DCW 0 - 0x000004f8: 30000000 ...0 DCD 805306368 - 0x000004fc: 59524844 DHRY DCD 1498564676 - 0x00000500: 4e4f5453 STON DCD 1313821779 - 0x00000504: 52502045 E PR DCD 1380982853 - 0x00000508: 4152474f OGRA DCD 1095911247 - 0x0000050c: 53202c4d M, S DCD 1394617421 - 0x00000510: 20454d4f OME DCD 541412687 - 0x00000514: 49525453 STRI DCD 1230132307 - 0x00000518: 0000474e NG.. DCD 18254 - 0x0000051c: 59524844 DHRY DCD 1498564676 - 0x00000520: 4e4f5453 STON DCD 1313821779 - 0x00000524: 52502045 E PR DCD 1380982853 - 0x00000528: 4152474f OGRA DCD 1095911247 - 0x0000052c: 31202c4d M, 1 DCD 824192077 - 0x00000530: 20545327 'ST DCD 542397223 - 0x00000534: 49525453 STRI DCD 1230132307 - 0x00000538: 0000474e NG.. DCD 18254 - 0x0000053c: 300006f4 ...0 DCD 805308148 - 0x00000540: 0000000a .... DCD 10 - 0x00000544: 79726844 Dhry DCD 2037540932 - 0x00000548: 6e6f7473 ston DCD 1852798067 - 0x0000054c: 65422065 e Be DCD 1698832485 - 0x00000550: 6d68636e nchm DCD 1835557742 - 0x00000554: 2c6b7261 ark, DCD 745239137 - 0x00000558: 72655620 Ver DCD 1919243808 - 0x0000055c: 6e6f6973 sion DCD 1852795251 - 0x00000560: 312e3220 2.1 DCD 825111072 - 0x00000564: 614c2820 (La DCD 1632380960 - 0x00000568: 6175676e ngua DCD 1635084142 - 0x0000056c: 203a6567 ge: DCD 540697959 - 0x00000570: 000a2943 C).. DCD 665923 - 0x00000574: 676f7250 Prog DCD 1735357008 - 0x00000578: 206d6172 ram DCD 544039282 - 0x0000057c: 706d6f63 comp DCD 1886220131 - 0x00000580: 64656c69 iled DCD 1684368489 - 0x00000584: 74697720 wit DCD 1953068832 - 0x00000588: 72272068 h 'r DCD 1915166824 - 0x0000058c: 73696765 egis DCD 1936287589 - 0x00000590: 27726574 ter' DCD 661808500 - 0x00000594: 74746120 att DCD 1953784096 - 0x00000598: 75626972 ribu DCD 1969383794 - 0x0000059c: 000a6574 te.. DCD 681332 - 0x000005a0: 676f7250 Prog DCD 1735357008 - 0x000005a4: 206d6172 ram DCD 544039282 - 0x000005a8: 706d6f63 comp DCD 1886220131 - 0x000005ac: 64656c69 iled DCD 1684368489 - 0x000005b0: 74697720 wit DCD 1953068832 - 0x000005b4: 74756f68 hout DCD 1953853288 - 0x000005b8: 65722720 're DCD 1701979936 - 0x000005bc: 74736967 gist DCD 1953720679 - 0x000005c0: 20277265 er' DCD 539456101 - 0x000005c4: 72747461 attr DCD 1920234593 - 0x000005c8: 74756269 ibut DCD 1953849961 - 0x000005cc: 00000a65 e... DCD 2661 - 0x000005d0: 61656c50 Plea DCD 1634036816 - 0x000005d4: 67206573 se g DCD 1730176371 - 0x000005d8: 20657669 ive DCD 543520361 - 0x000005dc: 20656874 the DCD 543516788 - 0x000005e0: 626d756e numb DCD 1651340654 - 0x000005e4: 6f207265 er o DCD 1864397413 - 0x000005e8: 75722066 f ru DCD 1970413670 - 0x000005ec: 7420736e ns t DCD 1948283758 - 0x000005f0: 756f7268 hrou DCD 1970238056 - 0x000005f4: 74206867 gh t DCD 1948280935 - 0x000005f8: 62206568 he b DCD 1646290280 - 0x000005fc: 68636e65 ench DCD 1751346789 - 0x00000600: 6b72616d mark DCD 1802658157 - 0x00000604: 0000203a : .. DCD 8250 - 0x00000608: 63657845 Exec DCD 1667594309 - 0x0000060c: 6f697475 utio DCD 1869182069 - 0x00000610: 7473206e n st DCD 1953701998 - 0x00000614: 73747261 arts DCD 1937011297 - 0x00000618: 6425202c , %d DCD 1680154668 - 0x0000061c: 6e757220 run DCD 1853190688 - 0x00000620: 68742073 s th DCD 1752440947 - 0x00000624: 67756f72 roug DCD 1735749490 - 0x00000628: 68442068 h Dh DCD 1749295208 - 0x0000062c: 74737972 ryst DCD 1953724786 - 0x00000630: 0a656e6f one. DCD 174419567 - 0x00000634: 00000000 .... DCD 0 - 0x00000638: 00ffffff .... DCD 16777215 - 0x0000063c: e000e000 .... DCD 3758153728 - 0x00000640: 59524844 DHRY DCD 1498564676 - 0x00000644: 4e4f5453 STON DCD 1313821779 - 0x00000648: 52502045 E PR DCD 1380982853 - 0x0000064c: 4152474f OGRA DCD 1095911247 - 0x00000650: 32202c4d M, 2 DCD 840969293 - 0x00000654: 20444e27 'ND DCD 541347367 - 0x00000658: 49525453 STRI DCD 1230132307 - 0x0000065c: 0000474e NG.. DCD 18254 - 0x00000660: 300000f4 ...0 DCD 805306612 - 0x00000664: 3000002c ,..0 DCD 805306412 - 0x00000668: 59524844 DHRY DCD 1498564676 - 0x0000066c: 4e4f5453 STON DCD 1313821779 - 0x00000670: 52502045 E PR DCD 1380982853 - 0x00000674: 4152474f OGRA DCD 1095911247 - 0x00000678: 33202c4d M, 3 DCD 857746509 - 0x0000067c: 20445227 'RD DCD 541348391 - 0x00000680: 49525453 STRI DCD 1230132307 - 0x00000684: 0000474e NG.. DCD 18254 - 0x00000688: 63657845 Exec DCD 1667594309 - 0x0000068c: 6f697475 utio DCD 1869182069 - 0x00000690: 6e65206e n en DCD 1852121198 - 0x00000694: 000a7364 ds.. DCD 684900 - 0x00000698: 616e6946 Fina DCD 1634625862 - 0x0000069c: 6176206c l va DCD 1635131500 - 0x000006a0: 7365756c lues DCD 1936029036 - 0x000006a4: 20666f20 of DCD 543584032 - 0x000006a8: 20656874 the DCD 543516788 - 0x000006ac: 69726176 vari DCD 1769103734 - 0x000006b0: 656c6261 able DCD 1701601889 - 0x000006b4: 73752073 s us DCD 1937055859 - 0x000006b8: 69206465 ed i DCD 1763730533 - 0x000006bc: 6874206e n th DCD 1752440942 - 0x000006c0: 65622065 e be DCD 1700929637 - 0x000006c4: 6d68636e nchm DCD 1835557742 - 0x000006c8: 3a6b7261 ark: DCD 980120161 - 0x000006cc: 0000000a .... DCD 10 - 0x000006d0: 5f746e49 Int_ DCD 1601465929 - 0x000006d4: 626f6c47 Glob DCD 1651469383 - 0x000006d8: 2020203a : DCD 538976314 - 0x000006dc: 20202020 DCD 538976288 - 0x000006e0: 20202020 DCD 538976288 - 0x000006e4: 0a642520 %d. DCD 174335264 - 0x000006e8: 00000000 .... DCD 0 - 0x000006ec: 20202020 DCD 538976288 - 0x000006f0: 20202020 DCD 538976288 - 0x000006f4: 756f6873 shou DCD 1970235507 - 0x000006f8: 6220646c ld b DCD 1646290028 - 0x000006fc: 20203a65 e: DCD 538983013 - 0x00000700: 0a642520 %d. DCD 174335264 - 0x00000704: 00000000 .... DCD 0 - 0x00000708: 6c6f6f42 Bool DCD 1819242306 - 0x0000070c: 6f6c475f _Glo DCD 1869367135 - 0x00000710: 20203a62 b: DCD 538983010 - 0x00000714: 20202020 DCD 538976288 - 0x00000718: 20202020 DCD 538976288 - 0x0000071c: 0a642520 %d. DCD 174335264 - 0x00000720: 00000000 .... DCD 0 - 0x00000724: 315f6843 Ch_1 DCD 828336195 - 0x00000728: 6f6c475f _Glo DCD 1869367135 - 0x0000072c: 20203a62 b: DCD 538983010 - 0x00000730: 20202020 DCD 538976288 - 0x00000734: 20202020 DCD 538976288 - 0x00000738: 0a632520 %c. DCD 174269728 - 0x0000073c: 00000000 .... DCD 0 - 0x00000740: 20202020 DCD 538976288 - 0x00000744: 20202020 DCD 538976288 - 0x00000748: 756f6873 shou DCD 1970235507 - 0x0000074c: 6220646c ld b DCD 1646290028 - 0x00000750: 20203a65 e: DCD 538983013 - 0x00000754: 0a632520 %c. DCD 174269728 - 0x00000758: 00000000 .... DCD 0 - 0x0000075c: 325f6843 Ch_2 DCD 845113411 - 0x00000760: 6f6c475f _Glo DCD 1869367135 - 0x00000764: 20203a62 b: DCD 538983010 - 0x00000768: 20202020 DCD 538976288 - 0x0000076c: 20202020 DCD 538976288 - 0x00000770: 0a632520 %c. DCD 174269728 - 0x00000774: 00000000 .... DCD 0 - 0x00000778: 5f727241 Arr_ DCD 1601335873 - 0x0000077c: 6c475f31 1_Gl DCD 1816616753 - 0x00000780: 385b626f ob[8 DCD 945513071 - 0x00000784: 20203a5d ]: DCD 538983005 - 0x00000788: 20202020 DCD 538976288 - 0x0000078c: 0a642520 %d. DCD 174335264 - 0x00000790: 00000000 .... DCD 0 - 0x00000794: 5f727241 Arr_ DCD 1601335873 - 0x00000798: 6c475f32 2_Gl DCD 1816616754 - 0x0000079c: 385b626f ob[8 DCD 945513071 - 0x000007a0: 5d375b5d ][7] DCD 1563908957 - 0x000007a4: 2020203a : DCD 538976314 - 0x000007a8: 0a642520 %d. DCD 174335264 - 0x000007ac: 00000000 .... DCD 0 - 0x000007b0: 20202020 DCD 538976288 - 0x000007b4: 20202020 DCD 538976288 - 0x000007b8: 756f6873 shou DCD 1970235507 - 0x000007bc: 6220646c ld b DCD 1646290028 - 0x000007c0: 20203a65 e: DCD 538983013 - 0x000007c4: 6d754e20 Num DCD 1836404256 - 0x000007c8: 5f726562 ber_ DCD 1601332578 - 0x000007cc: 525f664f Of_R DCD 1381983823 - 0x000007d0: 20736e75 uns DCD 544435829 - 0x000007d4: 3031202b + 10 DCD 808525867 - 0x000007d8: 0000000a .... DCD 10 - 0x000007dc: 5f727450 Ptr_ DCD 1601336400 - 0x000007e0: 626f6c47 Glob DCD 1651469383 - 0x000007e4: 000a3e2d ->.. DCD 671277 - 0x000007e8: 74502020 Pt DCD 1951408160 - 0x000007ec: 6f435f72 r_Co DCD 1866686322 - 0x000007f0: 203a706d mp: DCD 540700781 - 0x000007f4: 20202020 DCD 538976288 - 0x000007f8: 20202020 DCD 538976288 - 0x000007fc: 0a642520 %d. DCD 174335264 - 0x00000800: 00000000 .... DCD 0 - 0x00000804: 20202020 DCD 538976288 - 0x00000808: 20202020 DCD 538976288 - 0x0000080c: 756f6873 shou DCD 1970235507 - 0x00000810: 6220646c ld b DCD 1646290028 - 0x00000814: 20203a65 e: DCD 538983013 - 0x00000818: 6d692820 (im DCD 1835608096 - 0x0000081c: 6d656c70 plem DCD 1835363440 - 0x00000820: 61746e65 enta DCD 1635020389 - 0x00000824: 6e6f6974 tion DCD 1852795252 - 0x00000828: 7065642d -dep DCD 1885692973 - 0x0000082c: 65646e65 ende DCD 1701080677 - 0x00000830: 0a29746e nt). DCD 170488942 - 0x00000834: 00000000 .... DCD 0 - 0x00000838: 69442020 Di DCD 1766072352 - 0x0000083c: 3a726373 scr: DCD 980575091 - 0x00000840: 20202020 DCD 538976288 - 0x00000844: 20202020 DCD 538976288 - 0x00000848: 20202020 DCD 538976288 - 0x0000084c: 0a642520 %d. DCD 174335264 - 0x00000850: 00000000 .... DCD 0 - 0x00000854: 6e452020 En DCD 1850023968 - 0x00000858: 435f6d75 um_C DCD 1130327413 - 0x0000085c: 3a706d6f omp: DCD 980446575 - 0x00000860: 20202020 DCD 538976288 - 0x00000864: 20202020 DCD 538976288 - 0x00000868: 0a642520 %d. DCD 174335264 - 0x0000086c: 00000000 .... DCD 0 - 0x00000870: 6e492020 In DCD 1850286112 - 0x00000874: 6f435f74 t_Co DCD 1866686324 - 0x00000878: 203a706d mp: DCD 540700781 - 0x0000087c: 20202020 DCD 538976288 - 0x00000880: 20202020 DCD 538976288 - 0x00000884: 0a642520 %d. DCD 174335264 - 0x00000888: 00000000 .... DCD 0 - 0x0000088c: 74532020 St DCD 1951604768 - 0x00000890: 6f435f72 r_Co DCD 1866686322 - 0x00000894: 203a706d mp: DCD 540700781 - 0x00000898: 20202020 DCD 538976288 - 0x0000089c: 20202020 DCD 538976288 - 0x000008a0: 0a732520 %s. DCD 175318304 - 0x000008a4: 00000000 .... DCD 0 - 0x000008a8: 20202020 DCD 538976288 - 0x000008ac: 20202020 DCD 538976288 - 0x000008b0: 756f6873 shou DCD 1970235507 - 0x000008b4: 6220646c ld b DCD 1646290028 - 0x000008b8: 20203a65 e: DCD 538983013 - 0x000008bc: 52484420 DHR DCD 1380467744 - 0x000008c0: 4f545359 YSTO DCD 1330926425 - 0x000008c4: 5020454e NE P DCD 1344292174 - 0x000008c8: 52474f52 ROGR DCD 1380405074 - 0x000008cc: 202c4d41 AM, DCD 539774273 - 0x000008d0: 454d4f53 SOME DCD 1162694483 - 0x000008d4: 52545320 STR DCD 1381258016 - 0x000008d8: 0a474e49 ING. DCD 172445257 - 0x000008dc: 00000000 .... DCD 0 - 0x000008e0: 7478654e Next DCD 1954047310 - 0x000008e4: 7274505f _Ptr DCD 1920225375 - 0x000008e8: 6f6c475f _Glo DCD 1869367135 - 0x000008ec: 0a3e2d62 b->. DCD 171847010 - 0x000008f0: 00000000 .... DCD 0 - $t - 0x000008f4: a035 5. ADR r0,{pc}+0xd8 ; 0x9cc - 0x000008f6: f000fa4f ..O. BL __2printf ; 0xd98 - 0x000008fa: 68f8 .h LDR r0,[r7,#0xc] - 0x000008fc: 7901 .y LDRB r1,[r0,#4] - 0x000008fe: 4843 CH LDR r0,[pc,#268] ; [0xa0c] = 0x838 - 0x00000900: f000fa4a ..J. BL __2printf ; 0xd98 - 0x00000904: 2100 .! MOVS r1,#0 - 0x00000906: 4842 BH LDR r0,[pc,#264] ; [0xa10] = 0x6ec - 0x00000908: f000fa46 ..F. BL __2printf ; 0xd98 - 0x0000090c: 68f8 .h LDR r0,[r7,#0xc] - 0x0000090e: 7a01 .z LDRB r1,[r0,#8] - 0x00000910: 4840 @H LDR r0,[pc,#256] ; [0xa14] = 0x854 - 0x00000912: f000fa41 ..A. BL __2printf ; 0xd98 - 0x00000916: 2101 .! MOVS r1,#1 - 0x00000918: 483d =H LDR r0,[pc,#244] ; [0xa10] = 0x6ec - 0x0000091a: f000fa3d ..=. BL __2printf ; 0xd98 - 0x0000091e: 68f8 .h LDR r0,[r7,#0xc] - 0x00000920: 68c1 .h LDR r1,[r0,#0xc] - 0x00000922: 483d =H LDR r0,[pc,#244] ; [0xa18] = 0x870 - 0x00000924: f000fa38 ..8. BL __2printf ; 0xd98 - 0x00000928: 2112 .! MOVS r1,#0x12 - 0x0000092a: 4839 9H LDR r0,[pc,#228] ; [0xa10] = 0x6ec - 0x0000092c: f000fa34 ..4. BL __2printf ; 0xd98 - 0x00000930: 68f9 .h LDR r1,[r7,#0xc] - 0x00000932: 483a :H LDR r0,[pc,#232] ; [0xa1c] = 0x88c - 0x00000934: 3110 .1 ADDS r1,r1,#0x10 - 0x00000936: f000fa2f ../. BL __2printf ; 0xd98 - 0x0000093a: 4839 9H LDR r0,[pc,#228] ; [0xa20] = 0x8a8 - 0x0000093c: f000fa2c ..,. BL __2printf ; 0xd98 - 0x00000940: a038 8. ADR r0,{pc}+0xe4 ; 0xa24 - 0x00000942: 9901 .. LDR r1,[sp,#4] - 0x00000944: f000fa28 ..(. BL __2printf ; 0xd98 - 0x00000948: 2105 .! MOVS r1,#5 - 0x0000094a: 4831 1H LDR r0,[pc,#196] ; [0xa10] = 0x6ec - 0x0000094c: f000fa24 ..$. BL __2printf ; 0xd98 - 0x00000950: 4621 !F MOV r1,r4 - 0x00000952: a03b ;. ADR r0,{pc}+0xee ; 0xa40 - 0x00000954: f000fa20 .. . BL __2printf ; 0xd98 - 0x00000958: 210d .! MOVS r1,#0xd - 0x0000095a: 482d -H LDR r0,[pc,#180] ; [0xa10] = 0x6ec - 0x0000095c: f000fa1c .... BL __2printf ; 0xd98 - 0x00000960: a03e >. ADR r0,{pc}+0xfc ; 0xa5c - 0x00000962: 9902 .. LDR r1,[sp,#8] - 0x00000964: f000fa18 .... BL __2printf ; 0xd98 - 0x00000968: 2107 .! MOVS r1,#7 - 0x0000096a: 4829 )H LDR r0,[pc,#164] ; [0xa10] = 0x6ec - 0x0000096c: f000fa14 .... BL __2printf ; 0xd98 - 0x00000970: 4668 hF MOV r0,sp - 0x00000972: 7e01 .~ LDRB r1,[r0,#0x18] - 0x00000974: a040 @. ADR r0,{pc}+0x104 ; 0xa78 - 0x00000976: f000fa0f .... BL __2printf ; 0xd98 - 0x0000097a: 2101 .! MOVS r1,#1 - 0x0000097c: 4824 $H LDR r0,[pc,#144] ; [0xa10] = 0x6ec - 0x0000097e: f000fa0b .... BL __2printf ; 0xd98 - 0x00000982: a910 .. ADD r1,sp,#0x40 - 0x00000984: a043 C. ADR r0,{pc}+0x110 ; 0xa94 - 0x00000986: f000fa07 .... BL __2printf ; 0xd98 - 0x0000098a: a049 I. ADR r0,{pc}+0x126 ; 0xab0 - 0x0000098c: f000fa04 .... BL __2printf ; 0xd98 - 0x00000990: a908 .. ADD r1,sp,#0x20 - 0x00000992: a055 U. ADR r0,{pc}+0x156 ; 0xae8 - 0x00000994: f000fa00 .... BL __2printf ; 0xd98 - 0x00000998: a05a Z. ADR r0,{pc}+0x16c ; 0xb04 - 0x0000099a: f000f9fd .... BL __2printf ; 0xd98 - 0x0000099e: a067 g. ADR r0,{pc}+0x19e ; 0xb3c - 0x000009a0: f000f9fa .... BL __2printf ; 0xd98 - 0x000009a4: 69f9 .i LDR r1,[r7,#0x1c] - 0x000009a6: 69b8 .i LDR r0,[r7,#0x18] - 0x000009a8: 1a42 B. SUBS r2,r0,r1 - 0x000009aa: 623a :b STR r2,[r7,#0x20] - 0x000009ac: a064 d. ADR r0,{pc}+0x194 ; 0xb40 - 0x000009ae: 9903 .. LDR r1,[sp,#0xc] - 0x000009b0: f000f9f2 .... BL __2printf ; 0xd98 - 0x000009b4: a06d m. ADR r0,{pc}+0x1b8 ; 0xb6c - 0x000009b6: f000f9ef .... BL __2printf ; 0xd98 - 0x000009ba: 2004 . MOVS r0,#4 - 0x000009bc: f000f9a3 .... BL UartPutc ; 0xd06 - 0x000009c0: 2000 . MOVS r0,#0 - 0x000009c2: b019 .. ADD sp,sp,#0x64 - 0x000009c4: bdf0 .. POP {r4-r7,pc} - 0x000009c6: 2001 . MOVS r0,#1 - 0x000009c8: e4ce .. B 0x368 ; main + 226 - $d - 0x000009ca: 0000 .. DCW 0 - 0x000009cc: 20202020 DCD 538976288 - 0x000009d0: 20202020 DCD 538976288 - 0x000009d4: 756f6873 shou DCD 1970235507 - 0x000009d8: 6220646c ld b DCD 1646290028 - 0x000009dc: 20203a65 e: DCD 538983013 - 0x000009e0: 6d692820 (im DCD 1835608096 - 0x000009e4: 6d656c70 plem DCD 1835363440 - 0x000009e8: 61746e65 enta DCD 1635020389 - 0x000009ec: 6e6f6974 tion DCD 1852795252 - 0x000009f0: 7065642d -dep DCD 1885692973 - 0x000009f4: 65646e65 ende DCD 1701080677 - 0x000009f8: 2c29746e nt), DCD 740914286 - 0x000009fc: 6d617320 sam DCD 1835103008 - 0x00000a00: 73612065 e as DCD 1935745125 - 0x00000a04: 6f626120 abo DCD 1868718368 - 0x00000a08: 000a6576 ve.. DCD 681334 - 0x00000a0c: 00000838 8... DCD 2104 - 0x00000a10: 000006ec .... DCD 1772 - 0x00000a14: 00000854 T... DCD 2132 - 0x00000a18: 00000870 p... DCD 2160 - 0x00000a1c: 0000088c .... DCD 2188 - 0x00000a20: 000008a8 .... DCD 2216 - 0x00000a24: 5f746e49 Int_ DCD 1601465929 - 0x00000a28: 6f4c5f31 1_Lo DCD 1867276081 - 0x00000a2c: 20203a63 c: DCD 538983011 - 0x00000a30: 20202020 DCD 538976288 - 0x00000a34: 20202020 DCD 538976288 - 0x00000a38: 0a642520 %d. DCD 174335264 - 0x00000a3c: 00000000 .... DCD 0 - 0x00000a40: 5f746e49 Int_ DCD 1601465929 - 0x00000a44: 6f4c5f32 2_Lo DCD 1867276082 - 0x00000a48: 20203a63 c: DCD 538983011 - 0x00000a4c: 20202020 DCD 538976288 - 0x00000a50: 20202020 DCD 538976288 - 0x00000a54: 0a642520 %d. DCD 174335264 - 0x00000a58: 00000000 .... DCD 0 - 0x00000a5c: 5f746e49 Int_ DCD 1601465929 - 0x00000a60: 6f4c5f33 3_Lo DCD 1867276083 - 0x00000a64: 20203a63 c: DCD 538983011 - 0x00000a68: 20202020 DCD 538976288 - 0x00000a6c: 20202020 DCD 538976288 - 0x00000a70: 0a642520 %d. DCD 174335264 - 0x00000a74: 00000000 .... DCD 0 - 0x00000a78: 6d756e45 Enum DCD 1836412485 - 0x00000a7c: 636f4c5f _Loc DCD 1668238431 - 0x00000a80: 2020203a : DCD 538976314 - 0x00000a84: 20202020 DCD 538976288 - 0x00000a88: 20202020 DCD 538976288 - 0x00000a8c: 0a642520 %d. DCD 174335264 - 0x00000a90: 00000000 .... DCD 0 - 0x00000a94: 5f727453 Str_ DCD 1601336403 - 0x00000a98: 6f4c5f31 1_Lo DCD 1867276081 - 0x00000a9c: 20203a63 c: DCD 538983011 - 0x00000aa0: 20202020 DCD 538976288 - 0x00000aa4: 20202020 DCD 538976288 - 0x00000aa8: 0a732520 %s. DCD 175318304 - 0x00000aac: 00000000 .... DCD 0 - 0x00000ab0: 20202020 DCD 538976288 - 0x00000ab4: 20202020 DCD 538976288 - 0x00000ab8: 756f6873 shou DCD 1970235507 - 0x00000abc: 6220646c ld b DCD 1646290028 - 0x00000ac0: 20203a65 e: DCD 538983013 - 0x00000ac4: 52484420 DHR DCD 1380467744 - 0x00000ac8: 4f545359 YSTO DCD 1330926425 - 0x00000acc: 5020454e NE P DCD 1344292174 - 0x00000ad0: 52474f52 ROGR DCD 1380405074 - 0x00000ad4: 202c4d41 AM, DCD 539774273 - 0x00000ad8: 54532731 1'ST DCD 1414735665 - 0x00000adc: 52545320 STR DCD 1381258016 - 0x00000ae0: 0a474e49 ING. DCD 172445257 - 0x00000ae4: 00000000 .... DCD 0 - 0x00000ae8: 5f727453 Str_ DCD 1601336403 - 0x00000aec: 6f4c5f32 2_Lo DCD 1867276082 - 0x00000af0: 20203a63 c: DCD 538983011 - 0x00000af4: 20202020 DCD 538976288 - 0x00000af8: 20202020 DCD 538976288 - 0x00000afc: 0a732520 %s. DCD 175318304 - 0x00000b00: 00000000 .... DCD 0 - 0x00000b04: 20202020 DCD 538976288 - 0x00000b08: 20202020 DCD 538976288 - 0x00000b0c: 756f6873 shou DCD 1970235507 - 0x00000b10: 6220646c ld b DCD 1646290028 - 0x00000b14: 20203a65 e: DCD 538983013 - 0x00000b18: 52484420 DHR DCD 1380467744 - 0x00000b1c: 4f545359 YSTO DCD 1330926425 - 0x00000b20: 5020454e NE P DCD 1344292174 - 0x00000b24: 52474f52 ROGR DCD 1380405074 - 0x00000b28: 202c4d41 AM, DCD 539774273 - 0x00000b2c: 444e2732 2'ND DCD 1145972530 - 0x00000b30: 52545320 STR DCD 1381258016 - 0x00000b34: 0a474e49 ING. DCD 172445257 - 0x00000b38: 00000000 .... DCD 0 - 0x00000b3c: 0000000a .... DCD 10 - 0x00000b40: 626d754e Numb DCD 1651340622 - 0x00000b44: 6f207265 er o DCD 1864397413 - 0x00000b48: 79632066 f cy DCD 2036539494 - 0x00000b4c: 73656c63 cles DCD 1936026723 - 0x00000b50: 726f6620 for DCD 1919903264 - 0x00000b54: 20642520 %d DCD 543434016 - 0x00000b58: 72657469 iter DCD 1919251561 - 0x00000b5c: 6f697461 atio DCD 1869182049 - 0x00000b60: 7369206e n is DCD 1936269422 - 0x00000b64: 0a642520 %d. DCD 174335264 - 0x00000b68: 00000000 .... DCD 0 - 0x00000b6c: 54202a2a ** T DCD 1411394090 - 0x00000b70: 20545345 EST DCD 542397253 - 0x00000b74: 53534150 PASS DCD 1397965136 - 0x00000b78: 2a204445 ED * DCD 706757701 - 0x00000b7c: 000a202a * .. DCD 663594 - $t - .text - Func_3 - 0x00000b80: 2802 .( CMP r0,#2 - 0x00000b82: d001 .. BEQ 0xb88 ; Func_3 + 8 - 0x00000b84: 2000 . MOVS r0,#0 - 0x00000b86: 4770 pG BX lr - 0x00000b88: 2001 . MOVS r0,#1 - 0x00000b8a: 4770 pG BX lr - Proc_6 - 0x00000b8c: b510 .. PUSH {r4,lr} - 0x00000b8e: 4602 .F MOV r2,r0 - 0x00000b90: 7008 .p STRB r0,[r1,#0] - 0x00000b92: f7fffff5 .... BL Func_3 ; 0xb80 - 0x00000b96: 4603 .F MOV r3,r0 - 0x00000b98: 2003 . MOVS r0,#3 - 0x00000b9a: 2b00 .+ CMP r3,#0 - 0x00000b9c: d100 .. BNE 0xba0 ; Proc_6 + 20 - 0x00000b9e: 7008 .p STRB r0,[r1,#0] - 0x00000ba0: 2300 .# MOVS r3,#0 - 0x00000ba2: 2a05 .* CMP r2,#5 - 0x00000ba4: d208 .. BCS 0xbb8 ; Proc_6 + 44 - 0x00000ba6: 0014 .. MOVS r4,r2 - 0x00000ba8: 447c |D ADD r4,r4,pc - 0x00000baa: 7924 $y LDRB r4,[r4,#4] - 0x00000bac: 1924 $. ADDS r4,r4,r4 - 0x00000bae: 44a7 .D ADD pc,pc,r4 - $d - 0x00000bb0: 03090402 .... DCD 50922498 - 0x00000bb4: 000b .. DCW 11 - $t - 0x00000bb6: 700b .p STRB r3,[r1,#0] - 0x00000bb8: bd10 .. POP {r4,pc} - 0x00000bba: 4a2c ,J LDR r2,[pc,#176] ; [0xc6c] = 0x30000010 - 0x00000bbc: 6812 .h LDR r2,[r2,#0] - 0x00000bbe: 2a64 d* CMP r2,#0x64 - 0x00000bc0: dcf9 .. BGT 0xbb6 ; Proc_6 + 42 - 0x00000bc2: e002 .. B 0xbca ; Proc_6 + 62 - 0x00000bc4: 2001 . MOVS r0,#1 - 0x00000bc6: e000 .. B 0xbca ; Proc_6 + 62 - 0x00000bc8: 2002 . MOVS r0,#2 - 0x00000bca: 7008 .p STRB r0,[r1,#0] - 0x00000bcc: bd10 .. POP {r4,pc} - Proc_7 - 0x00000bce: 1c80 .. ADDS r0,r0,#2 - 0x00000bd0: 1808 .. ADDS r0,r1,r0 - 0x00000bd2: 6010 .` STR r0,[r2,#0] - 0x00000bd4: 4770 pG BX lr - Proc_8 - 0x00000bd6: b470 p. PUSH {r4-r6} - 0x00000bd8: 1d54 T. ADDS r4,r2,#5 - 0x00000bda: 0092 .. LSLS r2,r2,#2 - 0x00000bdc: 3214 .2 ADDS r2,r2,#0x14 - 0x00000bde: 5083 .P STR r3,[r0,r2] - 0x00000be0: 1816 .. ADDS r6,r2,r0 - 0x00000be2: 67b4 .g STR r4,[r6,#0x78] - 0x00000be4: 6073 s` STR r3,[r6,#4] - 0x00000be6: 23c8 .# MOVS r3,#0xc8 - 0x00000be8: 4363 cC MULS r3,r4,r3 - 0x00000bea: 1859 Y. ADDS r1,r3,r1 - 0x00000bec: 508c .P STR r4,[r1,r2] - 0x00000bee: 188b .. ADDS r3,r1,r2 - 0x00000bf0: 4619 .F MOV r1,r3 - 0x00000bf2: 3980 .9 SUBS r1,r1,#0x80 - 0x00000bf4: 605c \` STR r4,[r3,#4] - 0x00000bf6: 6fcc .o LDR r4,[r1,#0x7c] - 0x00000bf8: 2505 .% MOVS r5,#5 - 0x00000bfa: 1c64 d. ADDS r4,r4,#1 - 0x00000bfc: 67cc .g STR r4,[r1,#0x7c] - 0x00000bfe: 211f .! MOVS r1,#0x1f - 0x00000c00: 01c9 .. LSLS r1,r1,#7 - 0x00000c02: 5880 .X LDR r0,[r0,r2] - 0x00000c04: 1859 Y. ADDS r1,r3,r1 - 0x00000c06: 6208 .b STR r0,[r1,#0x20] - 0x00000c08: 4818 .H LDR r0,[pc,#96] ; [0xc6c] = 0x30000010 - 0x00000c0a: 6005 .` STR r5,[r0,#0] - 0x00000c0c: bc70 p. POP {r4-r6} - 0x00000c0e: 4770 pG BX lr - Func_1 - 0x00000c10: 4288 .B CMP r0,r1 - 0x00000c12: d001 .. BEQ 0xc18 ; Func_1 + 8 - 0x00000c14: 2000 . MOVS r0,#0 - 0x00000c16: 4770 pG BX lr - 0x00000c18: 4915 .I LDR r1,[pc,#84] ; [0xc70] = 0x30000000 - 0x00000c1a: 7008 .p STRB r0,[r1,#0] - 0x00000c1c: 2001 . MOVS r0,#1 - 0x00000c1e: 4770 pG BX lr - Func_2 - 0x00000c20: b570 p. PUSH {r4-r6,lr} - 0x00000c22: 460b .F MOV r3,r1 - 0x00000c24: 4605 .F MOV r5,r0 - 0x00000c26: 2402 .$ MOVS r4,#2 - 0x00000c28: 1918 .. ADDS r0,r3,r4 - 0x00000c2a: 7841 Ax LDRB r1,[r0,#1] - 0x00000c2c: 5d28 (] LDRB r0,[r5,r4] - 0x00000c2e: f7ffffef .... BL Func_1 ; 0xc10 - 0x00000c32: 2800 .( CMP r0,#0 - 0x00000c34: d101 .. BNE 0xc3a ; Func_2 + 26 - 0x00000c36: 2241 A" MOVS r2,#0x41 - 0x00000c38: 1c64 d. ADDS r4,r4,#1 - 0x00000c3a: 2c02 ., CMP r4,#2 - 0x00000c3c: ddf4 .. BLE 0xc28 ; Func_2 + 8 - 0x00000c3e: 4610 .F MOV r0,r2 - 0x00000c40: 3857 W8 SUBS r0,r0,#0x57 - 0x00000c42: 2803 .( CMP r0,#3 - 0x00000c44: d200 .. BCS 0xc48 ; Func_2 + 40 - 0x00000c46: 2407 .$ MOVS r4,#7 - 0x00000c48: 2a52 R* CMP r2,#0x52 - 0x00000c4a: d00a .. BEQ 0xc62 ; Func_2 + 66 - 0x00000c4c: 4619 .F MOV r1,r3 - 0x00000c4e: 4628 (F MOV r0,r5 - 0x00000c50: f000f946 ..F. BL strcmp ; 0xee0 - 0x00000c54: 2800 .( CMP r0,#0 - 0x00000c56: dd06 .. BLE 0xc66 ; Func_2 + 70 - 0x00000c58: 4804 .H LDR r0,[pc,#16] ; [0xc6c] = 0x30000010 - 0x00000c5a: 1de4 .. ADDS r4,r4,#7 - 0x00000c5c: 6004 .` STR r4,[r0,#0] - 0x00000c5e: 2001 . MOVS r0,#1 - 0x00000c60: bd70 p. POP {r4-r6,pc} - 0x00000c62: 2001 . MOVS r0,#1 - 0x00000c64: bd70 p. POP {r4-r6,pc} - 0x00000c66: 2000 . MOVS r0,#0 - 0x00000c68: bd70 p. POP {r4-r6,pc} - $d - 0x00000c6a: 0000 .. DCW 0 - 0x00000c6c: 30000010 ...0 DCD 805306384 - 0x00000c70: 30000000 ...0 DCD 805306368 - $t - .text - SystemCoreClockUpdate - 0x00000c74: 4904 .I LDR r1,[pc,#16] ; [0xc88] = 0x30000024 - 0x00000c76: 4803 .H LDR r0,[pc,#12] ; [0xc84] = 0x5f5e100 - 0x00000c78: 6008 .` STR r0,[r1,#0] - 0x00000c7a: 4770 pG BX lr - SystemInit - 0x00000c7c: 4902 .I LDR r1,[pc,#8] ; [0xc88] = 0x30000024 - 0x00000c7e: 4801 .H LDR r0,[pc,#4] ; [0xc84] = 0x5f5e100 - 0x00000c80: 6008 .` STR r0,[r1,#0] - 0x00000c82: 4770 pG BX lr - $d - 0x00000c84: 05f5e100 .... DCD 100000000 - 0x00000c88: 30000024 $..0 DCD 805306404 - $t - .text - Reset_Handler - 0x00000c8c: 4807 .H LDR r0,[pc,#28] ; [0xcac] = 0xc7d - 0x00000c8e: 4780 .G BLX r0 - 0x00000c90: 4807 .H LDR r0,[pc,#28] ; [0xcb0] = 0xc1 - 0x00000c92: 4700 .G BX r0 - NMI_Handler - 0x00000c94: e7fe .. B NMI_Handler ; 0xc94 - HardFault_Handler - 0x00000c96: e7fe .. B HardFault_Handler ; 0xc96 - SVC_Handler - 0x00000c98: e7fe .. B SVC_Handler ; 0xc98 - PendSV_Handler - 0x00000c9a: e7fe .. B PendSV_Handler ; 0xc9a - SysTick_Handler - 0x00000c9c: e7fe .. B SysTick_Handler ; 0xc9c - DMA_Handler - DUALTIMER_HANDLER - EXP0_Handler - EXP1_Handler - EXP2_Handler - EXP3_Handler - EXPB_Handler - EXPC_Handler - EXPD_Handler - PORT0_0_Handler - PORT0_10_Handler - PORT0_11_Handler - PORT0_12_Handler - PORT0_13_Handler - PORT0_14_Handler - PORT0_15_Handler - PORT0_1_Handler - PORT0_2_Handler - PORT0_3_Handler - PORT0_4_Handler - PORT0_5_Handler - PORT0_6_Handler - PORT0_7_Handler - PORT0_8_Handler - PORT0_9_Handler - PORT0_COMB_Handler - PORT1_COMB_Handler - TIMER0_Handler - TIMER1_Handler - UARTOVF2_Handler - UARTRX2_Handler - UARTTX2_Handler - 0x00000c9e: e7fe .. B DMA_Handler ; 0xc9e - __user_initial_stackheap - 0x00000ca0: 4804 .H LDR r0,[pc,#16] ; [0xcb4] = 0x30002868 - 0x00000ca2: 4905 .I LDR r1,[pc,#20] ; [0xcb8] = 0x30002b68 - 0x00000ca4: 4a05 .J LDR r2,[pc,#20] ; [0xcbc] = 0x30002968 - 0x00000ca6: 4b06 .K LDR r3,[pc,#24] ; [0xcc0] = 0x30002968 - 0x00000ca8: 4770 pG BX lr - $d - 0x00000caa: 0000 .. DCW 0 - 0x00000cac: 00000c7d }... DCD 3197 - 0x00000cb0: 000000c1 .... DCD 193 - 0x00000cb4: 30002868 h(.0 DCD 805316712 - 0x00000cb8: 30002b68 h+.0 DCD 805317480 - 0x00000cbc: 30002968 h).0 DCD 805316968 - 0x00000cc0: 30002968 h).0 DCD 805316968 - $t - .text - fputc - 0x00000cc4: b510 .. PUSH {r4,lr} - 0x00000cc6: b2c0 .. UXTB r0,r0 - 0x00000cc8: f000f81d .... BL UartPutc ; 0xd06 - 0x00000ccc: bd10 .. POP {r4,pc} - fgetc - 0x00000cce: b510 .. PUSH {r4,lr} - 0x00000cd0: f000f81f .... BL UartGetc ; 0xd12 - 0x00000cd4: f000f817 .... BL UartPutc ; 0xd06 - 0x00000cd8: bd10 .. POP {r4,pc} - ferror - 0x00000cda: 2000 . MOVS r0,#0 - 0x00000cdc: 43c0 .C MVNS r0,r0 - 0x00000cde: 4770 pG BX lr - _ttywrch - 0x00000ce0: b510 .. PUSH {r4,lr} - 0x00000ce2: b2c0 .. UXTB r0,r0 - 0x00000ce4: f000f80f .... BL UartPutc ; 0xd06 - 0x00000ce8: bd10 .. POP {r4,pc} - _sys_exit - 0x00000cea: e7fe .. B _sys_exit ; 0xcea - .text - UartStdOutInit - 0x00000cec: 2041 A MOVS r0,#0x41 - 0x00000cee: 4a0e .J LDR r2,[pc,#56] ; [0xd28] = 0x40006000 - 0x00000cf0: 0140 @. LSLS r0,r0,#5 - 0x00000cf2: 6110 .a STR r0,[r2,#0x10] - 0x00000cf4: 2101 .! MOVS r1,#1 - 0x00000cf6: 6091 .` STR r1,[r2,#8] - 0x00000cf8: 4a0c .J LDR r2,[pc,#48] ; [0xd2c] = 0x4000e000 - 0x00000cfa: 6110 .a STR r0,[r2,#0x10] - 0x00000cfc: 6091 .` STR r1,[r2,#8] - 0x00000cfe: 490c .I LDR r1,[pc,#48] ; [0xd30] = 0x40011000 - 0x00000d00: 2020 MOVS r0,#0x20 - 0x00000d02: 6188 .a STR r0,[r1,#0x18] - 0x00000d04: 4770 pG BX lr - UartPutc - 0x00000d06: 4909 .I LDR r1,[pc,#36] ; [0xd2c] = 0x4000e000 - 0x00000d08: 684a Jh LDR r2,[r1,#4] - 0x00000d0a: 07d2 .. LSLS r2,r2,#31 - 0x00000d0c: d1fc .. BNE 0xd08 ; UartPutc + 2 - 0x00000d0e: 6008 .` STR r0,[r1,#0] - 0x00000d10: 4770 pG BX lr - UartGetc - 0x00000d12: 4805 .H LDR r0,[pc,#20] ; [0xd28] = 0x40006000 - 0x00000d14: 6841 Ah LDR r1,[r0,#4] - 0x00000d16: 0789 .. LSLS r1,r1,#30 - 0x00000d18: d5fc .. BPL 0xd14 ; UartGetc + 2 - 0x00000d1a: 6800 .h LDR r0,[r0,#0] - 0x00000d1c: b2c0 .. UXTB r0,r0 - 0x00000d1e: 4770 pG BX lr - UartEndSimulation - 0x00000d20: 2004 . MOVS r0,#4 - 0x00000d22: f7fffff0 .... BL UartPutc ; 0xd06 - 0x00000d26: e7fe .. B 0xd26 ; UartEndSimulation + 6 - $d - 0x00000d28: 40006000 .`.@ DCD 1073766400 - 0x00000d2c: 4000e000 ...@ DCD 1073799168 - 0x00000d30: 40011000 ...@ DCD 1073811456 - $t - .text - __I$use$semihosting - __use_no_semihosting_swi - 0x00000d34: 4770 pG BX lr - .text - malloc - 0x00000d36: b570 p. PUSH {r4-r6,lr} - 0x00000d38: 4606 .F MOV r6,r0 - 0x00000d3a: f000fa19 .... BL __rt_heap_descriptor ; 0x1170 - 0x00000d3e: 6805 .h LDR r5,[r0,#0] - 0x00000d40: 4630 0F MOV r0,r6 - 0x00000d42: 300b .0 ADDS r0,r0,#0xb - 0x00000d44: 08c4 .. LSRS r4,r0,#3 - 0x00000d46: 00e4 .. LSLS r4,r4,#3 - 0x00000d48: 42b4 .B CMP r4,r6 - 0x00000d4a: d801 .. BHI 0xd50 ; malloc + 26 - 0x00000d4c: 2000 . MOVS r0,#0 - 0x00000d4e: bd70 p. POP {r4-r6,pc} - 0x00000d50: 6868 hh LDR r0,[r5,#4] - 0x00000d52: 462a *F MOV r2,r5 - 0x00000d54: 2800 .( CMP r0,#0 - 0x00000d56: d018 .. BEQ 0xd8a ; malloc + 84 - 0x00000d58: 6801 .h LDR r1,[r0,#0] - 0x00000d5a: 42a1 .B CMP r1,r4 - 0x00000d5c: d311 .. BCC 0xd82 ; malloc + 76 - 0x00000d5e: 460b .F MOV r3,r1 - 0x00000d60: 4621 !F MOV r1,r4 - 0x00000d62: 3108 .1 ADDS r1,r1,#8 - 0x00000d64: 428b .B CMP r3,r1 - 0x00000d66: d308 .. BCC 0xd7a ; malloc + 68 - 0x00000d68: 6843 Ch LDR r3,[r0,#4] - 0x00000d6a: 1901 .. ADDS r1,r0,r4 - 0x00000d6c: 604b K` STR r3,[r1,#4] - 0x00000d6e: 6803 .h LDR r3,[r0,#0] - 0x00000d70: 1b1b .. SUBS r3,r3,r4 - 0x00000d72: 600b .` STR r3,[r1,#0] - 0x00000d74: 6051 Q` STR r1,[r2,#4] - 0x00000d76: 6004 .` STR r4,[r0,#0] - 0x00000d78: e001 .. B 0xd7e ; malloc + 72 - 0x00000d7a: 6841 Ah LDR r1,[r0,#4] - 0x00000d7c: 6051 Q` STR r1,[r2,#4] - 0x00000d7e: 1d00 .. ADDS r0,r0,#4 - 0x00000d80: bd70 p. POP {r4-r6,pc} - 0x00000d82: 4602 .F MOV r2,r0 - 0x00000d84: 6840 @h LDR r0,[r0,#4] - 0x00000d86: 2800 .( CMP r0,#0 - 0x00000d88: d1e6 .. BNE 0xd58 ; malloc + 34 - 0x00000d8a: 4621 !F MOV r1,r4 - 0x00000d8c: 4628 (F MOV r0,r5 - 0x00000d8e: f000f9f7 .... BL __Heap_Full ; 0x1180 - 0x00000d92: 2800 .( CMP r0,#0 - 0x00000d94: d1dc .. BNE 0xd50 ; malloc + 26 - 0x00000d96: bd70 p. POP {r4-r6,pc} - .text - __2printf - 0x00000d98: b40f .. PUSH {r0-r3} - 0x00000d9a: 4905 .I LDR r1,[pc,#20] ; [0xdb0] = 0x30000028 - 0x00000d9c: b510 .. PUSH {r4,lr} - 0x00000d9e: aa03 .. ADD r2,sp,#0xc - 0x00000da0: 9802 .. LDR r0,[sp,#8] - 0x00000da2: f000facb .... BL _printf_char_file ; 0x133c - 0x00000da6: bc10 .. POP {r4} - 0x00000da8: bc08 .. POP {r3} - 0x00000daa: b004 .. ADD sp,sp,#0x10 - 0x00000dac: 4718 .G BX r3 - $d - 0x00000dae: 0000 .. DCW 0 - 0x00000db0: 30000028 (..0 DCD 805306408 - $t - .text - __printf - 0x00000db4: b5f8 .. PUSH {r3-r7,lr} - 0x00000db6: 460e .F MOV r6,r1 - 0x00000db8: 4604 .F MOV r4,r0 - 0x00000dba: 2000 . MOVS r0,#0 - 0x00000dbc: 6220 b STR r0,[r4,#0x20] - 0x00000dbe: 4620 F MOV r0,r4 - 0x00000dc0: 68e1 .h LDR r1,[r4,#0xc] - 0x00000dc2: 4788 .G BLX r1 - 0x00000dc4: 2800 .( CMP r0,#0 - 0x00000dc6: d029 ). BEQ 0xe1c ; __printf + 104 - 0x00000dc8: 2825 %( CMP r0,#0x25 - 0x00000dca: d002 .. BEQ 0xdd2 ; __printf + 30 - 0x00000dcc: 6862 bh LDR r2,[r4,#4] - 0x00000dce: 68a1 .h LDR r1,[r4,#8] - 0x00000dd0: e020 . B 0xe14 ; __printf + 96 - 0x00000dd2: 68e1 .h LDR r1,[r4,#0xc] - 0x00000dd4: 4620 F MOV r0,r4 - 0x00000dd6: 2700 .' MOVS r7,#0 - 0x00000dd8: 4788 .G BLX r1 - 0x00000dda: 0005 .. MOVS r5,r0 - 0x00000ddc: d01e .. BEQ 0xe1c ; __printf + 104 - 0x00000dde: 4628 (F MOV r0,r5 - 0x00000de0: 3841 A8 SUBS r0,r0,#0x41 - 0x00000de2: 2819 .( CMP r0,#0x19 - 0x00000de4: d802 .. BHI 0xdec ; __printf + 56 - 0x00000de6: 2701 .' MOVS r7,#1 - 0x00000de8: 02ff .. LSLS r7,r7,#11 - 0x00000dea: 3520 5 ADDS r5,r5,#0x20 - 0x00000dec: 4632 2F MOV r2,r6 - 0x00000dee: 4620 F MOV r0,r4 - 0x00000df0: 6027 '` STR r7,[r4,#0] - 0x00000df2: 4629 )F MOV r1,r5 - 0x00000df4: f7fff9a2 .... BL _printf_percent ; 0x13c - 0x00000df8: 2800 .( CMP r0,#0 - 0x00000dfa: d008 .. BEQ 0xe0e ; __printf + 90 - 0x00000dfc: 2801 .( CMP r0,#1 - 0x00000dfe: d004 .. BEQ 0xe0a ; __printf + 86 - 0x00000e00: 1df6 .. ADDS r6,r6,#7 - 0x00000e02: 08f6 .. LSRS r6,r6,#3 - 0x00000e04: 00f6 .. LSLS r6,r6,#3 - 0x00000e06: 3608 .6 ADDS r6,r6,#8 - 0x00000e08: e7d9 .. B 0xdbe ; __printf + 10 - 0x00000e0a: 1d36 6. ADDS r6,r6,#4 - 0x00000e0c: e7d7 .. B 0xdbe ; __printf + 10 - 0x00000e0e: 6862 bh LDR r2,[r4,#4] - 0x00000e10: 4628 (F MOV r0,r5 - 0x00000e12: 68a1 .h LDR r1,[r4,#8] - 0x00000e14: 4790 .G BLX r2 - 0x00000e16: 6a20 j LDR r0,[r4,#0x20] - 0x00000e18: 1c40 @. ADDS r0,r0,#1 - 0x00000e1a: e7cf .. B 0xdbc ; __printf + 8 - 0x00000e1c: 6a20 j LDR r0,[r4,#0x20] - 0x00000e1e: bdf8 .. POP {r3-r7,pc} - .text - _printf_str - 0x00000e20: b570 p. PUSH {r4-r6,lr} - 0x00000e22: 460c .F MOV r4,r1 - 0x00000e24: 4605 .F MOV r5,r0 - 0x00000e26: 2a01 .* CMP r2,#1 - 0x00000e28: d005 .. BEQ 0xe36 ; _printf_str + 22 - 0x00000e2a: 7828 (x LDRB r0,[r5,#0] - 0x00000e2c: 0680 .. LSLS r0,r0,#26 - 0x00000e2e: d500 .. BPL 0xe32 ; _printf_str + 18 - 0x00000e30: 69ea .i LDR r2,[r5,#0x1c] - 0x00000e32: 2300 .# MOVS r3,#0 - 0x00000e34: e002 .. B 0xe3c ; _printf_str + 28 - 0x00000e36: 2301 .# MOVS r3,#1 - 0x00000e38: e005 .. B 0xe46 ; _printf_str + 38 - 0x00000e3a: 1c5b [. ADDS r3,r3,#1 - 0x00000e3c: 4293 .B CMP r3,r2 - 0x00000e3e: d202 .. BCS 0xe46 ; _printf_str + 38 - 0x00000e40: 5ce0 .\ LDRB r0,[r4,r3] - 0x00000e42: 2800 .( CMP r0,#0 - 0x00000e44: d1f9 .. BNE 0xe3a ; _printf_str + 26 - 0x00000e46: 69a8 .i LDR r0,[r5,#0x18] - 0x00000e48: 18e6 .. ADDS r6,r4,r3 - 0x00000e4a: 1ac0 .. SUBS r0,r0,r3 - 0x00000e4c: 61a8 .a STR r0,[r5,#0x18] - 0x00000e4e: 6a28 (j LDR r0,[r5,#0x20] - 0x00000e50: 18c0 .. ADDS r0,r0,r3 - 0x00000e52: 6228 (b STR r0,[r5,#0x20] - 0x00000e54: 4628 (F MOV r0,r5 - 0x00000e56: 46c0 .F MOV r8,r8 - 0x00000e58: 46c0 .F MOV r8,r8 - 0x00000e5a: e004 .. B 0xe66 ; _printf_str + 70 - 0x00000e5c: 686a jh LDR r2,[r5,#4] - 0x00000e5e: 7820 x LDRB r0,[r4,#0] - 0x00000e60: 68a9 .h LDR r1,[r5,#8] - 0x00000e62: 1c64 d. ADDS r4,r4,#1 - 0x00000e64: 4790 .G BLX r2 - 0x00000e66: 42b4 .B CMP r4,r6 - 0x00000e68: d3f8 .. BCC 0xe5c ; _printf_str + 60 - 0x00000e6a: 4628 (F MOV r0,r5 - 0x00000e6c: 46c0 .F MOV r8,r8 - 0x00000e6e: 46c0 .F MOV r8,r8 - 0x00000e70: bd70 p. POP {r4-r6,pc} - 0x00000e72: 0000 .. MOVS r0,r0 - .text - _printf_int_dec - 0x00000e74: b5f7 .. PUSH {r0-r2,r4-r7,lr} - 0x00000e76: 2500 .% MOVS r5,#0 - 0x00000e78: 2975 u) CMP r1,#0x75 - 0x00000e7a: 6810 .h LDR r0,[r2,#0] - 0x00000e7c: 9900 .. LDR r1,[sp,#0] - 0x00000e7e: a614 .. ADR r6,{pc}+0x52 ; 0xed0 - 0x00000e80: d011 .. BEQ 0xea6 ; _printf_int_dec + 50 - 0x00000e82: 46c0 .F MOV r8,r8 - 0x00000e84: 46c0 .F MOV r8,r8 - 0x00000e86: 2800 .( CMP r0,#0 - 0x00000e88: da02 .. BGE 0xe90 ; _printf_int_dec + 28 - 0x00000e8a: 4240 @B RSBS r0,r0,#0 - 0x00000e8c: a611 .. ADR r6,{pc}+0x48 ; 0xed4 - 0x00000e8e: e008 .. B 0xea2 ; _printf_int_dec + 46 - 0x00000e90: 9900 .. LDR r1,[sp,#0] - 0x00000e92: 6809 .h LDR r1,[r1,#0] - 0x00000e94: 078a .. LSLS r2,r1,#30 - 0x00000e96: d501 .. BPL 0xe9c ; _printf_int_dec + 40 - 0x00000e98: a60f .. ADR r6,{pc}+0x40 ; 0xed8 - 0x00000e9a: e002 .. B 0xea2 ; _printf_int_dec + 46 - 0x00000e9c: 0749 I. LSLS r1,r1,#29 - 0x00000e9e: d504 .. BPL 0xeaa ; _printf_int_dec + 54 - 0x00000ea0: a60e .. ADR r6,{pc}+0x3c ; 0xedc - 0x00000ea2: 2501 .% MOVS r5,#1 - 0x00000ea4: e001 .. B 0xeaa ; _printf_int_dec + 54 - 0x00000ea6: 46c0 .F MOV r8,r8 - 0x00000ea8: 46c0 .F MOV r8,r8 - 0x00000eaa: 9f00 .. LDR r7,[sp,#0] - 0x00000eac: 2400 .$ MOVS r4,#0 - 0x00000eae: 3724 $7 ADDS r7,r7,#0x24 - 0x00000eb0: e004 .. B 0xebc ; _printf_int_dec + 72 - 0x00000eb2: f000fa57 ..W. BL __rt_udiv10 ; 0x1364 - 0x00000eb6: 3130 01 ADDS r1,r1,#0x30 - 0x00000eb8: 5539 9U STRB r1,[r7,r4] - 0x00000eba: 1c64 d. ADDS r4,r4,#1 - 0x00000ebc: 2800 .( CMP r0,#0 - 0x00000ebe: d1f8 .. BNE 0xeb2 ; _printf_int_dec + 62 - 0x00000ec0: 462b +F MOV r3,r5 - 0x00000ec2: 4632 2F MOV r2,r6 - 0x00000ec4: 4621 !F MOV r1,r4 - 0x00000ec6: 9800 .. LDR r0,[sp,#0] - 0x00000ec8: f000f9c9 .... BL _printf_int_common ; 0x125e - 0x00000ecc: bdfe .. POP {r1-r7,pc} - $d - 0x00000ece: 0000 .. DCW 0 - 0x00000ed0: 00000000 .... DCD 0 - 0x00000ed4: 0000002d -... DCD 45 - 0x00000ed8: 0000002b +... DCD 43 - 0x00000edc: 00000020 ... DCD 32 - $t - .text - strcmp - 0x00000ee0: 0782 .. LSLS r2,r0,#30 - 0x00000ee2: 078b .. LSLS r3,r1,#30 - 0x00000ee4: 429a .B CMP r2,r3 - 0x00000ee6: d137 7. BNE 0xf58 ; strcmp + 120 - 0x00000ee8: b570 p. PUSH {r4-r6,lr} - 0x00000eea: 0f92 .. LSRS r2,r2,#30 - 0x00000eec: d00a .. BEQ 0xf04 ; strcmp + 36 - 0x00000eee: 2403 .$ MOVS r4,#3 - 0x00000ef0: 7802 .x LDRB r2,[r0,#0] - 0x00000ef2: 780b .x LDRB r3,[r1,#0] - 0x00000ef4: 1c40 @. ADDS r0,r0,#1 - 0x00000ef6: 1c49 I. ADDS r1,r1,#1 - 0x00000ef8: 2a00 .* CMP r2,#0 - 0x00000efa: d02b +. BEQ 0xf54 ; strcmp + 116 - 0x00000efc: 429a .B CMP r2,r3 - 0x00000efe: d129 ). BNE 0xf54 ; strcmp + 116 - 0x00000f00: 4220 B TST r0,r4 - 0x00000f02: d1f5 .. BNE 0xef0 ; strcmp + 16 - 0x00000f04: 4e1d .N LDR r6,[pc,#116] ; [0xf7c] = 0x1010101 - 0x00000f06: 01f4 .. LSLS r4,r6,#7 - 0x00000f08: c804 .. LDM r0!,{r2} - 0x00000f0a: c908 .. LDM r1!,{r3} - 0x00000f0c: 1b95 .. SUBS r5,r2,r6 - 0x00000f0e: 4395 .C BICS r5,r5,r2 - 0x00000f10: 4025 %@ ANDS r5,r5,r4 - 0x00000f12: d110 .. BNE 0xf36 ; strcmp + 86 - 0x00000f14: 429a .B CMP r2,r3 - 0x00000f16: d107 .. BNE 0xf28 ; strcmp + 72 - 0x00000f18: c804 .. LDM r0!,{r2} - 0x00000f1a: c908 .. LDM r1!,{r3} - 0x00000f1c: 1b95 .. SUBS r5,r2,r6 - 0x00000f1e: 4395 .C BICS r5,r5,r2 - 0x00000f20: 4025 %@ ANDS r5,r5,r4 - 0x00000f22: d108 .. BNE 0xf36 ; strcmp + 86 - 0x00000f24: 429a .B CMP r2,r3 - 0x00000f26: d0ef .. BEQ 0xf08 ; strcmp + 40 - 0x00000f28: ba12 .. REV r2,r2 - 0x00000f2a: ba1b .. REV r3,r3 - 0x00000f2c: 2001 . MOVS r0,#1 - 0x00000f2e: 429a .B CMP r2,r3 - 0x00000f30: d200 .. BCS 0xf34 ; strcmp + 84 - 0x00000f32: 4240 @B RSBS r0,r0,#0 - 0x00000f34: bd70 p. POP {r4-r6,pc} - 0x00000f36: ba12 .. REV r2,r2 - 0x00000f38: ba1b .. REV r3,r3 - 0x00000f3a: ba2d -. REV r5,r5 - 0x00000f3c: 2418 .$ MOVS r4,#0x18 - 0x00000f3e: 0010 .. MOVS r0,r2 - 0x00000f40: 40e0 .@ LSRS r0,r0,r4 - 0x00000f42: 0019 .. MOVS r1,r3 - 0x00000f44: 40e1 .@ LSRS r1,r1,r4 - 0x00000f46: 1a40 @. SUBS r0,r0,r1 - 0x00000f48: d105 .. BNE 0xf56 ; strcmp + 118 - 0x00000f4a: 002e .. MOVS r6,r5 - 0x00000f4c: 40e6 .@ LSRS r6,r6,r4 - 0x00000f4e: d102 .. BNE 0xf56 ; strcmp + 118 - 0x00000f50: 3c08 .< SUBS r4,r4,#8 - 0x00000f52: d1f4 .. BNE 0xf3e ; strcmp + 94 - 0x00000f54: 1ad0 .. SUBS r0,r2,r3 - 0x00000f56: bd70 p. POP {r4-r6,pc} - 0x00000f58: 7802 .x LDRB r2,[r0,#0] - 0x00000f5a: 780b .x LDRB r3,[r1,#0] - 0x00000f5c: 1c40 @. ADDS r0,r0,#1 - 0x00000f5e: 1c49 I. ADDS r1,r1,#1 - 0x00000f60: 2a00 .* CMP r2,#0 - 0x00000f62: d009 .. BEQ 0xf78 ; strcmp + 152 - 0x00000f64: 429a .B CMP r2,r3 - 0x00000f66: d107 .. BNE 0xf78 ; strcmp + 152 - 0x00000f68: 7802 .x LDRB r2,[r0,#0] - 0x00000f6a: 780b .x LDRB r3,[r1,#0] - 0x00000f6c: 1c40 @. ADDS r0,r0,#1 - 0x00000f6e: 1c49 I. ADDS r1,r1,#1 - 0x00000f70: 2a00 .* CMP r2,#0 - 0x00000f72: d001 .. BEQ 0xf78 ; strcmp + 152 - 0x00000f74: 429a .B CMP r2,r3 - 0x00000f76: d0ef .. BEQ 0xf58 ; strcmp + 120 - 0x00000f78: 1ad0 .. SUBS r0,r2,r3 - 0x00000f7a: 4770 pG BX lr - $d - 0x00000f7c: 01010101 .... DCD 16843009 - $t - .text - __aeabi_uidiv - __aeabi_uidivmod - 0x00000f80: 2200 ." MOVS r2,#0 - 0x00000f82: 0903 .. LSRS r3,r0,#4 - 0x00000f84: 428b .B CMP r3,r1 - 0x00000f86: d373 s. BCC 0x1070 ; __aeabi_idiv + 212 - 0x00000f88: 0a03 .. LSRS r3,r0,#8 - 0x00000f8a: 428b .B CMP r3,r1 - 0x00000f8c: d358 X. BCC 0x1040 ; __aeabi_idiv + 164 - 0x00000f8e: 0b03 .. LSRS r3,r0,#12 - 0x00000f90: 428b .B CMP r3,r1 - 0x00000f92: d33c <. BCC 0x100e ; __aeabi_idiv + 114 - 0x00000f94: 0c03 .. LSRS r3,r0,#16 - 0x00000f96: 428b .B CMP r3,r1 - 0x00000f98: d321 !. BCC 0xfde ; __aeabi_idiv + 66 - 0x00000f9a: e012 .. B 0xfc2 ; __aeabi_idiv + 38 - __aeabi_idiv - __aeabi_idivmod - 0x00000f9c: 4603 .F MOV r3,r0 - 0x00000f9e: 430b .C ORRS r3,r3,r1 - 0x00000fa0: d47f .. BMI 0x10a2 ; __aeabi_idiv + 262 - 0x00000fa2: 2200 ." MOVS r2,#0 - 0x00000fa4: 0843 C. LSRS r3,r0,#1 - 0x00000fa6: 428b .B CMP r3,r1 - 0x00000fa8: d374 t. BCC 0x1094 ; __aeabi_idiv + 248 - 0x00000faa: 0903 .. LSRS r3,r0,#4 - 0x00000fac: 428b .B CMP r3,r1 - 0x00000fae: d35f _. BCC 0x1070 ; __aeabi_idiv + 212 - 0x00000fb0: 0a03 .. LSRS r3,r0,#8 - 0x00000fb2: 428b .B CMP r3,r1 - 0x00000fb4: d344 D. BCC 0x1040 ; __aeabi_idiv + 164 - 0x00000fb6: 0b03 .. LSRS r3,r0,#12 - 0x00000fb8: 428b .B CMP r3,r1 - 0x00000fba: d328 (. BCC 0x100e ; __aeabi_idiv + 114 - 0x00000fbc: 0c03 .. LSRS r3,r0,#16 - 0x00000fbe: 428b .B CMP r3,r1 - 0x00000fc0: d30d .. BCC 0xfde ; __aeabi_idiv + 66 - 0x00000fc2: 22ff ." MOVS r2,#0xff - 0x00000fc4: 0209 .. LSLS r1,r1,#8 - 0x00000fc6: ba12 .. REV r2,r2 - 0x00000fc8: 0c03 .. LSRS r3,r0,#16 - 0x00000fca: 428b .B CMP r3,r1 - 0x00000fcc: d302 .. BCC 0xfd4 ; __aeabi_idiv + 56 - 0x00000fce: 1212 .. ASRS r2,r2,#8 - 0x00000fd0: 0209 .. LSLS r1,r1,#8 - 0x00000fd2: d065 e. BEQ 0x10a0 ; __aeabi_idiv + 260 - 0x00000fd4: 0b03 .. LSRS r3,r0,#12 - 0x00000fd6: 428b .B CMP r3,r1 - 0x00000fd8: d319 .. BCC 0x100e ; __aeabi_idiv + 114 - 0x00000fda: e000 .. B 0xfde ; __aeabi_idiv + 66 - 0x00000fdc: 0a09 .. LSRS r1,r1,#8 - 0x00000fde: 0bc3 .. LSRS r3,r0,#15 - 0x00000fe0: 428b .B CMP r3,r1 - 0x00000fe2: d301 .. BCC 0xfe8 ; __aeabi_idiv + 76 - 0x00000fe4: 03cb .. LSLS r3,r1,#15 - 0x00000fe6: 1ac0 .. SUBS r0,r0,r3 - 0x00000fe8: 4152 RA ADCS r2,r2,r2 - 0x00000fea: 0b83 .. LSRS r3,r0,#14 - 0x00000fec: 428b .B CMP r3,r1 - 0x00000fee: d301 .. BCC 0xff4 ; __aeabi_idiv + 88 - 0x00000ff0: 038b .. LSLS r3,r1,#14 - 0x00000ff2: 1ac0 .. SUBS r0,r0,r3 - 0x00000ff4: 4152 RA ADCS r2,r2,r2 - 0x00000ff6: 0b43 C. LSRS r3,r0,#13 - 0x00000ff8: 428b .B CMP r3,r1 - 0x00000ffa: d301 .. BCC 0x1000 ; __aeabi_idiv + 100 - 0x00000ffc: 034b K. LSLS r3,r1,#13 - 0x00000ffe: 1ac0 .. SUBS r0,r0,r3 - 0x00001000: 4152 RA ADCS r2,r2,r2 - 0x00001002: 0b03 .. LSRS r3,r0,#12 - 0x00001004: 428b .B CMP r3,r1 - 0x00001006: d301 .. BCC 0x100c ; __aeabi_idiv + 112 - 0x00001008: 030b .. LSLS r3,r1,#12 - 0x0000100a: 1ac0 .. SUBS r0,r0,r3 - 0x0000100c: 4152 RA ADCS r2,r2,r2 - 0x0000100e: 0ac3 .. LSRS r3,r0,#11 - 0x00001010: 428b .B CMP r3,r1 - 0x00001012: d301 .. BCC 0x1018 ; __aeabi_idiv + 124 - 0x00001014: 02cb .. LSLS r3,r1,#11 - 0x00001016: 1ac0 .. SUBS r0,r0,r3 - 0x00001018: 4152 RA ADCS r2,r2,r2 - 0x0000101a: 0a83 .. LSRS r3,r0,#10 - 0x0000101c: 428b .B CMP r3,r1 - 0x0000101e: d301 .. BCC 0x1024 ; __aeabi_idiv + 136 - 0x00001020: 028b .. LSLS r3,r1,#10 - 0x00001022: 1ac0 .. SUBS r0,r0,r3 - 0x00001024: 4152 RA ADCS r2,r2,r2 - 0x00001026: 0a43 C. LSRS r3,r0,#9 - 0x00001028: 428b .B CMP r3,r1 - 0x0000102a: d301 .. BCC 0x1030 ; __aeabi_idiv + 148 - 0x0000102c: 024b K. LSLS r3,r1,#9 - 0x0000102e: 1ac0 .. SUBS r0,r0,r3 - 0x00001030: 4152 RA ADCS r2,r2,r2 - 0x00001032: 0a03 .. LSRS r3,r0,#8 - 0x00001034: 428b .B CMP r3,r1 - 0x00001036: d301 .. BCC 0x103c ; __aeabi_idiv + 160 - 0x00001038: 020b .. LSLS r3,r1,#8 - 0x0000103a: 1ac0 .. SUBS r0,r0,r3 - 0x0000103c: 4152 RA ADCS r2,r2,r2 - 0x0000103e: d2cd .. BCS 0xfdc ; __aeabi_idiv + 64 - 0x00001040: 09c3 .. LSRS r3,r0,#7 - 0x00001042: 428b .B CMP r3,r1 - 0x00001044: d301 .. BCC 0x104a ; __aeabi_idiv + 174 - 0x00001046: 01cb .. LSLS r3,r1,#7 - 0x00001048: 1ac0 .. SUBS r0,r0,r3 - 0x0000104a: 4152 RA ADCS r2,r2,r2 - 0x0000104c: 0983 .. LSRS r3,r0,#6 - 0x0000104e: 428b .B CMP r3,r1 - 0x00001050: d301 .. BCC 0x1056 ; __aeabi_idiv + 186 - 0x00001052: 018b .. LSLS r3,r1,#6 - 0x00001054: 1ac0 .. SUBS r0,r0,r3 - 0x00001056: 4152 RA ADCS r2,r2,r2 - 0x00001058: 0943 C. LSRS r3,r0,#5 - 0x0000105a: 428b .B CMP r3,r1 - 0x0000105c: d301 .. BCC 0x1062 ; __aeabi_idiv + 198 - 0x0000105e: 014b K. LSLS r3,r1,#5 - 0x00001060: 1ac0 .. SUBS r0,r0,r3 - 0x00001062: 4152 RA ADCS r2,r2,r2 - 0x00001064: 0903 .. LSRS r3,r0,#4 - 0x00001066: 428b .B CMP r3,r1 - 0x00001068: d301 .. BCC 0x106e ; __aeabi_idiv + 210 - 0x0000106a: 010b .. LSLS r3,r1,#4 - 0x0000106c: 1ac0 .. SUBS r0,r0,r3 - 0x0000106e: 4152 RA ADCS r2,r2,r2 - 0x00001070: 08c3 .. LSRS r3,r0,#3 - 0x00001072: 428b .B CMP r3,r1 - 0x00001074: d301 .. BCC 0x107a ; __aeabi_idiv + 222 - 0x00001076: 00cb .. LSLS r3,r1,#3 - 0x00001078: 1ac0 .. SUBS r0,r0,r3 - 0x0000107a: 4152 RA ADCS r2,r2,r2 - 0x0000107c: 0883 .. LSRS r3,r0,#2 - 0x0000107e: 428b .B CMP r3,r1 - 0x00001080: d301 .. BCC 0x1086 ; __aeabi_idiv + 234 - 0x00001082: 008b .. LSLS r3,r1,#2 - 0x00001084: 1ac0 .. SUBS r0,r0,r3 - 0x00001086: 4152 RA ADCS r2,r2,r2 - 0x00001088: 0843 C. LSRS r3,r0,#1 - 0x0000108a: 428b .B CMP r3,r1 - 0x0000108c: d301 .. BCC 0x1092 ; __aeabi_idiv + 246 - 0x0000108e: 004b K. LSLS r3,r1,#1 - 0x00001090: 1ac0 .. SUBS r0,r0,r3 - 0x00001092: 4152 RA ADCS r2,r2,r2 - 0x00001094: 1a41 A. SUBS r1,r0,r1 - 0x00001096: d200 .. BCS 0x109a ; __aeabi_idiv + 254 - 0x00001098: 4601 .F MOV r1,r0 - 0x0000109a: 4152 RA ADCS r2,r2,r2 - 0x0000109c: 4610 .F MOV r0,r2 - 0x0000109e: 4770 pG BX lr - 0x000010a0: e05d ]. B 0x115e ; __aeabi_idiv + 450 - 0x000010a2: 0fca .. LSRS r2,r1,#31 - 0x000010a4: d000 .. BEQ 0x10a8 ; __aeabi_idiv + 268 - 0x000010a6: 4249 IB RSBS r1,r1,#0 - 0x000010a8: 1003 .. ASRS r3,r0,#32 - 0x000010aa: d300 .. BCC 0x10ae ; __aeabi_idiv + 274 - 0x000010ac: 4240 @B RSBS r0,r0,#0 - 0x000010ae: 4053 S@ EORS r3,r3,r2 - 0x000010b0: 2200 ." MOVS r2,#0 - 0x000010b2: 469c .F MOV r12,r3 - 0x000010b4: 0903 .. LSRS r3,r0,#4 - 0x000010b6: 428b .B CMP r3,r1 - 0x000010b8: d32d -. BCC 0x1116 ; __aeabi_idiv + 378 - 0x000010ba: 0a03 .. LSRS r3,r0,#8 - 0x000010bc: 428b .B CMP r3,r1 - 0x000010be: d312 .. BCC 0x10e6 ; __aeabi_idiv + 330 - 0x000010c0: 22fc ." MOVS r2,#0xfc - 0x000010c2: 0189 .. LSLS r1,r1,#6 - 0x000010c4: ba12 .. REV r2,r2 - 0x000010c6: 0a03 .. LSRS r3,r0,#8 - 0x000010c8: 428b .B CMP r3,r1 - 0x000010ca: d30c .. BCC 0x10e6 ; __aeabi_idiv + 330 - 0x000010cc: 0189 .. LSLS r1,r1,#6 - 0x000010ce: 1192 .. ASRS r2,r2,#6 - 0x000010d0: 428b .B CMP r3,r1 - 0x000010d2: d308 .. BCC 0x10e6 ; __aeabi_idiv + 330 - 0x000010d4: 0189 .. LSLS r1,r1,#6 - 0x000010d6: 1192 .. ASRS r2,r2,#6 - 0x000010d8: 428b .B CMP r3,r1 - 0x000010da: d304 .. BCC 0x10e6 ; __aeabi_idiv + 330 - 0x000010dc: 0189 .. LSLS r1,r1,#6 - 0x000010de: d03a :. BEQ 0x1156 ; __aeabi_idiv + 442 - 0x000010e0: 1192 .. ASRS r2,r2,#6 - 0x000010e2: e000 .. B 0x10e6 ; __aeabi_idiv + 330 - 0x000010e4: 0989 .. LSRS r1,r1,#6 - 0x000010e6: 09c3 .. LSRS r3,r0,#7 - 0x000010e8: 428b .B CMP r3,r1 - 0x000010ea: d301 .. BCC 0x10f0 ; __aeabi_idiv + 340 - 0x000010ec: 01cb .. LSLS r3,r1,#7 - 0x000010ee: 1ac0 .. SUBS r0,r0,r3 - 0x000010f0: 4152 RA ADCS r2,r2,r2 - 0x000010f2: 0983 .. LSRS r3,r0,#6 - 0x000010f4: 428b .B CMP r3,r1 - 0x000010f6: d301 .. BCC 0x10fc ; __aeabi_idiv + 352 - 0x000010f8: 018b .. LSLS r3,r1,#6 - 0x000010fa: 1ac0 .. SUBS r0,r0,r3 - 0x000010fc: 4152 RA ADCS r2,r2,r2 - 0x000010fe: 0943 C. LSRS r3,r0,#5 - 0x00001100: 428b .B CMP r3,r1 - 0x00001102: d301 .. BCC 0x1108 ; __aeabi_idiv + 364 - 0x00001104: 014b K. LSLS r3,r1,#5 - 0x00001106: 1ac0 .. SUBS r0,r0,r3 - 0x00001108: 4152 RA ADCS r2,r2,r2 - 0x0000110a: 0903 .. LSRS r3,r0,#4 - 0x0000110c: 428b .B CMP r3,r1 - 0x0000110e: d301 .. BCC 0x1114 ; __aeabi_idiv + 376 - 0x00001110: 010b .. LSLS r3,r1,#4 - 0x00001112: 1ac0 .. SUBS r0,r0,r3 - 0x00001114: 4152 RA ADCS r2,r2,r2 - 0x00001116: 08c3 .. LSRS r3,r0,#3 - 0x00001118: 428b .B CMP r3,r1 - 0x0000111a: d301 .. BCC 0x1120 ; __aeabi_idiv + 388 - 0x0000111c: 00cb .. LSLS r3,r1,#3 - 0x0000111e: 1ac0 .. SUBS r0,r0,r3 - 0x00001120: 4152 RA ADCS r2,r2,r2 - 0x00001122: 0883 .. LSRS r3,r0,#2 - 0x00001124: 428b .B CMP r3,r1 - 0x00001126: d301 .. BCC 0x112c ; __aeabi_idiv + 400 - 0x00001128: 008b .. LSLS r3,r1,#2 - 0x0000112a: 1ac0 .. SUBS r0,r0,r3 - 0x0000112c: 4152 RA ADCS r2,r2,r2 - 0x0000112e: d2d9 .. BCS 0x10e4 ; __aeabi_idiv + 328 - 0x00001130: 0843 C. LSRS r3,r0,#1 - 0x00001132: 428b .B CMP r3,r1 - 0x00001134: d301 .. BCC 0x113a ; __aeabi_idiv + 414 - 0x00001136: 004b K. LSLS r3,r1,#1 - 0x00001138: 1ac0 .. SUBS r0,r0,r3 - 0x0000113a: 4152 RA ADCS r2,r2,r2 - 0x0000113c: 1a41 A. SUBS r1,r0,r1 - 0x0000113e: d200 .. BCS 0x1142 ; __aeabi_idiv + 422 - 0x00001140: 4601 .F MOV r1,r0 - 0x00001142: 4663 cF MOV r3,r12 - 0x00001144: 4152 RA ADCS r2,r2,r2 - 0x00001146: 105b [. ASRS r3,r3,#1 - 0x00001148: 4610 .F MOV r0,r2 - 0x0000114a: d301 .. BCC 0x1150 ; __aeabi_idiv + 436 - 0x0000114c: 4240 @B RSBS r0,r0,#0 - 0x0000114e: 2b00 .+ CMP r3,#0 - 0x00001150: d500 .. BPL 0x1154 ; __aeabi_idiv + 440 - 0x00001152: 4249 IB RSBS r1,r1,#0 - 0x00001154: 4770 pG BX lr - 0x00001156: 4663 cF MOV r3,r12 - 0x00001158: 105b [. ASRS r3,r3,#1 - 0x0000115a: d300 .. BCC 0x115e ; __aeabi_idiv + 450 - 0x0000115c: 4240 @B RSBS r0,r0,#0 - 0x0000115e: b501 .. PUSH {r0,lr} - 0x00001160: 2000 . MOVS r0,#0 - 0x00001162: 46c0 .F MOV r8,r8 - 0x00001164: 46c0 .F MOV r8,r8 - 0x00001166: bd02 .. POP {r1,pc} - .text - __use_two_region_memory - 0x00001168: 4770 pG BX lr - __rt_heap_escrow - 0x0000116a: 4770 pG BX lr - __rt_heap_expand - 0x0000116c: 4770 pG BX lr - 0x0000116e: 0000 .. MOVS r0,r0 - .text - __rt_heap_descriptor - 0x00001170: 4800 .H LDR r0,[pc,#0] ; [0x1174] = 0x3000280c - 0x00001172: 4770 pG BX lr - $d - 0x00001174: 3000280c .(.0 DCD 805316620 - $t - .text - __use_no_heap - 0x00001178: 4770 pG BX lr - __heap$guard - 0x0000117a: 4770 pG BX lr - .text - _terminate_user_alloc - 0x0000117c: 4770 pG BX lr - _init_user_alloc - 0x0000117e: 4770 pG BX lr - __Heap_Full - 0x00001180: b538 8. PUSH {r3-r5,lr} - 0x00001182: 4604 .F MOV r4,r0 - 0x00001184: 460a .F MOV r2,r1 - 0x00001186: 2000 . MOVS r0,#0 - 0x00001188: 4669 iF MOV r1,sp - 0x0000118a: 46c0 .F MOV r8,r8 - 0x0000118c: 46c0 .F MOV r8,r8 - 0x0000118e: 2800 .( CMP r0,#0 - 0x00001190: d005 .. BEQ 0x119e ; __Heap_Full + 30 - 0x00001192: 4602 .F MOV r2,r0 - 0x00001194: 4620 F MOV r0,r4 - 0x00001196: 9900 .. LDR r1,[sp,#0] - 0x00001198: f000f8fc .... BL __Heap_ProvideMemory ; 0x1394 - 0x0000119c: 2001 . MOVS r0,#1 - 0x0000119e: bd38 8. POP {r3-r5,pc} - __Heap_Broken - 0x000011a0: b510 .. PUSH {r4,lr} - 0x000011a2: 2001 . MOVS r0,#1 - 0x000011a4: f000f928 ..(. BL __rt_SIGRTMEM ; 0x13f8 - 0x000011a8: bd10 .. POP {r4,pc} - _init_alloc - 0x000011aa: b5f8 .. PUSH {r3-r7,lr} - 0x000011ac: 46c0 .F MOV r8,r8 - 0x000011ae: 46c0 .F MOV r8,r8 - 0x000011b0: 4605 .F MOV r5,r0 - 0x000011b2: 2000 . MOVS r0,#0 - 0x000011b4: 460c .F MOV r4,r1 - 0x000011b6: 2010 . MOVS r0,#0x10 - 0x000011b8: 46c0 .F MOV r8,r8 - 0x000011ba: 4606 .F MOV r6,r0 - 0x000011bc: 19a8 .. ADDS r0,r5,r6 - 0x000011be: 42a0 .B CMP r0,r4 - 0x000011c0: d90d .. BLS 0x11de ; _init_alloc + 52 - 0x000011c2: 4632 2F MOV r2,r6 - 0x000011c4: 4669 iF MOV r1,sp - 0x000011c6: 2000 . MOVS r0,#0 - 0x000011c8: 46c0 .F MOV r8,r8 - 0x000011ca: 46c0 .F MOV r8,r8 - 0x000011cc: 0007 .. MOVS r7,r0 - 0x000011ce: d101 .. BNE 0x11d4 ; _init_alloc + 42 - 0x000011d0: f000f912 .... BL __rt_SIGRTMEM ; 0x13f8 - 0x000011d4: 9800 .. LDR r0,[sp,#0] - 0x000011d6: 42a0 .B CMP r0,r4 - 0x000011d8: d000 .. BEQ 0x11dc ; _init_alloc + 50 - 0x000011da: 4605 .F MOV r5,r0 - 0x000011dc: 19c4 .. ADDS r4,r0,r7 - 0x000011de: f7ffffc7 .... BL __rt_heap_descriptor ; 0x1170 - 0x000011e2: 1df6 .. ADDS r6,r6,#7 - 0x000011e4: 4607 .F MOV r7,r0 - 0x000011e6: 6005 .` STR r5,[r0,#0] - 0x000011e8: 08f0 .. LSRS r0,r6,#3 - 0x000011ea: 00c0 .. LSLS r0,r0,#3 - 0x000011ec: 1946 F. ADDS r6,r0,r5 - 0x000011ee: 4628 (F MOV r0,r5 - 0x000011f0: f000f808 .... BL __Heap_Initialize ; 0x1204 - 0x000011f4: 42b4 .B CMP r4,r6 - 0x000011f6: d004 .. BEQ 0x1202 ; _init_alloc + 88 - 0x000011f8: 4631 1F MOV r1,r6 - 0x000011fa: 6838 8h LDR r0,[r7,#0] - 0x000011fc: 1ba2 .. SUBS r2,r4,r6 - 0x000011fe: f000f8c9 .... BL __Heap_ProvideMemory ; 0x1394 - 0x00001202: bdf8 .. POP {r3-r7,pc} - .text - __Heap_Initialize - 0x00001204: 2100 .! MOVS r1,#0 - 0x00001206: 6001 .` STR r1,[r0,#0] - 0x00001208: 6041 A` STR r1,[r0,#4] - 0x0000120a: 6080 .` STR r0,[r0,#8] - 0x0000120c: 4770 pG BX lr - __Heap_DescSize - 0x0000120e: 2010 . MOVS r0,#0x10 - 0x00001210: 4770 pG BX lr - .text - free - 0x00001212: b570 p. PUSH {r4-r6,lr} - 0x00001214: 4604 .F MOV r4,r0 - 0x00001216: 1f05 .. SUBS r5,r0,#4 - 0x00001218: f7ffffaa .... BL __rt_heap_descriptor ; 0x1170 - 0x0000121c: 6800 .h LDR r0,[r0,#0] - 0x0000121e: 2c00 ., CMP r4,#0 - 0x00001220: d01a .. BEQ 0x1258 ; free + 70 - 0x00001222: 6844 Dh LDR r4,[r0,#4] - 0x00001224: e001 .. B 0x122a ; free + 24 - 0x00001226: 4620 F MOV r0,r4 - 0x00001228: 6864 dh LDR r4,[r4,#4] - 0x0000122a: 2c00 ., CMP r4,#0 - 0x0000122c: d001 .. BEQ 0x1232 ; free + 32 - 0x0000122e: 42ac .B CMP r4,r5 - 0x00001230: d3f9 .. BCC 0x1226 ; free + 20 - 0x00001232: 6801 .h LDR r1,[r0,#0] - 0x00001234: 180a .. ADDS r2,r1,r0 - 0x00001236: 42aa .B CMP r2,r5 - 0x00001238: d104 .. BNE 0x1244 ; free + 50 - 0x0000123a: 682a *h LDR r2,[r5,#0] - 0x0000123c: 4605 .F MOV r5,r0 - 0x0000123e: 1889 .. ADDS r1,r1,r2 - 0x00001240: 6001 .` STR r1,[r0,#0] - 0x00001242: e000 .. B 0x1246 ; free + 52 - 0x00001244: 6045 E` STR r5,[r0,#4] - 0x00001246: 6828 (h LDR r0,[r5,#0] - 0x00001248: 1941 A. ADDS r1,r0,r5 - 0x0000124a: 42a1 .B CMP r1,r4 - 0x0000124c: d105 .. BNE 0x125a ; free + 72 - 0x0000124e: 6861 ah LDR r1,[r4,#4] - 0x00001250: 6069 i` STR r1,[r5,#4] - 0x00001252: 6821 !h LDR r1,[r4,#0] - 0x00001254: 1840 @. ADDS r0,r0,r1 - 0x00001256: 6028 (` STR r0,[r5,#0] - 0x00001258: bd70 p. POP {r4-r6,pc} - 0x0000125a: 606c l` STR r4,[r5,#4] - 0x0000125c: bd70 p. POP {r4-r6,pc} - .text - _printf_int_common - 0x0000125e: b5ff .. PUSH {r0-r7,lr} - 0x00001260: 4604 .F MOV r4,r0 - 0x00001262: 460d .F MOV r5,r1 - 0x00001264: b081 .. SUB sp,sp,#4 - 0x00001266: 3024 $0 ADDS r0,r0,#0x24 - 0x00001268: 9000 .. STR r0,[sp,#0] - 0x0000126a: 6821 !h LDR r1,[r4,#0] - 0x0000126c: 0688 .. LSLS r0,r1,#26 - 0x0000126e: d504 .. BPL 0x127a ; _printf_int_common + 28 - 0x00001270: 2210 ." MOVS r2,#0x10 - 0x00001272: 69e0 .i LDR r0,[r4,#0x1c] - 0x00001274: 4391 .C BICS r1,r1,r2 - 0x00001276: 6021 !` STR r1,[r4,#0] - 0x00001278: e000 .. B 0x127c ; _printf_int_common + 30 - 0x0000127a: 2001 . MOVS r0,#1 - 0x0000127c: 42a8 .B CMP r0,r5 - 0x0000127e: dd01 .. BLE 0x1284 ; _printf_int_common + 38 - 0x00001280: 1b47 G. SUBS r7,r0,r5 - 0x00001282: e000 .. B 0x1286 ; _printf_int_common + 40 - 0x00001284: 2700 .' MOVS r7,#0 - 0x00001286: 9804 .. LDR r0,[sp,#0x10] - 0x00001288: 69a1 .i LDR r1,[r4,#0x18] - 0x0000128a: 197a z. ADDS r2,r7,r5 - 0x0000128c: 1810 .. ADDS r0,r2,r0 - 0x0000128e: 1a08 .. SUBS r0,r1,r0 - 0x00001290: 61a0 .a STR r0,[r4,#0x18] - 0x00001292: 7820 x LDRB r0,[r4,#0] - 0x00001294: 06c0 .. LSLS r0,r0,#27 - 0x00001296: d402 .. BMI 0x129e ; _printf_int_common + 64 - 0x00001298: 4620 F MOV r0,r4 - 0x0000129a: 46c0 .F MOV r8,r8 - 0x0000129c: 46c0 .F MOV r8,r8 - 0x0000129e: 2600 .& MOVS r6,#0 - 0x000012a0: e008 .. B 0x12b4 ; _printf_int_common + 86 - 0x000012a2: 9803 .. LDR r0,[sp,#0xc] - 0x000012a4: 6862 bh LDR r2,[r4,#4] - 0x000012a6: 68a1 .h LDR r1,[r4,#8] - 0x000012a8: 5d80 .] LDRB r0,[r0,r6] - 0x000012aa: 4790 .G BLX r2 - 0x000012ac: 6a20 j LDR r0,[r4,#0x20] - 0x000012ae: 1c40 @. ADDS r0,r0,#1 - 0x000012b0: 1c76 v. ADDS r6,r6,#1 - 0x000012b2: 6220 b STR r0,[r4,#0x20] - 0x000012b4: 9804 .. LDR r0,[sp,#0x10] - 0x000012b6: 4286 .B CMP r6,r0 - 0x000012b8: dbf3 .. BLT 0x12a2 ; _printf_int_common + 68 - 0x000012ba: 7820 x LDRB r0,[r4,#0] - 0x000012bc: 06c0 .. LSLS r0,r0,#27 - 0x000012be: d50a .. BPL 0x12d6 ; _printf_int_common + 120 - 0x000012c0: 4620 F MOV r0,r4 - 0x000012c2: 46c0 .F MOV r8,r8 - 0x000012c4: 46c0 .F MOV r8,r8 - 0x000012c6: e006 .. B 0x12d6 ; _printf_int_common + 120 - 0x000012c8: 6862 bh LDR r2,[r4,#4] - 0x000012ca: 68a1 .h LDR r1,[r4,#8] - 0x000012cc: 2030 0 MOVS r0,#0x30 - 0x000012ce: 4790 .G BLX r2 - 0x000012d0: 6a20 j LDR r0,[r4,#0x20] - 0x000012d2: 1c40 @. ADDS r0,r0,#1 - 0x000012d4: 6220 b STR r0,[r4,#0x20] - 0x000012d6: 4638 8F MOV r0,r7 - 0x000012d8: 1e7f .. SUBS r7,r7,#1 - 0x000012da: 2800 .( CMP r0,#0 - 0x000012dc: dcf4 .. BGT 0x12c8 ; _printf_int_common + 106 - 0x000012de: e007 .. B 0x12f0 ; _printf_int_common + 146 - 0x000012e0: 9800 .. LDR r0,[sp,#0] - 0x000012e2: 6862 bh LDR r2,[r4,#4] - 0x000012e4: 68a1 .h LDR r1,[r4,#8] - 0x000012e6: 5d40 @] LDRB r0,[r0,r5] - 0x000012e8: 4790 .G BLX r2 - 0x000012ea: 6a20 j LDR r0,[r4,#0x20] - 0x000012ec: 1c40 @. ADDS r0,r0,#1 - 0x000012ee: 6220 b STR r0,[r4,#0x20] - 0x000012f0: 4628 (F MOV r0,r5 - 0x000012f2: 1e6d m. SUBS r5,r5,#1 - 0x000012f4: 2800 .( CMP r0,#0 - 0x000012f6: dcf3 .. BGT 0x12e0 ; _printf_int_common + 130 - 0x000012f8: 4620 F MOV r0,r4 - 0x000012fa: 46c0 .F MOV r8,r8 - 0x000012fc: 46c0 .F MOV r8,r8 - 0x000012fe: 7820 x LDRB r0,[r4,#0] - 0x00001300: 0600 .. LSLS r0,r0,#24 - 0x00001302: d502 .. BPL 0x130a ; _printf_int_common + 172 - 0x00001304: 2002 . MOVS r0,#2 - 0x00001306: b005 .. ADD sp,sp,#0x14 - 0x00001308: bdf0 .. POP {r4-r7,pc} - 0x0000130a: 2001 . MOVS r0,#1 - 0x0000130c: e7fb .. B 0x1306 ; _printf_int_common + 168 - .text - _printf_cs_common - 0x0000130e: b510 .. PUSH {r4,lr} - 0x00001310: 6943 Ci LDR r3,[r0,#0x14] - 0x00001312: 2b00 .+ CMP r3,#0 - 0x00001314: d002 .. BEQ 0x131c ; _printf_cs_common + 14 - 0x00001316: 46c0 .F MOV r8,r8 - 0x00001318: 46c0 .F MOV r8,r8 - 0x0000131a: e001 .. B 0x1320 ; _printf_cs_common + 18 - 0x0000131c: f7fffd80 .... BL _printf_str ; 0xe20 - 0x00001320: 2001 . MOVS r0,#1 - 0x00001322: bd10 .. POP {r4,pc} - _printf_char - 0x00001324: 7812 .x LDRB r2,[r2,#0] - 0x00001326: 4601 .F MOV r1,r0 - 0x00001328: 3124 $1 ADDS r1,r1,#0x24 - 0x0000132a: 700a .p STRB r2,[r1,#0] - 0x0000132c: 2200 ." MOVS r2,#0 - 0x0000132e: 704a Jp STRB r2,[r1,#1] - 0x00001330: 2201 ." MOVS r2,#1 - 0x00001332: e7ec .. B _printf_cs_common ; 0x130e - _printf_string - 0x00001334: 6811 .h LDR r1,[r2,#0] - 0x00001336: 2200 ." MOVS r2,#0 - 0x00001338: 43d2 .C MVNS r2,r2 - 0x0000133a: e7e8 .. B _printf_cs_common ; 0x130e - .text - _printf_char_file - 0x0000133c: 4b08 .K LDR r3,[pc,#32] ; [0x1360] = 0xfffff97f - 0x0000133e: b570 p. PUSH {r4-r6,lr} - 0x00001340: 460d .F MOV r5,r1 - 0x00001342: 447b {D ADD r3,r3,pc - 0x00001344: f000f845 ..E. BL _printf_char_common ; 0x13d2 - 0x00001348: 4604 .F MOV r4,r0 - 0x0000134a: 4628 (F MOV r0,r5 - 0x0000134c: f7fffcc5 .... BL ferror ; 0xcda - 0x00001350: 2800 .( CMP r0,#0 - 0x00001352: d002 .. BEQ 0x135a ; _printf_char_file + 30 - 0x00001354: 2000 . MOVS r0,#0 - 0x00001356: 43c0 .C MVNS r0,r0 - 0x00001358: bd70 p. POP {r4-r6,pc} - 0x0000135a: 4620 F MOV r0,r4 - 0x0000135c: bd70 p. POP {r4-r6,pc} - $d - 0x0000135e: 0000 .. DCW 0 - 0x00001360: fffff97f .... DCD 4294965631 - $t - .text - __rt_udiv10 - 0x00001364: 4601 .F MOV r1,r0 - 0x00001366: 0880 .. LSRS r0,r0,#2 - 0x00001368: 1a08 .. SUBS r0,r1,r0 - 0x0000136a: 0902 .. LSRS r2,r0,#4 - 0x0000136c: 1810 .. ADDS r0,r2,r0 - 0x0000136e: 0a02 .. LSRS r2,r0,#8 - 0x00001370: 1810 .. ADDS r0,r2,r0 - 0x00001372: 0c02 .. LSRS r2,r0,#16 - 0x00001374: 1810 .. ADDS r0,r2,r0 - 0x00001376: 08c0 .. LSRS r0,r0,#3 - 0x00001378: 0082 .. LSLS r2,r0,#2 - 0x0000137a: 1812 .. ADDS r2,r2,r0 - 0x0000137c: 0052 R. LSLS r2,r2,#1 - 0x0000137e: 1a89 .. SUBS r1,r1,r2 - 0x00001380: e001 .. B 0x1386 ; __rt_udiv10 + 34 - 0x00001382: 1c40 @. ADDS r0,r0,#1 - 0x00001384: 390a .9 SUBS r1,r1,#0xa - 0x00001386: 290a .) CMP r1,#0xa - 0x00001388: d2fb .. BCS 0x1382 ; __rt_udiv10 + 30 - 0x0000138a: 4770 pG BX lr - .text - __user_libspace - __user_perproc_libspace - __user_perthread_libspace - 0x0000138c: 4800 .H LDR r0,[pc,#0] ; [0x1390] = 0x30002804 - 0x0000138e: 4770 pG BX lr - $d - 0x00001390: 30002804 .(.0 DCD 805316612 - $t - .text - __Heap_ProvideMemory - 0x00001394: b510 .. PUSH {r4,lr} - 0x00001396: 4603 .F MOV r3,r0 - 0x00001398: 6840 @h LDR r0,[r0,#4] - 0x0000139a: e001 .. B 0x13a0 ; __Heap_ProvideMemory + 12 - 0x0000139c: 4603 .F MOV r3,r0 - 0x0000139e: 6840 @h LDR r0,[r0,#4] - 0x000013a0: 2800 .( CMP r0,#0 - 0x000013a2: d001 .. BEQ 0x13a8 ; __Heap_ProvideMemory + 20 - 0x000013a4: 4288 .B CMP r0,r1 - 0x000013a6: d3f9 .. BCC 0x139c ; __Heap_ProvideMemory + 8 - 0x000013a8: 6818 .h LDR r0,[r3,#0] - 0x000013aa: 18c3 .. ADDS r3,r0,r3 - 0x000013ac: 428b .B CMP r3,r1 - 0x000013ae: d006 .. BEQ 0x13be ; __Heap_ProvideMemory + 42 - 0x000013b0: 1ccb .. ADDS r3,r1,#3 - 0x000013b2: 08d8 .. LSRS r0,r3,#3 - 0x000013b4: 00c0 .. LSLS r0,r0,#3 - 0x000013b6: 1d00 .. ADDS r0,r0,#4 - 0x000013b8: 1a41 A. SUBS r1,r0,r1 - 0x000013ba: 1a52 R. SUBS r2,r2,r1 - 0x000013bc: 4601 .F MOV r1,r0 - 0x000013be: 1d08 .. ADDS r0,r1,#4 - 0x000013c0: 600a .` STR r2,[r1,#0] - 0x000013c2: f7ffff26 ..&. BL free ; 0x1212 - 0x000013c6: bd10 .. POP {r4,pc} - .text - _printf_input_char - 0x000013c8: 6901 .i LDR r1,[r0,#0x10] - 0x000013ca: 1c4a J. ADDS r2,r1,#1 - 0x000013cc: 6102 .a STR r2,[r0,#0x10] - 0x000013ce: 7808 .x LDRB r0,[r1,#0] - 0x000013d0: 4770 pG BX lr - _printf_char_common - 0x000013d2: b500 .. PUSH {lr} - 0x000013d4: b08f .. SUB sp,sp,#0x3c - 0x000013d6: 9102 .. STR r1,[sp,#8] - 0x000013d8: 2100 .! MOVS r1,#0 - 0x000013da: 9105 .. STR r1,[sp,#0x14] - 0x000013dc: 4905 .I LDR r1,[pc,#20] ; [0x13f4] = 0xffffffe5 - 0x000013de: 9301 .. STR r3,[sp,#4] - 0x000013e0: 4479 yD ADD r1,r1,pc - 0x000013e2: 9103 .. STR r1,[sp,#0xc] - 0x000013e4: 4611 .F MOV r1,r2 - 0x000013e6: 9004 .. STR r0,[sp,#0x10] - 0x000013e8: 4668 hF MOV r0,sp - 0x000013ea: f7fffce3 .... BL __printf ; 0xdb4 - 0x000013ee: b00f .. ADD sp,sp,#0x3c - 0x000013f0: bd00 .. POP {pc} - $d - 0x000013f2: 0000 .. DCW 0 - 0x000013f4: ffffffe5 .... DCD 4294967269 - $t - .text - __rt_SIGRTMEM - 0x000013f8: b510 .. PUSH {r4,lr} - 0x000013fa: f000f831 ..1. BL __rt_SIGRTMEM_inner ; 0x1460 - 0x000013fe: f000f828 ..(. BL __sig_exit ; 0x1452 - 0x00001402: bd10 .. POP {r4,pc} - .text - __user_setup_stackheap - 0x00001404: 4675 uF MOV r5,lr - 0x00001406: f7ffffc1 .... BL __user_libspace ; 0x138c - 0x0000140a: 46ae .F MOV lr,r5 - 0x0000140c: 0005 .. MOVS r5,r0 - 0x0000140e: 4669 iF MOV r1,sp - 0x00001410: 4653 SF MOV r3,r10 - 0x00001412: 08c0 .. LSRS r0,r0,#3 - 0x00001414: 00c0 .. LSLS r0,r0,#3 - 0x00001416: 4685 .F MOV sp,r0 - 0x00001418: b018 .. ADD sp,sp,#0x60 - 0x0000141a: b520 . PUSH {r5,lr} - 0x0000141c: f7fffc40 ..@. BL __user_initial_stackheap ; 0xca0 - 0x00001420: bc60 `. POP {r5,r6} - 0x00001422: 2700 .' MOVS r7,#0 - 0x00001424: 0849 I. LSRS r1,r1,#1 - 0x00001426: 46b6 .F MOV lr,r6 - 0x00001428: 2600 .& MOVS r6,#0 - 0x0000142a: c5c0 .. STM r5!,{r6,r7} - 0x0000142c: c5c0 .. STM r5!,{r6,r7} - 0x0000142e: c5c0 .. STM r5!,{r6,r7} - 0x00001430: c5c0 .. STM r5!,{r6,r7} - 0x00001432: c5c0 .. STM r5!,{r6,r7} - 0x00001434: c5c0 .. STM r5!,{r6,r7} - 0x00001436: c5c0 .. STM r5!,{r6,r7} - 0x00001438: c5c0 .. STM r5!,{r6,r7} - 0x0000143a: 3d40 @= SUBS r5,r5,#0x40 - 0x0000143c: 0049 I. LSLS r1,r1,#1 - 0x0000143e: 468d .F MOV sp,r1 - 0x00001440: 4770 pG BX lr - .text - exit - 0x00001442: b510 .. PUSH {r4,lr} - 0x00001444: 4604 .F MOV r4,r0 - 0x00001446: 46c0 .F MOV r8,r8 - 0x00001448: 46c0 .F MOV r8,r8 - 0x0000144a: 4620 F MOV r0,r4 - 0x0000144c: f7fefe99 .... BL __rt_exit ; 0x182 - 0x00001450: bd10 .. POP {r4,pc} - .text - __sig_exit - 0x00001452: b510 .. PUSH {r4,lr} - 0x00001454: 2800 .( CMP r0,#0 - 0x00001456: d001 .. BEQ 0x145c ; __sig_exit + 10 - 0x00001458: f7fffc47 ..G. BL _sys_exit ; 0xcea - 0x0000145c: bd10 .. POP {r4,pc} - 0x0000145e: 0000 .. MOVS r0,r0 - .text - __rt_SIGRTMEM_inner - 0x00001460: b510 .. PUSH {r4,lr} - 0x00001462: 2801 .( CMP r0,#1 - 0x00001464: d005 .. BEQ 0x1472 ; __rt_SIGRTMEM_inner + 18 - 0x00001466: 2100 .! MOVS r1,#0 - 0x00001468: a003 .. ADR r0,{pc}+0x10 ; 0x1478 - 0x0000146a: f000f821 ..!. BL __default_signal_display ; 0x14b0 - 0x0000146e: 2001 . MOVS r0,#1 - 0x00001470: bd10 .. POP {r4,pc} - 0x00001472: a109 .. ADR r1,{pc}+0x26 ; 0x1498 - 0x00001474: e7f8 .. B 0x1468 ; __rt_SIGRTMEM_inner + 8 - $d - 0x00001476: 0000 .. DCW 0 - 0x00001478: 52474953 SIGR DCD 1380403539 - 0x0000147c: 4d454d54 TMEM DCD 1296387412 - 0x00001480: 754f203a : Ou DCD 1968119866 - 0x00001484: 666f2074 t of DCD 1718558836 - 0x00001488: 61656820 hea DCD 1634035744 - 0x0000148c: 656d2070 p me DCD 1701650544 - 0x00001490: 79726f6d mory DCD 2037542765 - 0x00001494: 00000000 .... DCD 0 - 0x00001498: 6548203a : He DCD 1699225658 - 0x0000149c: 6d207061 ap m DCD 1830842465 - 0x000014a0: 726f6d65 emor DCD 1919905125 - 0x000014a4: 6f632079 y co DCD 1868767353 - 0x000014a8: 70757272 rrup DCD 1886745202 - 0x000014ac: 00646574 ted. DCD 6579572 - $t - .text - __default_signal_display - 0x000014b0: b570 p. PUSH {r4-r6,lr} - 0x000014b2: 4605 .F MOV r5,r0 - 0x000014b4: 460c .F MOV r4,r1 - 0x000014b6: 200a . MOVS r0,#0xa - 0x000014b8: e000 .. B 0x14bc ; __default_signal_display + 12 - 0x000014ba: 1c6d m. ADDS r5,r5,#1 - 0x000014bc: f7fffc10 .... BL _ttywrch ; 0xce0 - 0x000014c0: 2d00 .- CMP r5,#0 - 0x000014c2: d006 .. BEQ 0x14d2 ; __default_signal_display + 34 - 0x000014c4: 7828 (x LDRB r0,[r5,#0] - 0x000014c6: 2800 .( CMP r0,#0 - 0x000014c8: d1f7 .. BNE 0x14ba ; __default_signal_display + 10 - 0x000014ca: e002 .. B 0x14d2 ; __default_signal_display + 34 - 0x000014cc: 1c64 d. ADDS r4,r4,#1 - 0x000014ce: f7fffc07 .... BL _ttywrch ; 0xce0 - 0x000014d2: 2c00 ., CMP r4,#0 - 0x000014d4: d002 .. BEQ 0x14dc ; __default_signal_display + 44 - 0x000014d6: 7820 x LDRB r0,[r4,#0] - 0x000014d8: 2800 .( CMP r0,#0 - 0x000014da: d1f7 .. BNE 0x14cc ; __default_signal_display + 28 - 0x000014dc: 200a . MOVS r0,#0xa - 0x000014de: f7fffbff .... BL _ttywrch ; 0xce0 - 0x000014e2: bd70 p. POP {r4-r6,pc} - $d.realdata - Region$$Table$$Base - 0x000014e4: 00001504 .... DCD 5380 - 0x000014e8: 30000000 ...0 DCD 805306368 - 0x000014ec: 0000002c ,... DCD 44 - 0x000014f0: 00000104 .... DCD 260 - 0x000014f4: 00001530 0... DCD 5424 - 0x000014f8: 3000002c ,..0 DCD 805306412 - 0x000014fc: 00002b3c <+.. DCD 11068 - 0x00001500: 00000120 ... DCD 288 - Region$$Table$$Limit - -** Section #2 'ER_RW' (SHT_PROGBITS) [SHF_ALLOC + SHF_WRITE] - Size : 44 bytes (alignment 4) - Address: 0x30000000 - - 0x30000000: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................ - 0x30000010: 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 00 ................ - 0x30000020: 00 00 00 00 00 e1 f5 05 00 00 00 00 ............ - - -** Section #3 'ER_ZI' (SHT_NOBITS) [SHF_ALLOC + SHF_WRITE] - Size : 11068 bytes (alignment 8) - Address: 0x3000002c - - -** Section #4 '.debug_abbrev' (SHT_PROGBITS) - Size : 1476 bytes - - -** Section #5 '.debug_frame' (SHT_PROGBITS) - Size : 2052 bytes - - -** Section #6 '.debug_info' (SHT_PROGBITS) - Size : 8204 bytes - - -** Section #7 '.debug_line' (SHT_PROGBITS) - Size : 2980 bytes - - -** Section #8 '.debug_loc' (SHT_PROGBITS) - Size : 1388 bytes - - -** Section #9 '.debug_macinfo' (SHT_PROGBITS) - Size : 3172 bytes - - -** Section #10 '.debug_pubnames' (SHT_PROGBITS) - Size : 846 bytes - - -** Section #11 '.symtab' (SHT_SYMTAB) - Size : 7040 bytes (alignment 4) - String table #12 '.strtab' - Last local symbol no. 253 - - Symbol table .symtab (439 symbols, 253 local) - - # Symbol Name Value Bind Sec Type Vis Size - ======================================================================== - - 1 $d.realdata 0x00000000 Lc 1 -- De - 2 $t 0x000000c0 Lc 1 -- De - 3 $d 0x000000fc Lc 1 -- De - 4 $t 0x00000104 Lc 1 -- De - 5 $d 0x000004f6 Lc 1 -- De - 6 $t 0x000008f4 Lc 1 -- De - 7 $d 0x000009ca Lc 1 -- De - 8 $t 0x00000b80 Lc 1 -- De - 9 $d 0x00000bb0 Lc 1 -- De - 10 $t 0x00000bb6 Lc 1 -- De - 11 $d 0x00000c6a Lc 1 -- De - 12 $t 0x00000c74 Lc 1 -- De - 13 $d 0x00000c84 Lc 1 -- De - 14 $t 0x00000c8c Lc 1 -- De - 15 $d 0x00000caa Lc 1 -- De - 16 $t 0x00000cc4 Lc 1 -- De - 17 $d 0x00000d28 Lc 1 -- De - 18 $t 0x00000d34 Lc 1 -- De - 19 $d 0x00000dae Lc 1 -- De - 20 $t 0x00000db4 Lc 1 -- De - 21 $d 0x00000ece Lc 1 -- De - 22 $t 0x00000ee0 Lc 1 -- De - 23 $d 0x00000f7c Lc 1 -- De - 24 $t 0x00000f80 Lc 1 -- De - 25 $d 0x00001174 Lc 1 -- De - 26 $t 0x00001178 Lc 1 -- De - 27 $d 0x0000135e Lc 1 -- De - 28 $t 0x00001364 Lc 1 -- De - 29 $d 0x00001390 Lc 1 -- De - 30 $t 0x00001394 Lc 1 -- De - 31 $d 0x000013f2 Lc 1 -- De - 32 $t 0x000013f8 Lc 1 -- De - 33 $d 0x00001476 Lc 1 -- De - 34 $t 0x000014b0 Lc 1 -- De - 35 $d.realdata 0x000014e4 Lc 1 -- De - 36 $d.realdata 0x30000000 Lc 2 -- De - 37 $d.realdata 0x3000002c Lc 3 -- De - 38 ../../../../software/common/dhry/dhry_1.c - 0x00000000 Lc Abs File De - 39 ../../../../software/common/dhry/dhry_1.c - 0x00000000 Lc Abs File De - 40 .text 0x000001c8 Lc 1 Sect De - 41 .bss 0x3000002c Lc 3 Sect De 0x27d8 - 42 .data 0x30000000 Lc 2 Sect De 0x24 - 43 ../../../../software/common/dhry/dhry_2.c - 0x00000000 Lc Abs File De - 44 .text 0x00000b80 Lc 1 Sect De - 45 ../../../../software/cmsis/Device/ARM/CMSDK_CM0/Source/system_CMSDK_CM0.c - 0x00000000 Lc Abs File De - 46 ../../../../software/cmsis/Device/ARM/CMSDK_CM0/Source/system_CMSDK_CM0.c - 0x00000000 Lc Abs File De - 47 .text 0x00000c74 Lc 1 Sect De - 48 .data 0x30000024 Lc 2 Sect De 0x4 - 49 ../../../../software/cmsis/Device/ARM/CMSDK_CM0/Source/ARM/startup_CMSDK_CM0.s - 0x00000000 Lc Abs File De - 50 STACK 0x30002968 Lc 3 Sect De 0x200 - 51 Stack_Mem 0x30002968 Lc 3 Data De 0x200 - 52 __initial_sp 0x30002b68 Lc 3 Data De - 53 HEAP 0x30002868 Lc 3 Sect De 0x100 - 54 Heap_Mem 0x30002868 Lc 3 Data De 0x100 - 55 RESET 0x00000000 Lc 1 Sect De 0xc0 - 56 .text 0x00000c8c Lc 1 Sect De 0x38 - 57 ../../../../software/common/retarget/retarget.c - 0x00000000 Lc Abs File De - 58 .text 0x00000cc4 Lc 1 Sect De - 59 .data 0x30000028 Lc 2 Sect De 0x4 - 60 ../../../../software/common/retarget/uart_stdout.c - 0x00000000 Lc Abs File De - 61 ../../../../software/common/retarget/uart_stdout.c - 0x00000000 Lc Abs File De - 62 .text 0x00000cec Lc 1 Sect De - 63 dc.s 0x00000000 Lc Abs File De - 64 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 65 .text 0x00000d34 Lc 1 Sect De 0x2 - 66 ../clib/heapalloc.c 0x00000000 Lc Abs File De - 67 ../clib/heap1.c 0x00000000 Lc Abs File De - 68 .text 0x00000d36 Lc 1 Sect De - 69 ../clib/heap1.c 0x00000000 Lc Abs File De - 70 ../clib/heap2.c 0x00000000 Lc Abs File De - 71 ../clib/heap2.c 0x00000000 Lc Abs File De - 72 ../clib/printf.c 0x00000000 Lc Abs File De - 73 ../clib/printf.c 0x00000000 Lc Abs File De - 74 .text 0x00000d98 Lc 1 Sect De - 75 ../clib/printf.c 0x00000000 Lc Abs File De - 76 .text 0x00000db4 Lc 1 Sect De - 77 ../clib/printf.c 0x00000000 Lc Abs File De - 78 .text 0x00000e20 Lc 1 Sect De - 79 ../clib/printf.c 0x00000000 Lc Abs File De - 80 .text 0x00000e74 Lc 1 Sect De - 81 ../clib/printf.c 0x00000000 Lc Abs File De - 82 ../clib/printf.c 0x00000000 Lc Abs File De - 83 ../clib/printf.c 0x00000000 Lc Abs File De - 84 ../clib/printf.c 0x00000000 Lc Abs File De - 85 ../clib/printf.c 0x00000000 Lc Abs File De - 86 ../clib/printf.c 0x00000000 Lc Abs File De - 87 ../clib/printf.c 0x00000000 Lc Abs File De - 88 ../clib/printf_percent.s 0x00000000 Lc Abs File De - 89 .ARM.Collect$$_printf_percent$$00000013 - 0x00000148 Lc 1 Sect De 0xa - 90 ../clib/printf_percent.s 0x00000000 Lc Abs File De - 91 .ARM.Collect$$_printf_percent$$00000014 - 0x00000152 Lc 1 Sect De 0xa - 92 ../clib/printf_percent.s 0x00000000 Lc Abs File De - 93 .ARM.Collect$$_printf_percent$$00000009 - 0x0000013e Lc 1 Sect De 0xa - 94 ../clib/printf_percent.s 0x00000000 Lc Abs File De - 95 .ARM.Collect$$_printf_percent$$00000000 - 0x0000013c Lc 1 Sect De 0x2 - 96 ../clib/memcpset.c 0x00000000 Lc Abs File De - 97 .emb_text 0x00000190 Lc 1 Sect De 0x38 - 98 ../clib/memcpset.c 0x00000000 Lc Abs File De - 99 ../clib/memcpset.s 0x00000000 Lc Abs File De - 100 .text 0x00000ee0 Lc 1 Sect De 0xa0 - 101 ../clib/division.s 0x00000000 Lc Abs File De - 102 .text 0x00000f80 Lc 1 Sect De 0x1e8 - 103 ../clib/division.s 0x00000000 Lc Abs File De - 104 ../clib/heapaux.c 0x00000000 Lc Abs File De - 105 .text 0x00001168 Lc 1 Sect De - 106 ../clib/angel/startup.s 0x00000000 Lc Abs File De - 107 !!!main 0x000000c0 Lc 1 Sect De 0x8 - 108 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 109 ../clib/angel/kernel.s 0x00000000 Lc Abs File De - 110 .ARM.Collect$$rtentry$$00000000 - 0x00000170 Lc 1 Sect De - 111 ../clib/angel/rt.s 0x00000000 Lc Abs File De - 112 ../clib/angel/rt.s 0x00000000 Lc Abs File De - 113 ../clib/angel/rt.s 0x00000000 Lc Abs File De - 114 ../clib/angel/rt.s 0x00000000 Lc Abs File De - 115 .text 0x00001170 Lc 1 Sect De 0x8 - 116 ../clib/heapalloc.c 0x00000000 Lc Abs File De - 117 .text 0x00001178 Lc 1 Sect De - 118 ../clib/heapalloc.c 0x00000000 Lc Abs File De - 119 .text 0x0000117c Lc 1 Sect De - 120 ../clib/heapalloc.c 0x00000000 Lc Abs File De - 121 ../clib/heapalloc.c 0x00000000 Lc Abs File De - 122 ../clib/heap1.c 0x00000000 Lc Abs File De - 123 .text 0x00001204 Lc 1 Sect De - 124 ../clib/heap1.c 0x00000000 Lc Abs File De - 125 .text 0x00001212 Lc 1 Sect De - 126 ../clib/heap1.c 0x00000000 Lc Abs File De - 127 ../clib/heap1.c 0x00000000 Lc Abs File De - 128 ../clib/heap2.c 0x00000000 Lc Abs File De - 129 ../clib/printf.c 0x00000000 Lc Abs File De - 130 .text 0x0000125e Lc 1 Sect De - 131 ../clib/printf.c 0x00000000 Lc Abs File De - 132 .text 0x0000130e Lc 1 Sect De - 133 ../clib/printf.c 0x00000000 Lc Abs File De - 134 .text 0x0000133c Lc 1 Sect De - 135 ../clib/printf_percent.s 0x00000000 Lc Abs File De - 136 .ARM.Collect$$_printf_percent$$00000017 - 0x0000015c Lc 1 Sect De 0x4 - 137 ../clib/division.c 0x00000000 Lc Abs File De - 138 .text 0x00001364 Lc 1 Sect De - 139 ../clib/armsys.c 0x00000000 Lc Abs File De - 140 ../clib/libinit.s 0x00000000 Lc Abs File De - 141 .ARM.Collect$$libinit$$00000002 - 0x00000162 Lc 1 Sect De - 142 .ARM.Collect$$libinit$$00000004 - 0x00000162 Lc 1 Sect De - 143 .ARM.Collect$$libinit$$00000005 - 0x00000162 Lc 1 Sect De 0x8 - 144 .ARM.Collect$$libinit$$0000000A - 0x0000016a Lc 1 Sect De - 145 .ARM.Collect$$libinit$$0000000C - 0x0000016a Lc 1 Sect De - 146 .ARM.Collect$$libinit$$0000000E - 0x0000016a Lc 1 Sect De - 147 .ARM.Collect$$libinit$$00000011 - 0x0000016a Lc 1 Sect De - 148 .ARM.Collect$$libinit$$00000013 - 0x0000016a Lc 1 Sect De - 149 .ARM.Collect$$libinit$$00000015 - 0x0000016a Lc 1 Sect De - 150 .ARM.Collect$$libinit$$00000017 - 0x0000016a Lc 1 Sect De - 151 .ARM.Collect$$libinit$$00000019 - 0x0000016a Lc 1 Sect De - 152 .ARM.Collect$$libinit$$0000001B - 0x0000016a Lc 1 Sect De - 153 .ARM.Collect$$libinit$$0000001D - 0x0000016a Lc 1 Sect De - 154 .ARM.Collect$$libinit$$0000001F - 0x0000016a Lc 1 Sect De - 155 .ARM.Collect$$libinit$$00000021 - 0x0000016a Lc 1 Sect De - 156 .ARM.Collect$$libinit$$00000023 - 0x0000016a Lc 1 Sect De - 157 .ARM.Collect$$libinit$$00000025 - 0x0000016a Lc 1 Sect De - 158 .ARM.Collect$$libinit$$0000002C - 0x0000016a Lc 1 Sect De - 159 .ARM.Collect$$libinit$$0000002E - 0x0000016a Lc 1 Sect De - 160 .ARM.Collect$$libinit$$00000030 - 0x0000016a Lc 1 Sect De - 161 .ARM.Collect$$libinit$$00000032 - 0x0000016a Lc 1 Sect De - 162 .ARM.Collect$$libinit$$00000033 - 0x0000016a Lc 1 Sect De 0x2 - 163 ../clib/angel/sysapp.c 0x00000000 Lc Abs File De - 164 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 165 .text 0x0000138c Lc 1 Sect De 0x8 - 166 .bss 0x30002804 Lc 3 Sect De 0x60 - 167 ../clib/angel/kernel.s 0x00000000 Lc Abs File De - 168 .ARM.Collect$$rtentry$$00000002 - 0x00000170 Lc 1 Sect De - 169 .ARM.Collect$$rtentry$$00000009 - 0x00000176 Lc 1 Sect De - 170 .ARM.Collect$$rtentry$$0000000A - 0x00000176 Lc 1 Sect De 0x4 - 171 .ARM.Collect$$rtentry$$0000000C - 0x0000017a Lc 1 Sect De - 172 .ARM.Collect$$rtentry$$0000000D - 0x0000017a Lc 1 Sect De 0x8 - 173 ../clib/angel/kernel.s 0x00000000 Lc Abs File De - 174 .ARM.Collect$$rtentry$$00000004 - 0x00000170 Lc 1 Sect De 0x6 - 175 ../clib/angel/rt.s 0x00000000 Lc Abs File De - 176 ../clib/heapalloc.c 0x00000000 Lc Abs File De - 177 ../clib/heapalloc.c 0x00000000 Lc Abs File De - 178 .emb_text 0x000001c8 Lc 1 Sect De - 179 ../clib/heapalloc.c 0x00000000 Lc Abs File De - 180 ../clib/heapalloc.c 0x00000000 Lc Abs File De - 181 ../clib/heapalloc.c 0x00000000 Lc Abs File De - 182 ../clib/heap1.c 0x00000000 Lc Abs File De - 183 .text 0x00001394 Lc 1 Sect De - 184 ../clib/heap1.c 0x00000000 Lc Abs File De - 185 ../clib/printf.c 0x00000000 Lc Abs File De - 186 .text 0x000013c8 Lc 1 Sect De - 187 _printf_input_char 0x000013c9 Lc 1 Code De 0xa - 188 ../clib/signal.c 0x00000000 Lc Abs File De - 189 .text 0x000013f8 Lc 1 Sect De - 190 ../clib/signal.c 0x00000000 Lc Abs File De - 191 ../clib/heapaux.c 0x00000000 Lc Abs File De - 192 ../clib/armsys.c 0x00000000 Lc Abs File De - 193 ../fplib/cfplib/fpinit.c 0x00000000 Lc Abs File De - 194 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 195 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 196 .text 0x00001404 Lc 1 Sect De 0x3e - 197 ../clib/angel/rt.s 0x00000000 Lc Abs File De - 198 ../clib/heapalloc.c 0x00000000 Lc Abs File De - 199 ../clib/printf.c 0x00000000 Lc Abs File De - 200 ../clib/stdlib.c 0x00000000 Lc Abs File De - 201 .text 0x00001442 Lc 1 Sect De - 202 ../clib/signal.c 0x00000000 Lc Abs File De - 203 .text 0x00001452 Lc 1 Sect De - 204 ../clib/signal.c 0x00000000 Lc Abs File De - 205 ../clib/signal.c 0x00000000 Lc Abs File De - 206 ../clib/signal.c 0x00000000 Lc Abs File De - 207 .text 0x00001460 Lc 1 Sect De - 208 ../clib/angel/boardlib.s 0x00000000 Lc Abs File De - 209 ../clib/angel/boardlib.s 0x00000000 Lc Abs File De - 210 ../clib/angel/boardlib.s 0x00000000 Lc Abs File De - 211 ../clib/armsys.c 0x00000000 Lc Abs File De - 212 ../clib/armsys.c 0x00000000 Lc Abs File De - 213 ../clib/libinit.s 0x00000000 Lc Abs File De - 214 .ARM.Collect$$libinit$$00000000 - 0x00000160 Lc 1 Sect De 0x2 - 215 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 216 ../clib/angel/kernel.s 0x00000000 Lc Abs File De - 217 .ARM.Collect$$rtexit$$00000000 - 0x00000182 Lc 1 Sect De 0x2 - 218 ../clib/heap1.c 0x00000000 Lc Abs File De - 219 ../clib/heap1.c 0x00000000 Lc Abs File De - 220 ../clib/signal.c 0x00000000 Lc Abs File De - 221 ../clib/signal.c 0x00000000 Lc Abs File De - 222 .text 0x000014b0 Lc 1 Sect De - 223 ../clib/signal.c 0x00000000 Lc Abs File De - 224 ../clib/libinit.s 0x00000000 Lc Abs File De - 225 .ARM.Collect$$libshutdown$$00000002 - 0x0000016e Lc 1 Sect De - 226 .ARM.Collect$$libshutdown$$00000004 - 0x0000016e Lc 1 Sect De - 227 .ARM.Collect$$libshutdown$$00000006 - 0x0000016e Lc 1 Sect De - 228 .ARM.Collect$$libshutdown$$00000009 - 0x0000016e Lc 1 Sect De - 229 .ARM.Collect$$libshutdown$$0000000C - 0x0000016e Lc 1 Sect De - 230 .ARM.Collect$$libshutdown$$0000000E - 0x0000016e Lc 1 Sect De - 231 .ARM.Collect$$libshutdown$$00000011 - 0x0000016e Lc 1 Sect De - 232 .ARM.Collect$$libshutdown$$00000012 - 0x0000016e Lc 1 Sect De 0x2 - 233 ../clib/angel/kernel.s 0x00000000 Lc Abs File De - 234 .ARM.Collect$$rtexit$$00000002 - 0x00000184 Lc 1 Sect De - 235 .ARM.Collect$$rtexit$$00000003 - 0x00000184 Lc 1 Sect De 0x4 - 236 .ARM.Collect$$rtexit$$00000004 - 0x00000188 Lc 1 Sect De 0x6 - 237 ../clib/signal.s 0x00000000 Lc Abs File De - 238 ../clib/signal.c 0x00000000 Lc Abs File De - 239 ../clib/signal.c 0x00000000 Lc Abs File De - 240 ../clib/signal.c 0x00000000 Lc Abs File De - 241 ../clib/signal.c 0x00000000 Lc Abs File De - 242 ../clib/signal.c 0x00000000 Lc Abs File De - 243 ../clib/signal.c 0x00000000 Lc Abs File De - 244 ../clib/signal.c 0x00000000 Lc Abs File De - 245 ../clib/angel/boardlib.s 0x00000000 Lc Abs File De - 246 ../clib/libinit.s 0x00000000 Lc Abs File De - 247 .ARM.Collect$$libshutdown$$00000000 - 0x0000016c Lc 1 Sect De 0x2 - 248 ../clib/angel/scatterp.s 0x00000000 Lc Abs File De - 249 !!!scatter 0x000000c8 Lc 1 Sect De 0x3c - 250 ../clib/angel/handlers.s 0x00000000 Lc Abs File De - 251 !!handler_copy 0x00000104 Lc 1 Sect De 0x1a - 252 ../clib/angel/handlers.s 0x00000000 Lc Abs File De - 253 !!handler_zi 0x00000120 Lc 1 Sect De 0x1c - 254 BuildAttributes$$THM_ISAv3M$S$PE$A:L22$X:L11$S22$IEEE1$IW$~IW$USESV6$~STKCKD$USESV7$~SHL$OTIME$ROPI$EBA8$UX$STANDARDLIB$REQ8$PRES8$EABIv2 - 0x00000000 Gb Abs -- Hi - 255 __ARM_use_no_argv 0x00000000 Gb Abs -- Hi - 256 __Vectors 0x00000000 Gb 1 Data Hi 0x4 - 257 __Vectors_End 0x000000c0 Gb 1 Data Hi - 258 __Vectors_Size 0x000000c0 Gb Abs -- Hi - 259 __main 0x000000c1 Gb 1 Code Hi 0x8 - 260 __scatterload 0x000000c9 Gb 1 Code Hi - 261 __scatterload_rt2 0x000000c9 Gb 1 Code Hi 0x34 - 262 __scatterload_rt2_thumb_only - 0x000000c9 Gb 1 Code Hi - 263 __scatterload_null 0x000000d9 Gb 1 Code Hi - 264 __scatterload_copy 0x00000105 Gb 1 Code Hi 0x1a - 265 __scatterload_zeroinit 0x00000121 Gb 1 Code Hi 0x1c - 266 _printf_percent 0x0000013d Gb 1 Code Hi - 267 _printf_d 0x0000013f Gb 1 Code Hi - 268 _printf_c 0x00000149 Gb 1 Code Hi - 269 _printf_s 0x00000153 Gb 1 Code Hi - 270 _printf_percent_end 0x0000015d Gb 1 Code De - 271 __rt_lib_init 0x00000161 Gb 1 Code De - 272 __rt_lib_init_fp_1 0x00000163 Gb 1 Code Hi - 273 __rt_lib_init_heap_2 0x00000163 Gb 1 Code Hi - 274 __rt_lib_init_preinit_1 0x00000163 Gb 1 Code Hi - 275 __rt_lib_init_alloca_1 0x0000016b Gb 1 Code Hi - 276 __rt_lib_init_argv_1 0x0000016b Gb 1 Code Hi - 277 __rt_lib_init_atexit_1 0x0000016b Gb 1 Code Hi - 278 __rt_lib_init_clock_1 0x0000016b Gb 1 Code Hi - 279 __rt_lib_init_cpp_1 0x0000016b Gb 1 Code Hi - 280 __rt_lib_init_exceptions_1 0x0000016b Gb 1 Code Hi - 281 __rt_lib_init_fp_trap_1 0x0000016b Gb 1 Code Hi - 282 __rt_lib_init_getenv_1 0x0000016b Gb 1 Code Hi - 283 __rt_lib_init_heap_1 0x0000016b Gb 1 Code Hi - 284 __rt_lib_init_lc_collate_1 0x0000016b Gb 1 Code Hi - 285 __rt_lib_init_lc_ctype_1 0x0000016b Gb 1 Code Hi - 286 __rt_lib_init_lc_monetary_1 - 0x0000016b Gb 1 Code Hi - 287 __rt_lib_init_lc_numeric_1 0x0000016b Gb 1 Code Hi - 288 __rt_lib_init_lc_time_1 0x0000016b Gb 1 Code Hi - 289 __rt_lib_init_rand_1 0x0000016b Gb 1 Code Hi - 290 __rt_lib_init_return 0x0000016b Gb 1 Code Hi - 291 __rt_lib_init_signal_1 0x0000016b Gb 1 Code Hi - 292 __rt_lib_init_stdio_1 0x0000016b Gb 1 Code Hi - 293 __rt_lib_init_user_alloc_1 0x0000016b Gb 1 Code Hi - 294 __rt_lib_shutdown 0x0000016d Gb 1 Code De - 295 __rt_lib_shutdown_cpp_1 0x0000016f Gb 1 Code Hi - 296 __rt_lib_shutdown_fini_1 0x0000016f Gb 1 Code Hi - 297 __rt_lib_shutdown_fp_trap_1 - 0x0000016f Gb 1 Code Hi - 298 __rt_lib_shutdown_heap_1 0x0000016f Gb 1 Code Hi - 299 __rt_lib_shutdown_return 0x0000016f Gb 1 Code Hi - 300 __rt_lib_shutdown_signal_1 0x0000016f Gb 1 Code Hi - 301 __rt_lib_shutdown_stdio_1 0x0000016f Gb 1 Code Hi - 302 __rt_lib_shutdown_user_alloc_1 - 0x0000016f Gb 1 Code Hi - 303 __rt_entry 0x00000171 Gb 1 Code Hi - 304 __rt_entry_presh_1 0x00000171 Gb 1 Code Hi - 305 __rt_entry_sh 0x00000171 Gb 1 Code Hi - 306 __rt_entry_li 0x00000177 Gb 1 Code Hi - 307 __rt_entry_postsh_1 0x00000177 Gb 1 Code Hi - 308 __rt_entry_main 0x0000017b Gb 1 Code Hi - 309 __rt_entry_postli_1 0x0000017b Gb 1 Code Hi - 310 __rt_exit 0x00000183 Gb 1 Code De - 311 __rt_exit_ls 0x00000185 Gb 1 Code Hi - 312 __rt_exit_prels_1 0x00000185 Gb 1 Code Hi - 313 __rt_exit_exit 0x00000189 Gb 1 Code Hi - 314 __aeabi_memcpy4 0x00000191 Gb 1 Code Hi 0x38 - 315 __aeabi_memcpy8 0x00000191 Gb 1 Code De - 316 Proc_2 0x000001c9 Gb 1 Code Hi 0x1c - 317 _maybe_terminate_alloc 0x000001c9 Gb 1 Code Hi - 318 Proc_3 0x000001e5 Gb 1 Code Hi 0x1c - 319 Proc_1 0x00000201 Gb 1 Code Hi 0x60 - 320 Proc_4 0x00000261 Gb 1 Code Hi 0x1a - 321 Proc_5 0x0000027b Gb 1 Code Hi 0xc - 322 main 0x00000287 Gb 1 Code Hi 0x744 - 323 Func_3 0x00000b81 Gb 1 Code Hi 0xc - 324 Proc_6 0x00000b8d Gb 1 Code Hi 0x42 - 325 Proc_7 0x00000bcf Gb 1 Code Hi 0x8 - 326 Proc_8 0x00000bd7 Gb 1 Code Hi 0x3a - 327 Func_1 0x00000c11 Gb 1 Code Hi 0x10 - 328 Func_2 0x00000c21 Gb 1 Code Hi 0x4a - 329 SystemCoreClockUpdate 0x00000c75 Gb 1 Code Hi 0x8 - 330 SystemInit 0x00000c7d Gb 1 Code Hi 0x8 - 331 Reset_Handler 0x00000c8d Wk 1 Code Hi 0x8 - 332 NMI_Handler 0x00000c95 Wk 1 Code Hi 0x2 - 333 HardFault_Handler 0x00000c97 Wk 1 Code Hi 0x2 - 334 SVC_Handler 0x00000c99 Wk 1 Code Hi 0x2 - 335 PendSV_Handler 0x00000c9b Wk 1 Code Hi 0x2 - 336 SysTick_Handler 0x00000c9d Wk 1 Code Hi 0x2 - 337 DMA_Handler 0x00000c9f Wk 1 Code Hi - 338 DUALTIMER_HANDLER 0x00000c9f Wk 1 Code Hi - 339 EXP0_Handler 0x00000c9f Wk 1 Code Hi - 340 EXP1_Handler 0x00000c9f Wk 1 Code Hi - 341 EXP2_Handler 0x00000c9f Wk 1 Code Hi - 342 EXP3_Handler 0x00000c9f Wk 1 Code Hi - 343 EXPB_Handler 0x00000c9f Wk 1 Code Hi - 344 EXPC_Handler 0x00000c9f Wk 1 Code Hi - 345 EXPD_Handler 0x00000c9f Wk 1 Code Hi - 346 PORT0_0_Handler 0x00000c9f Wk 1 Code Hi - 347 PORT0_10_Handler 0x00000c9f Wk 1 Code Hi - 348 PORT0_11_Handler 0x00000c9f Wk 1 Code Hi - 349 PORT0_12_Handler 0x00000c9f Wk 1 Code Hi - 350 PORT0_13_Handler 0x00000c9f Wk 1 Code Hi - 351 PORT0_14_Handler 0x00000c9f Wk 1 Code Hi - 352 PORT0_15_Handler 0x00000c9f Wk 1 Code Hi - 353 PORT0_1_Handler 0x00000c9f Wk 1 Code Hi - 354 PORT0_2_Handler 0x00000c9f Wk 1 Code Hi - 355 PORT0_3_Handler 0x00000c9f Wk 1 Code Hi - 356 PORT0_4_Handler 0x00000c9f Wk 1 Code Hi - 357 PORT0_5_Handler 0x00000c9f Wk 1 Code Hi - 358 PORT0_6_Handler 0x00000c9f Wk 1 Code Hi - 359 PORT0_7_Handler 0x00000c9f Wk 1 Code Hi - 360 PORT0_8_Handler 0x00000c9f Wk 1 Code Hi - 361 PORT0_9_Handler 0x00000c9f Wk 1 Code Hi - 362 PORT0_COMB_Handler 0x00000c9f Wk 1 Code Hi - 363 PORT1_COMB_Handler 0x00000c9f Wk 1 Code Hi - 364 TIMER0_Handler 0x00000c9f Wk 1 Code Hi - 365 TIMER1_Handler 0x00000c9f Wk 1 Code Hi - 366 UARTOVF2_Handler 0x00000c9f Wk 1 Code Hi - 367 UARTRX2_Handler 0x00000c9f Wk 1 Code Hi - 368 UARTTX2_Handler 0x00000c9f Wk 1 Code Hi - 369 __user_initial_stackheap 0x00000ca1 Gb 1 Code Hi 0xa - 370 fputc 0x00000cc5 Gb 1 Code Hi 0xa - 371 fgetc 0x00000ccf Gb 1 Code Hi 0xc - 372 ferror 0x00000cdb Gb 1 Code Hi 0x6 - 373 _ttywrch 0x00000ce1 Gb 1 Code Hi 0xa - 374 _sys_exit 0x00000ceb Gb 1 Code Hi 0x2 - 375 UartStdOutInit 0x00000ced Gb 1 Code Hi 0x1a - 376 UartPutc 0x00000d07 Gb 1 Code Hi 0xc - 377 UartGetc 0x00000d13 Gb 1 Code Hi 0xe - 378 UartEndSimulation 0x00000d21 Gb 1 Code Hi 0x8 - 379 __I$use$semihosting 0x00000d35 Gb 1 Code Hi - 380 __use_no_semihosting_swi 0x00000d35 Gb 1 Code Hi 0x2 - 381 malloc 0x00000d37 Gb 1 Code Hi 0x62 - 382 __2printf 0x00000d99 Gb 1 Code Hi 0x16 - 383 __printf 0x00000db5 Gb 1 Code De 0x6c - 384 _printf_str 0x00000e21 Gb 1 Code Hi 0x52 - 385 _printf_int_dec 0x00000e75 Gb 1 Code Hi 0x5a - 386 strcmp 0x00000ee1 Gb 1 Code Hi 0xa0 - 387 __aeabi_uidiv 0x00000f81 Gb 1 Code De - 388 __aeabi_uidivmod 0x00000f81 Gb 1 Code De 0x1c - 389 __aeabi_idiv 0x00000f9d Gb 1 Code De - 390 __aeabi_idivmod 0x00000f9d Gb 1 Code Hi 0x1cc - 391 __use_two_region_memory 0x00001169 Gb 1 Code Hi 0x2 - 392 __rt_heap_escrow 0x0000116b Gb 1 Code De 0x2 - 393 __rt_heap_expand 0x0000116d Gb 1 Code De 0x2 - 394 __rt_heap_descriptor 0x00001171 Gb 1 Code De 0x8 - 395 __use_no_heap 0x00001179 Gb 1 Code Hi 0x2 - 396 __heap$guard 0x0000117b Gb 1 Code Hi 0x2 - 397 _terminate_user_alloc 0x0000117d Gb 1 Code De 0x2 - 398 _init_user_alloc 0x0000117f Gb 1 Code De 0x2 - 399 __Heap_Full 0x00001181 Gb 1 Code De 0x20 - 400 __Heap_Broken 0x000011a1 Gb 1 Code De 0xa - 401 _init_alloc 0x000011ab Gb 1 Code Hi 0x5a - 402 __Heap_Initialize 0x00001205 Gb 1 Code Hi 0xa - 403 __Heap_DescSize 0x0000120f Gb 1 Code De 0x4 - 404 free 0x00001213 Gb 1 Code De 0x4c - 405 _printf_int_common 0x0000125f Gb 1 Code De 0xb0 - 406 _printf_cs_common 0x0000130f Gb 1 Code Hi 0x16 - 407 _printf_char 0x00001325 Gb 1 Code De 0x10 - 408 _printf_string 0x00001335 Gb 1 Code De 0x8 - 409 _printf_char_file 0x0000133d Gb 1 Code De 0x22 - 410 __rt_udiv10 0x00001365 Gb 1 Code De 0x28 - 411 __user_libspace 0x0000138d Wk 1 Code De 0x8 - 412 __user_perproc_libspace 0x0000138d Wk 1 Code De - 413 __user_perthread_libspace 0x0000138d Wk 1 Code De - 414 __Heap_ProvideMemory 0x00001395 Gb 1 Code De 0x34 - 415 _printf_char_common 0x000013d3 Gb 1 Code De 0x20 - 416 __rt_SIGRTMEM 0x000013f9 Gb 1 Code Hi 0xc - 417 __user_setup_stackheap 0x00001405 Gb 1 Code De 0x3e - 418 exit 0x00001443 Gb 1 Code De 0x10 - 419 __sig_exit 0x00001453 Gb 1 Code De 0xc - 420 __rt_SIGRTMEM_inner 0x00001461 Gb 1 Code De 0x16 - 421 __default_signal_display 0x000014b1 Gb 1 Code De 0x34 - 422 Region$$Table$$Base 0x000014e4 Gb 1 -- Hi - 423 Region$$Table$$Limit 0x00001504 Gb 1 -- Hi - 424 Ch_1_Glob 0x30000000 Gb 2 Data Hi 0x1 - 425 Ch_2_Glob 0x30000001 Gb 2 Data Hi 0x1 - 426 Reg 0x30000004 Gb 2 Data Hi 0x4 - 427 Ptr_Glob 0x30000008 Gb 2 Data Hi 0x4 - 428 Next_Ptr_Glob 0x3000000c Gb 2 Data Hi 0x4 - 429 Int_Glob 0x30000010 Gb 2 Data Hi 0x4 - 430 Bool_Glob 0x30000014 Gb 2 Data Hi 0x4 - 431 Begin_Time 0x30000018 Gb 2 Data Hi 0x4 - 432 End_Time 0x3000001c Gb 2 Data Hi 0x4 - 433 User_Time 0x30000020 Gb 2 Data Hi 0x4 - 434 SystemCoreClock 0x30000024 Gb 2 Data Hi 0x4 - 435 __stdout 0x30000028 Gb 2 Data Hi 0x4 - 436 Arr_1_Glob 0x3000002c Gb 3 Data Hi 0xc8 - 437 Arr_2_Glob 0x300000f4 Gb 3 Data Hi 0x2710 - 438 __libspace_start 0x30002804 Gb 3 Data De 0x60 - 439 __temporary_stack_top$libspace - 0x30002864 Gb 3 Data De - - -** Section #12 '.strtab' (SHT_STRTAB) - Size : 5700 bytes - - -** Section #13 '.note' (SHT_NOTE) - Size : 28 bytes (alignment 4) - - -** Section #14 '.comment' (SHT_PROGBITS) - Size : 3688 bytes - - -** Section #15 '.shstrtab' (SHT_STRTAB) - Size : 156 bytes - - diff --git a/system/testcodes/dhry/dhry_1.o b/system/testcodes/dhry/dhry_1.o deleted file mode 100644 index d9fb9cf86f7d115a0115009c983a6533b26605ef..0000000000000000000000000000000000000000 Binary files a/system/testcodes/dhry/dhry_1.o and /dev/null differ diff --git a/system/testcodes/dhry/dhry_2.o b/system/testcodes/dhry/dhry_2.o deleted file mode 100644 index b67908a911750c7bf0d060274c03f2157350b86e..0000000000000000000000000000000000000000 Binary files a/system/testcodes/dhry/dhry_2.o and /dev/null differ diff --git a/system/testcodes/dhry/makefile b/system/testcodes/dhry/makefile index 70c1b5db93b265af3c5c2f358d299493221d0c00..7ab62e512d6133af12070ec9bf7f51dbb5369009 100644 --- a/system/testcodes/dhry/makefile +++ b/system/testcodes/dhry/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/dhry/retarget.o b/system/testcodes/dhry/retarget.o deleted file mode 100644 index 56d769d0b43872005d71fc0495bf52fbb36acbfa..0000000000000000000000000000000000000000 Binary files a/system/testcodes/dhry/retarget.o and /dev/null differ diff --git a/system/testcodes/dhry/startup_CMSDK_CM0.o b/system/testcodes/dhry/startup_CMSDK_CM0.o deleted file mode 100644 index d361609066628be4e63bf12e81877351b58c692c..0000000000000000000000000000000000000000 Binary files a/system/testcodes/dhry/startup_CMSDK_CM0.o and /dev/null differ diff --git a/system/testcodes/dhry/system_CMSDK_CM0.o b/system/testcodes/dhry/system_CMSDK_CM0.o deleted file mode 100644 index 0bbe96106801f6451a628ec05c6d9997c7ccfaa2..0000000000000000000000000000000000000000 Binary files a/system/testcodes/dhry/system_CMSDK_CM0.o and /dev/null differ diff --git a/system/testcodes/dhry/uart_stdout.o b/system/testcodes/dhry/uart_stdout.o deleted file mode 100644 index 835c12208531dc9230d3050af3ec6c044d938d3b..0000000000000000000000000000000000000000 Binary files a/system/testcodes/dhry/uart_stdout.o and /dev/null differ diff --git a/system/testcodes/dma_tests/makefile b/system/testcodes/dma_tests/makefile index c4aff4d783178a7d5a9679135a1c1b06b346b8d3..5b26b238588323e92cdeea55d4aa110342693b93 100644 --- a/system/testcodes/dma_tests/makefile +++ b/system/testcodes/dma_tests/makefile @@ -31,7 +31,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include GENERIC_DIR = ../generic diff --git a/system/testcodes/dualtimer_demo/makefile b/system/testcodes/dualtimer_demo/makefile index 6863911d4594f51cf9051a75f8e27039ab73a2aa..de31b2515ccf22cc16854104b610a49cdac8468b 100644 --- a/system/testcodes/dualtimer_demo/makefile +++ b/system/testcodes/dualtimer_demo/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/gpio_driver_tests/makefile b/system/testcodes/gpio_driver_tests/makefile index d4bde33958fec1bef4e9ea19b520d07ecec65198..6a94b08f9c94c45b61d97878f41a45533a45a236 100644 --- a/system/testcodes/gpio_driver_tests/makefile +++ b/system/testcodes/gpio_driver_tests/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/gpio_tests/makefile b/system/testcodes/gpio_tests/makefile index 74e83c09816f7e9e7e76dfb8693bd725859c99bc..cce0fdaa9f79955f63f8bf80bebf58a02e102256 100644 --- a/system/testcodes/gpio_tests/makefile +++ b/system/testcodes/gpio_tests/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/hello/hello.ELF b/system/testcodes/hello/hello.ELF deleted file mode 100644 index aae7682da1bcdd244437388df2b2c9d1f9ca9a2d..0000000000000000000000000000000000000000 Binary files a/system/testcodes/hello/hello.ELF and /dev/null differ diff --git a/system/testcodes/hello/hello.hex b/system/testcodes/hello/hello.hex deleted file mode 100644 index ba6480c301e9a2c477ea2e20f9229a479cc0f72f..0000000000000000000000000000000000000000 --- a/system/testcodes/hello/hello.hex +++ /dev/null @@ -1,904 +0,0 @@ -68 -03 -00 -30 -BD -01 -00 -00 -C5 -01 -00 -00 -C7 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -C9 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -CB -01 -00 -00 -CD -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -CF -01 -00 -00 -00 -F0 -02 -F8 -00 -F0 -3E -F8 -0C -A0 -30 -C8 -08 -38 -24 -18 -2D -18 -A2 -46 -67 -1E -AB -46 -54 -46 -5D -46 -AC -42 -01 -D1 -00 -F0 -30 -F8 -7E -46 -0F -3E -0F -CC -B6 -46 -01 -26 -33 -42 -00 -D0 -FB -1A -A2 -46 -AB -46 -33 -43 -18 -47 -64 -02 -00 -00 -84 -02 -00 -00 -10 -3A -02 -D3 -78 -C8 -78 -C1 -FA -D8 -52 -07 -01 -D3 -30 -C8 -30 -C1 -01 -D5 -04 -68 -0C -60 -70 -47 -00 -00 -00 -23 -00 -24 -00 -25 -00 -26 -10 -3A -01 -D3 -78 -C1 -FB -D8 -52 -07 -00 -D3 -30 -C1 -00 -D5 -0B -60 -70 -47 -1F -B5 -1F -BD -10 -B5 -10 -BD -00 -F0 -CE -F8 -11 -46 -FF -F7 -F7 -FF -00 -F0 -09 -F8 -00 -F0 -F8 -F8 -03 -B4 -FF -F7 -F2 -FF -03 -BC -00 -F0 -5C -F8 -00 -00 -10 -B5 -00 -F0 -59 -F8 -05 -A0 -00 -F0 -7C -F8 -07 -A0 -00 -F0 -79 -F8 -00 -F0 -6B -F8 -00 -20 -10 -BD -00 -00 -48 -65 -6C -6C -6F -20 -77 -6F -72 -6C -64 -0A -00 -00 -00 -00 -2A -2A -20 -54 -45 -53 -54 -20 -50 -41 -53 -53 -45 -44 -20 -2A -2A -0A -00 -00 -04 -49 -03 -48 -08 -60 -70 -47 -02 -49 -01 -48 -08 -60 -70 -47 -00 -E1 -F5 -05 -00 -00 -00 -30 -07 -48 -80 -47 -07 -48 -00 -47 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -04 -48 -05 -49 -05 -4A -06 -4B -70 -47 -00 -00 -AD -01 -00 -00 -C1 -00 -00 -00 -68 -00 -00 -30 -68 -03 -00 -30 -68 -01 -00 -30 -68 -01 -00 -30 -10 -B5 -C0 -B2 -00 -F0 -1D -F8 -10 -BD -10 -B5 -00 -F0 -1F -F8 -00 -F0 -17 -F8 -10 -BD -00 -20 -C0 -43 -70 -47 -10 -B5 -C0 -B2 -00 -F0 -0F -F8 -10 -BD -FE -E7 -41 -20 -0E -4A -40 -01 -10 -61 -01 -21 -91 -60 -0C -4A -10 -61 -91 -60 -0C -49 -20 -20 -88 -61 -70 -47 -09 -49 -4A -68 -D2 -07 -FC -D1 -08 -60 -70 -47 -05 -48 -41 -68 -89 -07 -FC -D5 -00 -68 -C0 -B2 -70 -47 -04 -20 -FF -F7 -F0 -FF -FE -E7 -00 -60 -00 -40 -00 -E0 -00 -40 -00 -10 -01 -40 -70 -47 -00 -00 -0F -B4 -05 -49 -10 -B5 -03 -AA -02 -98 -00 -F0 -0B -F8 -10 -BC -08 -BC -04 -B0 -18 -47 -00 -00 -04 -00 -00 -30 -70 -47 -70 -47 -70 -47 -00 -00 -08 -4B -70 -B5 -0D -46 -7B -44 -00 -F0 -13 -F8 -04 -46 -28 -46 -FF -F7 -B5 -FF -00 -28 -02 -D0 -00 -20 -C0 -43 -70 -BD -20 -46 -70 -BD -00 -00 -5F -FF -FF -FF -01 -69 -4A -1C -02 -61 -08 -78 -70 -47 -00 -B5 -8F -B0 -02 -91 -00 -21 -05 -91 -05 -49 -01 -93 -79 -44 -03 -91 -11 -46 -04 -90 -68 -46 -00 -F0 -24 -F8 -0F -B0 -00 -BD -00 -00 -E5 -FF -FF -FF -75 -46 -00 -F0 -37 -F8 -AE -46 -05 -00 -69 -46 -53 -46 -C0 -08 -C0 -00 -85 -46 -18 -B0 -20 -B5 -FF -F7 -68 -FF -60 -BC -00 -27 -49 -08 -B6 -46 -00 -26 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -40 -3D -49 -00 -8D -46 -70 -47 -F8 -B5 -06 -46 -00 -24 -47 -68 -80 -68 -00 -90 -30 -46 -F5 -68 -03 -E0 -00 -99 -B8 -47 -64 -1C -30 -46 -A8 -47 -00 -28 -F8 -D1 -20 -46 -F8 -BD -10 -B5 -04 -46 -C0 -46 -C0 -46 -20 -46 -FF -F7 -01 -FF -10 -BD -00 -00 -00 -48 -70 -47 -08 -00 -00 -30 -80 -03 -00 -00 -00 -00 -00 -30 -08 -00 -00 -00 -04 -01 -00 -00 -88 -03 -00 -00 -08 -00 -00 -30 -60 -03 -00 -00 -20 -01 -00 -00 -00 -E1 -F5 -05 -00 -00 -00 -00 diff --git a/system/testcodes/hello/hello.lst b/system/testcodes/hello/hello.lst deleted file mode 100644 index 28aa65192d8b8ae8aaf473b16b91f16edd4ff10c..0000000000000000000000000000000000000000 --- a/system/testcodes/hello/hello.lst +++ /dev/null @@ -1,461 +0,0 @@ - -======================================================================== - -** ELF Header Information - - File Name: hello.ELF - - Machine class: ELFCLASS32 (32-bit) - Data encoding: ELFDATA2LSB (Little endian) - Header version: EV_CURRENT (Current version) - Operating System ABI: none - ABI Version: 0 - File Type: ET_EXEC (Executable) (2) - Machine: EM_ARM (ARM) - - Image Entry point: 0x000000c1 - Flags: EF_ARM_HASENTRY + EF_ARM_ABI_FLOAT_SOFT (0x05000202) - - ARM ELF revision: 5 (ABI version 2) - - Conforms to Soft float procedure-call standard - - Built with - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armasm [4d35fa] - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armcc [4d365d] - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armlink [4d3601] - - Header size: 52 bytes (0x34) - Program header entry size: 32 bytes (0x20) - Section header entry size: 40 bytes (0x28) - - Program header entries: 1 - Section header entries: 7 - - Program header offset: 4232 (0x00001088) - Section header offset: 4264 (0x000010a8) - - Section header string table index: 6 - -======================================================================== - -** Program header #0 - - Type : PT_LOAD (1) - File Offset : 52 (0x34) - Virtual Addr : 0x00000000 - Physical Addr : 0x00000000 - Size in file : 904 bytes (0x388) - Size in memory: 1768 bytes (0x6e8) - Flags : PF_X + PF_W + PF_R + PF_ARM_ENTRY (0x80000007) - Alignment : 8 - - -======================================================================== - -** Section #1 - - Name : ER_RO - Type : SHT_PROGBITS (0x00000001) - Flags : SHF_ALLOC + SHF_EXECINSTR (0x00000006) - Addr : 0x00000000 - File Offset : 52 (0x34) - Size : 896 bytes (0x380) - Link : SHN_UNDEF - Info : 0 - Alignment : 4 - Entry Size : 0 - - 0x00000000: 30000368 h..0 ANDCC r0,r0,r8,ROR #6 - 0x00000004: 000001bd .... STRHEQ r0,[r0],-sp ; ? - 0x00000008: 000001c5 .... ANDEQ r0,r0,r5,ASR #3 - 0x0000000c: 000001c7 .... ANDEQ r0,r0,r7,ASR #3 - 0x00000010: 00000000 .... ANDEQ r0,r0,r0 - 0x00000014: 00000000 .... ANDEQ r0,r0,r0 - 0x00000018: 00000000 .... ANDEQ r0,r0,r0 - 0x0000001c: 00000000 .... ANDEQ r0,r0,r0 - 0x00000020: 00000000 .... ANDEQ r0,r0,r0 - 0x00000024: 00000000 .... ANDEQ r0,r0,r0 - 0x00000028: 00000000 .... ANDEQ r0,r0,r0 - 0x0000002c: 000001c9 .... ANDEQ r0,r0,r9,ASR #3 - 0x00000030: 00000000 .... ANDEQ r0,r0,r0 - 0x00000034: 00000000 .... ANDEQ r0,r0,r0 - 0x00000038: 000001cb .... ANDEQ r0,r0,r11,ASR #3 - 0x0000003c: 000001cd .... ANDEQ r0,r0,sp,ASR #3 - 0x00000040: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000044: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000048: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x0000004c: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000050: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000054: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000058: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x0000005c: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000060: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000064: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000068: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x0000006c: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000070: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000074: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000078: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x0000007c: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000080: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000084: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000088: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x0000008c: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000090: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000094: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x00000098: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x0000009c: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x000000a0: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x000000a4: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x000000a8: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x000000ac: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x000000b0: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x000000b4: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x000000b8: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x000000bc: 000001cf .... ANDEQ r0,r0,pc,ASR #3 - 0x000000c0: f802f000 .... DCI 0xf802f000 ; ? Undefined - 0x000000c4: f83ef000 ..>. RFEDA lr! ; ? - 0x000000c8: c830a00c ..0. LDMDAGT r0!,{r2,r3,sp,pc} - 0x000000cc: 18243808 .8$. STMDANE r4!,{r3,r11-sp} - 0x000000d0: 46a2182d -..F STRTMI r1,[r2],sp,LSR #16 - 0x000000d4: 46ab1e67 g..F STRTMI r1,[r11],r7,ROR #28 - 0x000000d8: 465d4654 TF]F USAXMI r4,sp,r4 ; ? - 0x000000dc: d10142ac .B.. SMLATBLE r1,r12,r2,r4 - 0x000000e0: f830f000 ..0. RFEDA r0! ; ? - 0x000000e4: 3e0f467e ~F.> MCRCC p6,#0x0,r4,c15,c14,#3 - 0x000000e8: 46b6cc0f ...F LDRTMI r12,[r6],pc,LSL #24 ; ? - 0x000000ec: 42332601 .&3B EORSMI r2,r3,#0x100000 - 0x000000f0: 1afbd000 .... BNE 0xffef40f8 ; 0xffef40f8 - 0x000000f4: 46ab46a2 .F.F STRTMI r4,[r11],r2,LSR #13 - 0x000000f8: 47184333 3C.G DCI 0x47184333 ; ? Undefined - 0x000000fc: 00000264 d... ANDEQ r0,r0,r4,ROR #4 - 0x00000100: 00000284 .... ANDEQ r0,r0,r4,LSL #5 - 0x00000104: d3023a10 .:.. MOVLE r3,#0x2a10 - 0x00000108: c178c878 x.x. CMNGT r8,r8,ROR r8 ; ? - 0x0000010c: 0752d8fa ..R. SMMLSREQ r2,r10,r8,sp - 0x00000110: c830d301 ..0. LDMDAGT r0!,{r0,r8,r9,r12,lr,pc} ; ? - 0x00000114: d501c130 0... STRLE r12,[r1,#-0x130] - 0x00000118: 600c6804 .h.` ANDVS r6,r12,r4,LSL #16 - 0x0000011c: 00004770 pG.. ANDEQ r4,r0,r0,ROR r7 - 0x00000120: 24002300 .#.$ STRCS r2,[r0],#-0x300 - 0x00000124: 26002500 .%.& STRCS r2,[r0],-r0,LSL #10 - 0x00000128: d3013a10 .:.. MOVLE r3,#0x1a10 - 0x0000012c: d8fbc178 x... LDMLE r11!,{r3-r6,r8,lr,pc}^ - 0x00000130: d3000752 R... MOVLE r0,#0x752 - 0x00000134: d500c130 0... STRLE r12,[r0,#-0x130] - 0x00000138: 4770600b .`pG LDRBMI r6,[r0,-r11]! - 0x0000013c: bd1fb51f .... LDCLT p5,c11,[pc,#-124] ; [0xc8] = 0xc830a00c - 0x00000140: bd10b510 .... LDCLT p5,c11,[r0,#-0x40] - 0x00000144: f8cef000 .... SRSIA sp,#0 ; ? - 0x00000148: f7ff4611 .F.. DCI 0xf7ff4611 ; ? Undefined - 0x0000014c: f000fff7 .... DCI 0xf000fff7 ; ? Undefined - 0x00000150: f000f809 .... DCI 0xf000f809 ; ? Undefined - 0x00000154: b403f8f8 .... STRLT pc,[r3],#-0x8f8 - 0x00000158: fff2f7ff .... DCI 0xfff2f7ff ; ? Undefined - 0x0000015c: f000bc03 .... DCI 0xf000bc03 ; ? Undefined - 0x00000160: 0000f85c \... ANDEQ pc,r0,r12,ASR r8 ; ? - 0x00000164: f000b510 .... DCI 0xf000b510 ; ? Undefined - 0x00000168: a005f859 Y... ANDGE pc,r5,r9,ASR r8 ; ? - 0x0000016c: f87cf000 ..|. DCI 0xf87cf000 ; ? Undefined - 0x00000170: f000a007 .... DCI 0xf000a007 ; ? Undefined - 0x00000174: f000f879 y... DCI 0xf000f879 ; ? Undefined - 0x00000178: 2000f86b k.. ANDCS pc,r0,r11,ROR #16 - 0x0000017c: 0000bd10 .... ANDEQ r11,r0,r0,LSL sp - 0x00000180: 6c6c6548 Hell STCLVS p5,c6,[r12],#-0x120 - 0x00000184: 6f77206f o wo SVCVS #0x77206f ; formerly SWI - 0x00000188: 0a646c72 rld. BEQ 0x191b358 ; 0x191b358 - 0x0000018c: 00000000 .... ANDEQ r0,r0,r0 - 0x00000190: 54202a2a ** T STRTPL r2,[r0],#-0xa2a - 0x00000194: 20545345 EST SUBSCS r5,r4,r5,ASR #6 - 0x00000198: 53534150 PASS CMPPL r3,#0x50, 2 ; #0x14 ; ? - 0x0000019c: 2a204445 ED * BCS 0x8112b8 ; 0x8112b8 - 0x000001a0: 00000a2a *... ANDEQ r0,r0,r10,LSR #20 - 0x000001a4: 48034904 .I.H STMDAMI r3,{r2,r8,r11,lr} - 0x000001a8: 47706008 .`pG LDRBMI r6,[r0,-r8]! - 0x000001ac: 48014902 .I.H STMDAMI r1,{r1,r8,r11,lr} - 0x000001b0: 47706008 .`pG LDRBMI r6,[r0,-r8]! - 0x000001b4: 05f5e100 .... LDRBEQ lr,[r5,#0x100]! - 0x000001b8: 30000000 ...0 ANDCC r0,r0,r0 - 0x000001bc: 47804807 .H.G STRMI r4,[r0,r7,LSL #16] - 0x000001c0: 47004807 .H.G STRMI r4,[r0,-r7,LSL #16] - 0x000001c4: e7fee7fe .... DCI 0xe7fee7fe ; ? Undefined - 0x000001c8: e7fee7fe .... DCI 0xe7fee7fe ; ? Undefined - 0x000001cc: e7fee7fe .... DCI 0xe7fee7fe ; ? Undefined - 0x000001d0: 49054804 .H.I STMDBMI r5,{r2,r11,lr} - 0x000001d4: 4b064a05 .J.K BLMI 0x1929f0 ; 0x1929f0 - 0x000001d8: 00004770 pG.. ANDEQ r4,r0,r0,ROR r7 - 0x000001dc: 000001ad .... ANDEQ r0,r0,sp,LSR #3 - 0x000001e0: 000000c1 .... ANDEQ r0,r0,r1,ASR #1 - 0x000001e4: 30000068 h..0 ANDCC r0,r0,r8,RRX - 0x000001e8: 30000368 h..0 ANDCC r0,r0,r8,ROR #6 - 0x000001ec: 30000168 h..0 ANDCC r0,r0,r8,ROR #2 - 0x000001f0: 30000168 h..0 ANDCC r0,r0,r8,ROR #2 - 0x000001f4: b2c0b510 .... SBCLT r11,r0,#0x10, 10 ; #0x4000000 - 0x000001f8: f81df000 .... RFEDA sp ; ? - 0x000001fc: b510bd10 .... LDRLT r11,[r0,#-0xd10] - 0x00000200: f81ff000 .... RFEDA pc ; ? - 0x00000204: f817f000 .... RFEDA r7 ; ? - 0x00000208: 2000bd10 ... ANDCS r11,r0,r0,LSL sp - 0x0000020c: 477043c0 .CpG LDRBMI r4,[r0,-r0,ASR #7]! - 0x00000210: b2c0b510 .... SBCLT r11,r0,#0x10, 10 ; #0x4000000 - 0x00000214: f80ff000 .... DCI 0xf80ff000 ; ? Undefined - 0x00000218: e7febd10 .... DCI 0xe7febd10 ; ? Undefined - 0x0000021c: 4a0e2041 A .J BMI 0x388328 ; 0x388328 - 0x00000220: 61100140 @..a TSTVS r0,r0,ASR #2 - 0x00000224: 60912101 .!.` ADDSVS r2,r1,r1,LSL #2 - 0x00000228: 61104a0c .J.a TSTVS r0,r12,LSL #20 ; ? - 0x0000022c: 490c6091 .`.I STMDBMI r12,{r0,r4,r7,sp,lr} - 0x00000230: 61882020 .a ORRVS r2,r8,r0,LSR #32 - 0x00000234: 49094770 pG.I STMDBMI r9,{r4-r6,r8-r10,lr} - 0x00000238: 07d2684a Jh.. LDRBEQ r6,[r2,r10,ASR #16] - 0x0000023c: 6008d1fc ...` STRDVS sp,lr,[r8],-r12 ; ? - 0x00000240: 48054770 pG.H STMDAMI r5,{r4-r6,r8-r10,lr} - 0x00000244: 07896841 Ah.. STREQ r6,[r9,r1,ASR #16] - 0x00000248: 6800d5fc ...h STMDAVS r0,{r2-r8,r10,r12,lr,pc} - 0x0000024c: 4770b2c0 ..pG LDRBMI r11,[r0,-r0,ASR #5]! - 0x00000250: f7ff2004 . .. DCI 0xf7ff2004 ; ? Undefined ; ? - 0x00000254: e7fefff0 .... DCI 0xe7fefff0 ; ? Undefined - 0x00000258: 40006000 .`.@ ANDMI r6,r0,r0 - 0x0000025c: 4000e000 ...@ ANDMI lr,r0,r0 - 0x00000260: 40011000 ...@ ANDMI r1,r1,r0 - 0x00000264: 00004770 pG.. ANDEQ r4,r0,r0,ROR r7 - 0x00000268: 4905b40f ...I STMDBMI r5,{r0-r3,r10,r12,sp,pc} - 0x0000026c: aa03b510 .... BGE 0xed6b4 ; 0xed6b4 - 0x00000270: f0009802 .... DCI 0xf0009802 ; ? Undefined - 0x00000274: bc10f80b .... DCI 0xbc10f80b ; ? Undefined - 0x00000278: b004bc08 .... ANDLT r11,r4,r8,LSL #24 - 0x0000027c: 00004718 .G.. ANDEQ r4,r0,r8,LSL r7 - 0x00000280: 30000004 ...0 ANDCC r0,r0,r4 - 0x00000284: 47704770 pGpG DCI 0x47704770 ; ? Undefined - 0x00000288: 00004770 pG.. ANDEQ r4,r0,r0,ROR r7 - 0x0000028c: b5704b08 .Kp. LDRBLT r4,[r0,#-0xb08]! - 0x00000290: 447b460d .F{D LDRBTMI r4,[r11],#-0x60d - 0x00000294: f813f000 .... RFEDA r3 ; ? - 0x00000298: 46284604 .F(F STRTMI r4,[r8],-r4,LSL #12 - 0x0000029c: ffb5f7ff .... DCI 0xffb5f7ff ; ? Undefined - 0x000002a0: d0022800 .(.. ANDLE r2,r2,r0,LSL #16 - 0x000002a4: 43c02000 . .C BICMI r2,r0,#0 - 0x000002a8: 4620bd70 p. F QSUB16MI r11,r0,r0 ; ? - 0x000002ac: 0000bd70 p... ANDEQ r11,r0,r0,ROR sp - 0x000002b0: ffffff5f _... DCI 0xffffff5f ; ? Undefined - 0x000002b4: 1c4a6901 .iJ. MCRRNE p9,#0,r6,r10,c1 - 0x000002b8: 78086102 .a.x STMDAVC r8,{r1,r8,sp,lr} - 0x000002bc: b5004770 pG.. STRLT r4,[r0,#-0x770] - 0x000002c0: 9102b08f .... SMLABBLS r2,pc,r0,r11 ; ? - 0x000002c4: 91052100 .!.. MRSLS r2,APSR ; formerly CPSR ; ? - 0x000002c8: 93014905 .I.. MOVLS r4,#0x1905 - 0x000002cc: 91034479 yD.. HLTLS #0x3449 ; ? - 0x000002d0: 90044611 .F.. ANDLS r4,r4,r1,LSL r6 - 0x000002d4: f0004668 hF.. DCI 0xf0004668 ; ? Undefined - 0x000002d8: b00ff824 $... ANDLT pc,pc,r4,LSR #16 - 0x000002dc: 0000bd00 .... ANDEQ r11,r0,r0,LSL #26 - 0x000002e0: ffffffe5 .... DCI 0xffffffe5 ; ? Undefined - 0x000002e4: f0004675 uF.. DCI 0xf0004675 ; ? Undefined - 0x000002e8: 46aef837 7..F SSAT16MI pc,#15,r7 ; ? - 0x000002ec: 46690005 ..iF STRBTMI r0,[r9],-r5 - 0x000002f0: 08c04653 SF.. STMEQ r0,{r0,r1,r4,r6,r9,r10,lr}^ - 0x000002f4: 468500c0 ...F STRMI r0,[r5],r0,ASR #1 - 0x000002f8: b520b018 .. . STRLT r11,[r0,#-0x18]! - 0x000002fc: ff68f7ff ..h. DCI 0xff68f7ff ; ? Undefined - 0x00000300: 2700bc60 `..' STRCS r11,[r0,-r0,ROR #24] - 0x00000304: 46b60849 I..F LDRTMI r0,[r6],r9,ASR #16 - 0x00000308: c5c02600 .&.. STRBGT r2,[r0,#0x600] - 0x0000030c: c5c0c5c0 .... STRBGT r12,[r0,#0x5c0] - 0x00000310: c5c0c5c0 .... STRBGT r12,[r0,#0x5c0] - 0x00000314: c5c0c5c0 .... STRBGT r12,[r0,#0x5c0] - 0x00000318: 3d40c5c0 ..@= STCLCC p5,c12,[r0,#-0x300] - 0x0000031c: 468d0049 I..F STRMI r0,[sp],r9,ASR #32 - 0x00000320: b5f84770 pG.. LDRBLT r4,[r8,#0x770]! - 0x00000324: 24004606 .F.$ STRCS r4,[r0],#-0x606 - 0x00000328: 68806847 Gh.h STMVS r0,{r0-r2,r6,r11,sp,lr} - 0x0000032c: 46309000 ..0F LDRTMI r9,[r0],-r0 - 0x00000330: e00368f5 .h.. STRD r6,r7,[r3],-r5 ; ? - 0x00000334: 47b89900 ...G LDRMI r9,[r8,r0,LSL #18]! - 0x00000338: 46301c64 d.0F LDRTMI r1,[r0],-r4,ROR #24 - 0x0000033c: 280047a8 .G.( STMDACS r0,{r3,r5,r7-r10,lr} - 0x00000340: 4620d1f8 .. F QSUB8MI sp,r0,r8 ; ? - 0x00000344: b510bdf8 .... LDRLT r11,[r0,#-0xdf8] - 0x00000348: 46c04604 .F.F STRBMI r4,[r0],r4,LSL #12 - 0x0000034c: 462046c0 .F F STRTMI r4,[r0],-r0,ASR #13 - 0x00000350: ff01f7ff .... DCI 0xff01f7ff ; ? Undefined - 0x00000354: 0000bd10 .... ANDEQ r11,r0,r0,LSL sp - 0x00000358: 47704800 .HpG LDRBMI r4,[r0,-r0,LSL #16]! - 0x0000035c: 30000008 ...0 ANDCC r0,r0,r8 - 0x00000360: 00000380 .... ANDEQ r0,r0,r0,LSL #7 - 0x00000364: 30000000 ...0 ANDCC r0,r0,r0 - 0x00000368: 00000008 .... ANDEQ r0,r0,r8 - 0x0000036c: 00000104 .... ANDEQ r0,r0,r4,LSL #2 - 0x00000370: 00000388 .... ANDEQ r0,r0,r8,LSL #7 - 0x00000374: 30000008 ...0 ANDCC r0,r0,r8 - 0x00000378: 00000360 `... ANDEQ r0,r0,r0,ROR #6 - 0x0000037c: 00000120 ... ANDEQ r0,r0,r0,LSR #2 - -==================================== - -** Section #2 - - Name : ER_RW - Type : SHT_PROGBITS (0x00000001) - Flags : SHF_ALLOC + SHF_WRITE (0x00000003) - Addr : 0x30000000 - File Offset : 948 (0x3b4) - Size : 8 bytes (0x8) - Link : SHN_UNDEF - Info : 0 - Alignment : 4 - Entry Size : 0 - - 0x30000000: 00 e1 f5 05 00 00 00 00 ........ - - -==================================== - -** Section #3 - - Name : ER_ZI - Type : SHT_NOBITS (0x00000008) - Flags : SHF_ALLOC + SHF_WRITE (0x00000003) - Addr : 0x30000008 - File Offset : 956 (0x3bc) - Size : 864 bytes (0x360) - Link : SHN_UNDEF - Info : 0 - Alignment : 8 - Entry Size : 0 - - -==================================== - -** Section #4 - - Name : .note - Type : SHT_NOTE (0x00000007) - Flags : None (0x00000000) - Addr : 0x00000000 - File Offset : 956 (0x3bc) - Size : 28 bytes (0x1c) - Link : SHN_UNDEF - Info : 0 - Alignment : 4 - Entry Size : 0 - - Section Segment - ==================================== - - 1 0 - 2 0 - 3 0 - -==================================== - -** Section #5 - - Name : .comment - Type : SHT_PROGBITS (0x00000001) - Flags : None (0x00000000) - Addr : 0x00000000 - File Offset : 984 (0x3d8) - Size : 3204 bytes (0xc84) - Link : SHN_UNDEF - Info : 0 - Alignment : 1 - Entry Size : 0 - - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armlink [4d3601] - armlink --map --no_debug --ro_base=0x0 --rw_base=0x30000000 --first=startup_CMSDK_CM0.o(RESET) --output=hello.ELF --keep=startup_CMSDK_CM0.o(RESET) --info=sizes - - /apps/arm/developmentstudio-2021.0/sw/ARMCompiler5.06u7/bin/../lib/armlib/c_p.l - /apps/arm/developmentstudio-2021.0/sw/ARMCompiler5.06u7/bin/../lib/armlib/fz_ps.l - /apps/arm/developmentstudio-2021.0/sw/ARMCompiler5.06u7/bin/../lib/armlib/h_p.l - /apps/arm/developmentstudio-2021.0/sw/ARMCompiler5.06u7/bin/../lib/armlib/m_ps.l - /apps/arm/developmentstudio-2021.0/sw/ARMCompiler5.06u7/bin/../lib/armlib/vfpsupport.l - Input Comments: - - hello.o - - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armlink [4d3601] - armlink --partial --no_add_relocs_to_undefined --no_generate_mapping_symbols --diag_suppress=6642 --cpu=Cortex-M0 --fpu=SoftVFP --output=hello.o --vfemode=force - - Input Comments: - - fileuNby4R - - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armasm [4d35fa] - armasm --diag_suppress=1602,1073 --cpu=Cortex-M0 --fpu=SoftVFP --apcs=/interwork --no_divide - hello.o - - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armcc [4d365d] - armcc -c --cpu=Cortex-M0 -O3 -I../../../../software/cmsis/Device/ARM/CMSDK_CM0/Include -I../../../../software/cmsis/CMSIS/Include -I../../../../software/common/retarget -DCORTEX_M0 - - system_CMSDK_CM0.o - - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armlink [4d3601] - armlink --partial --no_add_relocs_to_undefined --no_generate_mapping_symbols --diag_suppress=6642 --cpu=Cortex-M0 --fpu=SoftVFP --output=system_CMSDK_CM0.o --vfemode=force - - Input Comments: - - fileO9r55Y - - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armasm [4d35fa] - armasm --diag_suppress=1602,1073 --cpu=Cortex-M0 --fpu=SoftVFP --apcs=/interwork --no_divide - system_CMSDK_CM0.o - - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armcc [4d365d] - armcc -c --cpu=Cortex-M0 -O3 -I../../../../software/cmsis/Device/ARM/CMSDK_CM0/Include -I../../../../software/cmsis/CMSIS/Include -I../../../../software/common/retarget -DCORTEX_M0 - - - - startup_CMSDK_CM0.o - - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armasm [4d35fa] - armasm --cpu=Cortex-M0 - retarget.o - - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armcc [4d365d] - armcc -c --cpu=Cortex-M0 -O3 -I../../../../software/cmsis/Device/ARM/CMSDK_CM0/Include -I../../../../software/cmsis/CMSIS/Include -I../../../../software/common/retarget -DCORTEX_M0 - uart_stdout.o - - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armlink [4d3601] - armlink --partial --no_add_relocs_to_undefined --no_generate_mapping_symbols --diag_suppress=6642 --cpu=Cortex-M0 --fpu=SoftVFP --output=uart_stdout.o --vfemode=force - - Input Comments: - - filetPUPe8 - - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armasm [4d35fa] - armasm --diag_suppress=1602,1073 --cpu=Cortex-M0 --fpu=SoftVFP --apcs=/interwork --no_divide - uart_stdout.o - - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armcc [4d365d] - armcc -c --cpu=Cortex-M0 -O3 -I../../../../software/cmsis/Device/ARM/CMSDK_CM0/Include -I../../../../software/cmsis/CMSIS/Include -I../../../../software/common/retarget -DCORTEX_M0 - - - -==================================== - -** Section #6 - - Name : .shstrtab - Type : SHT_STRTAB (0x00000003) - Flags : None (0x00000000) - Addr : 0x00000000 - File Offset : 4188 (0x105c) - Size : 44 bytes (0x2c) - Link : SHN_UNDEF - Info : 0 - Alignment : 1 - Entry Size : 0 - - -==================================== - - -======================================================================== - -** Object/Image Component Sizes - - Code (inc. data) RO Data RW Data ZI Data Debug Object Name - - 896 896 0 8 864 0 hello.ELF - 896 896 0 8 0 0 ROM Totals for hello.ELF diff --git a/system/testcodes/hello/hello.o b/system/testcodes/hello/hello.o deleted file mode 100644 index b1b92253f41edaaab4bc5e26177332235a0d3d27..0000000000000000000000000000000000000000 Binary files a/system/testcodes/hello/hello.o and /dev/null differ diff --git a/system/testcodes/hello/makefile b/system/testcodes/hello/makefile index e8ac4eec12e62a44286c83c02c35f8b22de5528b..ea35e16a7d611ef919c106d95084f3cf2a57bd76 100644 --- a/system/testcodes/hello/makefile +++ b/system/testcodes/hello/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0 # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/hello/retarget.o b/system/testcodes/hello/retarget.o deleted file mode 100644 index c7698d72e9284c0aa33c51e3e252b0998eea6d0d..0000000000000000000000000000000000000000 Binary files a/system/testcodes/hello/retarget.o and /dev/null differ diff --git a/system/testcodes/hello/startup_CMSDK_CM0.o b/system/testcodes/hello/startup_CMSDK_CM0.o deleted file mode 100644 index 68efdfe0bf61b767b843e33c56459cb46e57fc3e..0000000000000000000000000000000000000000 Binary files a/system/testcodes/hello/startup_CMSDK_CM0.o and /dev/null differ diff --git a/system/testcodes/hello/system_CMSDK_CM0.o b/system/testcodes/hello/system_CMSDK_CM0.o deleted file mode 100644 index bd7b5c2ead3195a143f40ddbd932d79556249bf4..0000000000000000000000000000000000000000 Binary files a/system/testcodes/hello/system_CMSDK_CM0.o and /dev/null differ diff --git a/system/testcodes/hello/uart_stdout.o b/system/testcodes/hello/uart_stdout.o deleted file mode 100644 index 9c368ab22aafb7be9dd05059f853041aaa2edbe6..0000000000000000000000000000000000000000 Binary files a/system/testcodes/hello/uart_stdout.o and /dev/null differ diff --git a/system/testcodes/interrupt_demo/makefile b/system/testcodes/interrupt_demo/makefile index 7966b80315f8bf9a5b8892406ca73ce17e59de9e..ce35a40ff669d2d2f6c032743eb8be85aa2c0c05 100644 --- a/system/testcodes/interrupt_demo/makefile +++ b/system/testcodes/interrupt_demo/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/memory_tests/makefile b/system/testcodes/memory_tests/makefile index 0f0163794b1131818238cc7d417e6569341b2c7d..51f07ae484e78f0a52644100f0b7d720d59ca9c0 100644 --- a/system/testcodes/memory_tests/makefile +++ b/system/testcodes/memory_tests/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/romtable_tests/makefile b/system/testcodes/romtable_tests/makefile index b1b195ac9a807a52e932c63c00b7df32ba31c462..2986278747c3ddf5ac8537bc4292f7ae7b68041b 100644 --- a/system/testcodes/romtable_tests/makefile +++ b/system/testcodes/romtable_tests/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include GENERIC_DIR = ../generic diff --git a/system/testcodes/self_reset_demo/makefile b/system/testcodes/self_reset_demo/makefile index c8c4fb60030bba1aa803977acbdbbc141f490bb1..e798fd62d6530a14901ff4e456cb7fb444b22a82 100644 --- a/system/testcodes/self_reset_demo/makefile +++ b/system/testcodes/self_reset_demo/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/sleep_demo/CMSDK_driver.o b/system/testcodes/sleep_demo/CMSDK_driver.o deleted file mode 100644 index e31485d4d62b7556f16d55f6961c0811e0eb7706..0000000000000000000000000000000000000000 Binary files a/system/testcodes/sleep_demo/CMSDK_driver.o and /dev/null differ diff --git a/system/testcodes/sleep_demo/makefile b/system/testcodes/sleep_demo/makefile index 504d509072c85d4355c1a58fe3e5b6b535de51cb..dc5a0a182acafe3bd62838691c18b5ed7aa740d3 100644 --- a/system/testcodes/sleep_demo/makefile +++ b/system/testcodes/sleep_demo/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/sleep_demo/retarget.o b/system/testcodes/sleep_demo/retarget.o deleted file mode 100644 index 062281c4ad344b77345ad420230841328bca4c62..0000000000000000000000000000000000000000 Binary files a/system/testcodes/sleep_demo/retarget.o and /dev/null differ diff --git a/system/testcodes/sleep_demo/sleep_demo.ELF b/system/testcodes/sleep_demo/sleep_demo.ELF deleted file mode 100644 index 002a089c94b6c207bf53df785509f4e4c10c3a1a..0000000000000000000000000000000000000000 Binary files a/system/testcodes/sleep_demo/sleep_demo.ELF and /dev/null differ diff --git a/system/testcodes/sleep_demo/sleep_demo.hex b/system/testcodes/sleep_demo/sleep_demo.hex deleted file mode 100644 index 83b478b704b0c201b58a0074ce542caec7630382..0000000000000000000000000000000000000000 --- a/system/testcodes/sleep_demo/sleep_demo.hex +++ /dev/null @@ -1,2860 +0,0 @@ -70 -03 -00 -30 -1D -07 -00 -00 -25 -07 -00 -00 -27 -07 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -29 -07 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -2B -07 -00 -00 -2D -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -D9 -04 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -2F -07 -00 -00 -00 -F0 -02 -F8 -00 -F0 -3E -F8 -0C -A0 -30 -C8 -08 -38 -24 -18 -2D -18 -A2 -46 -67 -1E -AB -46 -54 -46 -5D -46 -AC -42 -01 -D1 -00 -F0 -30 -F8 -7E -46 -0F -3E -0F -CC -B6 -46 -01 -26 -33 -42 -00 -D0 -FB -1A -A2 -46 -AB -46 -33 -43 -18 -47 -00 -0A -00 -00 -20 -0A -00 -00 -10 -3A -02 -D3 -78 -C8 -78 -C1 -FA -D8 -52 -07 -01 -D3 -30 -C8 -30 -C1 -01 -D5 -04 -68 -0C -60 -70 -47 -00 -00 -00 -23 -00 -24 -00 -25 -00 -26 -10 -3A -01 -D3 -78 -C1 -FB -D8 -52 -07 -00 -D3 -30 -C1 -00 -D5 -0B -60 -70 -47 -1F -B5 -1F -BD -10 -B5 -10 -BD -00 -F0 -70 -FC -11 -46 -FF -F7 -F7 -FF -00 -F0 -14 -F8 -00 -F0 -9A -FC -03 -B4 -FF -F7 -F2 -FF -03 -BC -00 -F0 -0C -FB -00 -00 -EF -48 -01 -6A -22 -29 -02 -D1 -40 -6A -B8 -28 -01 -D0 -01 -20 -70 -47 -00 -20 -70 -47 -F8 -B5 -00 -F0 -FE -FA -E9 -48 -00 -F0 -0B -FC -E7 -48 -01 -6A -22 -29 -02 -D1 -40 -6A -B8 -28 -06 -D0 -E5 -A0 -00 -F0 -01 -FC -00 -F0 -09 -FB -00 -20 -F8 -BD -EC -A0 -00 -F0 -FA -FB -EE -4E -31 -69 -04 -20 -81 -43 -31 -61 -85 -01 -EC -48 -05 -60 -C4 -05 -01 -22 -F0 -21 -20 -46 -00 -F0 -25 -FB -E9 -48 -05 -60 -30 -BF -20 -46 -00 -F0 -0D -FB -20 -46 -00 -F0 -00 -FB -E6 -4C -20 -68 -00 -28 -02 -D0 -E5 -A0 -00 -F0 -DC -FB -FF -25 -E7 -48 -01 -35 -05 -60 -00 -27 -27 -60 -E5 -A0 -00 -F0 -D3 -FB -DB -48 -00 -69 -04 -26 -D9 -49 -B0 -43 -08 -61 -D9 -48 -05 -60 -27 -60 -C7 -05 -01 -22 -F0 -21 -38 -46 -00 -F0 -FD -FA -D5 -48 -05 -60 -20 -68 -00 -28 -03 -D1 -20 -BF -20 -68 -00 -28 -FB -D0 -3D -46 -38 -46 -00 -F0 -DE -FA -28 -46 -00 -F0 -D1 -FA -CF -A0 -00 -F0 -B1 -FB -00 -20 -20 -60 -D6 -A0 -00 -F0 -AC -FB -C7 -4F -38 -69 -04 -21 -88 -43 -38 -61 -38 -69 -02 -21 -08 -43 -38 -61 -01 -21 -C3 -48 -0E -02 -06 -60 -C4 -4B -00 -22 -1A -60 -18 -46 -41 -60 -0A -46 -49 -03 -28 -46 -00 -F0 -CE -FA -BE -48 -06 -60 -20 -68 -00 -28 -FC -D0 -01 -20 -80 -07 -00 -F0 -B3 -FA -01 -20 -80 -07 -00 -F0 -A5 -FA -00 -20 -20 -60 -60 -60 -39 -69 -02 -20 -81 -43 -B2 -48 -01 -61 -C3 -A0 -00 -F0 -7D -FB -B0 -48 -00 -69 -04 -21 -08 -43 -AE -49 -08 -61 -AE -48 -35 -46 -06 -60 -01 -22 -F0 -21 -C0 -05 -00 -F0 -A8 -FA -AB -48 -05 -60 -30 -BF -80 -05 -00 -F0 -90 -FA -01 -20 -80 -07 -00 -F0 -82 -FA -20 -68 -00 -28 -02 -D0 -A6 -A0 -00 -F0 -5F -FB -A9 -48 -05 -60 -00 -26 -26 -60 -B6 -A0 -00 -F0 -58 -FB -9D -48 -00 -69 -04 -27 -9C -49 -38 -43 -08 -61 -9B -48 -05 -60 -26 -60 -01 -22 -F0 -21 -C0 -05 -00 -F0 -83 -FA -98 -48 -05 -60 -20 -68 -00 -28 -03 -D1 -20 -BF -20 -68 -00 -28 -FB -D0 -01 -20 -80 -07 -00 -F0 -64 -FA -01 -20 -80 -07 -00 -F0 -56 -FA -92 -A0 -00 -F0 -36 -FB -26 -60 -A8 -A0 -00 -F0 -32 -FB -8A -48 -01 -69 -04 -22 -11 -43 -01 -61 -01 -69 -02 -22 -11 -43 -01 -61 -87 -49 -01 -20 -0D -60 -87 -4A -00 -21 -11 -60 -11 -46 -48 -60 -02 -46 -41 -03 -80 -07 -00 -F0 -55 -FA -81 -48 -05 -60 -20 -68 -00 -28 -FC -D0 -01 -27 -BF -07 -38 -46 -00 -F0 -39 -FA -38 -46 -00 -F0 -2C -FA -26 -60 -66 -60 -78 -4F -39 -69 -02 -20 -81 -43 -39 -61 -99 -A0 -00 -F0 -05 -FB -9F -48 -01 -21 -41 -60 -40 -68 -C0 -07 -34 -D0 -9D -A0 -00 -F0 -FC -FA -38 -69 -04 -21 -08 -43 -38 -61 -6E -48 -05 -60 -01 -22 -F0 -21 -C0 -05 -00 -F0 -2A -FA -6C -48 -05 -60 -30 -BF -80 -05 -00 -F0 -12 -FA -B8 -05 -00 -F0 -05 -FA -20 -68 -00 -28 -02 -D0 -68 -A0 -00 -F0 -E2 -FA -6A -48 -05 -60 -26 -60 -94 -A0 -00 -F0 -DC -FA -38 -69 -04 -21 -08 -43 -38 -61 -5E -48 -05 -60 -26 -60 -01 -22 -F0 -21 -C0 -05 -00 -F0 -09 -FA -5B -48 -05 -60 -20 -68 -00 -28 -04 -D0 -07 -E0 -90 -A0 -00 -F0 -C7 -FA -33 -E0 -20 -BF -20 -68 -00 -28 -FB -D0 -01 -20 -80 -07 -00 -F0 -E5 -F9 -01 -20 -80 -07 -00 -F0 -D7 -F9 -52 -A0 -00 -F0 -B7 -FA -26 -60 -8B -A0 -00 -F0 -B3 -FA -38 -69 -02 -21 -08 -43 -38 -61 -4A -48 -01 -21 -05 -60 -26 -60 -61 -60 -0A -46 -49 -03 -C0 -05 -00 -F0 -DE -F9 -46 -48 -05 -60 -20 -68 -00 -28 -FC -D0 -01 -20 -80 -07 -00 -F0 -C3 -F9 -01 -20 -80 -07 -00 -F0 -B5 -F9 -26 -60 -66 -60 -39 -69 -02 -20 -81 -43 -39 -61 -65 -48 -46 -60 -40 -68 -C0 -07 -02 -D0 -7E -A0 -00 -F0 -8A -FA -86 -A0 -00 -F0 -87 -FA -38 -69 -04 -21 -08 -43 -38 -61 -34 -48 -05 -60 -C7 -05 -01 -22 -F0 -21 -38 -46 -00 -F0 -B4 -F9 -31 -48 -05 -60 -30 -BF -38 -46 -00 -F0 -9C -F9 -38 -46 -00 -F0 -8F -F9 -20 -68 -00 -28 -02 -D0 -2D -A0 -00 -F0 -6C -FA -2F -48 -05 -60 -26 -60 -80 -A0 -00 -F0 -58 -FA -00 -F0 -6E -F9 -00 -20 -F8 -BD -70 -B5 -01 -25 -AD -07 -28 -46 -00 -F0 -90 -F9 -22 -4C -20 -68 -40 -1C -20 -60 -60 -68 -01 -28 -10 -D1 -7B -A0 -00 -F0 -52 -FA -20 -68 -01 -28 -02 -D1 -7C -A0 -00 -F0 -4C -FA -20 -68 -02 -28 -0A -D1 -16 -48 -01 -69 -02 -22 -91 -43 -01 -61 -28 -46 -00 -F0 -68 -F9 -28 -46 -00 -F0 -5B -F9 -70 -BD -00 -00 -C0 -0F -00 -40 -A4 -0A -00 -00 -2A -2A -20 -54 -45 -53 -54 -20 -53 -4B -49 -50 -50 -45 -44 -20 -2A -2A -20 -54 -69 -6D -65 -72 -20 -30 -20 -6E -6F -74 -20 -70 -72 -65 -73 -65 -6E -74 -2E -00 -0A -2D -20 -57 -46 -49 -20 -53 -4C -45 -45 -50 -3A -00 -00 -00 -00 -ED -00 -E0 -80 -E2 -00 -E0 -00 -E1 -00 -E0 -00 -00 -00 -30 -20 -20 -54 -49 -4D -45 -52 -20 -49 -52 -51 -2E -0A -00 -00 -00 -80 -E1 -00 -E0 -0A -2D -20 -57 -46 -45 -20 -53 -4C -45 -45 -50 -3A -00 -00 -00 -0A -2D -20 -53 -4C -45 -45 -50 -2D -4F -4E -2D -45 -58 -49 -54 -3A -00 -00 -00 -0A -2D -20 -57 -46 -49 -20 -44 -45 -45 -50 -20 -53 -4C -45 -45 -50 -3A -00 -00 -0A -2D -20 -57 -46 -45 -20 -44 -45 -45 -50 -20 -53 -4C -45 -45 -50 -3A -00 -00 -0A -2D -20 -53 -4C -45 -45 -50 -2D -4F -4E -2D -45 -58 -49 -54 -20 -64 -65 -65 -70 -20 -73 -6C -65 -65 -70 -3A -00 -00 -00 -00 -0A -2D -20 -44 -65 -74 -65 -63 -74 -69 -6E -67 -20 -50 -4D -55 -20 -63 -6F -6E -74 -72 -6F -6C -00 -00 -00 -00 -00 -F0 -01 -40 -0A -2D -20 -57 -46 -49 -20 -44 -45 -45 -50 -20 -53 -4C -45 -45 -50 -20 -77 -69 -74 -68 -20 -57 -49 -43 -00 -00 -0A -2D -20 -57 -46 -45 -20 -44 -45 -45 -50 -20 -53 -4C -45 -45 -50 -20 -77 -69 -74 -68 -20 -57 -49 -43 -00 -00 -50 -4D -55 -20 -6E -6F -74 -20 -61 -76 -61 -69 -6C -61 -62 -6C -65 -0A -00 -00 -0A -2D -20 -53 -4C -45 -45 -50 -2D -4F -4E -2D -45 -58 -49 -54 -20 -77 -69 -74 -68 -20 -57 -49 -43 -3A -00 -00 -45 -52 -52 -4F -52 -3A -50 -4D -55 -20 -63 -6F -6E -74 -72 -6F -6C -20 -63 -61 -6E -6E -6F -74 -20 -62 -65 -20 -63 -6C -65 -61 -72 -65 -64 -0A -00 -00 -00 -00 -0A -2D -20 -57 -46 -49 -20 -44 -45 -45 -50 -20 -53 -4C -45 -45 -50 -20 -77 -69 -74 -68 -20 -57 -49 -43 -20 -73 -77 -69 -74 -63 -68 -65 -64 -20 -6F -66 -66 -00 -2A -2A -20 -54 -45 -53 -54 -20 -50 -41 -53 -53 -45 -44 -20 -2A -2A -20 -0A -00 -20 -20 -54 -49 -4D -45 -52 -20 -49 -52 -51 -2E -00 -00 -00 -00 -20 -20 -45 -6E -74 -65 -72 -20 -73 -6C -65 -65 -70 -2E -2E -2E -00 -00 -00 -00 -04 -49 -03 -48 -08 -60 -70 -47 -02 -49 -01 -48 -08 -60 -70 -47 -00 -E1 -F5 -05 -08 -00 -00 -30 -07 -48 -80 -47 -07 -48 -00 -47 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -04 -48 -05 -49 -05 -4A -06 -4B -70 -47 -00 -00 -0D -07 -00 -00 -C1 -00 -00 -00 -70 -00 -00 -30 -70 -03 -00 -30 -70 -01 -00 -30 -70 -01 -00 -30 -10 -B5 -C0 -B2 -00 -F0 -1D -F8 -10 -BD -10 -B5 -00 -F0 -1F -F8 -00 -F0 -17 -F8 -10 -BD -00 -20 -C0 -43 -70 -47 -10 -B5 -C0 -B2 -00 -F0 -0F -F8 -10 -BD -FE -E7 -41 -20 -10 -4A -40 -01 -10 -61 -01 -21 -91 -60 -0E -4A -10 -61 -91 -60 -0E -49 -20 -20 -88 -61 -70 -47 -0B -49 -4A -68 -D2 -07 -FC -D1 -08 -60 -70 -47 -07 -48 -41 -68 -89 -07 -FC -D5 -00 -68 -C0 -B2 -70 -47 -04 -48 -04 -22 -41 -68 -C9 -07 -FC -D1 -02 -60 -FE -E7 -00 -00 -00 -60 -00 -40 -00 -E0 -00 -40 -00 -10 -01 -40 -01 -68 -08 -22 -11 -43 -01 -60 -70 -47 -01 -68 -08 -22 -91 -43 -01 -60 -70 -47 -01 -68 -01 -22 -11 -43 -01 -60 -70 -47 -01 -68 -49 -08 -49 -00 -01 -60 -70 -47 -40 -68 -70 -47 -41 -60 -70 -47 -80 -68 -70 -47 -81 -60 -70 -47 -01 -21 -C1 -60 -70 -47 -C0 -68 -70 -47 -00 -23 -03 -60 -41 -60 -81 -60 -00 -2A -01 -D0 -09 -21 -00 -E0 -01 -21 -01 -60 -70 -47 -00 -23 -03 -60 -41 -60 -81 -60 -00 -2A -01 -D0 -0D -21 -00 -E0 -05 -21 -01 -60 -70 -47 -00 -23 -03 -60 -41 -60 -81 -60 -00 -2A -01 -D0 -0B -21 -00 -E0 -03 -21 -01 -60 -70 -47 -F0 -B4 -8C -46 -00 -24 -05 -9F -06 -9E -07 -9D -04 -99 -00 -2A -00 -D0 -01 -24 -00 -2B -01 -D0 -02 -22 -14 -43 -00 -29 -01 -D0 -04 -21 -0C -43 -00 -2F -01 -D0 -08 -21 -0C -43 -00 -2E -01 -D0 -10 -21 -0C -43 -00 -2D -01 -D0 -20 -21 -0C -43 -00 -21 -81 -60 -61 -46 -01 -61 -84 -60 -40 -68 -00 -07 -80 -0F -00 -D0 -01 -20 -F0 -BC -70 -47 -40 -68 -80 -07 -C0 -0F -70 -47 -40 -68 -C0 -07 -C0 -0F -70 -47 -42 -68 -D2 -07 -FC -D1 -01 -60 -70 -47 -41 -68 -89 -07 -FC -D5 -00 -68 -C0 -B2 -70 -47 -40 -68 -00 -07 -80 -0F -70 -47 -0C -21 -41 -60 -40 -68 -00 -07 -80 -0F -70 -47 -00 -69 -70 -47 -C0 -68 -C0 -07 -C0 -0F -70 -47 -C0 -68 -80 -07 -C0 -0F -70 -47 -01 -21 -C1 -60 -70 -47 -02 -21 -C1 -60 -70 -47 -01 -61 -70 -47 -41 -61 -70 -47 -00 -69 -70 -47 -81 -61 -70 -47 -C1 -61 -70 -47 -80 -69 -70 -47 -01 -22 -8A -40 -82 -63 -80 -6B -70 -47 -01 -22 -8A -40 -02 -62 -00 -6A -70 -47 -01 -22 -8A -40 -42 -62 -40 -6A -70 -47 -01 -22 -8A -40 -C2 -62 -02 -63 -70 -47 -01 -22 -8A -40 -82 -62 -02 -63 -70 -47 -01 -22 -8A -40 -C2 -62 -42 -63 -70 -47 -01 -22 -8A -40 -82 -62 -42 -63 -70 -47 -10 -B4 -13 -06 -9B -0D -01 -24 -1B -18 -A4 -02 -1B -19 -19 -60 -92 -09 -23 -1F -1A -40 -10 -18 -62 -00 -80 -18 -01 -60 -10 -BC -70 -47 -70 -47 -0F -B4 -05 -49 -10 -B5 -03 -AA -02 -98 -00 -F0 -21 -F8 -10 -BC -08 -BC -04 -B0 -18 -47 -00 -00 -0C -00 -00 -30 -10 -B5 -04 -46 -03 -E0 -FF -F7 -D7 -FE -40 -1C -08 -D0 -20 -78 -05 -49 -64 -1C -00 -28 -F6 -D1 -0A -20 -FF -F7 -CD -FE -10 -BD -00 -20 -C0 -43 -10 -BD -00 -00 -0C -00 -00 -30 -70 -47 -70 -47 -70 -47 -00 -00 -08 -4B -70 -B5 -0D -46 -7B -44 -00 -F0 -13 -F8 -04 -46 -28 -46 -FF -F7 -C3 -FE -00 -28 -02 -D0 -00 -20 -C0 -43 -70 -BD -20 -46 -70 -BD -00 -00 -7B -FD -FF -FF -01 -69 -4A -1C -02 -61 -08 -78 -70 -47 -00 -B5 -8F -B0 -02 -91 -00 -21 -05 -91 -05 -49 -01 -93 -79 -44 -03 -91 -11 -46 -04 -90 -68 -46 -00 -F0 -24 -F8 -0F -B0 -00 -BD -00 -00 -E5 -FF -FF -FF -75 -46 -00 -F0 -37 -F8 -AE -46 -05 -00 -69 -46 -53 -46 -C0 -08 -C0 -00 -85 -46 -18 -B0 -20 -B5 -FF -F7 -76 -FE -60 -BC -00 -27 -49 -08 -B6 -46 -00 -26 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -40 -3D -49 -00 -8D -46 -70 -47 -F8 -B5 -06 -46 -00 -24 -47 -68 -80 -68 -00 -90 -30 -46 -F5 -68 -03 -E0 -00 -99 -B8 -47 -64 -1C -30 -46 -A8 -47 -00 -28 -F8 -D1 -20 -46 -F8 -BD -10 -B5 -04 -46 -C0 -46 -C0 -46 -20 -46 -FF -F7 -5F -FB -10 -BD -00 -00 -00 -48 -70 -47 -10 -00 -00 -30 -0A -43 -6F -72 -74 -65 -78 -20 -4D -69 -63 -72 -6F -63 -6F -6E -74 -72 -6F -6C -6C -65 -72 -20 -53 -79 -73 -74 -65 -6D -20 -44 -65 -73 -69 -67 -6E -20 -4B -69 -74 -20 -2D -20 -53 -6C -65 -65 -70 -20 -44 -65 -6D -6F -20 -2D -20 -72 -65 -76 -69 -73 -69 -6F -6E -20 -24 -52 -65 -76 -69 -73 -69 -6F -6E -3A -20 -33 -37 -31 -33 -32 -31 -20 -24 -0A -00 -00 -1C -0B -00 -00 -00 -00 -00 -30 -10 -00 -00 -00 -04 -01 -00 -00 -2C -0B -00 -00 -10 -00 -00 -30 -60 -03 -00 -00 -20 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -E1 -F5 -05 -00 -00 -00 -00 diff --git a/system/testcodes/sleep_demo/sleep_demo.lst b/system/testcodes/sleep_demo/sleep_demo.lst deleted file mode 100644 index f86c4af43ef460b4dbfd3d36f97a9e9fc3c96725..0000000000000000000000000000000000000000 --- a/system/testcodes/sleep_demo/sleep_demo.lst +++ /dev/null @@ -1,1881 +0,0 @@ - -======================================================================== - -** ELF Header Information - - File Name: sleep_demo.elf - - Machine class: ELFCLASS32 (32-bit) - Data encoding: ELFDATA2LSB (Little endian) - Header version: EV_CURRENT (Current version) - Operating System ABI: none - ABI Version: 0 - File Type: ET_EXEC (Executable) (2) - Machine: EM_ARM (ARM) - - Image Entry point: 0x000000c1 - Flags: EF_ARM_HASENTRY + EF_ARM_ABI_FLOAT_SOFT (0x05000202) - - ARM ELF revision: 5 (ABI version 2) - - Conforms to Soft float procedure-call standard - - Built with - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armasm [4d35fa] - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armcc [4d365d] - Component: ARM Compiler 5.06 update 7 (build 960) Tool: armlink [4d3601] - - Header size: 52 bytes (0x34) - Program header entry size: 32 bytes (0x20) - Section header entry size: 40 bytes (0x28) - - Program header entries: 1 - Section header entries: 16 - - Program header offset: 78108 (0x0001311c) - Section header offset: 78140 (0x0001313c) - - Section header string table index: 15 - -======================================================================== - -** Program header #0 (PT_LOAD) [PF_X + PF_W + PF_R + PF_ARM_ENTRY] - Size : 3724 bytes (2860 bytes in file) - Virtual address: 0x00000000 (Alignment 8) - - -======================================================================== - -** Section #1 'ER_RO' (SHT_PROGBITS) [SHF_ALLOC + SHF_EXECINSTR] - Size : 2844 bytes (alignment 4) - Address: 0x00000000 - - $d.realdata - RESET - __Vectors - 0x00000000: 30000370 p..0 DCD 805307248 - 0x00000004: 0000071d .... DCD 1821 - 0x00000008: 00000725 %... DCD 1829 - 0x0000000c: 00000727 '... DCD 1831 - 0x00000010: 00000000 .... DCD 0 - 0x00000014: 00000000 .... DCD 0 - 0x00000018: 00000000 .... DCD 0 - 0x0000001c: 00000000 .... DCD 0 - 0x00000020: 00000000 .... DCD 0 - 0x00000024: 00000000 .... DCD 0 - 0x00000028: 00000000 .... DCD 0 - 0x0000002c: 00000729 )... DCD 1833 - 0x00000030: 00000000 .... DCD 0 - 0x00000034: 00000000 .... DCD 0 - 0x00000038: 0000072b +... DCD 1835 - 0x0000003c: 0000072d -... DCD 1837 - 0x00000040: 0000072f /... DCD 1839 - 0x00000044: 0000072f /... DCD 1839 - 0x00000048: 0000072f /... DCD 1839 - 0x0000004c: 0000072f /... DCD 1839 - 0x00000050: 0000072f /... DCD 1839 - 0x00000054: 0000072f /... DCD 1839 - 0x00000058: 0000072f /... DCD 1839 - 0x0000005c: 0000072f /... DCD 1839 - 0x00000060: 000004d9 .... DCD 1241 - 0x00000064: 0000072f /... DCD 1839 - 0x00000068: 0000072f /... DCD 1839 - 0x0000006c: 0000072f /... DCD 1839 - 0x00000070: 0000072f /... DCD 1839 - 0x00000074: 0000072f /... DCD 1839 - 0x00000078: 0000072f /... DCD 1839 - 0x0000007c: 0000072f /... DCD 1839 - 0x00000080: 0000072f /... DCD 1839 - 0x00000084: 0000072f /... DCD 1839 - 0x00000088: 0000072f /... DCD 1839 - 0x0000008c: 0000072f /... DCD 1839 - 0x00000090: 0000072f /... DCD 1839 - 0x00000094: 0000072f /... DCD 1839 - 0x00000098: 0000072f /... DCD 1839 - 0x0000009c: 0000072f /... DCD 1839 - 0x000000a0: 0000072f /... DCD 1839 - 0x000000a4: 0000072f /... DCD 1839 - 0x000000a8: 0000072f /... DCD 1839 - 0x000000ac: 0000072f /... DCD 1839 - 0x000000b0: 0000072f /... DCD 1839 - 0x000000b4: 0000072f /... DCD 1839 - 0x000000b8: 0000072f /... DCD 1839 - 0x000000bc: 0000072f /... DCD 1839 - $t - !!!main - __Vectors_End - __main - 0x000000c0: f000f802 .... BL __scatterload ; 0xc8 - 0x000000c4: f000f83e ..>. BL __rt_entry ; 0x144 - !!!scatter - __scatterload - __scatterload_rt2 - __scatterload_rt2_thumb_only - 0x000000c8: a00c .. ADR r0,{pc}+0x34 ; 0xfc - 0x000000ca: c830 0. LDM r0!,{r4,r5} - 0x000000cc: 3808 .8 SUBS r0,r0,#8 - 0x000000ce: 1824 $. ADDS r4,r4,r0 - 0x000000d0: 182d -. ADDS r5,r5,r0 - 0x000000d2: 46a2 .F MOV r10,r4 - 0x000000d4: 1e67 g. SUBS r7,r4,#1 - 0x000000d6: 46ab .F MOV r11,r5 - __scatterload_null - 0x000000d8: 4654 TF MOV r4,r10 - 0x000000da: 465d ]F MOV r5,r11 - 0x000000dc: 42ac .B CMP r4,r5 - 0x000000de: d101 .. BNE 0xe4 ; __scatterload_null + 12 - 0x000000e0: f000f830 ..0. BL __rt_entry ; 0x144 - 0x000000e4: 467e ~F MOV r6,pc - 0x000000e6: 3e0f .> SUBS r6,r6,#0xf - 0x000000e8: cc0f .. LDM r4!,{r0-r3} - 0x000000ea: 46b6 .F MOV lr,r6 - 0x000000ec: 2601 .& MOVS r6,#1 - 0x000000ee: 4233 3B TST r3,r6 - 0x000000f0: d000 .. BEQ 0xf4 ; __scatterload_null + 28 - 0x000000f2: 1afb .. SUBS r3,r7,r3 - 0x000000f4: 46a2 .F MOV r10,r4 - 0x000000f6: 46ab .F MOV r11,r5 - 0x000000f8: 4333 3C ORRS r3,r3,r6 - 0x000000fa: 4718 .G BX r3 - $d - 0x000000fc: 00000a00 .... DCD 2560 - 0x00000100: 00000a20 ... DCD 2592 - $t - !!handler_copy - __scatterload_copy - 0x00000104: 3a10 .: SUBS r2,r2,#0x10 - 0x00000106: d302 .. BCC 0x10e ; __scatterload_copy + 10 - 0x00000108: c878 x. LDM r0!,{r3-r6} - 0x0000010a: c178 x. STM r1!,{r3-r6} - 0x0000010c: d8fa .. BHI __scatterload_copy ; 0x104 - 0x0000010e: 0752 R. LSLS r2,r2,#29 - 0x00000110: d301 .. BCC 0x116 ; __scatterload_copy + 18 - 0x00000112: c830 0. LDM r0!,{r4,r5} - 0x00000114: c130 0. STM r1!,{r4,r5} - 0x00000116: d501 .. BPL 0x11c ; __scatterload_copy + 24 - 0x00000118: 6804 .h LDR r4,[r0,#0] - 0x0000011a: 600c .` STR r4,[r1,#0] - 0x0000011c: 4770 pG BX lr - 0x0000011e: 0000 .. MOVS r0,r0 - !!handler_zi - __scatterload_zeroinit - 0x00000120: 2300 .# MOVS r3,#0 - 0x00000122: 2400 .$ MOVS r4,#0 - 0x00000124: 2500 .% MOVS r5,#0 - 0x00000126: 2600 .& MOVS r6,#0 - 0x00000128: 3a10 .: SUBS r2,r2,#0x10 - 0x0000012a: d301 .. BCC 0x130 ; __scatterload_zeroinit + 16 - 0x0000012c: c178 x. STM r1!,{r3-r6} - 0x0000012e: d8fb .. BHI 0x128 ; __scatterload_zeroinit + 8 - 0x00000130: 0752 R. LSLS r2,r2,#29 - 0x00000132: d300 .. BCC 0x136 ; __scatterload_zeroinit + 22 - 0x00000134: c130 0. STM r1!,{r4,r5} - 0x00000136: d500 .. BPL 0x13a ; __scatterload_zeroinit + 26 - 0x00000138: 600b .` STR r3,[r1,#0] - 0x0000013a: 4770 pG BX lr - .ARM.Collect$$libinit$$00000000 - __rt_lib_init - 0x0000013c: b51f .. PUSH {r0-r4,lr} - .ARM.Collect$$libinit$$00000002 - .ARM.Collect$$libinit$$00000004 - .ARM.Collect$$libinit$$0000000A - .ARM.Collect$$libinit$$0000000C - .ARM.Collect$$libinit$$0000000E - .ARM.Collect$$libinit$$00000011 - .ARM.Collect$$libinit$$00000013 - .ARM.Collect$$libinit$$00000015 - .ARM.Collect$$libinit$$00000017 - .ARM.Collect$$libinit$$00000019 - .ARM.Collect$$libinit$$0000001B - .ARM.Collect$$libinit$$0000001D - .ARM.Collect$$libinit$$0000001F - .ARM.Collect$$libinit$$00000021 - .ARM.Collect$$libinit$$00000023 - .ARM.Collect$$libinit$$00000025 - .ARM.Collect$$libinit$$0000002C - .ARM.Collect$$libinit$$0000002E - .ARM.Collect$$libinit$$00000030 - .ARM.Collect$$libinit$$00000032 - .ARM.Collect$$libinit$$00000033 - __rt_lib_init_alloca_1 - __rt_lib_init_argv_1 - __rt_lib_init_atexit_1 - __rt_lib_init_clock_1 - __rt_lib_init_cpp_1 - __rt_lib_init_exceptions_1 - __rt_lib_init_fp_1 - __rt_lib_init_fp_trap_1 - __rt_lib_init_getenv_1 - __rt_lib_init_heap_1 - __rt_lib_init_lc_collate_1 - __rt_lib_init_lc_ctype_1 - __rt_lib_init_lc_monetary_1 - __rt_lib_init_lc_numeric_1 - __rt_lib_init_lc_time_1 - __rt_lib_init_preinit_1 - __rt_lib_init_rand_1 - __rt_lib_init_return - __rt_lib_init_signal_1 - __rt_lib_init_stdio_1 - __rt_lib_init_user_alloc_1 - 0x0000013e: bd1f .. POP {r0-r4,pc} - .ARM.Collect$$libshutdown$$00000000 - __rt_lib_shutdown - 0x00000140: b510 .. PUSH {r4,lr} - .ARM.Collect$$libshutdown$$00000002 - .ARM.Collect$$libshutdown$$00000004 - .ARM.Collect$$libshutdown$$00000006 - .ARM.Collect$$libshutdown$$00000009 - .ARM.Collect$$libshutdown$$0000000C - .ARM.Collect$$libshutdown$$0000000E - .ARM.Collect$$libshutdown$$00000011 - .ARM.Collect$$libshutdown$$00000012 - __rt_lib_shutdown_cpp_1 - __rt_lib_shutdown_fini_1 - __rt_lib_shutdown_fp_trap_1 - __rt_lib_shutdown_heap_1 - __rt_lib_shutdown_return - __rt_lib_shutdown_signal_1 - __rt_lib_shutdown_stdio_1 - __rt_lib_shutdown_user_alloc_1 - 0x00000142: bd10 .. POP {r4,pc} - .ARM.Collect$$rtentry$$00000000 - .ARM.Collect$$rtentry$$00000002 - .ARM.Collect$$rtentry$$00000004 - __rt_entry - __rt_entry_presh_1 - __rt_entry_sh - 0x00000144: f000fc70 ..p. BL __user_setup_stackheap ; 0xa28 - 0x00000148: 4611 .F MOV r1,r2 - .ARM.Collect$$rtentry$$00000009 - .ARM.Collect$$rtentry$$0000000A - __rt_entry_li - __rt_entry_postsh_1 - 0x0000014a: f7fffff7 .... BL __rt_lib_init ; 0x13c - .ARM.Collect$$rtentry$$0000000C - .ARM.Collect$$rtentry$$0000000D - __rt_entry_main - __rt_entry_postli_1 - 0x0000014e: f000f814 .... BL main ; 0x17a - 0x00000152: f000fc9a .... BL exit ; 0xa8a - .ARM.Collect$$rtexit$$00000000 - __rt_exit - 0x00000156: b403 .. PUSH {r0,r1} - .ARM.Collect$$rtexit$$00000002 - .ARM.Collect$$rtexit$$00000003 - __rt_exit_ls - __rt_exit_prels_1 - 0x00000158: f7fffff2 .... BL __rt_lib_shutdown ; 0x140 - .ARM.Collect$$rtexit$$00000004 - __rt_exit_exit - 0x0000015c: bc03 .. POP {r0,r1} - 0x0000015e: f000fb0c .... BL _sys_exit ; 0x77a - 0x00000162: 0000 .. MOVS r0,r0 - .text - timer0_id_check - 0x00000164: 48ef .H LDR r0,[pc,#956] ; [0x524] = 0x40000fc0 - 0x00000166: 6a01 .j LDR r1,[r0,#0x20] - 0x00000168: 2922 ") CMP r1,#0x22 - 0x0000016a: d102 .. BNE 0x172 ; timer0_id_check + 14 - 0x0000016c: 6a40 @j LDR r0,[r0,#0x24] - 0x0000016e: 28b8 .( CMP r0,#0xb8 - 0x00000170: d001 .. BEQ 0x176 ; timer0_id_check + 18 - 0x00000172: 2001 . MOVS r0,#1 - 0x00000174: 4770 pG BX lr - 0x00000176: 2000 . MOVS r0,#0 - 0x00000178: 4770 pG BX lr - main - 0x0000017a: b5f8 .. PUSH {r3-r7,lr} - 0x0000017c: f000fafe .... BL UartStdOutInit ; 0x77c - 0x00000180: 48e9 .H LDR r0,[pc,#932] ; [0x528] = 0xaa4 - 0x00000182: f000fc0b .... BL puts ; 0x99c - 0x00000186: 48e7 .H LDR r0,[pc,#924] ; [0x524] = 0x40000fc0 - 0x00000188: 6a01 .j LDR r1,[r0,#0x20] - 0x0000018a: 2922 ") CMP r1,#0x22 - 0x0000018c: d102 .. BNE 0x194 ; main + 26 - 0x0000018e: 6a40 @j LDR r0,[r0,#0x24] - 0x00000190: 28b8 .( CMP r0,#0xb8 - 0x00000192: d006 .. BEQ 0x1a2 ; main + 40 - 0x00000194: a0e5 .. ADR r0,{pc}+0x398 ; 0x52c - 0x00000196: f000fc01 .... BL puts ; 0x99c - 0x0000019a: f000fb09 .... BL UartEndSimulation ; 0x7b0 - 0x0000019e: 2000 . MOVS r0,#0 - 0x000001a0: bdf8 .. POP {r3-r7,pc} - 0x000001a2: a0ec .. ADR r0,{pc}+0x3b2 ; 0x554 - 0x000001a4: f000fbfa .... BL puts ; 0x99c - 0x000001a8: 4eee .N LDR r6,[pc,#952] ; [0x564] = 0xe000ed00 - 0x000001aa: 6931 1i LDR r1,[r6,#0x10] - 0x000001ac: 2004 . MOVS r0,#4 - 0x000001ae: 4381 .C BICS r1,r1,r0 - 0x000001b0: 6131 1a STR r1,[r6,#0x10] - 0x000001b2: 0185 .. LSLS r5,r0,#6 - 0x000001b4: 48ec .H LDR r0,[pc,#944] ; [0x568] = 0xe000e280 - 0x000001b6: 6005 .` STR r5,[r0,#0] - 0x000001b8: 05c4 .. LSLS r4,r0,#23 - 0x000001ba: 2201 ." MOVS r2,#1 - 0x000001bc: 21f0 .! MOVS r1,#0xf0 - 0x000001be: 4620 F MOV r0,r4 - 0x000001c0: f000fb25 ..%. BL CMSDK_timer_Init_IntClock ; 0x80e - 0x000001c4: 48e9 .H LDR r0,[pc,#932] ; [0x56c] = 0xe000e100 - 0x000001c6: 6005 .` STR r5,[r0,#0] - 0x000001c8: bf30 0. WFI - 0x000001ca: 4620 F MOV r0,r4 - 0x000001cc: f000fb0d .... BL CMSDK_timer_StopTimer ; 0x7ea - 0x000001d0: 4620 F MOV r0,r4 - 0x000001d2: f000fb00 .... BL CMSDK_timer_DisableIRQ ; 0x7d6 - 0x000001d6: 4ce6 .L LDR r4,[pc,#920] ; [0x570] = 0x30000000 - 0x000001d8: 6820 h LDR r0,[r4,#0] - 0x000001da: 2800 .( CMP r0,#0 - 0x000001dc: d002 .. BEQ 0x1e4 ; main + 106 - 0x000001de: a0e5 .. ADR r0,{pc}+0x396 ; 0x574 - 0x000001e0: f000fbdc .... BL puts ; 0x99c - 0x000001e4: 25ff .% MOVS r5,#0xff - 0x000001e6: 48e7 .H LDR r0,[pc,#924] ; [0x584] = 0xe000e180 - 0x000001e8: 3501 .5 ADDS r5,#1 - 0x000001ea: 6005 .` STR r5,[r0,#0] - 0x000001ec: 2700 .' MOVS r7,#0 - 0x000001ee: 6027 '` STR r7,[r4,#0] - 0x000001f0: a0e5 .. ADR r0,{pc}+0x398 ; 0x588 - 0x000001f2: f000fbd3 .... BL puts ; 0x99c - 0x000001f6: 48db .H LDR r0,[pc,#876] ; [0x564] = 0xe000ed00 - 0x000001f8: 6900 .i LDR r0,[r0,#0x10] - 0x000001fa: 2604 .& MOVS r6,#4 - 0x000001fc: 49d9 .I LDR r1,[pc,#868] ; [0x564] = 0xe000ed00 - 0x000001fe: 43b0 .C BICS r0,r0,r6 - 0x00000200: 6108 .a STR r0,[r1,#0x10] - 0x00000202: 48d9 .H LDR r0,[pc,#868] ; [0x568] = 0xe000e280 - 0x00000204: 6005 .` STR r5,[r0,#0] - 0x00000206: 6027 '` STR r7,[r4,#0] - 0x00000208: 05c7 .. LSLS r7,r0,#23 - 0x0000020a: 2201 ." MOVS r2,#1 - 0x0000020c: 21f0 .! MOVS r1,#0xf0 - 0x0000020e: 4638 8F MOV r0,r7 - 0x00000210: f000fafd .... BL CMSDK_timer_Init_IntClock ; 0x80e - 0x00000214: 48d5 .H LDR r0,[pc,#852] ; [0x56c] = 0xe000e100 - 0x00000216: 6005 .` STR r5,[r0,#0] - 0x00000218: 6820 h LDR r0,[r4,#0] - 0x0000021a: 2800 .( CMP r0,#0 - 0x0000021c: d103 .. BNE 0x226 ; main + 172 - 0x0000021e: bf20 . WFE - 0x00000220: 6820 h LDR r0,[r4,#0] - 0x00000222: 2800 .( CMP r0,#0 - 0x00000224: d0fb .. BEQ 0x21e ; main + 164 - 0x00000226: 463d =F MOV r5,r7 - 0x00000228: 4638 8F MOV r0,r7 - 0x0000022a: f000fade .... BL CMSDK_timer_StopTimer ; 0x7ea - 0x0000022e: 4628 (F MOV r0,r5 - 0x00000230: f000fad1 .... BL CMSDK_timer_DisableIRQ ; 0x7d6 - 0x00000234: a0cf .. ADR r0,{pc}+0x340 ; 0x574 - 0x00000236: f000fbb1 .... BL puts ; 0x99c - 0x0000023a: 2000 . MOVS r0,#0 - 0x0000023c: 6020 ` STR r0,[r4,#0] - 0x0000023e: a0d6 .. ADR r0,{pc}+0x35a ; 0x598 - 0x00000240: f000fbac .... BL puts ; 0x99c - 0x00000244: 4fc7 .O LDR r7,[pc,#796] ; [0x564] = 0xe000ed00 - 0x00000246: 6938 8i LDR r0,[r7,#0x10] - 0x00000248: 2104 .! MOVS r1,#4 - 0x0000024a: 4388 .C BICS r0,r0,r1 - 0x0000024c: 6138 8a STR r0,[r7,#0x10] - 0x0000024e: 6938 8i LDR r0,[r7,#0x10] - 0x00000250: 2102 .! MOVS r1,#2 - 0x00000252: 4308 .C ORRS r0,r0,r1 - 0x00000254: 6138 8a STR r0,[r7,#0x10] - 0x00000256: 2101 .! MOVS r1,#1 - 0x00000258: 48c3 .H LDR r0,[pc,#780] ; [0x568] = 0xe000e280 - 0x0000025a: 020e .. LSLS r6,r1,#8 - 0x0000025c: 6006 .` STR r6,[r0,#0] - 0x0000025e: 4bc4 .K LDR r3,[pc,#784] ; [0x570] = 0x30000000 - 0x00000260: 2200 ." MOVS r2,#0 - 0x00000262: 601a .` STR r2,[r3,#0] - 0x00000264: 4618 .F MOV r0,r3 - 0x00000266: 6041 A` STR r1,[r0,#4] - 0x00000268: 460a .F MOV r2,r1 - 0x0000026a: 0349 I. LSLS r1,r1,#13 - 0x0000026c: 4628 (F MOV r0,r5 - 0x0000026e: f000face .... BL CMSDK_timer_Init_IntClock ; 0x80e - 0x00000272: 48be .H LDR r0,[pc,#760] ; [0x56c] = 0xe000e100 - 0x00000274: 6006 .` STR r6,[r0,#0] - 0x00000276: 6820 h LDR r0,[r4,#0] - 0x00000278: 2800 .( CMP r0,#0 - 0x0000027a: d0fc .. BEQ 0x276 ; main + 252 - 0x0000027c: 2001 . MOVS r0,#1 - 0x0000027e: 0780 .. LSLS r0,r0,#30 - 0x00000280: f000fab3 .... BL CMSDK_timer_StopTimer ; 0x7ea - 0x00000284: 2001 . MOVS r0,#1 - 0x00000286: 0780 .. LSLS r0,r0,#30 - 0x00000288: f000faa5 .... BL CMSDK_timer_DisableIRQ ; 0x7d6 - 0x0000028c: 2000 . MOVS r0,#0 - 0x0000028e: 6020 ` STR r0,[r4,#0] - 0x00000290: 6060 `` STR r0,[r4,#4] - 0x00000292: 6939 9i LDR r1,[r7,#0x10] - 0x00000294: 2002 . MOVS r0,#2 - 0x00000296: 4381 .C BICS r1,r1,r0 - 0x00000298: 48b2 .H LDR r0,[pc,#712] ; [0x564] = 0xe000ed00 - 0x0000029a: 6101 .a STR r1,[r0,#0x10] - 0x0000029c: a0c3 .. ADR r0,{pc}+0x310 ; 0x5ac - 0x0000029e: f000fb7d ..}. BL puts ; 0x99c - 0x000002a2: 48b0 .H LDR r0,[pc,#704] ; [0x564] = 0xe000ed00 - 0x000002a4: 6900 .i LDR r0,[r0,#0x10] - 0x000002a6: 2104 .! MOVS r1,#4 - 0x000002a8: 4308 .C ORRS r0,r0,r1 - 0x000002aa: 49ae .I LDR r1,[pc,#696] ; [0x564] = 0xe000ed00 - 0x000002ac: 6108 .a STR r0,[r1,#0x10] - 0x000002ae: 48ae .H LDR r0,[pc,#696] ; [0x568] = 0xe000e280 - 0x000002b0: 4635 5F MOV r5,r6 - 0x000002b2: 6006 .` STR r6,[r0,#0] - 0x000002b4: 2201 ." MOVS r2,#1 - 0x000002b6: 21f0 .! MOVS r1,#0xf0 - 0x000002b8: 05c0 .. LSLS r0,r0,#23 - 0x000002ba: f000faa8 .... BL CMSDK_timer_Init_IntClock ; 0x80e - 0x000002be: 48ab .H LDR r0,[pc,#684] ; [0x56c] = 0xe000e100 - 0x000002c0: 6005 .` STR r5,[r0,#0] - 0x000002c2: bf30 0. WFI - 0x000002c4: 0580 .. LSLS r0,r0,#22 - 0x000002c6: f000fa90 .... BL CMSDK_timer_StopTimer ; 0x7ea - 0x000002ca: 2001 . MOVS r0,#1 - 0x000002cc: 0780 .. LSLS r0,r0,#30 - 0x000002ce: f000fa82 .... BL CMSDK_timer_DisableIRQ ; 0x7d6 - 0x000002d2: 6820 h LDR r0,[r4,#0] - 0x000002d4: 2800 .( CMP r0,#0 - 0x000002d6: d002 .. BEQ 0x2de ; main + 356 - 0x000002d8: a0a6 .. ADR r0,{pc}+0x29c ; 0x574 - 0x000002da: f000fb5f .._. BL puts ; 0x99c - 0x000002de: 48a9 .H LDR r0,[pc,#676] ; [0x584] = 0xe000e180 - 0x000002e0: 6005 .` STR r5,[r0,#0] - 0x000002e2: 2600 .& MOVS r6,#0 - 0x000002e4: 6026 &` STR r6,[r4,#0] - 0x000002e6: a0b6 .. ADR r0,{pc}+0x2da ; 0x5c0 - 0x000002e8: f000fb58 ..X. BL puts ; 0x99c - 0x000002ec: 489d .H LDR r0,[pc,#628] ; [0x564] = 0xe000ed00 - 0x000002ee: 6900 .i LDR r0,[r0,#0x10] - 0x000002f0: 2704 .' MOVS r7,#4 - 0x000002f2: 499c .I LDR r1,[pc,#624] ; [0x564] = 0xe000ed00 - 0x000002f4: 4338 8C ORRS r0,r0,r7 - 0x000002f6: 6108 .a STR r0,[r1,#0x10] - 0x000002f8: 489b .H LDR r0,[pc,#620] ; [0x568] = 0xe000e280 - 0x000002fa: 6005 .` STR r5,[r0,#0] - 0x000002fc: 6026 &` STR r6,[r4,#0] - 0x000002fe: 2201 ." MOVS r2,#1 - 0x00000300: 21f0 .! MOVS r1,#0xf0 - 0x00000302: 05c0 .. LSLS r0,r0,#23 - 0x00000304: f000fa83 .... BL CMSDK_timer_Init_IntClock ; 0x80e - 0x00000308: 4898 .H LDR r0,[pc,#608] ; [0x56c] = 0xe000e100 - 0x0000030a: 6005 .` STR r5,[r0,#0] - 0x0000030c: 6820 h LDR r0,[r4,#0] - 0x0000030e: 2800 .( CMP r0,#0 - 0x00000310: d103 .. BNE 0x31a ; main + 416 - 0x00000312: bf20 . WFE - 0x00000314: 6820 h LDR r0,[r4,#0] - 0x00000316: 2800 .( CMP r0,#0 - 0x00000318: d0fb .. BEQ 0x312 ; main + 408 - 0x0000031a: 2001 . MOVS r0,#1 - 0x0000031c: 0780 .. LSLS r0,r0,#30 - 0x0000031e: f000fa64 ..d. BL CMSDK_timer_StopTimer ; 0x7ea - 0x00000322: 2001 . MOVS r0,#1 - 0x00000324: 0780 .. LSLS r0,r0,#30 - 0x00000326: f000fa56 ..V. BL CMSDK_timer_DisableIRQ ; 0x7d6 - 0x0000032a: a092 .. ADR r0,{pc}+0x24a ; 0x574 - 0x0000032c: f000fb36 ..6. BL puts ; 0x99c - 0x00000330: 6026 &` STR r6,[r4,#0] - 0x00000332: a0a8 .. ADR r0,{pc}+0x2a2 ; 0x5d4 - 0x00000334: f000fb32 ..2. BL puts ; 0x99c - 0x00000338: 488a .H LDR r0,[pc,#552] ; [0x564] = 0xe000ed00 - 0x0000033a: 6901 .i LDR r1,[r0,#0x10] - 0x0000033c: 2204 ." MOVS r2,#4 - 0x0000033e: 4311 .C ORRS r1,r1,r2 - 0x00000340: 6101 .a STR r1,[r0,#0x10] - 0x00000342: 6901 .i LDR r1,[r0,#0x10] - 0x00000344: 2202 ." MOVS r2,#2 - 0x00000346: 4311 .C ORRS r1,r1,r2 - 0x00000348: 6101 .a STR r1,[r0,#0x10] - 0x0000034a: 4987 .I LDR r1,[pc,#540] ; [0x568] = 0xe000e280 - 0x0000034c: 2001 . MOVS r0,#1 - 0x0000034e: 600d .` STR r5,[r1,#0] - 0x00000350: 4a87 .J LDR r2,[pc,#540] ; [0x570] = 0x30000000 - 0x00000352: 2100 .! MOVS r1,#0 - 0x00000354: 6011 .` STR r1,[r2,#0] - 0x00000356: 4611 .F MOV r1,r2 - 0x00000358: 6048 H` STR r0,[r1,#4] - 0x0000035a: 4602 .F MOV r2,r0 - 0x0000035c: 0341 A. LSLS r1,r0,#13 - 0x0000035e: 0780 .. LSLS r0,r0,#30 - 0x00000360: f000fa55 ..U. BL CMSDK_timer_Init_IntClock ; 0x80e - 0x00000364: 4881 .H LDR r0,[pc,#516] ; [0x56c] = 0xe000e100 - 0x00000366: 6005 .` STR r5,[r0,#0] - 0x00000368: 6820 h LDR r0,[r4,#0] - 0x0000036a: 2800 .( CMP r0,#0 - 0x0000036c: d0fc .. BEQ 0x368 ; main + 494 - 0x0000036e: 2701 .' MOVS r7,#1 - 0x00000370: 07bf .. LSLS r7,r7,#30 - 0x00000372: 4638 8F MOV r0,r7 - 0x00000374: f000fa39 ..9. BL CMSDK_timer_StopTimer ; 0x7ea - 0x00000378: 4638 8F MOV r0,r7 - 0x0000037a: f000fa2c ..,. BL CMSDK_timer_DisableIRQ ; 0x7d6 - 0x0000037e: 6026 &` STR r6,[r4,#0] - 0x00000380: 6066 f` STR r6,[r4,#4] - 0x00000382: 4f78 xO LDR r7,[pc,#480] ; [0x564] = 0xe000ed00 - 0x00000384: 6939 9i LDR r1,[r7,#0x10] - 0x00000386: 2002 . MOVS r0,#2 - 0x00000388: 4381 .C BICS r1,r1,r0 - 0x0000038a: 6139 9a STR r1,[r7,#0x10] - 0x0000038c: a099 .. ADR r0,{pc}+0x268 ; 0x5f4 - 0x0000038e: f000fb05 .... BL puts ; 0x99c - 0x00000392: 489f .H LDR r0,[pc,#636] ; [0x610] = 0x4001f000 - 0x00000394: 2101 .! MOVS r1,#1 - 0x00000396: 6041 A` STR r1,[r0,#4] - 0x00000398: 6840 @h LDR r0,[r0,#4] - 0x0000039a: 07c0 .. LSLS r0,r0,#31 - 0x0000039c: d034 4. BEQ 0x408 ; main + 654 - 0x0000039e: a09d .. ADR r0,{pc}+0x276 ; 0x614 - 0x000003a0: f000fafc .... BL puts ; 0x99c - 0x000003a4: 6938 8i LDR r0,[r7,#0x10] - 0x000003a6: 2104 .! MOVS r1,#4 - 0x000003a8: 4308 .C ORRS r0,r0,r1 - 0x000003aa: 6138 8a STR r0,[r7,#0x10] - 0x000003ac: 486e nH LDR r0,[pc,#440] ; [0x568] = 0xe000e280 - 0x000003ae: 6005 .` STR r5,[r0,#0] - 0x000003b0: 2201 ." MOVS r2,#1 - 0x000003b2: 21f0 .! MOVS r1,#0xf0 - 0x000003b4: 05c0 .. LSLS r0,r0,#23 - 0x000003b6: f000fa2a ..*. BL CMSDK_timer_Init_IntClock ; 0x80e - 0x000003ba: 486c lH LDR r0,[pc,#432] ; [0x56c] = 0xe000e100 - 0x000003bc: 6005 .` STR r5,[r0,#0] - 0x000003be: bf30 0. WFI - 0x000003c0: 0580 .. LSLS r0,r0,#22 - 0x000003c2: f000fa12 .... BL CMSDK_timer_StopTimer ; 0x7ea - 0x000003c6: 05b8 .. LSLS r0,r7,#22 - 0x000003c8: f000fa05 .... BL CMSDK_timer_DisableIRQ ; 0x7d6 - 0x000003cc: 6820 h LDR r0,[r4,#0] - 0x000003ce: 2800 .( CMP r0,#0 - 0x000003d0: d002 .. BEQ 0x3d8 ; main + 606 - 0x000003d2: a068 h. ADR r0,{pc}+0x1a2 ; 0x574 - 0x000003d4: f000fae2 .... BL puts ; 0x99c - 0x000003d8: 486a jH LDR r0,[pc,#424] ; [0x584] = 0xe000e180 - 0x000003da: 6005 .` STR r5,[r0,#0] - 0x000003dc: 6026 &` STR r6,[r4,#0] - 0x000003de: a094 .. ADR r0,{pc}+0x252 ; 0x630 - 0x000003e0: f000fadc .... BL puts ; 0x99c - 0x000003e4: 6938 8i LDR r0,[r7,#0x10] - 0x000003e6: 2104 .! MOVS r1,#4 - 0x000003e8: 4308 .C ORRS r0,r0,r1 - 0x000003ea: 6138 8a STR r0,[r7,#0x10] - 0x000003ec: 485e ^H LDR r0,[pc,#376] ; [0x568] = 0xe000e280 - 0x000003ee: 6005 .` STR r5,[r0,#0] - 0x000003f0: 6026 &` STR r6,[r4,#0] - 0x000003f2: 2201 ." MOVS r2,#1 - 0x000003f4: 21f0 .! MOVS r1,#0xf0 - 0x000003f6: 05c0 .. LSLS r0,r0,#23 - 0x000003f8: f000fa09 .... BL CMSDK_timer_Init_IntClock ; 0x80e - 0x000003fc: 485b [H LDR r0,[pc,#364] ; [0x56c] = 0xe000e100 - 0x000003fe: 6005 .` STR r5,[r0,#0] - 0x00000400: 6820 h LDR r0,[r4,#0] - 0x00000402: 2800 .( CMP r0,#0 - 0x00000404: d004 .. BEQ 0x410 ; main + 662 - 0x00000406: e007 .. B 0x418 ; main + 670 - 0x00000408: a090 .. ADR r0,{pc}+0x244 ; 0x64c - 0x0000040a: f000fac7 .... BL puts ; 0x99c - 0x0000040e: e033 3. B 0x478 ; main + 766 - 0x00000410: bf20 . WFE - 0x00000412: 6820 h LDR r0,[r4,#0] - 0x00000414: 2800 .( CMP r0,#0 - 0x00000416: d0fb .. BEQ 0x410 ; main + 662 - 0x00000418: 2001 . MOVS r0,#1 - 0x0000041a: 0780 .. LSLS r0,r0,#30 - 0x0000041c: f000f9e5 .... BL CMSDK_timer_StopTimer ; 0x7ea - 0x00000420: 2001 . MOVS r0,#1 - 0x00000422: 0780 .. LSLS r0,r0,#30 - 0x00000424: f000f9d7 .... BL CMSDK_timer_DisableIRQ ; 0x7d6 - 0x00000428: a052 R. ADR r0,{pc}+0x14c ; 0x574 - 0x0000042a: f000fab7 .... BL puts ; 0x99c - 0x0000042e: 6026 &` STR r6,[r4,#0] - 0x00000430: a08b .. ADR r0,{pc}+0x230 ; 0x660 - 0x00000432: f000fab3 .... BL puts ; 0x99c - 0x00000436: 6938 8i LDR r0,[r7,#0x10] - 0x00000438: 2102 .! MOVS r1,#2 - 0x0000043a: 4308 .C ORRS r0,r0,r1 - 0x0000043c: 6138 8a STR r0,[r7,#0x10] - 0x0000043e: 484a JH LDR r0,[pc,#296] ; [0x568] = 0xe000e280 - 0x00000440: 2101 .! MOVS r1,#1 - 0x00000442: 6005 .` STR r5,[r0,#0] - 0x00000444: 6026 &` STR r6,[r4,#0] - 0x00000446: 6061 a` STR r1,[r4,#4] - 0x00000448: 460a .F MOV r2,r1 - 0x0000044a: 0349 I. LSLS r1,r1,#13 - 0x0000044c: 05c0 .. LSLS r0,r0,#23 - 0x0000044e: f000f9de .... BL CMSDK_timer_Init_IntClock ; 0x80e - 0x00000452: 4846 FH LDR r0,[pc,#280] ; [0x56c] = 0xe000e100 - 0x00000454: 6005 .` STR r5,[r0,#0] - 0x00000456: 6820 h LDR r0,[r4,#0] - 0x00000458: 2800 .( CMP r0,#0 - 0x0000045a: d0fc .. BEQ 0x456 ; main + 732 - 0x0000045c: 2001 . MOVS r0,#1 - 0x0000045e: 0780 .. LSLS r0,r0,#30 - 0x00000460: f000f9c3 .... BL CMSDK_timer_StopTimer ; 0x7ea - 0x00000464: 2001 . MOVS r0,#1 - 0x00000466: 0780 .. LSLS r0,r0,#30 - 0x00000468: f000f9b5 .... BL CMSDK_timer_DisableIRQ ; 0x7d6 - 0x0000046c: 6026 &` STR r6,[r4,#0] - 0x0000046e: 6066 f` STR r6,[r4,#4] - 0x00000470: 6939 9i LDR r1,[r7,#0x10] - 0x00000472: 2002 . MOVS r0,#2 - 0x00000474: 4381 .C BICS r1,r1,r0 - 0x00000476: 6139 9a STR r1,[r7,#0x10] - 0x00000478: 4865 eH LDR r0,[pc,#404] ; [0x610] = 0x4001f000 - 0x0000047a: 6046 F` STR r6,[r0,#4] - 0x0000047c: 6840 @h LDR r0,[r0,#4] - 0x0000047e: 07c0 .. LSLS r0,r0,#31 - 0x00000480: d002 .. BEQ 0x488 ; main + 782 - 0x00000482: a07e ~. ADR r0,{pc}+0x1fa ; 0x67c - 0x00000484: f000fa8a .... BL puts ; 0x99c - 0x00000488: a086 .. ADR r0,{pc}+0x21c ; 0x6a4 - 0x0000048a: f000fa87 .... BL puts ; 0x99c - 0x0000048e: 6938 8i LDR r0,[r7,#0x10] - 0x00000490: 2104 .! MOVS r1,#4 - 0x00000492: 4308 .C ORRS r0,r0,r1 - 0x00000494: 6138 8a STR r0,[r7,#0x10] - 0x00000496: 4834 4H LDR r0,[pc,#208] ; [0x568] = 0xe000e280 - 0x00000498: 6005 .` STR r5,[r0,#0] - 0x0000049a: 05c7 .. LSLS r7,r0,#23 - 0x0000049c: 2201 ." MOVS r2,#1 - 0x0000049e: 21f0 .! MOVS r1,#0xf0 - 0x000004a0: 4638 8F MOV r0,r7 - 0x000004a2: f000f9b4 .... BL CMSDK_timer_Init_IntClock ; 0x80e - 0x000004a6: 4831 1H LDR r0,[pc,#196] ; [0x56c] = 0xe000e100 - 0x000004a8: 6005 .` STR r5,[r0,#0] - 0x000004aa: bf30 0. WFI - 0x000004ac: 4638 8F MOV r0,r7 - 0x000004ae: f000f99c .... BL CMSDK_timer_StopTimer ; 0x7ea - 0x000004b2: 4638 8F MOV r0,r7 - 0x000004b4: f000f98f .... BL CMSDK_timer_DisableIRQ ; 0x7d6 - 0x000004b8: 6820 h LDR r0,[r4,#0] - 0x000004ba: 2800 .( CMP r0,#0 - 0x000004bc: d002 .. BEQ 0x4c4 ; main + 842 - 0x000004be: a02d -. ADR r0,{pc}+0xb6 ; 0x574 - 0x000004c0: f000fa6c ..l. BL puts ; 0x99c - 0x000004c4: 482f /H LDR r0,[pc,#188] ; [0x584] = 0xe000e180 - 0x000004c6: 6005 .` STR r5,[r0,#0] - 0x000004c8: 6026 &` STR r6,[r4,#0] - 0x000004ca: a080 .. ADR r0,{pc}+0x202 ; 0x6cc - 0x000004cc: f000fa58 ..X. BL __2printf ; 0x980 - 0x000004d0: f000f96e ..n. BL UartEndSimulation ; 0x7b0 - 0x000004d4: 2000 . MOVS r0,#0 - 0x000004d6: bdf8 .. POP {r3-r7,pc} - TIMER0_Handler - 0x000004d8: b570 p. PUSH {r4-r6,lr} - 0x000004da: 2501 .% MOVS r5,#1 - 0x000004dc: 07ad .. LSLS r5,r5,#30 - 0x000004de: 4628 (F MOV r0,r5 - 0x000004e0: f000f990 .... BL CMSDK_timer_ClearIRQ ; 0x804 - 0x000004e4: 4c22 "L LDR r4,[pc,#136] ; [0x570] = 0x30000000 - 0x000004e6: 6820 h LDR r0,[r4,#0] - 0x000004e8: 1c40 @. ADDS r0,r0,#1 - 0x000004ea: 6020 ` STR r0,[r4,#0] - 0x000004ec: 6860 `h LDR r0,[r4,#4] - 0x000004ee: 2801 .( CMP r0,#1 - 0x000004f0: d110 .. BNE 0x514 ; TIMER0_Handler + 60 - 0x000004f2: a07b {. ADR r0,{pc}+0x1ee ; 0x6e0 - 0x000004f4: f000fa52 ..R. BL puts ; 0x99c - 0x000004f8: 6820 h LDR r0,[r4,#0] - 0x000004fa: 2801 .( CMP r0,#1 - 0x000004fc: d102 .. BNE 0x504 ; TIMER0_Handler + 44 - 0x000004fe: a07c |. ADR r0,{pc}+0x1f2 ; 0x6f0 - 0x00000500: f000fa4c ..L. BL puts ; 0x99c - 0x00000504: 6820 h LDR r0,[r4,#0] - 0x00000506: 2802 .( CMP r0,#2 - 0x00000508: d10a .. BNE 0x520 ; TIMER0_Handler + 72 - 0x0000050a: 4816 .H LDR r0,[pc,#88] ; [0x564] = 0xe000ed00 - 0x0000050c: 6901 .i LDR r1,[r0,#0x10] - 0x0000050e: 2202 ." MOVS r2,#2 - 0x00000510: 4391 .C BICS r1,r1,r2 - 0x00000512: 6101 .a STR r1,[r0,#0x10] - 0x00000514: 4628 (F MOV r0,r5 - 0x00000516: f000f968 ..h. BL CMSDK_timer_StopTimer ; 0x7ea - 0x0000051a: 4628 (F MOV r0,r5 - 0x0000051c: f000f95b ..[. BL CMSDK_timer_DisableIRQ ; 0x7d6 - 0x00000520: bd70 p. POP {r4-r6,pc} - $d - 0x00000522: 0000 .. DCW 0 - 0x00000524: 40000fc0 ...@ DCD 1073745856 - 0x00000528: 00000aa4 .... DCD 2724 - 0x0000052c: 54202a2a ** T DCD 1411394090 - 0x00000530: 20545345 EST DCD 542397253 - 0x00000534: 50494b53 SKIP DCD 1346980691 - 0x00000538: 20444550 PED DCD 541345104 - 0x0000053c: 54202a2a ** T DCD 1411394090 - 0x00000540: 72656d69 imer DCD 1919249769 - 0x00000544: 6e203020 0 n DCD 1847603232 - 0x00000548: 7020746f ot p DCD 1881175151 - 0x0000054c: 65736572 rese DCD 1702061426 - 0x00000550: 002e746e nt.. DCD 3044462 - 0x00000554: 57202d0a .- W DCD 1461726474 - 0x00000558: 53204946 FI S DCD 1394624838 - 0x0000055c: 5045454c LEEP DCD 1346717004 - 0x00000560: 0000003a :... DCD 58 - 0x00000564: e000ed00 .... DCD 3758157056 - 0x00000568: e000e280 .... DCD 3758154368 - 0x0000056c: e000e100 .... DCD 3758153984 - 0x00000570: 30000000 ...0 DCD 805306368 - 0x00000574: 49542020 TI DCD 1230250016 - 0x00000578: 2052454d MER DCD 542262605 - 0x0000057c: 2e515249 IRQ. DCD 777081417 - 0x00000580: 0000000a .... DCD 10 - 0x00000584: e000e180 .... DCD 3758154112 - 0x00000588: 57202d0a .- W DCD 1461726474 - 0x0000058c: 53204546 FE S DCD 1394623814 - 0x00000590: 5045454c LEEP DCD 1346717004 - 0x00000594: 0000003a :... DCD 58 - 0x00000598: 53202d0a .- S DCD 1394617610 - 0x0000059c: 5045454c LEEP DCD 1346717004 - 0x000005a0: 2d4e4f2d -ON- DCD 760106797 - 0x000005a4: 54495845 EXIT DCD 1414092869 - 0x000005a8: 0000003a :... DCD 58 - 0x000005ac: 57202d0a .- W DCD 1461726474 - 0x000005b0: 44204946 FI D DCD 1142966598 - 0x000005b4: 20504545 EEP DCD 542131525 - 0x000005b8: 45454c53 SLEE DCD 1162169427 - 0x000005bc: 00003a50 P:.. DCD 14928 - 0x000005c0: 57202d0a .- W DCD 1461726474 - 0x000005c4: 44204546 FE D DCD 1142965574 - 0x000005c8: 20504545 EEP DCD 542131525 - 0x000005cc: 45454c53 SLEE DCD 1162169427 - 0x000005d0: 00003a50 P:.. DCD 14928 - 0x000005d4: 53202d0a .- S DCD 1394617610 - 0x000005d8: 5045454c LEEP DCD 1346717004 - 0x000005dc: 2d4e4f2d -ON- DCD 760106797 - 0x000005e0: 54495845 EXIT DCD 1414092869 - 0x000005e4: 65656420 dee DCD 1701143584 - 0x000005e8: 6c732070 p sl DCD 1819484272 - 0x000005ec: 3a706565 eep: DCD 980444517 - 0x000005f0: 00000000 .... DCD 0 - 0x000005f4: 44202d0a .- D DCD 1142959370 - 0x000005f8: 63657465 etec DCD 1667593317 - 0x000005fc: 676e6974 ting DCD 1735289204 - 0x00000600: 554d5020 PMU DCD 1431130144 - 0x00000604: 6e6f6320 con DCD 1852793632 - 0x00000608: 6c6f7274 trol DCD 1819243124 - 0x0000060c: 00000000 .... DCD 0 - 0x00000610: 4001f000 ...@ DCD 1073868800 - 0x00000614: 57202d0a .- W DCD 1461726474 - 0x00000618: 44204946 FI D DCD 1142966598 - 0x0000061c: 20504545 EEP DCD 542131525 - 0x00000620: 45454c53 SLEE DCD 1162169427 - 0x00000624: 69772050 P wi DCD 1769414736 - 0x00000628: 57206874 th W DCD 1461741684 - 0x0000062c: 00004349 IC.. DCD 17225 - 0x00000630: 57202d0a .- W DCD 1461726474 - 0x00000634: 44204546 FE D DCD 1142965574 - 0x00000638: 20504545 EEP DCD 542131525 - 0x0000063c: 45454c53 SLEE DCD 1162169427 - 0x00000640: 69772050 P wi DCD 1769414736 - 0x00000644: 57206874 th W DCD 1461741684 - 0x00000648: 00004349 IC.. DCD 17225 - 0x0000064c: 20554d50 PMU DCD 542461264 - 0x00000650: 20746f6e not DCD 544501614 - 0x00000654: 69617661 avai DCD 1767994977 - 0x00000658: 6c62616c labl DCD 1818386796 - 0x0000065c: 00000a65 e... DCD 2661 - 0x00000660: 53202d0a .- S DCD 1394617610 - 0x00000664: 5045454c LEEP DCD 1346717004 - 0x00000668: 2d4e4f2d -ON- DCD 760106797 - 0x0000066c: 54495845 EXIT DCD 1414092869 - 0x00000670: 74697720 wit DCD 1953068832 - 0x00000674: 49572068 h WI DCD 1230446696 - 0x00000678: 00003a43 C:.. DCD 14915 - 0x0000067c: 4f525245 ERRO DCD 1330795077 - 0x00000680: 4d503a52 R:PM DCD 1297103442 - 0x00000684: 6f632055 U co DCD 1868767317 - 0x00000688: 6f72746e ntro DCD 1869771886 - 0x0000068c: 6163206c l ca DCD 1633886316 - 0x00000690: 746f6e6e nnot DCD 1953459822 - 0x00000694: 20656220 be DCD 543515168 - 0x00000698: 61656c63 clea DCD 1634036835 - 0x0000069c: 0a646572 red. DCD 174351730 - 0x000006a0: 00000000 .... DCD 0 - 0x000006a4: 57202d0a .- W DCD 1461726474 - 0x000006a8: 44204946 FI D DCD 1142966598 - 0x000006ac: 20504545 EEP DCD 542131525 - 0x000006b0: 45454c53 SLEE DCD 1162169427 - 0x000006b4: 69772050 P wi DCD 1769414736 - 0x000006b8: 57206874 th W DCD 1461741684 - 0x000006bc: 73204349 IC s DCD 1931494217 - 0x000006c0: 63746977 witc DCD 1668573559 - 0x000006c4: 20646568 hed DCD 543450472 - 0x000006c8: 0066666f off. DCD 6710895 - 0x000006cc: 54202a2a ** T DCD 1411394090 - 0x000006d0: 20545345 EST DCD 542397253 - 0x000006d4: 53534150 PASS DCD 1397965136 - 0x000006d8: 2a204445 ED * DCD 706757701 - 0x000006dc: 000a202a * .. DCD 663594 - 0x000006e0: 49542020 TI DCD 1230250016 - 0x000006e4: 2052454d MER DCD 542262605 - 0x000006e8: 2e515249 IRQ. DCD 777081417 - 0x000006ec: 00000000 .... DCD 0 - 0x000006f0: 6e452020 En DCD 1850023968 - 0x000006f4: 20726574 ter DCD 544367988 - 0x000006f8: 65656c73 slee DCD 1701145715 - 0x000006fc: 2e2e2e70 p... DCD 774778480 - 0x00000700: 00000000 .... DCD 0 - $t - .text - SystemCoreClockUpdate - 0x00000704: 4904 .I LDR r1,[pc,#16] ; [0x718] = 0x30000008 - 0x00000706: 4803 .H LDR r0,[pc,#12] ; [0x714] = 0x5f5e100 - 0x00000708: 6008 .` STR r0,[r1,#0] - 0x0000070a: 4770 pG BX lr - SystemInit - 0x0000070c: 4902 .I LDR r1,[pc,#8] ; [0x718] = 0x30000008 - 0x0000070e: 4801 .H LDR r0,[pc,#4] ; [0x714] = 0x5f5e100 - 0x00000710: 6008 .` STR r0,[r1,#0] - 0x00000712: 4770 pG BX lr - $d - 0x00000714: 05f5e100 .... DCD 100000000 - 0x00000718: 30000008 ...0 DCD 805306376 - $t - .text - Reset_Handler - 0x0000071c: 4807 .H LDR r0,[pc,#28] ; [0x73c] = 0x70d - 0x0000071e: 4780 .G BLX r0 - 0x00000720: 4807 .H LDR r0,[pc,#28] ; [0x740] = 0xc1 - 0x00000722: 4700 .G BX r0 - NMI_Handler - 0x00000724: e7fe .. B NMI_Handler ; 0x724 - HardFault_Handler - 0x00000726: e7fe .. B HardFault_Handler ; 0x726 - SVC_Handler - 0x00000728: e7fe .. B SVC_Handler ; 0x728 - PendSV_Handler - 0x0000072a: e7fe .. B PendSV_Handler ; 0x72a - SysTick_Handler - 0x0000072c: e7fe .. B SysTick_Handler ; 0x72c - DMA_Handler - DUALTIMER_HANDLER - EXP0_Handler - EXP1_Handler - EXP2_Handler - EXP3_Handler - EXPB_Handler - EXPC_Handler - EXPD_Handler - PORT0_0_Handler - PORT0_10_Handler - PORT0_11_Handler - PORT0_12_Handler - PORT0_13_Handler - PORT0_14_Handler - PORT0_15_Handler - PORT0_1_Handler - PORT0_2_Handler - PORT0_3_Handler - PORT0_4_Handler - PORT0_5_Handler - PORT0_6_Handler - PORT0_7_Handler - PORT0_8_Handler - PORT0_9_Handler - PORT0_COMB_Handler - PORT1_COMB_Handler - TIMER1_Handler - UARTOVF2_Handler - UARTRX2_Handler - UARTTX2_Handler - 0x0000072e: e7fe .. B DMA_Handler ; 0x72e - __user_initial_stackheap - 0x00000730: 4804 .H LDR r0,[pc,#16] ; [0x744] = 0x30000070 - 0x00000732: 4905 .I LDR r1,[pc,#20] ; [0x748] = 0x30000370 - 0x00000734: 4a05 .J LDR r2,[pc,#20] ; [0x74c] = 0x30000170 - 0x00000736: 4b06 .K LDR r3,[pc,#24] ; [0x750] = 0x30000170 - 0x00000738: 4770 pG BX lr - $d - 0x0000073a: 0000 .. DCW 0 - 0x0000073c: 0000070d .... DCD 1805 - 0x00000740: 000000c1 .... DCD 193 - 0x00000744: 30000070 p..0 DCD 805306480 - 0x00000748: 30000370 p..0 DCD 805307248 - 0x0000074c: 30000170 p..0 DCD 805306736 - 0x00000750: 30000170 p..0 DCD 805306736 - $t - .text - fputc - 0x00000754: b510 .. PUSH {r4,lr} - 0x00000756: b2c0 .. UXTB r0,r0 - 0x00000758: f000f81d .... BL UartPutc ; 0x796 - 0x0000075c: bd10 .. POP {r4,pc} - fgetc - 0x0000075e: b510 .. PUSH {r4,lr} - 0x00000760: f000f81f .... BL UartGetc ; 0x7a2 - 0x00000764: f000f817 .... BL UartPutc ; 0x796 - 0x00000768: bd10 .. POP {r4,pc} - ferror - 0x0000076a: 2000 . MOVS r0,#0 - 0x0000076c: 43c0 .C MVNS r0,r0 - 0x0000076e: 4770 pG BX lr - _ttywrch - 0x00000770: b510 .. PUSH {r4,lr} - 0x00000772: b2c0 .. UXTB r0,r0 - 0x00000774: f000f80f .... BL UartPutc ; 0x796 - 0x00000778: bd10 .. POP {r4,pc} - _sys_exit - 0x0000077a: e7fe .. B _sys_exit ; 0x77a - .text - UartStdOutInit - 0x0000077c: 2041 A MOVS r0,#0x41 - 0x0000077e: 4a10 .J LDR r2,[pc,#64] ; [0x7c0] = 0x40006000 - 0x00000780: 0140 @. LSLS r0,r0,#5 - 0x00000782: 6110 .a STR r0,[r2,#0x10] - 0x00000784: 2101 .! MOVS r1,#1 - 0x00000786: 6091 .` STR r1,[r2,#8] - 0x00000788: 4a0e .J LDR r2,[pc,#56] ; [0x7c4] = 0x4000e000 - 0x0000078a: 6110 .a STR r0,[r2,#0x10] - 0x0000078c: 6091 .` STR r1,[r2,#8] - 0x0000078e: 490e .I LDR r1,[pc,#56] ; [0x7c8] = 0x40011000 - 0x00000790: 2020 MOVS r0,#0x20 - 0x00000792: 6188 .a STR r0,[r1,#0x18] - 0x00000794: 4770 pG BX lr - UartPutc - 0x00000796: 490b .I LDR r1,[pc,#44] ; [0x7c4] = 0x4000e000 - 0x00000798: 684a Jh LDR r2,[r1,#4] - 0x0000079a: 07d2 .. LSLS r2,r2,#31 - 0x0000079c: d1fc .. BNE 0x798 ; UartPutc + 2 - 0x0000079e: 6008 .` STR r0,[r1,#0] - 0x000007a0: 4770 pG BX lr - UartGetc - 0x000007a2: 4807 .H LDR r0,[pc,#28] ; [0x7c0] = 0x40006000 - 0x000007a4: 6841 Ah LDR r1,[r0,#4] - 0x000007a6: 0789 .. LSLS r1,r1,#30 - 0x000007a8: d5fc .. BPL 0x7a4 ; UartGetc + 2 - 0x000007aa: 6800 .h LDR r0,[r0,#0] - 0x000007ac: b2c0 .. UXTB r0,r0 - 0x000007ae: 4770 pG BX lr - UartEndSimulation - 0x000007b0: 4804 .H LDR r0,[pc,#16] ; [0x7c4] = 0x4000e000 - 0x000007b2: 2204 ." MOVS r2,#4 - 0x000007b4: 6841 Ah LDR r1,[r0,#4] - 0x000007b6: 07c9 .. LSLS r1,r1,#31 - 0x000007b8: d1fc .. BNE 0x7b4 ; UartEndSimulation + 4 - 0x000007ba: 6002 .` STR r2,[r0,#0] - 0x000007bc: e7fe .. B 0x7bc ; UartEndSimulation + 12 - $d - 0x000007be: 0000 .. DCW 0 - 0x000007c0: 40006000 .`.@ DCD 1073766400 - 0x000007c4: 4000e000 ...@ DCD 1073799168 - 0x000007c8: 40011000 ...@ DCD 1073811456 - $t - .text - CMSDK_timer_EnableIRQ - 0x000007cc: 6801 .h LDR r1,[r0,#0] - 0x000007ce: 2208 ." MOVS r2,#8 - 0x000007d0: 4311 .C ORRS r1,r1,r2 - 0x000007d2: 6001 .` STR r1,[r0,#0] - 0x000007d4: 4770 pG BX lr - CMSDK_timer_DisableIRQ - 0x000007d6: 6801 .h LDR r1,[r0,#0] - 0x000007d8: 2208 ." MOVS r2,#8 - 0x000007da: 4391 .C BICS r1,r1,r2 - 0x000007dc: 6001 .` STR r1,[r0,#0] - 0x000007de: 4770 pG BX lr - CMSDK_timer_StartTimer - 0x000007e0: 6801 .h LDR r1,[r0,#0] - 0x000007e2: 2201 ." MOVS r2,#1 - 0x000007e4: 4311 .C ORRS r1,r1,r2 - 0x000007e6: 6001 .` STR r1,[r0,#0] - 0x000007e8: 4770 pG BX lr - CMSDK_timer_StopTimer - 0x000007ea: 6801 .h LDR r1,[r0,#0] - 0x000007ec: 0849 I. LSRS r1,r1,#1 - 0x000007ee: 0049 I. LSLS r1,r1,#1 - 0x000007f0: 6001 .` STR r1,[r0,#0] - 0x000007f2: 4770 pG BX lr - CMSDK_timer_GetValue - 0x000007f4: 6840 @h LDR r0,[r0,#4] - 0x000007f6: 4770 pG BX lr - CMSDK_timer_SetValue - 0x000007f8: 6041 A` STR r1,[r0,#4] - 0x000007fa: 4770 pG BX lr - CMSDK_timer_GetReload - 0x000007fc: 6880 .h LDR r0,[r0,#8] - 0x000007fe: 4770 pG BX lr - CMSDK_timer_SetReload - 0x00000800: 6081 .` STR r1,[r0,#8] - 0x00000802: 4770 pG BX lr - CMSDK_timer_ClearIRQ - 0x00000804: 2101 .! MOVS r1,#1 - 0x00000806: 60c1 .` STR r1,[r0,#0xc] - 0x00000808: 4770 pG BX lr - CMSDK_timer_StatusIRQ - 0x0000080a: 68c0 .h LDR r0,[r0,#0xc] - 0x0000080c: 4770 pG BX lr - CMSDK_timer_Init_IntClock - 0x0000080e: 2300 .# MOVS r3,#0 - 0x00000810: 6003 .` STR r3,[r0,#0] - 0x00000812: 6041 A` STR r1,[r0,#4] - 0x00000814: 6081 .` STR r1,[r0,#8] - 0x00000816: 2a00 .* CMP r2,#0 - 0x00000818: d001 .. BEQ 0x81e ; CMSDK_timer_Init_IntClock + 16 - 0x0000081a: 2109 .! MOVS r1,#9 - 0x0000081c: e000 .. B 0x820 ; CMSDK_timer_Init_IntClock + 18 - 0x0000081e: 2101 .! MOVS r1,#1 - 0x00000820: 6001 .` STR r1,[r0,#0] - 0x00000822: 4770 pG BX lr - CMSDK_timer_Init_ExtClock - 0x00000824: 2300 .# MOVS r3,#0 - 0x00000826: 6003 .` STR r3,[r0,#0] - 0x00000828: 6041 A` STR r1,[r0,#4] - 0x0000082a: 6081 .` STR r1,[r0,#8] - 0x0000082c: 2a00 .* CMP r2,#0 - 0x0000082e: d001 .. BEQ 0x834 ; CMSDK_timer_Init_ExtClock + 16 - 0x00000830: 210d .! MOVS r1,#0xd - 0x00000832: e000 .. B 0x836 ; CMSDK_timer_Init_ExtClock + 18 - 0x00000834: 2105 .! MOVS r1,#5 - 0x00000836: 6001 .` STR r1,[r0,#0] - 0x00000838: 4770 pG BX lr - CMSDK_timer_Init_ExtEnable - 0x0000083a: 2300 .# MOVS r3,#0 - 0x0000083c: 6003 .` STR r3,[r0,#0] - 0x0000083e: 6041 A` STR r1,[r0,#4] - 0x00000840: 6081 .` STR r1,[r0,#8] - 0x00000842: 2a00 .* CMP r2,#0 - 0x00000844: d001 .. BEQ 0x84a ; CMSDK_timer_Init_ExtEnable + 16 - 0x00000846: 210b .! MOVS r1,#0xb - 0x00000848: e000 .. B 0x84c ; CMSDK_timer_Init_ExtEnable + 18 - 0x0000084a: 2103 .! MOVS r1,#3 - 0x0000084c: 6001 .` STR r1,[r0,#0] - 0x0000084e: 4770 pG BX lr - CMSDK_uart_init - 0x00000850: b4f0 .. PUSH {r4-r7} - 0x00000852: 468c .F MOV r12,r1 - 0x00000854: 2400 .$ MOVS r4,#0 - 0x00000856: 9f05 .. LDR r7,[sp,#0x14] - 0x00000858: 9e06 .. LDR r6,[sp,#0x18] - 0x0000085a: 9d07 .. LDR r5,[sp,#0x1c] - 0x0000085c: 9904 .. LDR r1,[sp,#0x10] - 0x0000085e: 2a00 .* CMP r2,#0 - 0x00000860: d000 .. BEQ 0x864 ; CMSDK_uart_init + 20 - 0x00000862: 2401 .$ MOVS r4,#1 - 0x00000864: 2b00 .+ CMP r3,#0 - 0x00000866: d001 .. BEQ 0x86c ; CMSDK_uart_init + 28 - 0x00000868: 2202 ." MOVS r2,#2 - 0x0000086a: 4314 .C ORRS r4,r4,r2 - 0x0000086c: 2900 .) CMP r1,#0 - 0x0000086e: d001 .. BEQ 0x874 ; CMSDK_uart_init + 36 - 0x00000870: 2104 .! MOVS r1,#4 - 0x00000872: 430c .C ORRS r4,r4,r1 - 0x00000874: 2f00 ./ CMP r7,#0 - 0x00000876: d001 .. BEQ 0x87c ; CMSDK_uart_init + 44 - 0x00000878: 2108 .! MOVS r1,#8 - 0x0000087a: 430c .C ORRS r4,r4,r1 - 0x0000087c: 2e00 .. CMP r6,#0 - 0x0000087e: d001 .. BEQ 0x884 ; CMSDK_uart_init + 52 - 0x00000880: 2110 .! MOVS r1,#0x10 - 0x00000882: 430c .C ORRS r4,r4,r1 - 0x00000884: 2d00 .- CMP r5,#0 - 0x00000886: d001 .. BEQ 0x88c ; CMSDK_uart_init + 60 - 0x00000888: 2120 ! MOVS r1,#0x20 - 0x0000088a: 430c .C ORRS r4,r4,r1 - 0x0000088c: 2100 .! MOVS r1,#0 - 0x0000088e: 6081 .` STR r1,[r0,#8] - 0x00000890: 4661 aF MOV r1,r12 - 0x00000892: 6101 .a STR r1,[r0,#0x10] - 0x00000894: 6084 .` STR r4,[r0,#8] - 0x00000896: 6840 @h LDR r0,[r0,#4] - 0x00000898: 0700 .. LSLS r0,r0,#28 - 0x0000089a: 0f80 .. LSRS r0,r0,#30 - 0x0000089c: d000 .. BEQ 0x8a0 ; CMSDK_uart_init + 80 - 0x0000089e: 2001 . MOVS r0,#1 - 0x000008a0: bcf0 .. POP {r4-r7} - 0x000008a2: 4770 pG BX lr - CMSDK_uart_GetRxBufferFull - 0x000008a4: 6840 @h LDR r0,[r0,#4] - 0x000008a6: 0780 .. LSLS r0,r0,#30 - 0x000008a8: 0fc0 .. LSRS r0,r0,#31 - 0x000008aa: 4770 pG BX lr - CMSDK_uart_GetTxBufferFull - 0x000008ac: 6840 @h LDR r0,[r0,#4] - 0x000008ae: 07c0 .. LSLS r0,r0,#31 - 0x000008b0: 0fc0 .. LSRS r0,r0,#31 - 0x000008b2: 4770 pG BX lr - CMSDK_uart_SendChar - 0x000008b4: 6842 Bh LDR r2,[r0,#4] - 0x000008b6: 07d2 .. LSLS r2,r2,#31 - 0x000008b8: d1fc .. BNE CMSDK_uart_SendChar ; 0x8b4 - 0x000008ba: 6001 .` STR r1,[r0,#0] - 0x000008bc: 4770 pG BX lr - CMSDK_uart_ReceiveChar - 0x000008be: 6841 Ah LDR r1,[r0,#4] - 0x000008c0: 0789 .. LSLS r1,r1,#30 - 0x000008c2: d5fc .. BPL CMSDK_uart_ReceiveChar ; 0x8be - 0x000008c4: 6800 .h LDR r0,[r0,#0] - 0x000008c6: b2c0 .. UXTB r0,r0 - 0x000008c8: 4770 pG BX lr - CMSDK_uart_GetOverrunStatus - 0x000008ca: 6840 @h LDR r0,[r0,#4] - 0x000008cc: 0700 .. LSLS r0,r0,#28 - 0x000008ce: 0f80 .. LSRS r0,r0,#30 - 0x000008d0: 4770 pG BX lr - CMSDK_uart_ClearOverrunStatus - 0x000008d2: 210c .! MOVS r1,#0xc - 0x000008d4: 6041 A` STR r1,[r0,#4] - 0x000008d6: 6840 @h LDR r0,[r0,#4] - 0x000008d8: 0700 .. LSLS r0,r0,#28 - 0x000008da: 0f80 .. LSRS r0,r0,#30 - 0x000008dc: 4770 pG BX lr - CMSDK_uart_GetBaudDivider - 0x000008de: 6900 .i LDR r0,[r0,#0x10] - 0x000008e0: 4770 pG BX lr - CMSDK_uart_GetTxIRQStatus - 0x000008e2: 68c0 .h LDR r0,[r0,#0xc] - 0x000008e4: 07c0 .. LSLS r0,r0,#31 - 0x000008e6: 0fc0 .. LSRS r0,r0,#31 - 0x000008e8: 4770 pG BX lr - CMSDK_uart_GetRxIRQStatus - 0x000008ea: 68c0 .h LDR r0,[r0,#0xc] - 0x000008ec: 0780 .. LSLS r0,r0,#30 - 0x000008ee: 0fc0 .. LSRS r0,r0,#31 - 0x000008f0: 4770 pG BX lr - CMSDK_uart_ClearTxIRQ - 0x000008f2: 2101 .! MOVS r1,#1 - 0x000008f4: 60c1 .` STR r1,[r0,#0xc] - 0x000008f6: 4770 pG BX lr - CMSDK_uart_ClearRxIRQ - 0x000008f8: 2102 .! MOVS r1,#2 - 0x000008fa: 60c1 .` STR r1,[r0,#0xc] - 0x000008fc: 4770 pG BX lr - CMSDK_gpio_SetOutEnable - 0x000008fe: 6101 .a STR r1,[r0,#0x10] - 0x00000900: 4770 pG BX lr - CMSDK_gpio_ClrOutEnable - 0x00000902: 6141 Aa STR r1,[r0,#0x14] - 0x00000904: 4770 pG BX lr - CMSDK_gpio_GetOutEnable - 0x00000906: 6900 .i LDR r0,[r0,#0x10] - 0x00000908: 4770 pG BX lr - CMSDK_gpio_SetAltFunc - 0x0000090a: 6181 .a STR r1,[r0,#0x18] - 0x0000090c: 4770 pG BX lr - CMSDK_gpio_ClrAltFunc - 0x0000090e: 61c1 .a STR r1,[r0,#0x1c] - 0x00000910: 4770 pG BX lr - CMSDK_gpio_GetAltFunc - 0x00000912: 6980 .i LDR r0,[r0,#0x18] - 0x00000914: 4770 pG BX lr - CMSDK_gpio_IntClear - 0x00000916: 2201 ." MOVS r2,#1 - 0x00000918: 408a .@ LSLS r2,r2,r1 - 0x0000091a: 6382 .c STR r2,[r0,#0x38] - 0x0000091c: 6b80 .k LDR r0,[r0,#0x38] - 0x0000091e: 4770 pG BX lr - CMSDK_gpio_SetIntEnable - 0x00000920: 2201 ." MOVS r2,#1 - 0x00000922: 408a .@ LSLS r2,r2,r1 - 0x00000924: 6202 .b STR r2,[r0,#0x20] - 0x00000926: 6a00 .j LDR r0,[r0,#0x20] - 0x00000928: 4770 pG BX lr - CMSDK_gpio_ClrIntEnable - 0x0000092a: 2201 ." MOVS r2,#1 - 0x0000092c: 408a .@ LSLS r2,r2,r1 - 0x0000092e: 6242 Bb STR r2,[r0,#0x24] - 0x00000930: 6a40 @j LDR r0,[r0,#0x24] - 0x00000932: 4770 pG BX lr - CMSDK_gpio_SetIntHighLevel - 0x00000934: 2201 ." MOVS r2,#1 - 0x00000936: 408a .@ LSLS r2,r2,r1 - 0x00000938: 62c2 .b STR r2,[r0,#0x2c] - 0x0000093a: 6302 .c STR r2,[r0,#0x30] - 0x0000093c: 4770 pG BX lr - CMSDK_gpio_SetIntRisingEdge - 0x0000093e: 2201 ." MOVS r2,#1 - 0x00000940: 408a .@ LSLS r2,r2,r1 - 0x00000942: 6282 .b STR r2,[r0,#0x28] - 0x00000944: 6302 .c STR r2,[r0,#0x30] - 0x00000946: 4770 pG BX lr - CMSDK_gpio_SetIntLowLevel - 0x00000948: 2201 ." MOVS r2,#1 - 0x0000094a: 408a .@ LSLS r2,r2,r1 - 0x0000094c: 62c2 .b STR r2,[r0,#0x2c] - 0x0000094e: 6342 Bc STR r2,[r0,#0x34] - 0x00000950: 4770 pG BX lr - CMSDK_gpio_SetIntFallingEdge - 0x00000952: 2201 ." MOVS r2,#1 - 0x00000954: 408a .@ LSLS r2,r2,r1 - 0x00000956: 6282 .b STR r2,[r0,#0x28] - 0x00000958: 6342 Bc STR r2,[r0,#0x34] - 0x0000095a: 4770 pG BX lr - CMSDK_gpio_MaskedWrite - 0x0000095c: b410 .. PUSH {r4} - 0x0000095e: 0613 .. LSLS r3,r2,#24 - 0x00000960: 0d9b .. LSRS r3,r3,#22 - 0x00000962: 2401 .$ MOVS r4,#1 - 0x00000964: 181b .. ADDS r3,r3,r0 - 0x00000966: 02a4 .. LSLS r4,r4,#10 - 0x00000968: 191b .. ADDS r3,r3,r4 - 0x0000096a: 6019 .` STR r1,[r3,#0] - 0x0000096c: 0992 .. LSRS r2,r2,#6 - 0x0000096e: 1f23 #. SUBS r3,r4,#4 - 0x00000970: 401a .@ ANDS r2,r2,r3 - 0x00000972: 1810 .. ADDS r0,r2,r0 - 0x00000974: 0062 b. LSLS r2,r4,#1 - 0x00000976: 1880 .. ADDS r0,r0,r2 - 0x00000978: 6001 .` STR r1,[r0,#0] - 0x0000097a: bc10 .. POP {r4} - 0x0000097c: 4770 pG BX lr - .text - __I$use$semihosting - __use_no_semihosting_swi - 0x0000097e: 4770 pG BX lr - .text - __2printf - 0x00000980: b40f .. PUSH {r0-r3} - 0x00000982: 4905 .I LDR r1,[pc,#20] ; [0x998] = 0x3000000c - 0x00000984: b510 .. PUSH {r4,lr} - 0x00000986: aa03 .. ADD r2,sp,#0xc - 0x00000988: 9802 .. LDR r0,[sp,#8] - 0x0000098a: f000f821 ..!. BL _printf_char_file ; 0x9d0 - 0x0000098e: bc10 .. POP {r4} - 0x00000990: bc08 .. POP {r3} - 0x00000992: b004 .. ADD sp,sp,#0x10 - 0x00000994: 4718 .G BX r3 - $d - 0x00000996: 0000 .. DCW 0 - 0x00000998: 3000000c ...0 DCD 805306380 - $t - .text - puts - 0x0000099c: b510 .. PUSH {r4,lr} - 0x0000099e: 4604 .F MOV r4,r0 - 0x000009a0: e003 .. B 0x9aa ; puts + 14 - 0x000009a2: f7fffed7 .... BL fputc ; 0x754 - 0x000009a6: 1c40 @. ADDS r0,r0,#1 - 0x000009a8: d008 .. BEQ 0x9bc ; puts + 32 - 0x000009aa: 7820 x LDRB r0,[r4,#0] - 0x000009ac: 4905 .I LDR r1,[pc,#20] ; [0x9c4] = 0x3000000c - 0x000009ae: 1c64 d. ADDS r4,r4,#1 - 0x000009b0: 2800 .( CMP r0,#0 - 0x000009b2: d1f6 .. BNE 0x9a2 ; puts + 6 - 0x000009b4: 200a . MOVS r0,#0xa - 0x000009b6: f7fffecd .... BL fputc ; 0x754 - 0x000009ba: bd10 .. POP {r4,pc} - 0x000009bc: 2000 . MOVS r0,#0 - 0x000009be: 43c0 .C MVNS r0,r0 - 0x000009c0: bd10 .. POP {r4,pc} - $d - 0x000009c2: 0000 .. DCW 0 - 0x000009c4: 3000000c ...0 DCD 805306380 - $t - .text - __use_two_region_memory - 0x000009c8: 4770 pG BX lr - __rt_heap_escrow$2region - 0x000009ca: 4770 pG BX lr - __rt_heap_expand$2region - 0x000009cc: 4770 pG BX lr - 0x000009ce: 0000 .. MOVS r0,r0 - .text - _printf_char_file - 0x000009d0: 4b08 .K LDR r3,[pc,#32] ; [0x9f4] = 0xfffffd7b - 0x000009d2: b570 p. PUSH {r4-r6,lr} - 0x000009d4: 460d .F MOV r5,r1 - 0x000009d6: 447b {D ADD r3,r3,pc - 0x000009d8: f000f813 .... BL _printf_char_common ; 0xa02 - 0x000009dc: 4604 .F MOV r4,r0 - 0x000009de: 4628 (F MOV r0,r5 - 0x000009e0: f7fffec3 .... BL ferror ; 0x76a - 0x000009e4: 2800 .( CMP r0,#0 - 0x000009e6: d002 .. BEQ 0x9ee ; _printf_char_file + 30 - 0x000009e8: 2000 . MOVS r0,#0 - 0x000009ea: 43c0 .C MVNS r0,r0 - 0x000009ec: bd70 p. POP {r4-r6,pc} - 0x000009ee: 4620 F MOV r0,r4 - 0x000009f0: bd70 p. POP {r4-r6,pc} - $d - 0x000009f2: 0000 .. DCW 0 - 0x000009f4: fffffd7b {... DCD 4294966651 - $t - .text - _printf_input_char - 0x000009f8: 6901 .i LDR r1,[r0,#0x10] - 0x000009fa: 1c4a J. ADDS r2,r1,#1 - 0x000009fc: 6102 .a STR r2,[r0,#0x10] - 0x000009fe: 7808 .x LDRB r0,[r1,#0] - 0x00000a00: 4770 pG BX lr - _printf_char_common - 0x00000a02: b500 .. PUSH {lr} - 0x00000a04: b08f .. SUB sp,sp,#0x3c - 0x00000a06: 9102 .. STR r1,[sp,#8] - 0x00000a08: 2100 .! MOVS r1,#0 - 0x00000a0a: 9105 .. STR r1,[sp,#0x14] - 0x00000a0c: 4905 .I LDR r1,[pc,#20] ; [0xa24] = 0xffffffe5 - 0x00000a0e: 9301 .. STR r3,[sp,#4] - 0x00000a10: 4479 yD ADD r1,r1,pc - 0x00000a12: 9103 .. STR r1,[sp,#0xc] - 0x00000a14: 4611 .F MOV r1,r2 - 0x00000a16: 9004 .. STR r0,[sp,#0x10] - 0x00000a18: 4668 hF MOV r0,sp - 0x00000a1a: f000f824 ..$. BL __printf ; 0xa66 - 0x00000a1e: b00f .. ADD sp,sp,#0x3c - 0x00000a20: bd00 .. POP {pc} - $d - 0x00000a22: 0000 .. DCW 0 - 0x00000a24: ffffffe5 .... DCD 4294967269 - $t - .text - __user_setup_stackheap - 0x00000a28: 4675 uF MOV r5,lr - 0x00000a2a: f000f837 ..7. BL __user_libspace ; 0xa9c - 0x00000a2e: 46ae .F MOV lr,r5 - 0x00000a30: 0005 .. MOVS r5,r0 - 0x00000a32: 4669 iF MOV r1,sp - 0x00000a34: 4653 SF MOV r3,r10 - 0x00000a36: 08c0 .. LSRS r0,r0,#3 - 0x00000a38: 00c0 .. LSLS r0,r0,#3 - 0x00000a3a: 4685 .F MOV sp,r0 - 0x00000a3c: b018 .. ADD sp,sp,#0x60 - 0x00000a3e: b520 . PUSH {r5,lr} - 0x00000a40: f7fffe76 ..v. BL __user_initial_stackheap ; 0x730 - 0x00000a44: bc60 `. POP {r5,r6} - 0x00000a46: 2700 .' MOVS r7,#0 - 0x00000a48: 0849 I. LSRS r1,r1,#1 - 0x00000a4a: 46b6 .F MOV lr,r6 - 0x00000a4c: 2600 .& MOVS r6,#0 - 0x00000a4e: c5c0 .. STM r5!,{r6,r7} - 0x00000a50: c5c0 .. STM r5!,{r6,r7} - 0x00000a52: c5c0 .. STM r5!,{r6,r7} - 0x00000a54: c5c0 .. STM r5!,{r6,r7} - 0x00000a56: c5c0 .. STM r5!,{r6,r7} - 0x00000a58: c5c0 .. STM r5!,{r6,r7} - 0x00000a5a: c5c0 .. STM r5!,{r6,r7} - 0x00000a5c: c5c0 .. STM r5!,{r6,r7} - 0x00000a5e: 3d40 @= SUBS r5,r5,#0x40 - 0x00000a60: 0049 I. LSLS r1,r1,#1 - 0x00000a62: 468d .F MOV sp,r1 - 0x00000a64: 4770 pG BX lr - .text - __printf - 0x00000a66: b5f8 .. PUSH {r3-r7,lr} - 0x00000a68: 4606 .F MOV r6,r0 - 0x00000a6a: 2400 .$ MOVS r4,#0 - 0x00000a6c: 6847 Gh LDR r7,[r0,#4] - 0x00000a6e: 6880 .h LDR r0,[r0,#8] - 0x00000a70: 9000 .. STR r0,[sp,#0] - 0x00000a72: 4630 0F MOV r0,r6 - 0x00000a74: 68f5 .h LDR r5,[r6,#0xc] - 0x00000a76: e003 .. B 0xa80 ; __printf + 26 - 0x00000a78: 9900 .. LDR r1,[sp,#0] - 0x00000a7a: 47b8 .G BLX r7 - 0x00000a7c: 1c64 d. ADDS r4,r4,#1 - 0x00000a7e: 4630 0F MOV r0,r6 - 0x00000a80: 47a8 .G BLX r5 - 0x00000a82: 2800 .( CMP r0,#0 - 0x00000a84: d1f8 .. BNE 0xa78 ; __printf + 18 - 0x00000a86: 4620 F MOV r0,r4 - 0x00000a88: bdf8 .. POP {r3-r7,pc} - .text - exit - 0x00000a8a: b510 .. PUSH {r4,lr} - 0x00000a8c: 4604 .F MOV r4,r0 - 0x00000a8e: 46c0 .F MOV r8,r8 - 0x00000a90: 46c0 .F MOV r8,r8 - 0x00000a92: 4620 F MOV r0,r4 - 0x00000a94: f7fffb5f .._. BL __rt_exit ; 0x156 - 0x00000a98: bd10 .. POP {r4,pc} - 0x00000a9a: 0000 .. MOVS r0,r0 - .text - __user_libspace - __user_perproc_libspace - __user_perthread_libspace - 0x00000a9c: 4800 .H LDR r0,[pc,#0] ; [0xaa0] = 0x30000010 - 0x00000a9e: 4770 pG BX lr - $d - 0x00000aa0: 30000010 ...0 DCD 805306384 - $d.realdata - .conststring - 0x00000aa4: 726f430a .Cor DCD 1919894282 - 0x00000aa8: 20786574 tex DCD 544761204 - 0x00000aac: 7263694d Micr DCD 1919117645 - 0x00000ab0: 6e6f636f ocon DCD 1852793711 - 0x00000ab4: 6c6f7274 trol DCD 1819243124 - 0x00000ab8: 2072656c ler DCD 544367980 - 0x00000abc: 74737953 Syst DCD 1953724755 - 0x00000ac0: 44206d65 em D DCD 1142975845 - 0x00000ac4: 67697365 esig DCD 1734964069 - 0x00000ac8: 694b206e n Ki DCD 1766531182 - 0x00000acc: 202d2074 t - DCD 539828340 - 0x00000ad0: 65656c53 Slee DCD 1701145683 - 0x00000ad4: 65442070 p De DCD 1698963568 - 0x00000ad8: 2d206f6d mo - DCD 757100397 - 0x00000adc: 76657220 rev DCD 1986359840 - 0x00000ae0: 6f697369 isio DCD 1869181801 - 0x00000ae4: 5224206e n $R DCD 1378099310 - 0x00000ae8: 73697665 evis DCD 1936291429 - 0x00000aec: 3a6e6f69 ion: DCD 980316009 - 0x00000af0: 31373320 371 DCD 825701152 - 0x00000af4: 20313233 321 DCD 540095027 - 0x00000af8: 00000a24 $... DCD 2596 - Region$$Table$$Base - 0x00000afc: 00000b1c .... DCD 2844 - 0x00000b00: 30000000 ...0 DCD 805306368 - 0x00000b04: 00000010 .... DCD 16 - 0x00000b08: 00000104 .... DCD 260 - 0x00000b0c: 00000b2c ,... DCD 2860 - 0x00000b10: 30000010 ...0 DCD 805306384 - 0x00000b14: 00000360 `... DCD 864 - 0x00000b18: 00000120 ... DCD 288 - Region$$Table$$Limit - -** Section #2 'ER_RW' (SHT_PROGBITS) [SHF_ALLOC + SHF_WRITE] - Size : 16 bytes (alignment 4) - Address: 0x30000000 - - 0x30000000: 00 00 00 00 00 00 00 00 00 e1 f5 05 00 00 00 00 ................ - - -** Section #3 'ER_ZI' (SHT_NOBITS) [SHF_ALLOC + SHF_WRITE] - Size : 864 bytes (alignment 8) - Address: 0x30000010 - - -** Section #4 '.debug_abbrev' (SHT_PROGBITS) - Size : 1476 bytes - - -** Section #5 '.debug_frame' (SHT_PROGBITS) - Size : 1896 bytes - - -** Section #6 '.debug_info' (SHT_PROGBITS) - Size : 14804 bytes - - -** Section #7 '.debug_line' (SHT_PROGBITS) - Size : 3972 bytes - - -** Section #8 '.debug_loc' (SHT_PROGBITS) - Size : 2620 bytes - - -** Section #9 '.debug_macinfo' (SHT_PROGBITS) - Size : 33640 bytes - - -** Section #10 '.debug_pubnames' (SHT_PROGBITS) - Size : 1665 bytes - - -** Section #11 '.symtab' (SHT_SYMTAB) - Size : 5280 bytes (alignment 4) - String table #12 '.strtab' - Last local symbol no. 161 - - Symbol table .symtab (329 symbols, 161 local) - - # Symbol Name Value Bind Sec Type Vis Size - ======================================================================== - - 1 $d.realdata 0x00000000 Lc 1 -- De - 2 $t 0x000000c0 Lc 1 -- De - 3 $d 0x000000fc Lc 1 -- De - 4 $t 0x00000104 Lc 1 -- De - 5 $d 0x00000522 Lc 1 -- De - 6 $t 0x00000704 Lc 1 -- De - 7 $d 0x00000714 Lc 1 -- De - 8 $t 0x0000071c Lc 1 -- De - 9 $d 0x0000073a Lc 1 -- De - 10 $t 0x00000754 Lc 1 -- De - 11 $d 0x000007be Lc 1 -- De - 12 $t 0x000007cc Lc 1 -- De - 13 $d 0x00000996 Lc 1 -- De - 14 $t 0x0000099c Lc 1 -- De - 15 $d 0x000009c2 Lc 1 -- De - 16 $t 0x000009c8 Lc 1 -- De - 17 $d 0x000009f2 Lc 1 -- De - 18 $t 0x000009f8 Lc 1 -- De - 19 $d 0x00000a22 Lc 1 -- De - 20 $t 0x00000a28 Lc 1 -- De - 21 $d 0x00000aa0 Lc 1 -- De - 22 $d.realdata 0x00000aa4 Lc 1 -- De - 23 $d.realdata 0x30000000 Lc 2 -- De - 24 $d.realdata 0x30000010 Lc 3 -- De - 25 ../../../../software/common/demos/sleep_demo.c - 0x00000000 Lc Abs File De - 26 ../../../../software/common/demos/sleep_demo.c - 0x00000000 Lc Abs File De - 27 .text 0x00000164 Lc 1 Sect De - 28 .conststring 0x00000aa4 Lc 1 Sect De 0x57 - 29 .data 0x30000000 Lc 2 Sect De 0x8 - 30 ../../../../software/cmsis/Device/ARM/CMSDK_CM0/Source/system_CMSDK_CM0.c - 0x00000000 Lc Abs File De - 31 ../../../../software/cmsis/Device/ARM/CMSDK_CM0/Source/system_CMSDK_CM0.c - 0x00000000 Lc Abs File De - 32 .text 0x00000704 Lc 1 Sect De - 33 .data 0x30000008 Lc 2 Sect De 0x4 - 34 ../../../../software/cmsis/Device/ARM/CMSDK_CM0/Source/ARM/startup_CMSDK_CM0.s - 0x00000000 Lc Abs File De - 35 STACK 0x30000170 Lc 3 Sect De 0x200 - 36 Stack_Mem 0x30000170 Lc 3 Data De 0x200 - 37 __initial_sp 0x30000370 Lc 3 Data De - 38 HEAP 0x30000070 Lc 3 Sect De 0x100 - 39 Heap_Mem 0x30000070 Lc 3 Data De 0x100 - 40 RESET 0x00000000 Lc 1 Sect De 0xc0 - 41 .text 0x0000071c Lc 1 Sect De 0x38 - 42 ../../../../software/common/retarget/retarget.c - 0x00000000 Lc Abs File De - 43 .text 0x00000754 Lc 1 Sect De - 44 .data 0x3000000c Lc 2 Sect De 0x4 - 45 ../../../../software/common/retarget/uart_stdout.c - 0x00000000 Lc Abs File De - 46 ../../../../software/common/retarget/uart_stdout.c - 0x00000000 Lc Abs File De - 47 .text 0x0000077c Lc 1 Sect De - 48 ../../../../software/cmsis/Device/ARM/CMSDK_CM0/Source/CMSDK_driver.c - 0x00000000 Lc Abs File De - 49 ../../../../software/cmsis/Device/ARM/CMSDK_CM0/Source/CMSDK_driver.c - 0x00000000 Lc Abs File De - 50 .text 0x000007cc Lc 1 Sect De - 51 dc.s 0x00000000 Lc Abs File De - 52 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 53 .text 0x0000097e Lc 1 Sect De 0x2 - 54 ../clib/printf.c 0x00000000 Lc Abs File De - 55 ../clib/printf.c 0x00000000 Lc Abs File De - 56 .text 0x00000980 Lc 1 Sect De - 57 ../clib/stdio.c 0x00000000 Lc Abs File De - 58 .text 0x0000099c Lc 1 Sect De - 59 ../clib/heapaux.c 0x00000000 Lc Abs File De - 60 .text 0x000009c8 Lc 1 Sect De - 61 ../clib/angel/startup.s 0x00000000 Lc Abs File De - 62 !!!main 0x000000c0 Lc 1 Sect De 0x8 - 63 ../clib/angel/kernel.s 0x00000000 Lc Abs File De - 64 .ARM.Collect$$rtentry$$00000000 - 0x00000144 Lc 1 Sect De - 65 ../clib/printf.c 0x00000000 Lc Abs File De - 66 .text 0x000009d0 Lc 1 Sect De - 67 ../clib/angel/kernel.s 0x00000000 Lc Abs File De - 68 .ARM.Collect$$rtentry$$00000002 - 0x00000144 Lc 1 Sect De - 69 .ARM.Collect$$rtentry$$00000009 - 0x0000014a Lc 1 Sect De - 70 .ARM.Collect$$rtentry$$0000000A - 0x0000014a Lc 1 Sect De 0x4 - 71 .ARM.Collect$$rtentry$$0000000C - 0x0000014e Lc 1 Sect De - 72 .ARM.Collect$$rtentry$$0000000D - 0x0000014e Lc 1 Sect De 0x8 - 73 ../clib/angel/kernel.s 0x00000000 Lc Abs File De - 74 .ARM.Collect$$rtentry$$00000004 - 0x00000144 Lc 1 Sect De 0x6 - 75 ../clib/printf.c 0x00000000 Lc Abs File De - 76 .text 0x000009f8 Lc 1 Sect De - 77 _printf_input_char 0x000009f9 Lc 1 Code De 0xa - 78 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 79 .text 0x00000a28 Lc 1 Sect De 0x3e - 80 ../clib/printf.c 0x00000000 Lc Abs File De - 81 .text 0x00000a66 Lc 1 Sect De - 82 ../clib/stdlib.c 0x00000000 Lc Abs File De - 83 .text 0x00000a8a Lc 1 Sect De - 84 ../clib/angel/boardlib.s 0x00000000 Lc Abs File De - 85 ../clib/angel/boardlib.s 0x00000000 Lc Abs File De - 86 ../clib/angel/boardlib.s 0x00000000 Lc Abs File De - 87 ../clib/libinit.s 0x00000000 Lc Abs File De - 88 .ARM.Collect$$libinit$$00000000 - 0x0000013c Lc 1 Sect De 0x2 - 89 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 90 .text 0x00000a9c Lc 1 Sect De 0x8 - 91 .bss 0x30000010 Lc 3 Sect De 0x60 - 92 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 93 ../clib/angel/kernel.s 0x00000000 Lc Abs File De - 94 .ARM.Collect$$rtexit$$00000000 - 0x00000156 Lc 1 Sect De 0x2 - 95 ../clib/libinit.s 0x00000000 Lc Abs File De - 96 .ARM.Collect$$libinit$$00000002 - 0x0000013e Lc 1 Sect De - 97 .ARM.Collect$$libinit$$00000004 - 0x0000013e Lc 1 Sect De - 98 .ARM.Collect$$libinit$$0000000A - 0x0000013e Lc 1 Sect De - 99 .ARM.Collect$$libinit$$0000000C - 0x0000013e Lc 1 Sect De - 100 .ARM.Collect$$libinit$$0000000E - 0x0000013e Lc 1 Sect De - 101 .ARM.Collect$$libinit$$00000011 - 0x0000013e Lc 1 Sect De - 102 .ARM.Collect$$libinit$$00000013 - 0x0000013e Lc 1 Sect De - 103 .ARM.Collect$$libinit$$00000015 - 0x0000013e Lc 1 Sect De - 104 .ARM.Collect$$libinit$$00000017 - 0x0000013e Lc 1 Sect De - 105 .ARM.Collect$$libinit$$00000019 - 0x0000013e Lc 1 Sect De - 106 .ARM.Collect$$libinit$$0000001B - 0x0000013e Lc 1 Sect De - 107 .ARM.Collect$$libinit$$0000001D - 0x0000013e Lc 1 Sect De - 108 .ARM.Collect$$libinit$$0000001F - 0x0000013e Lc 1 Sect De - 109 .ARM.Collect$$libinit$$00000021 - 0x0000013e Lc 1 Sect De - 110 .ARM.Collect$$libinit$$00000023 - 0x0000013e Lc 1 Sect De - 111 .ARM.Collect$$libinit$$00000025 - 0x0000013e Lc 1 Sect De - 112 .ARM.Collect$$libinit$$0000002C - 0x0000013e Lc 1 Sect De - 113 .ARM.Collect$$libinit$$0000002E - 0x0000013e Lc 1 Sect De - 114 .ARM.Collect$$libinit$$00000030 - 0x0000013e Lc 1 Sect De - 115 .ARM.Collect$$libinit$$00000032 - 0x0000013e Lc 1 Sect De - 116 .ARM.Collect$$libinit$$00000033 - 0x0000013e Lc 1 Sect De 0x2 - 117 ../clib/angel/kernel.s 0x00000000 Lc Abs File De - 118 .ARM.Collect$$rtexit$$00000002 - 0x00000158 Lc 1 Sect De - 119 .ARM.Collect$$rtexit$$00000003 - 0x00000158 Lc 1 Sect De 0x4 - 120 .ARM.Collect$$rtexit$$00000004 - 0x0000015c Lc 1 Sect De 0x6 - 121 ../clib/armsys.c 0x00000000 Lc Abs File De - 122 ../fplib/cfplib/fpinit.c 0x00000000 Lc Abs File De - 123 ../clib/angel/boardlib.s 0x00000000 Lc Abs File De - 124 ../clib/armsys.c 0x00000000 Lc Abs File De - 125 ../clib/armsys.c 0x00000000 Lc Abs File De - 126 ../clib/libinit.s 0x00000000 Lc Abs File De - 127 .ARM.Collect$$libshutdown$$00000000 - 0x00000140 Lc 1 Sect De 0x2 - 128 ../clib/angel/sysapp.c 0x00000000 Lc Abs File De - 129 ../clib/heapalloc.c 0x00000000 Lc Abs File De - 130 ../clib/signal.c 0x00000000 Lc Abs File De - 131 ../clib/signal.c 0x00000000 Lc Abs File De - 132 ../clib/libinit.s 0x00000000 Lc Abs File De - 133 .ARM.Collect$$libshutdown$$00000002 - 0x00000142 Lc 1 Sect De - 134 .ARM.Collect$$libshutdown$$00000004 - 0x00000142 Lc 1 Sect De - 135 .ARM.Collect$$libshutdown$$00000006 - 0x00000142 Lc 1 Sect De - 136 .ARM.Collect$$libshutdown$$00000009 - 0x00000142 Lc 1 Sect De - 137 .ARM.Collect$$libshutdown$$0000000C - 0x00000142 Lc 1 Sect De - 138 .ARM.Collect$$libshutdown$$0000000E - 0x00000142 Lc 1 Sect De - 139 .ARM.Collect$$libshutdown$$00000011 - 0x00000142 Lc 1 Sect De - 140 .ARM.Collect$$libshutdown$$00000012 - 0x00000142 Lc 1 Sect De 0x2 - 141 ../clib/angel/sys.s 0x00000000 Lc Abs File De - 142 ../clib/angel/rt.s 0x00000000 Lc Abs File De - 143 ../clib/signal.c 0x00000000 Lc Abs File De - 144 ../clib/signal.c 0x00000000 Lc Abs File De - 145 ../clib/signal.c 0x00000000 Lc Abs File De - 146 ../clib/signal.c 0x00000000 Lc Abs File De - 147 ../clib/signal.s 0x00000000 Lc Abs File De - 148 ../clib/signal.c 0x00000000 Lc Abs File De - 149 ../clib/signal.c 0x00000000 Lc Abs File De - 150 ../clib/signal.c 0x00000000 Lc Abs File De - 151 ../clib/signal.c 0x00000000 Lc Abs File De - 152 ../clib/signal.c 0x00000000 Lc Abs File De - 153 ../clib/signal.c 0x00000000 Lc Abs File De - 154 ../clib/signal.c 0x00000000 Lc Abs File De - 155 ../clib/signal.c 0x00000000 Lc Abs File De - 156 ../clib/angel/scatterp.s 0x00000000 Lc Abs File De - 157 !!!scatter 0x000000c8 Lc 1 Sect De 0x3c - 158 ../clib/angel/handlers.s 0x00000000 Lc Abs File De - 159 !!handler_copy 0x00000104 Lc 1 Sect De 0x1a - 160 ../clib/angel/handlers.s 0x00000000 Lc Abs File De - 161 !!handler_zi 0x00000120 Lc 1 Sect De 0x1c - 162 BuildAttributes$$THM_ISAv3M$S$PE$A:L22$X:L11$S22$IEEE1$IW$~IW$USESV6$~STKCKD$USESV7$~SHL$OTIME$ROPI$EBA8$UX$STANDARDLIB$REQ8$PRES8$EABIv2 - 0x00000000 Gb Abs -- Hi - 163 __ARM_use_no_argv 0x00000000 Gb Abs -- Hi - 164 __Vectors 0x00000000 Gb 1 Data Hi 0x4 - 165 __Vectors_End 0x000000c0 Gb 1 Data Hi - 166 __Vectors_Size 0x000000c0 Gb Abs -- Hi - 167 __main 0x000000c1 Gb 1 Code Hi 0x8 - 168 __scatterload 0x000000c9 Gb 1 Code Hi - 169 __scatterload_rt2 0x000000c9 Gb 1 Code Hi 0x34 - 170 __scatterload_rt2_thumb_only - 0x000000c9 Gb 1 Code Hi - 171 __scatterload_null 0x000000d9 Gb 1 Code Hi - 172 __scatterload_copy 0x00000105 Gb 1 Code Hi 0x1a - 173 __scatterload_zeroinit 0x00000121 Gb 1 Code Hi 0x1c - 174 __rt_lib_init 0x0000013d Gb 1 Code De - 175 __rt_lib_init_alloca_1 0x0000013f Gb 1 Code Hi - 176 __rt_lib_init_argv_1 0x0000013f Gb 1 Code Hi - 177 __rt_lib_init_atexit_1 0x0000013f Gb 1 Code Hi - 178 __rt_lib_init_clock_1 0x0000013f Gb 1 Code Hi - 179 __rt_lib_init_cpp_1 0x0000013f Gb 1 Code Hi - 180 __rt_lib_init_exceptions_1 0x0000013f Gb 1 Code Hi - 181 __rt_lib_init_fp_1 0x0000013f Gb 1 Code Hi - 182 __rt_lib_init_fp_trap_1 0x0000013f Gb 1 Code Hi - 183 __rt_lib_init_getenv_1 0x0000013f Gb 1 Code Hi - 184 __rt_lib_init_heap_1 0x0000013f Gb 1 Code Hi - 185 __rt_lib_init_lc_collate_1 0x0000013f Gb 1 Code Hi - 186 __rt_lib_init_lc_ctype_1 0x0000013f Gb 1 Code Hi - 187 __rt_lib_init_lc_monetary_1 - 0x0000013f Gb 1 Code Hi - 188 __rt_lib_init_lc_numeric_1 0x0000013f Gb 1 Code Hi - 189 __rt_lib_init_lc_time_1 0x0000013f Gb 1 Code Hi - 190 __rt_lib_init_preinit_1 0x0000013f Gb 1 Code Hi - 191 __rt_lib_init_rand_1 0x0000013f Gb 1 Code Hi - 192 __rt_lib_init_return 0x0000013f Gb 1 Code Hi - 193 __rt_lib_init_signal_1 0x0000013f Gb 1 Code Hi - 194 __rt_lib_init_stdio_1 0x0000013f Gb 1 Code Hi - 195 __rt_lib_init_user_alloc_1 0x0000013f Gb 1 Code Hi - 196 __rt_lib_shutdown 0x00000141 Gb 1 Code De - 197 __rt_lib_shutdown_cpp_1 0x00000143 Gb 1 Code Hi - 198 __rt_lib_shutdown_fini_1 0x00000143 Gb 1 Code Hi - 199 __rt_lib_shutdown_fp_trap_1 - 0x00000143 Gb 1 Code Hi - 200 __rt_lib_shutdown_heap_1 0x00000143 Gb 1 Code Hi - 201 __rt_lib_shutdown_return 0x00000143 Gb 1 Code Hi - 202 __rt_lib_shutdown_signal_1 0x00000143 Gb 1 Code Hi - 203 __rt_lib_shutdown_stdio_1 0x00000143 Gb 1 Code Hi - 204 __rt_lib_shutdown_user_alloc_1 - 0x00000143 Gb 1 Code Hi - 205 __rt_entry 0x00000145 Gb 1 Code Hi - 206 __rt_entry_presh_1 0x00000145 Gb 1 Code Hi - 207 __rt_entry_sh 0x00000145 Gb 1 Code Hi - 208 __rt_entry_li 0x0000014b Gb 1 Code Hi - 209 __rt_entry_postsh_1 0x0000014b Gb 1 Code Hi - 210 __rt_entry_main 0x0000014f Gb 1 Code Hi - 211 __rt_entry_postli_1 0x0000014f Gb 1 Code Hi - 212 __rt_exit 0x00000157 Gb 1 Code De - 213 __rt_exit_ls 0x00000159 Gb 1 Code Hi - 214 __rt_exit_prels_1 0x00000159 Gb 1 Code Hi - 215 __rt_exit_exit 0x0000015d Gb 1 Code Hi - 216 timer0_id_check 0x00000165 Gb 1 Code Hi 0x16 - 217 main 0x0000017b Gb 1 Code Hi 0x35e - 218 TIMER0_Handler 0x000004d9 Gb 1 Code Hi 0x4a - 219 SystemCoreClockUpdate 0x00000705 Gb 1 Code Hi 0x8 - 220 SystemInit 0x0000070d Gb 1 Code Hi 0x8 - 221 Reset_Handler 0x0000071d Wk 1 Code Hi 0x8 - 222 NMI_Handler 0x00000725 Wk 1 Code Hi 0x2 - 223 HardFault_Handler 0x00000727 Wk 1 Code Hi 0x2 - 224 SVC_Handler 0x00000729 Wk 1 Code Hi 0x2 - 225 PendSV_Handler 0x0000072b Wk 1 Code Hi 0x2 - 226 SysTick_Handler 0x0000072d Wk 1 Code Hi 0x2 - 227 DMA_Handler 0x0000072f Wk 1 Code Hi - 228 DUALTIMER_HANDLER 0x0000072f Wk 1 Code Hi - 229 EXP0_Handler 0x0000072f Wk 1 Code Hi - 230 EXP1_Handler 0x0000072f Wk 1 Code Hi - 231 EXP2_Handler 0x0000072f Wk 1 Code Hi - 232 EXP3_Handler 0x0000072f Wk 1 Code Hi - 233 EXPB_Handler 0x0000072f Wk 1 Code Hi - 234 EXPC_Handler 0x0000072f Wk 1 Code Hi - 235 EXPD_Handler 0x0000072f Wk 1 Code Hi - 236 PORT0_0_Handler 0x0000072f Wk 1 Code Hi - 237 PORT0_10_Handler 0x0000072f Wk 1 Code Hi - 238 PORT0_11_Handler 0x0000072f Wk 1 Code Hi - 239 PORT0_12_Handler 0x0000072f Wk 1 Code Hi - 240 PORT0_13_Handler 0x0000072f Wk 1 Code Hi - 241 PORT0_14_Handler 0x0000072f Wk 1 Code Hi - 242 PORT0_15_Handler 0x0000072f Wk 1 Code Hi - 243 PORT0_1_Handler 0x0000072f Wk 1 Code Hi - 244 PORT0_2_Handler 0x0000072f Wk 1 Code Hi - 245 PORT0_3_Handler 0x0000072f Wk 1 Code Hi - 246 PORT0_4_Handler 0x0000072f Wk 1 Code Hi - 247 PORT0_5_Handler 0x0000072f Wk 1 Code Hi - 248 PORT0_6_Handler 0x0000072f Wk 1 Code Hi - 249 PORT0_7_Handler 0x0000072f Wk 1 Code Hi - 250 PORT0_8_Handler 0x0000072f Wk 1 Code Hi - 251 PORT0_9_Handler 0x0000072f Wk 1 Code Hi - 252 PORT0_COMB_Handler 0x0000072f Wk 1 Code Hi - 253 PORT1_COMB_Handler 0x0000072f Wk 1 Code Hi - 254 TIMER1_Handler 0x0000072f Wk 1 Code Hi - 255 UARTOVF2_Handler 0x0000072f Wk 1 Code Hi - 256 UARTRX2_Handler 0x0000072f Wk 1 Code Hi - 257 UARTTX2_Handler 0x0000072f Wk 1 Code Hi - 258 __user_initial_stackheap 0x00000731 Gb 1 Code Hi 0xa - 259 fputc 0x00000755 Gb 1 Code Hi 0xa - 260 fgetc 0x0000075f Gb 1 Code Hi 0xc - 261 ferror 0x0000076b Gb 1 Code Hi 0x6 - 262 _ttywrch 0x00000771 Gb 1 Code Hi 0xa - 263 _sys_exit 0x0000077b Gb 1 Code Hi 0x2 - 264 UartStdOutInit 0x0000077d Gb 1 Code Hi 0x1a - 265 UartPutc 0x00000797 Gb 1 Code Hi 0xc - 266 UartGetc 0x000007a3 Gb 1 Code Hi 0xe - 267 UartEndSimulation 0x000007b1 Gb 1 Code Hi 0xe - 268 CMSDK_timer_EnableIRQ 0x000007cd Gb 1 Code Hi 0xa - 269 CMSDK_timer_DisableIRQ 0x000007d7 Gb 1 Code Hi 0xa - 270 CMSDK_timer_StartTimer 0x000007e1 Gb 1 Code Hi 0xa - 271 CMSDK_timer_StopTimer 0x000007eb Gb 1 Code Hi 0xa - 272 CMSDK_timer_GetValue 0x000007f5 Gb 1 Code Hi 0x4 - 273 CMSDK_timer_SetValue 0x000007f9 Gb 1 Code Hi 0x4 - 274 CMSDK_timer_GetReload 0x000007fd Gb 1 Code Hi 0x4 - 275 CMSDK_timer_SetReload 0x00000801 Gb 1 Code Hi 0x4 - 276 CMSDK_timer_ClearIRQ 0x00000805 Gb 1 Code Hi 0x6 - 277 CMSDK_timer_StatusIRQ 0x0000080b Gb 1 Code Hi 0x4 - 278 CMSDK_timer_Init_IntClock 0x0000080f Gb 1 Code Hi 0x16 - 279 CMSDK_timer_Init_ExtClock 0x00000825 Gb 1 Code Hi 0x16 - 280 CMSDK_timer_Init_ExtEnable 0x0000083b Gb 1 Code Hi 0x16 - 281 CMSDK_uart_init 0x00000851 Gb 1 Code Hi 0x54 - 282 CMSDK_uart_GetRxBufferFull 0x000008a5 Gb 1 Code Hi 0x8 - 283 CMSDK_uart_GetTxBufferFull 0x000008ad Gb 1 Code Hi 0x8 - 284 CMSDK_uart_SendChar 0x000008b5 Gb 1 Code Hi 0xa - 285 CMSDK_uart_ReceiveChar 0x000008bf Gb 1 Code Hi 0xc - 286 CMSDK_uart_GetOverrunStatus - 0x000008cb Gb 1 Code Hi 0x8 - 287 CMSDK_uart_ClearOverrunStatus - 0x000008d3 Gb 1 Code Hi 0xc - 288 CMSDK_uart_GetBaudDivider 0x000008df Gb 1 Code Hi 0x4 - 289 CMSDK_uart_GetTxIRQStatus 0x000008e3 Gb 1 Code Hi 0x8 - 290 CMSDK_uart_GetRxIRQStatus 0x000008eb Gb 1 Code Hi 0x8 - 291 CMSDK_uart_ClearTxIRQ 0x000008f3 Gb 1 Code Hi 0x6 - 292 CMSDK_uart_ClearRxIRQ 0x000008f9 Gb 1 Code Hi 0x6 - 293 CMSDK_gpio_SetOutEnable 0x000008ff Gb 1 Code Hi 0x4 - 294 CMSDK_gpio_ClrOutEnable 0x00000903 Gb 1 Code Hi 0x4 - 295 CMSDK_gpio_GetOutEnable 0x00000907 Gb 1 Code Hi 0x4 - 296 CMSDK_gpio_SetAltFunc 0x0000090b Gb 1 Code Hi 0x4 - 297 CMSDK_gpio_ClrAltFunc 0x0000090f Gb 1 Code Hi 0x4 - 298 CMSDK_gpio_GetAltFunc 0x00000913 Gb 1 Code Hi 0x4 - 299 CMSDK_gpio_IntClear 0x00000917 Gb 1 Code Hi 0xa - 300 CMSDK_gpio_SetIntEnable 0x00000921 Gb 1 Code Hi 0xa - 301 CMSDK_gpio_ClrIntEnable 0x0000092b Gb 1 Code Hi 0xa - 302 CMSDK_gpio_SetIntHighLevel 0x00000935 Gb 1 Code Hi 0xa - 303 CMSDK_gpio_SetIntRisingEdge - 0x0000093f Gb 1 Code Hi 0xa - 304 CMSDK_gpio_SetIntLowLevel 0x00000949 Gb 1 Code Hi 0xa - 305 CMSDK_gpio_SetIntFallingEdge - 0x00000953 Gb 1 Code Hi 0xa - 306 CMSDK_gpio_MaskedWrite 0x0000095d Gb 1 Code Hi 0x22 - 307 __I$use$semihosting 0x0000097f Gb 1 Code Hi - 308 __use_no_semihosting_swi 0x0000097f Gb 1 Code Hi 0x2 - 309 __2printf 0x00000981 Gb 1 Code Hi 0x16 - 310 puts 0x0000099d Gb 1 Code Hi 0x26 - 311 __use_two_region_memory 0x000009c9 Gb 1 Code Hi 0x2 - 312 __rt_heap_escrow$2region 0x000009cb Gb 1 Code De 0x2 - 313 __rt_heap_expand$2region 0x000009cd Gb 1 Code De 0x2 - 314 _printf_char_file 0x000009d1 Gb 1 Code De 0x22 - 315 _printf_char_common 0x00000a03 Gb 1 Code De 0x20 - 316 __user_setup_stackheap 0x00000a29 Gb 1 Code De 0x3e - 317 __printf 0x00000a67 Gb 1 Code De 0x24 - 318 exit 0x00000a8b Gb 1 Code De 0x10 - 319 __user_libspace 0x00000a9d Wk 1 Code De 0x8 - 320 __user_perproc_libspace 0x00000a9d Wk 1 Code De - 321 __user_perthread_libspace 0x00000a9d Wk 1 Code De - 322 Region$$Table$$Base 0x00000afc Gb 1 -- Hi - 323 Region$$Table$$Limit 0x00000b1c Gb 1 -- Hi - 324 IntTaken 0x30000000 Gb 2 Data Hi 0x4 - 325 SleepOnExitTest 0x30000004 Gb 2 Data Hi 0x4 - 326 SystemCoreClock 0x30000008 Gb 2 Data Hi 0x4 - 327 __stdout 0x3000000c Gb 2 Data Hi 0x4 - 328 __libspace_start 0x30000010 Gb 3 Data De 0x60 - 329 __temporary_stack_top$libspace - 0x30000070 Gb 3 Data De - - -** Section #12 '.strtab' (SHT_STRTAB) - Size : 5608 bytes - - -** Section #13 '.note' (SHT_NOTE) - Size : 28 bytes (alignment 4) - - -** Section #14 '.comment' (SHT_PROGBITS) - Size : 4048 bytes - - -** Section #15 '.shstrtab' (SHT_STRTAB) - Size : 156 bytes - - diff --git a/system/testcodes/sleep_demo/sleep_demo.o b/system/testcodes/sleep_demo/sleep_demo.o deleted file mode 100644 index f6b41898b6f0a003c740e05c2eb0c37d0ee436d4..0000000000000000000000000000000000000000 Binary files a/system/testcodes/sleep_demo/sleep_demo.o and /dev/null differ diff --git a/system/testcodes/sleep_demo/startup_CMSDK_CM0.o b/system/testcodes/sleep_demo/startup_CMSDK_CM0.o deleted file mode 100644 index 218678d03da2af5a9497b429ec243b05dc61c689..0000000000000000000000000000000000000000 Binary files a/system/testcodes/sleep_demo/startup_CMSDK_CM0.o and /dev/null differ diff --git a/system/testcodes/sleep_demo/system_CMSDK_CM0.o b/system/testcodes/sleep_demo/system_CMSDK_CM0.o deleted file mode 100644 index 6c04fd03c74ba2a031d964b00a8d2aa17df00e68..0000000000000000000000000000000000000000 Binary files a/system/testcodes/sleep_demo/system_CMSDK_CM0.o and /dev/null differ diff --git a/system/testcodes/sleep_demo/uart_stdout.o b/system/testcodes/sleep_demo/uart_stdout.o deleted file mode 100644 index 3525f866860aa199fde07edce3e273d45e2a2fa7..0000000000000000000000000000000000000000 Binary files a/system/testcodes/sleep_demo/uart_stdout.o and /dev/null differ diff --git a/system/testcodes/timer_driver_tests/makefile b/system/testcodes/timer_driver_tests/makefile index 7fa0fa3032244c1c609c286bbf5b5500b6936e43..9f1c922aa5ecdb5406802a4d336bdd52f43fc7cf 100644 --- a/system/testcodes/timer_driver_tests/makefile +++ b/system/testcodes/timer_driver_tests/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/timer_tests/makefile b/system/testcodes/timer_tests/makefile index 7133f7f6cde0b9efa08e65171d2b6e04c39b9e4a..2f0008ff07d5c56fa17896993fdcbd7490792b92 100644 --- a/system/testcodes/timer_tests/makefile +++ b/system/testcodes/timer_tests/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/uart_driver_tests/makefile b/system/testcodes/uart_driver_tests/makefile index 1d02777a5643b14d27f3b76f1dd2d1b3b66f6b68..dcc13864ac8d9e9f27ffab0123ba00e915a4b543 100644 --- a/system/testcodes/uart_driver_tests/makefile +++ b/system/testcodes/uart_driver_tests/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/uart_tests/makefile b/system/testcodes/uart_tests/makefile index e645bc46d9c820dd78353683590ffc270777b5e7..0734c4efb28db1905dca6d5cc79faa3e420d88d9 100644 --- a/system/testcodes/uart_tests/makefile +++ b/system/testcodes/uart_tests/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include diff --git a/system/testcodes/watchdog_demo/makefile b/system/testcodes/watchdog_demo/makefile index d7c2ba5713144fb68f72b6aaa2fd430dafcc561d..d3c0bd379d07e823ef949be56e41e0ede80f0c15 100644 --- a/system/testcodes/watchdog_demo/makefile +++ b/system/testcodes/watchdog_demo/makefile @@ -32,7 +32,7 @@ CPU_PRODUCT = CORTEX_M0PLUS # Shared software directory -SOFTWARE_DIR = ../../../../software +SOFTWARE_DIR = $(NANOSOC_TECH_DIR)/software CMSIS_DIR = $(SOFTWARE_DIR)/cmsis CORE_DIR = $(CMSIS_DIR)/CMSIS/Include