From 0cbcea435905f66525f1e5001830f0d3e95a7d66 Mon Sep 17 00:00:00 2001 From: dam1n19 <dam1n19@soton.ac.uk> Date: Thu, 27 Apr 2023 11:28:25 +0100 Subject: [PATCH] SOC1-167: Restructured nanosoc repo by moving technology libraries out of repo and moving design files closer to top of repository --- .gitignore | 26 +- Cortex-M0/nanosoc/systems/mcu/rtl_sim/adp.cmd | 56 - .../nanosoc/systems/mcu/rtl_sim/makefile | 585 -- .../mcu/testcodes/aes128_tests/aes128.h | 71 - .../mcu/testcodes/aes128_tests/aes128_tests.c | 688 --- .../testcodes/aes128_tests/dma_pl230_driver.c | 163 - .../testcodes/aes128_tests/dma_pl230_driver.h | 198 - .../mcu/testcodes/aes128_tests/makefile | 262 - .../apb_mux_tests/apb_mux_tests_cm0.uvopt | 261 - .../apb_mux_tests/apb_mux_tests_cm0.uvproj | 435 -- .../apb_mux_tests/apb_mux_tests_cm0p.uvopt | 244 - .../apb_mux_tests/apb_mux_tests_cm0p.uvproj | 432 -- .../mcu/testcodes/apb_mux_tests/makefile | 251 - .../testcodes/bootloader/bootloader_cm0.uvopt | 229 - .../bootloader/bootloader_cm0.uvproj | 425 -- .../bootloader/bootloader_cm0p.uvopt | 216 - .../bootloader/bootloader_cm0p.uvproj | 422 -- .../systems/mcu/testcodes/bootloader/makefile | 254 - .../debug_tests/debug_tests_cm0.uvopt | 293 - .../debug_tests/debug_tests_cm0.uvproj | 445 -- .../debug_tests/debug_tests_cm0p.uvopt | 272 - .../debug_tests/debug_tests_cm0p.uvproj | 442 -- .../mcu/testcodes/debug_tests/makefile | 265 - .../default_slaves_tests_cm0.uvopt | 261 - .../default_slaves_tests_cm0.uvproj | 435 -- .../default_slaves_tests_cm0p.uvopt | 244 - .../default_slaves_tests_cm0p.uvproj | 432 -- .../testcodes/default_slaves_tests/makefile | 251 - .../systems/mcu/testcodes/dhry/dhry_cm0.uvopt | 277 - .../mcu/testcodes/dhry/dhry_cm0.uvproj | 440 -- .../mcu/testcodes/dhry/dhry_cm0p.uvopt | 258 - .../mcu/testcodes/dhry/dhry_cm0p.uvproj | 437 -- .../systems/mcu/testcodes/dhry/makefile | 260 - .../systems/mcu/testcodes/dhry/transcript | 14 - .../testcodes/dma_tests/dma_tests_cm0.uvopt | 261 - .../testcodes/dma_tests/dma_tests_cm0.uvproj | 435 -- .../testcodes/dma_tests/dma_tests_cm0p.uvopt | 244 - .../testcodes/dma_tests/dma_tests_cm0p.uvproj | 432 -- .../systems/mcu/testcodes/dma_tests/makefile | 253 - .../dualtimer_demo/dualtimer_demo_cm0.uvopt | 261 - .../dualtimer_demo/dualtimer_demo_cm0.uvproj | 435 -- .../dualtimer_demo/dualtimer_demo_cm0p.uvopt | 244 - .../dualtimer_demo/dualtimer_demo_cm0p.uvproj | 432 -- .../mcu/testcodes/dualtimer_demo/makefile | 250 - .../systems/mcu/testcodes/generic/config_id.h | 408 -- .../generic/mcu_debugtester_interface.c | 131 - .../generic/mcu_debugtester_interface.h | 102 - .../gpio_driver_tests_cm0.uvopt | 277 - .../gpio_driver_tests_cm0.uvproj | 440 -- .../gpio_driver_tests_cm0p.uvopt | 258 - .../gpio_driver_tests_cm0p.uvproj | 437 -- .../mcu/testcodes/gpio_driver_tests/makefile | 255 - .../testcodes/gpio_tests/gpio_tests_cm0.uvopt | 261 - .../gpio_tests/gpio_tests_cm0.uvproj | 435 -- .../gpio_tests/gpio_tests_cm0p.uvopt | 244 - .../gpio_tests/gpio_tests_cm0p.uvproj | 432 -- .../systems/mcu/testcodes/gpio_tests/makefile | 253 - .../systems/mcu/testcodes/hello/.project | 11 - .../systems/mcu/testcodes/hello/hello.c | 52 - .../mcu/testcodes/hello/hello_cm0.uvopt | 261 - .../mcu/testcodes/hello/hello_cm0.uvproj | 435 -- .../mcu/testcodes/hello/hello_cm0p.uvopt | 244 - .../mcu/testcodes/hello/hello_cm0p.uvproj | 432 -- .../systems/mcu/testcodes/hello/makefile | 255 - .../interrupt_demo/interrupt_demo_cm0.uvopt | 277 - .../interrupt_demo/interrupt_demo_cm0.uvproj | 440 -- .../interrupt_demo/interrupt_demo_cm0p.uvopt | 258 - .../interrupt_demo/interrupt_demo_cm0p.uvproj | 437 -- .../mcu/testcodes/interrupt_demo/makefile | 255 - .../mcu/testcodes/memory_tests/makefile | 251 - .../memory_tests/memory_tests_cm0.uvopt | 261 - .../memory_tests/memory_tests_cm0.uvproj | 435 -- .../memory_tests/memory_tests_cm0p.uvopt | 244 - .../memory_tests/memory_tests_cm0p.uvproj | 432 -- .../mcu/testcodes/romtable_tests/makefile | 266 - .../romtable_tests/romtable_tests_cm0.uvopt | 293 - .../romtable_tests/romtable_tests_cm0.uvproj | 445 -- .../romtable_tests/romtable_tests_cm0p.uvopt | 272 - .../romtable_tests/romtable_tests_cm0p.uvproj | 442 -- .../mcu/testcodes/rtx_demo/RTX_Config.c | 187 - .../systems/mcu/testcodes/rtx_demo/makefile | 101 - .../systems/mcu/testcodes/rtx_demo/rtx_demo.c | 86 - .../mcu/testcodes/rtx_demo/rtx_demo_cm0.hex | 4996 ----------------- .../mcu/testcodes/rtx_demo/rtx_demo_cm0.uvopt | 277 - .../testcodes/rtx_demo/rtx_demo_cm0.uvproj | 440 -- .../mcu/testcodes/rtx_demo/rtx_demo_cm0p.hex | 4996 ----------------- .../testcodes/rtx_demo/rtx_demo_cm0p.uvopt | 258 - .../testcodes/rtx_demo/rtx_demo_cm0p.uvproj | 437 -- .../mcu/testcodes/self_reset_demo/makefile | 251 - .../self_reset_demo/self_reset_demo_cm0.uvopt | 261 - .../self_reset_demo_cm0.uvproj | 435 -- .../self_reset_demo_cm0p.uvopt | 244 - .../self_reset_demo_cm0p.uvproj | 432 -- .../systems/mcu/testcodes/sleep_demo/makefile | 256 - .../testcodes/sleep_demo/sleep_demo_cm0.uvopt | 277 - .../sleep_demo/sleep_demo_cm0.uvproj | 440 -- .../sleep_demo/sleep_demo_cm0p.uvopt | 258 - .../sleep_demo/sleep_demo_cm0p.uvproj | 437 -- .../mcu/testcodes/timer_driver_tests/makefile | 254 - .../timer_driver_tests_cm0.uvopt | 277 - .../timer_driver_tests_cm0.uvproj | 440 -- .../timer_driver_tests_cm0p.uvopt | 258 - .../timer_driver_tests_cm0p.uvproj | 437 -- .../mcu/testcodes/timer_tests/makefile | 250 - .../timer_tests/timer_tests_cm0.uvopt | 261 - .../timer_tests/timer_tests_cm0.uvproj | 435 -- .../timer_tests/timer_tests_cm0p.uvopt | 244 - .../timer_tests/timer_tests_cm0p.uvproj | 432 -- .../mcu/testcodes/uart_driver_tests/makefile | 255 - .../uart_driver_tests_cm0.uvopt | 277 - .../uart_driver_tests_cm0.uvproj | 440 -- .../uart_driver_tests_cm0p.uvopt | 258 - .../uart_driver_tests_cm0p.uvproj | 437 -- .../systems/mcu/testcodes/uart_tests/makefile | 251 - .../testcodes/uart_tests/uart_tests_cm0.uvopt | 261 - .../uart_tests/uart_tests_cm0.uvproj | 435 -- .../uart_tests/uart_tests_cm0p.uvopt | 244 - .../uart_tests/uart_tests_cm0p.uvproj | 432 -- .../mcu/testcodes/watchdog_demo/makefile | 250 - .../watchdog_demo/watchdog_demo_cm0.uvopt | 261 - .../watchdog_demo/watchdog_demo_cm0.uvproj | 435 -- .../watchdog_demo/watchdog_demo_cm0p.uvopt | 244 - .../watchdog_demo/watchdog_demo_cm0p.uvproj | 432 -- FPGALIB/pads/verilog/PAD_INOUT8MA_NOE.v | 37 - FPGALIB/pads/verilog/PAD_VDDIO.v | 29 - FPGALIB/pads/verilog/PAD_VDDSOC.v | 29 - FPGALIB/pads/verilog/PAD_VSS.v | 29 - FPGALIB/pads/verilog/PAD_VSSIO.v | 29 - GLIB/mem/verilog/SROM_Ax32.v | 127 - GLIB/pads/verilog/GLIB_PADLIB.v | 139 - GLIB/pads/verilog/PAD_ANALOG.v | 18 - GLIB/pads/verilog/PAD_INOUT8MA_NOE.v | 39 - GLIB/pads/verilog/PAD_INOUT8MA_OE.v | 39 - GLIB/pads/verilog/PAD_VDDIO.v | 18 - GLIB/pads/verilog/PAD_VDDSOC.v | 19 - GLIB/pads/verilog/PAD_VSS.v | 18 - GLIB/pads/verilog/PAD_VSSIO.v | 19 - GLIB/sync/verilog/SYNCHRONIZER_EDGES.v | 42 - .../cmsis/CMSIS/Include/core_cm0.h | 0 .../cmsis/CMSIS/Include/core_cm0plus.h | 0 .../cmsis/CMSIS/Include/core_cmFunc.h | 0 .../cmsis/CMSIS/Include/core_cmInstr.h | 0 .../Device/ARM/CMSDK_CM0/Include/CMSDK_CM0.h | 0 .../ARM/CMSDK_CM0/Include/CMSDK_driver.h | 0 .../ARM/CMSDK_CM0/Include/system_CMSDK_CM0.h | 0 .../CMSDK_CM0/Source/ARM/startup_CMSDK_CM0.s | 0 .../ARM/CMSDK_CM0/Source/CMSDK_driver.c | 0 .../CMSDK_CM0/Source/GCC/startup_CMSDK_CM0.s | 0 .../ARM/CMSDK_CM0/Source/system_CMSDK_CM0.c | 0 .../common/bootloader/bootloader.c | 0 .../common/debug_tests/debug_tests.c | 0 .../common/debug_tests/debug_tests.h | 0 .../common/demos/dualtimer_demo.c | 0 .../common/demos/interrupt_demo.c | 0 .../common/demos/self_reset_demo.c | 0 .../common/demos/sleep_demo.c | 0 .../common/demos/watchdog_demo.c | 0 .../software => software}/common/dhry/dhry.h | 0 .../common/dhry/dhry_1.c | 0 .../common/dhry/dhry_2.c | 0 .../common/retarget/retarget.c | 0 .../common/retarget/uart_stdout.c | 0 .../common/retarget/uart_stdout.h | 0 .../common/romtable_tests/romtable_tests.c | 0 .../common/romtable_tests/romtable_tests.h | 0 .../common/scripts/cmsdk_bootloader.ld | 0 .../common/scripts/cmsdk_cm0.ld | 0 .../common/scripts/debugtester.ld | 0 .../common/scripts/lib-nosys.ld | 0 .../common/scripts/lib-rdimon.ld | 0 .../common/scripts/sections-nokeep.ld | 0 .../common/scripts/sections.ld | 0 .../common/validation/apb_mux_tests.c | 0 .../common/validation/bitband_tests.c | 0 .../common/validation/default_slaves_tests.c | 0 .../common/validation/dma_tests.c | 0 .../common/validation/ext_sys_tests.c | 0 .../common/validation/gpio_driver_tests.c | 0 .../common/validation/gpio_tests.c | 0 .../common/validation/memory_tests.c | 0 .../common/validation/timer_driver_tests.c | 0 .../common/validation/timer_tests.c | 0 .../common/validation/uart_driver_tests.c | 0 .../common/validation/uart_tests.c | 0 .../debug_tester/Makefile | 0 .../debug_tester/cmsdk_debugtester.h | 0 .../debug_tester/debugtester.c | 0 .../debug_tester/debugtester.h | 0 .../debug_tester/debugtester_cm0.sct | 0 .../debug_tester/debugtester_cm0_be.uvopt | 0 .../debug_tester/debugtester_cm0_be.uvproj | 0 .../debug_tester/debugtester_cm0_le.uvopt | 0 .../debug_tester/debugtester_cm0_le.uvproj | 0 .../debug_tester/debugtester_cm0plus.sct | 0 .../debug_tester/debugtester_cm0plus_be.uvopt | 0 .../debugtester_cm0plus_be.uvproj | 0 .../debug_tester/debugtester_cm0plus_le.uvopt | 0 .../debugtester_cm0plus_le.uvproj | 0 .../debug_tester/debugtester_functions.h | 0 .../debug_tester/retarget_cmsdk_debugtester.c | 0 .../debug_tester/system_cmsdk_debugtester.c | 0 .../debug_tester/system_cmsdk_debugtester.h | 0 .../adp_control/verilog}/ADPcontrol_v1_0.v | 0 .../adp_control/verilog}/ADPmanager.v | 0 .../verilog}/axi_stream_io_v1_0.v | 0 .../verilog}/axi_stream_io_v1_0_axi_s.v | 0 .../verilog/ft1248_stream_io_v1_0.v | 4 +- .../mcu/fpga_imp/CI_verification/.gitkeep | 0 .../fpga_imp/CI_verification/load_bitfile.py | 0 .../fpga_imp/CI_verification/load_file_output | 0 .../CI_verification/run_ADP_verification.py | 0 .../mcu/fpga_imp/build_fpga_pynq_z2.scr | 0 .../mcu/fpga_imp/build_fpga_pynq_zcu104.scr | 0 .../mcu/fpga_imp/clean_fpga.scr | 0 .../fpga_imp/ip_repo/ADPcontrol_1.0/bd/bd.tcl | 0 .../ip_repo/ADPcontrol_1.0/component.xml | 0 .../ADPcontrol_1.0/hdl}/ADPcontrol_v1_0.v | 0 .../hdl/ADPcontrol_v1_0_com_rx.v | 0 .../hdl/ADPcontrol_v1_0_com_tx.v | 0 .../hdl/ADPcontrol_v1_0_stdio_rx.v | 0 .../hdl/ADPcontrol_v1_0_stdio_tx.v | 0 .../soclabs.org_user_ADPcontrol_1.0.zip | Bin .../ADPcontrol_1.0/src/ADPcontrol_v1_0.v | 0 .../ip_repo/ADPcontrol_1.0/src/ADPmanager.v | 0 .../ADPcontrol_1.0/xgui/ADPcontrol_v1_0.tcl | 0 .../ip_repo/axi_stream_io_1.0/bd/bd.tcl | 0 .../ip_repo/axi_stream_io_1.0/component.xml | 0 .../axi_stream_io_v1_0/data/axi_stream_io.mdd | 0 .../axi_stream_io_v1_0/data/axi_stream_io.tcl | 0 .../drivers/axi_stream_io_v1_0/src/Makefile | 0 .../axi_stream_io_v1_0/src/axi_stream_io.c | 0 .../axi_stream_io_v1_0/src/axi_stream_io.h | 0 .../src/axi_stream_io_selftest.c | 0 .../soclabs.org_user_axi_stream_io_1.0.zip | Bin .../src/axi_stream_io_v1_0_axi_s.v | 0 .../xgui/axi_stream_io_v1_0.tcl | 0 .../ft1248x1_to_axi_streamio_1.0/bd/bd.tcl | 0 .../component.xml | 0 .../ft1248x1_to_axi_streamio_0_2.xcix | Bin .../hdl/SYNCHRONIZER_EDGES.v | 0 .../hdl/ft1248x1_to_axi_streamio_v1_0.v | 0 .../hdl/ft1248x1_to_axi_streamio_v1_0_rxd8.v | 0 .../hdl/ft1248x1_to_axi_streamio_v1_0_txd8.v | 0 ....org_user_ft1248x1_to_axi_streamio_1.0.zip | Bin .../xgui/ft1248x1_to_axi_streamio_v1_0.tcl | 0 .../ip_repo/ft1248x1_to_stream8_1.0/bd/bd.tcl | 0 .../ft1248x1_to_stream8_1.0/component.xml | 0 .../ft1248x1_to_stream8_0.xcix | Bin .../hdl/ft1248x1_to_stream8_v1_0.v | 0 .../hdl/ft1248x1_to_stream8_v1_0_RXD8.v | 0 .../hdl/ft1248x1_to_stream8_v1_0_TXD8.v | 0 .../ip_project_archive.zip | Bin ...clabs.org_user_ft1248x1_to_stream8_1.0.zip | Bin .../src/ft1248x1_to_stream8.v | 0 .../ft1248x1_to_stream8_1.0/src/synclib.v | 0 .../xgui/ft1248x1_to_stream8_v1_0.tcl | 0 .../soclabs/arm_tests/aes128_tests.bin | Bin .../soclabs/driver/uartlite.py | 0 .../soclabs/nanosoc-ADP-validation.ipynb | 0 .../soclabs/nanosoc-ADPtest.ipynb | 0 .../soclabs/nanosoc-iotest.ipynb | 0 .../soclabs/driver/uartlite.py | 0 .../soclabs/nanosoc-ADP-validation.ipynb | 0 .../soclabs/nanosoc-ADPtest.ipynb | 0 .../soclabs/nanosoc-iotest.ipynb | 0 .../fpga_imp/scripts/build_mcu_fpga_batch.tcl | 0 .../fpga_imp/scripts/build_mcu_fpga_ip.tcl | 0 .../scripts/build_mcu_fpga_pynq_z2.tcl | 0 .../scripts/build_mcu_fpga_pynq_zcu104.tcl | 0 .../mcu/fpga_imp/scripts/rtl_source_cm0.tcl | 0 .../mcu/fpga_imp/scripts/rtl_source_cmsdk.tcl | 0 .../fpga_imp/scripts/rtl_source_dma230.tcl | 0 .../fpga_imp/scripts/rtl_source_fpga_ip.tcl | 0 .../scripts/rtl_source_soclabs_ip.tcl | 0 .../target_fpga_ac701/fpga_pinmap.xdc | 0 .../fpga_imp/target_fpga_ac701/fpga_synth.tcl | 0 .../target_fpga_ac701/fpga_timing.xdc | 0 .../target_fpga_arm_mps3/fpga_pinmap.xdc | 0 .../target_fpga_arm_mps3/fpga_synth.tcl | 0 .../target_fpga_arm_mps3/fpga_timing.xdc | 0 .../fpga_imp/target_fpga_pynq_z2/design_1.tcl | 0 .../target_fpga_pynq_z2/design_1_wrapper.v | 0 .../target_fpga_pynq_z2/fpga_pinmap.xdc | 0 .../target_fpga_pynq_z2/fpga_synth.tcl | 0 .../target_fpga_pynq_z2/fpga_timing.xdc | 0 .../fpga_imp/target_fpga_zcu104/design_1.tcl | 0 .../target_fpga_zcu104/design_1_wrapper.v | 0 .../target_fpga_zcu104/fpga_pinmap.xdc | 0 .../target_fpga_zcu104/fpga_synth.tcl | 0 .../target_fpga_zcu104/fpga_timing.xdc | 0 .../mcu/testcodes/setup_ds5_tools.scr | 0 .../mcu/tools/setup_ds5_tools.scr | 0 .../systems => systems}/mcu/v2html_doc.tgz | Bin .../mcu/verilog/aes128_log_to_file.v | 0 .../mcu/verilog/ahb_bootrom.v | 0 .../mcu/verilog/axi_streamio8_buffer.v | 0 .../mcu/verilog/axi_streamio8_rxd_to_file.v | 0 .../mcu/verilog/axi_streamio8_txd_from_file.v | 0 .../systems => systems}/mcu/verilog/bootrom.v | 0 .../mcu/verilog/cmsdk_ahb_cs_rom_table.v | 0 .../mcu/verilog/cmsdk_apb_subsystem.v | 0 .../mcu/verilog/cmsdk_apb_usrt.v | 0 .../mcu/verilog/cmsdk_clkreset.v | 0 .../mcu/verilog/cmsdk_ft1248x1_adpio.v | 0 .../mcu/verilog/cmsdk_mcu_clkctrl.v | 0 .../mcu/verilog/cmsdk_mcu_pin_mux.v | 0 .../mcu/verilog/cmsdk_mcu_stclkctrl.v | 0 .../mcu/verilog/cmsdk_mcu_sysctrl.v | 0 .../mcu/verilog/cmsdk_uart_capture.v | 0 .../mcu/verilog/dma_log_to_file.v | 0 .../verilog/ft1248x1_to_axi_streamio_v1_0.v | 0 .../mcu/verilog/ft1248x1_track.v | 0 .../mcu/verilog/gen_ahb_busmatrix/README.txt | 0 .../gen_ahb_busmatrix/bin/BuildBusMatrix.pl | 0 .../gen_ahb_busmatrix/bin/lib/xmlparser.pm | 0 .../gen_soclabs_4x7_AhbMatrix.scr | 0 .../soclabs_4x7_AhbMatrix.xml | 0 .../soclabs_4x7_AhbMatrix_lite.xml | 0 .../ipxact/src/cmsdk_ahb_busmatrix_ipxact.xml | 0 .../src/cmsdk_ahb_busmatrix_lite_ipxact.xml | 0 .../gen_ahb_busmatrix/soclabs_ahb32_4x7.log | 0 .../soclabs_4x7_AhbMatrix.v | 0 .../soclabs_4x7_AhbMatrix_default_slave.v | 0 .../soclabs_4x7_AhbMatrix_lite.v | 0 .../soclabs_4x7_Arbiter.v | 0 .../soclabs_4x7_MasterInput.v | 0 .../soclabs_4x7_MatrixDecode_adp.v | 0 .../soclabs_4x7_MatrixDecode_cpu.v | 0 .../soclabs_4x7_MatrixDecode_dma.v | 0 .../soclabs_4x7_MatrixDecode_dma2.v | 0 .../soclabs_4x7_SlaveOutput.v | 0 .../verilog/src/cmsdk_ahb_bm_burst_arb.v | 0 .../verilog/src/cmsdk_ahb_bm_decode.v | 0 .../verilog/src/cmsdk_ahb_bm_default_slave.v | 0 .../verilog/src/cmsdk_ahb_bm_fixed_arb.v | 0 .../verilog/src/cmsdk_ahb_bm_input_stage.v | 0 .../verilog/src/cmsdk_ahb_bm_output_stage.v | 0 .../verilog/src/cmsdk_ahb_bm_round_arb.v | 0 .../verilog/src/cmsdk_ahb_bm_single_arb.v | 0 .../src/cmsdk_ahb_bm_single_output_stage.v | 0 .../verilog/src/cmsdk_ahb_busmatrix.v | 0 .../verilog/src/cmsdk_ahb_busmatrix_lite.v | 0 .../gen_ahb_busmatrix/xml/example2x3_full.xml | 0 .../xml/example2x3_sparse.xml | 0 .../xml/soclabs_ahb32_4x7.xml | 0 .../mcu/verilog/nanosoc_chip.v | 0 .../mcu/verilog/nanosoc_chip_pads.v | 0 .../mcu/verilog/nanosoc_cpu.v | 0 .../mcu/verilog/nanosoc_sys_ahb_decode.v | 0 .../mcu/verilog/nanosoc_sysio.v | 0 .../mcu/verilog/pl230_defs.v | 0 .../mcu/verilog/soclabs_ahb_aes128_ctrl.v | 0 .../mcu/verilog/tb_nanosoc.v | 0 .../mcu/verilog/tbench_M0.vc | 0 .../mcu/verilog/track_tb_iostream.v | 0 .../mcu/verilog/v2html_M0.vc | 0 356 files changed, 15 insertions(+), 48011 deletions(-) delete mode 100644 Cortex-M0/nanosoc/systems/mcu/rtl_sim/adp.cmd delete mode 100644 Cortex-M0/nanosoc/systems/mcu/rtl_sim/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/aes128.h delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/aes128_tests.c delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/dma_pl230_driver.c delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/dma_pl230_driver.h delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/transcript delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/generic/config_id.h delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/generic/mcu_debugtester_interface.c delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/generic/mcu_debugtester_interface.h delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/hello/.project delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello.c delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/hello/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/RTX_Config.c delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo.c delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.hex delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.hex delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0p.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/makefile delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0.uvproj delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0p.uvopt delete mode 100644 Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0p.uvproj delete mode 100644 FPGALIB/pads/verilog/PAD_INOUT8MA_NOE.v delete mode 100644 FPGALIB/pads/verilog/PAD_VDDIO.v delete mode 100644 FPGALIB/pads/verilog/PAD_VDDSOC.v delete mode 100644 FPGALIB/pads/verilog/PAD_VSS.v delete mode 100644 FPGALIB/pads/verilog/PAD_VSSIO.v delete mode 100644 GLIB/mem/verilog/SROM_Ax32.v delete mode 100755 GLIB/pads/verilog/GLIB_PADLIB.v delete mode 100644 GLIB/pads/verilog/PAD_ANALOG.v delete mode 100644 GLIB/pads/verilog/PAD_INOUT8MA_NOE.v delete mode 100644 GLIB/pads/verilog/PAD_INOUT8MA_OE.v delete mode 100644 GLIB/pads/verilog/PAD_VDDIO.v delete mode 100644 GLIB/pads/verilog/PAD_VDDSOC.v delete mode 100644 GLIB/pads/verilog/PAD_VSS.v delete mode 100644 GLIB/pads/verilog/PAD_VSSIO.v delete mode 100644 GLIB/sync/verilog/SYNCHRONIZER_EDGES.v rename {Cortex-M0/nanosoc/software => software}/cmsis/CMSIS/Include/core_cm0.h (100%) rename {Cortex-M0/nanosoc/software => software}/cmsis/CMSIS/Include/core_cm0plus.h (100%) rename {Cortex-M0/nanosoc/software => software}/cmsis/CMSIS/Include/core_cmFunc.h (100%) rename {Cortex-M0/nanosoc/software => software}/cmsis/CMSIS/Include/core_cmInstr.h (100%) rename {Cortex-M0/nanosoc/software => software}/cmsis/Device/ARM/CMSDK_CM0/Include/CMSDK_CM0.h (100%) rename {Cortex-M0/nanosoc/software => software}/cmsis/Device/ARM/CMSDK_CM0/Include/CMSDK_driver.h (100%) rename {Cortex-M0/nanosoc/software => software}/cmsis/Device/ARM/CMSDK_CM0/Include/system_CMSDK_CM0.h (100%) rename {Cortex-M0/nanosoc/software => software}/cmsis/Device/ARM/CMSDK_CM0/Source/ARM/startup_CMSDK_CM0.s (100%) rename {Cortex-M0/nanosoc/software => software}/cmsis/Device/ARM/CMSDK_CM0/Source/CMSDK_driver.c (100%) rename {Cortex-M0/nanosoc/software => software}/cmsis/Device/ARM/CMSDK_CM0/Source/GCC/startup_CMSDK_CM0.s (100%) rename {Cortex-M0/nanosoc/software => software}/cmsis/Device/ARM/CMSDK_CM0/Source/system_CMSDK_CM0.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/bootloader/bootloader.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/debug_tests/debug_tests.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/debug_tests/debug_tests.h (100%) rename {Cortex-M0/nanosoc/software => software}/common/demos/dualtimer_demo.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/demos/interrupt_demo.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/demos/self_reset_demo.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/demos/sleep_demo.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/demos/watchdog_demo.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/dhry/dhry.h (100%) rename {Cortex-M0/nanosoc/software => software}/common/dhry/dhry_1.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/dhry/dhry_2.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/retarget/retarget.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/retarget/uart_stdout.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/retarget/uart_stdout.h (100%) rename {Cortex-M0/nanosoc/software => software}/common/romtable_tests/romtable_tests.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/romtable_tests/romtable_tests.h (100%) rename {Cortex-M0/nanosoc/software => software}/common/scripts/cmsdk_bootloader.ld (100%) rename {Cortex-M0/nanosoc/software => software}/common/scripts/cmsdk_cm0.ld (100%) rename {Cortex-M0/nanosoc/software => software}/common/scripts/debugtester.ld (100%) rename {Cortex-M0/nanosoc/software => software}/common/scripts/lib-nosys.ld (100%) rename {Cortex-M0/nanosoc/software => software}/common/scripts/lib-rdimon.ld (100%) rename {Cortex-M0/nanosoc/software => software}/common/scripts/sections-nokeep.ld (100%) rename {Cortex-M0/nanosoc/software => software}/common/scripts/sections.ld (100%) rename {Cortex-M0/nanosoc/software => software}/common/validation/apb_mux_tests.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/validation/bitband_tests.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/validation/default_slaves_tests.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/validation/dma_tests.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/validation/ext_sys_tests.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/validation/gpio_driver_tests.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/validation/gpio_tests.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/validation/memory_tests.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/validation/timer_driver_tests.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/validation/timer_tests.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/validation/uart_driver_tests.c (100%) rename {Cortex-M0/nanosoc/software => software}/common/validation/uart_tests.c (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/Makefile (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/cmsdk_debugtester.h (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/debugtester.c (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/debugtester.h (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/debugtester_cm0.sct (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/debugtester_cm0_be.uvopt (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/debugtester_cm0_be.uvproj (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/debugtester_cm0_le.uvopt (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/debugtester_cm0_le.uvproj (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/debugtester_cm0plus.sct (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/debugtester_cm0plus_be.uvopt (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/debugtester_cm0plus_be.uvproj (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/debugtester_cm0plus_le.uvopt (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/debugtester_cm0plus_le.uvproj (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/debugtester_functions.h (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/retarget_cmsdk_debugtester.c (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/system_cmsdk_debugtester.c (100%) rename {Cortex-M0/nanosoc/software => software}/debug_tester/system_cmsdk_debugtester.h (100%) rename {Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl => systems/adp_control/verilog}/ADPcontrol_v1_0.v (100%) rename {IPLIB/ADPcontrol_v1_0 => systems/adp_control/verilog}/ADPmanager.v (100%) rename {IPLIB/axi_stream_io_v1_0 => systems/axi_stream_io/verilog}/axi_stream_io_v1_0.v (100%) rename {IPLIB/axi_stream_io_v1_0 => systems/axi_stream_io/verilog}/axi_stream_io_v1_0_axi_s.v (100%) rename IPLIB/FT1248_streamio_v1_0/ft1248_streamio_v1_0.v => systems/ft1248_stream_io/verilog/ft1248_stream_io_v1_0.v (96%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/CI_verification/.gitkeep (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/CI_verification/load_bitfile.py (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/CI_verification/load_file_output (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/CI_verification/run_ADP_verification.py (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/build_fpga_pynq_z2.scr (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/build_fpga_pynq_zcu104.scr (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/clean_fpga.scr (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/bd/bd.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/component.xml (100%) rename {IPLIB/ADPcontrol_v1_0 => systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl}/ADPcontrol_v1_0.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_rx.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_tx.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_rx.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_tx.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/soclabs.org_user_ADPcontrol_1.0.zip (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPcontrol_v1_0.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmanager.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/xgui/ADPcontrol_v1_0.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/bd/bd.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/component.xml (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.mdd (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/Makefile (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.c (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.h (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io_selftest.c (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/soclabs.org_user_axi_stream_io_1.0.zip (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/src/axi_stream_io_v1_0_axi_s.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/xgui/axi_stream_io_v1_0.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/bd/bd.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/component.xml (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/ft1248x1_to_axi_streamio_0_2.xcix (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/SYNCHRONIZER_EDGES.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_rxd8.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_txd8.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/soclabs.org_user_ft1248x1_to_axi_streamio_1.0.zip (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/xgui/ft1248x1_to_axi_streamio_v1_0.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/bd/bd.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/component.xml (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ft1248x1_to_stream8_0.xcix (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_RXD8.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_TXD8.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ip_project_archive.zip (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/soclabs.org_user_ft1248x1_to_stream8_1.0.zip (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/ft1248x1_to_stream8.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/synclib.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/xgui/ft1248x1_to_stream8_v1_0.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/arm_tests/aes128_tests.bin (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/driver/uartlite.py (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/driver/uartlite.py (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/scripts/build_mcu_fpga_batch.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/scripts/build_mcu_fpga_ip.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/scripts/build_mcu_fpga_pynq_z2.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/scripts/build_mcu_fpga_pynq_zcu104.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/scripts/rtl_source_cm0.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/scripts/rtl_source_cmsdk.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/scripts/rtl_source_dma230.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/scripts/rtl_source_fpga_ip.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/scripts/rtl_source_soclabs_ip.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_ac701/fpga_pinmap.xdc (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_ac701/fpga_synth.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_ac701/fpga_timing.xdc (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_arm_mps3/fpga_pinmap.xdc (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_arm_mps3/fpga_synth.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_arm_mps3/fpga_timing.xdc (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_pynq_z2/design_1.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_pynq_z2/design_1_wrapper.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_pynq_z2/fpga_pinmap.xdc (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_pynq_z2/fpga_synth.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_pynq_z2/fpga_timing.xdc (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_zcu104/design_1.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_zcu104/design_1_wrapper.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_zcu104/fpga_pinmap.xdc (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_zcu104/fpga_synth.tcl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/fpga_imp/target_fpga_zcu104/fpga_timing.xdc (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/testcodes/setup_ds5_tools.scr (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/tools/setup_ds5_tools.scr (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/v2html_doc.tgz (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/aes128_log_to_file.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/ahb_bootrom.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/axi_streamio8_buffer.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/axi_streamio8_rxd_to_file.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/axi_streamio8_txd_from_file.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/bootrom.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/cmsdk_ahb_cs_rom_table.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/cmsdk_apb_subsystem.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/cmsdk_apb_usrt.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/cmsdk_clkreset.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/cmsdk_ft1248x1_adpio.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/cmsdk_mcu_clkctrl.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/cmsdk_mcu_pin_mux.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/cmsdk_mcu_stclkctrl.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/cmsdk_mcu_sysctrl.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/cmsdk_uart_capture.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/dma_log_to_file.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/ft1248x1_to_axi_streamio_v1_0.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/ft1248x1_track.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/README.txt (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/bin/BuildBusMatrix.pl (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/bin/lib/xmlparser.pm (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/gen_soclabs_4x7_AhbMatrix.scr (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.xml (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.xml (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_ipxact.xml (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_lite_ipxact.xml (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/soclabs_ahb32_4x7.log (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_default_slave.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_Arbiter.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MasterInput.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_adp.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_cpu.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma2.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_SlaveOutput.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_burst_arb.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_decode.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_default_slave.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_fixed_arb.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_input_stage.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_output_stage.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_round_arb.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_arb.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_output_stage.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix_lite.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/xml/example2x3_full.xml (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/xml/example2x3_sparse.xml (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/gen_ahb_busmatrix/xml/soclabs_ahb32_4x7.xml (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/nanosoc_chip.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/nanosoc_chip_pads.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/nanosoc_cpu.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/nanosoc_sys_ahb_decode.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/nanosoc_sysio.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/pl230_defs.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/soclabs_ahb_aes128_ctrl.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/tb_nanosoc.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/tbench_M0.vc (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/track_tb_iostream.v (100%) rename {Cortex-M0/nanosoc/systems => systems}/mcu/verilog/v2html_M0.vc (100%) diff --git a/.gitignore b/.gitignore index adb5387..3f6daa7 100644 --- a/.gitignore +++ b/.gitignore @@ -1,18 +1,18 @@ # Exclude Simulation Files -/Cortex-M0/nanosoc/systems/mcu/rtl_sim* -/Cortex-M0/nanosoc/systems/mcu/testcodes/*/* # Exclude Compiled Binaries -/Cortex-M0/nanosoc/software/*/*.elf -/Cortex-M0/nanosoc/software/*/*.hex -/Cortex-M0/nanosoc/software/*/*.lst -/Cortex-M0/nanosoc/software/*/*.o +/software/*/*.elf +/software/*/*.hex +/software/*/*.lst +/software/*/*.o -/Cortex-M0/nanosoc/systems/mcu/testcodes/*.elf -/Cortex-M0/nanosoc/systems/mcu/testcodes/*.hex -/Cortex-M0/nanosoc/systems/mcu/testcodes/*.lst -/Cortex-M0/nanosoc/systems/mcu/testcodes/*.o +/systems/mcu/testcodes/*/* +/systems/mcu/testcodes/*.elf +/systems/mcu/testcodes/*.hex +/systems/mcu/testcodes/*.lst +/systems/mcu/testcodes/*.o -!/Cortex-M0/nanosoc/systems/mcu/rtl_sim/adp.cmd -!/Cortex-M0/nanosoc/systems/mcu/rtl_sim/makefile -!/Cortex-M0/nanosoc/systems/mcu/rtl_sim/*.py +/systems/mcu/rtl_sim* +!/systems/mcu/rtl_sim/*.cmd +!/systems/mcu/rtl_sim/makefile +!/systems/mcu/rtl_sim/*.py diff --git a/Cortex-M0/nanosoc/systems/mcu/rtl_sim/adp.cmd b/Cortex-M0/nanosoc/systems/mcu/rtl_sim/adp.cmd deleted file mode 100644 index 62cc629..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/rtl_sim/adp.cmd +++ /dev/null @@ -1,56 +0,0 @@ -A -A 0 -R -R -A -A -S 7e -A -a 1000000 -r 10 -a 20000000 -r -r -a 30000000 -r -r -a 40006000 -r -r -r -r -A - -v 0xe5e5e5e5 -a 80000000 -f 4000 -A -a 90000000 -U 4 -0123456789ABCDE -A -A 0x90000000 -R 5 -A e0000000 -w 1 -r -A -A 0x10000000 -R -m 0xf0000000 -V 0x30000000 -P 1fff -A -A 0x10000000 -M -V -P 200 -A -! illegal -C -C ff -C 200 -C 201 - A -X -! diff --git a/Cortex-M0/nanosoc/systems/mcu/rtl_sim/makefile b/Cortex-M0/nanosoc/systems/mcu/rtl_sim/makefile deleted file mode 100644 index f1d25a3..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/rtl_sim/makefile +++ /dev/null @@ -1,585 +0,0 @@ -#----------------------------------------------------------------------------- -# customised simulation makefile -# A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -# -# Contributors -# -# David Flynn (d.w.flynn@soton.ac.uk) -# -# Copyright � 2021-3, SoC Labs (www.soclabs.org) -#----------------------------------------------------------------------------- - -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -# -# Revision : $Revision: 371321 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M0/Cortex-M0+ System Design Kit simulation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Name of test directory (e.g. hello, dhry) -# TESTNAME must be specified on the make command line -TESTNAME = - -# List of all tests (this is used when running 'make all/clean') -TEST_LIST = hello dhry sleep_demo interrupt_demo dualtimer_demo \ - self_reset_demo watchdog_demo rtx_demo gpio_tests timer_tests \ - uart_tests debug_tests default_slaves_tests dma_tests \ - gpio_driver_tests uart_driver_tests timer_driver_tests apb_mux_tests \ - memory_tests romtable_tests - -# Default to DS-5 tool-chain -TOOL_CHAIN = ds5 - -# Choose the core instantiated, can be either -# - CORTEX_M0PLUS (Cortex-M0+) -# - CORTEX_M0 (Cortex-M0) -# - CORTEX_M0_DS (Cortex-M0 DesignStart version) -# Note: for all processors, make sure that the __MPU_PRESENT variable in the header file agrees with the setting made in -# the processor configuration (refer to Section 4.3.3 of the Example System Guide). The header file is either: -# ../../../software/cmsis/Device/ARM/CMSDK_CM0/Include/CMSDK_CM0.h -# or -# ../../../software/cmsis/Device/ARM/CMSDK_CM0plus/Include/CMSDK_CM0plus.h -# to match the CPU_PRODUCT selected -# Note: for the M0+ processor, make sure that the __VTOR_PRESENT variable in the header file agrees with the setting made in -# the processor configuration (refer to Section 4.3.3 of the Example System Guide). The header file is: -# ../../../software/cmsis/Device/ARM/CMSDK_CM0plus/Include/CMSDK_CM0plus.h -#DF#CPU_PRODUCT = CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0 -DMA_PRODUCT = DMA_230 - -# Select Verilog Command File based on CPU type -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - # For Cortex-M0+ product users - TBENCH_VC = ../verilog/tbench_M0P.vc -endif - -# Select Verilog Command File based on CPU type -ifeq ($(CPU_PRODUCT),CORTEX_M0) - # For Cortex-M0 product users -# TBENCH_VC += -f $(PROJECT_DIR)/flist/cortex-m0/cortex-m0_ip.flist - DEFINES_VC = +define+CORTEX_M0 +define+USE_TARMAC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0_DS) - # For Cortex-M0 DesignStart users - TBENCH_VC = ../verilog/tbench_M0_DS.vc -endif - -ifeq ($(DMA_PRODUCT),DMA_230) - # For Cortex-M0 product users -# TBENCH_VC += -f $(PROJECT_DIR)/flist/dma-230/pl230_ip.flist -endif - -TBENCH_VC += -f $(PROJECT_DIR)/flist/project/system.flist -ACCELERATOR_VC = - -# ACCELERATOR_IP = SHA_2 - -# ifeq ($(ACCELERATOR_IP),SHA_2) -# # For SHA2 Accelerator IP -# ACCELERATOR_VC = -sv -f $(SOC_TOP_DIR)/sha-2-accelerator/flist/sha-2-accelerator_src.flist -# ACCELERATOR_VC += -f $(SOC_TOP_DIR)/accelerator-wrapper/flist/accelerator-wrapper_src.flist -# endif - -#ADP command File -# ADP_FILE ?= $(SOC_TOP_DIR)/accelerator-wrapper/simulate/stimulus/adp_hash_stim.cmd -ADP_FILE ?= $(PROJECT_DIR)/system/stimulus/adp_hash_stim.cmd -ADP_PATH := $(shell realpath $(ADP_FILE)) -ADP_OPTIONS := -define ADP_FILE=\"$(ADP_PATH)\" - -# Simulator type (mti/vcs/xm) -SIMULATOR = xm - -# MTI option -#DF#MTI_OPTIONS = -novopt -MTI_OPTIONS = -suppress 2892 -MTI_VC_OPTIONS = -f $(TBENCH_VC) $(ACCELERATOR_VC) - -# VCS option -VCS_OPTIONS = +vcs+lic+wait +v2k +lint=all,noTMR,noVCDE -debug -VCS_SIM_OPTION = +vcs+lic+wait +vcs+flush+log -assert nopostproc -VCS_VC_OPTIONS = -f $(TBENCH_VC) $(ACCELERATOR_VC) - -# XM verilog option -XMSIM_OPTIONS = -unbuffered -status -LICQUEUE -f xmsim.args -cdslib cds.lib -hdlvar hdl.var -NBASYNC -XM_VC_OPTIONS = $(TBENCH_VC) $(ACCELERATOR_VC) $(ADP_OPTIONS) - -# Boot Loader image -BOOTLOADER = bootloader -# Debug Tester image -DEBUGTESTER = debugtester - -# Software make options -# - Pass onto the software makefile to define targetted CPU type -# You can append additional make options for testcode here -SW_MAKE_OPTIONS = CPU_PRODUCT=$(CPU_PRODUCT) TOOL_CHAIN=$(TOOL_CHAIN) - -# ------- Simulator redirect ----------- - -compile : compile_$(SIMULATOR) - - -run : run_$(SIMULATOR) - - -sim : sim_$(SIMULATOR) - - -all : all_$(SIMULATOR) - -# ------- VCS ----------- - -# Compile RTL -compile_vcs : - vcs $(VCS_OPTIONS) $(VCS_VC_OPTIONS) | tee compile_vcs.log - - -# Run simulation in batch mode -run_vcs : code - @if [ ! -d logs ] ; then \ - mkdir logs; \ - fi - @echo quit > quit.do - ./simv $(VCS_SIM_OPTION) < quit.do | tee logs/run_$(TESTNAME).log ; - -# Run simulation in interactive mode -sim_vcs : code - ./simv -gui +vcs+lic+wait +vcs+flush+log & - -# Compile RTL, and run all tests in batch mode -all_vcs : compile_vcs bootrom debugtester - @if [ ! -d logs ] ; then \ - mkdir logs; \ - fi - @echo quit > quit.do - @echo Run tests ... - for thistest in $(TEST_LIST) ; do \ - echo $$thistest ; \ - make testcode TESTNAME=$$thistest ;\ - if [ -e image.hex ] ; then \ - ./simv +vcs+lic+wait +vcs+flush+log < quit.do | tee logs/run_$$thistest.log ;\ - else \ - echo Cannot read image.hex ;\ - exit 1; \ - fi ; \ - done - -# ------- XM ----------- - -# Compile RTL -compile_xm : - @echo ADP_FILE - @echo $(ADP_OPTIONS) - xmprep +overwrite $(XM_VC_OPTIONS) $(DEFINES_VC) +debug -timescale 1ps/1ps -top tb_nanosoc | tee compile_xm.log - xmvlog -work worklib -f xmvlog_sv.args -f xmvlog_ver.args -sv | tee -a compile_xm.log - xmelab -mess -f xmelab.args -access +r | tee -a compile_xm.log - -# Note : If coverage is required, you can add -coverage all to xmelab - -# Run simulation in batch mode -run_xm : code compile_xm - @if [ ! -d logs ] ; then \ - mkdir logs; \ - fi - @echo run > run.tcl.tmp - @echo exit >> run.tcl.tmp - @mv run.tcl.tmp run.tcl - xmsim $(XMSIM_OPTIONS) -input run.tcl | tee logs/run_$(TESTNAME).log ; - # @make verify - -# Run simulation in interactive mode -sim_xm : code compile_xm - xmsim -gui $(XMSIM_OPTIONS) - @make verify - -# Compile RTL, and run all tests in batch mode -all_xm : compile_xm bootrom debugtester - @if [ ! -d logs ] ; then \ - mkdir logs; \ - fi - @echo run > run.tcl.tmp - @echo exit >> run.tcl.tmp - @mv run.tcl.tmp run.tcl - @echo Run tests ... - for thistest in $(TEST_LIST) ; do \ - echo $$thistest ; \ - make testcode TESTNAME=$$thistest ;\ - if [ -e image.hex ] ; then \ - xmsim $(XMSIM_OPTIONS) -input run.tcl | tee logs/run_$$thistest.log ;\ - else \ - echo Cannot read image.hex ;\ - exit 1; \ - fi ; \ - done - @make verify - -# ------- MTI ----------- - -# Compile RTL -compile_mti : - @if [ -d work ] ; then \ - true ; \ - else \ - vlib work; \ - fi - vlog -incr -lint +v2k $(MTI_OPTIONS) $(MTI_VC_OPTIONS) | tee compile_mti.log - -# Run simulation in batch mode -run_mti : code - @if [ ! -d logs ] ; then \ - mkdir logs; \ - fi - vsim $(MTI_OPTIONS) -c tb_cmsdk_mcu -do "radix hex;run -all;quit -f" | tee logs/run_$(TESTNAME).log ; - -# Run simulation in interactive mode -sim_mti : code - vsim $(MTI_OPTIONS) -gui tb_cmsdk_mcu & - -# Create work directory -lib_mti : - vlib work - -# Compile RTL, and run all tests in batch mode -all_mti : compile_mti bootrom debugtester - @if [ ! -d logs ] ; then \ - mkdir logs; \ - fi - @echo Run tests ... - for thistest in $(TEST_LIST) ; do \ - echo $$thistest ; \ - make testcode TESTNAME=$$thistest ;\ - if [ -e image.hex ] ; then \ - vsim $(MTI_OPTIONS) -c tb_cmsdk_mcu -do "radix hex;run -all;quit -f" | tee logs/run_$$thistest.log ;\ - else \ - echo Cannot read image.hex ;\ - exit 1; \ - fi ; \ - done - -# ------- Software ----------- - -# code target is used by other simulation targets (e.g. run_mti, sim_mti) -# Before simulation, bootrom and testcode need to be compiled. -code : testcode bootrom debugtester - -# Compile bootloader -# Note : The use of ls after compile allows the computing server to sync up -bootrom: - @(cd ../testcodes/$(BOOTLOADER) ;\ - make all $(SW_MAKE_OPTIONS) ;\ - echo Compile done ;\ - ls > /dev/null ;\ - echo Copy $(BOOTLOADER).hex ;\ - if [ -e $(BOOTLOADER).hex ] ; then \ - cp $(BOOTLOADER).hex ../../rtl_sim/$(BOOTLOADER).hex ;\ - else \ - while [ ! -e $(BOOTLOADER).hex ] ; do \ - echo Wait for hex file ...; \ - ls > /dev/null; \ - sleep 5; \ - done; \ - if [ -e $(BOOTLOADER).hex ] ; then \ - cp $(BOOTLOADER).hex ../../rtl_sim/$(BOOTLOADER).hex ;\ - else \ - echo Problem reading hex file ;\ - exit 1; \ - fi ;\ - fi ;\ - cp $(BOOTLOADER).hex ../../rtl_sim/$(BOOTLOADER).hex ;\ - cd ../../rtl_sim ) - -# Compile test code -# Note : The use of ls after compile allows the computing server to sync up -testcode: -ifeq ($(TESTNAME),) - $(error Please specify TESTNAME on the make command line) -endif - @(if [ -d "../testcodes/$(TESTNAME)" ] ; then \ - cd ../testcodes/$(TESTNAME) ;\ - make all $(SW_MAKE_OPTIONS) ; \ - echo Compile done ;\ - ls > /dev/null ;\ - echo Copy $(TESTNAME).hex ;\ - if [ -e $(TESTNAME).hex ] ; then \ - cp $(TESTNAME).hex ../../rtl_sim/image.hex ; \ - else \ - while [ ! -e $(TESTNAME).hex ] ; do \ - echo Wait for $(TESTNAME).hex file ...; \ - ls > /dev/null ; \ - sleep 5 ; \ - done; \ - if [ -e $(TESTNAME).hex ] ; then \ - cp $(TESTNAME).hex ../../rtl_sim/image.hex ; \ - else \ - echo Problem reading hex file ;\ - exit 1; \ - fi ;\ - fi ;\ - cd ../../rtl_sim ;\ - else \ - echo "ERROR: invalid TESTNAME value ( $(TESTNAME) )" ;\ - exit 1 ;\ - fi ;\ - ) - - -# Compile debugtester -# Note : The use of ls after compile allows the computing server to sync up -debugtester: - @(cd ../../../software/debug_tester ;\ - make all $(SW_MAKE_OPTIONS) ;\ - echo Compile done ;\ - ls > /dev/null ;\ - echo Copy $(DEBUGTESTER)_le.hex ;\ - if [ -e $(DEBUGTESTER)_le.hex ] ; then \ - cp $(DEBUGTESTER)_le.hex ../../systems/mcu/rtl_sim/$(DEBUGTESTER)_le.hex ;\ - else \ - while [ ! -e $(DEBUGTESTER)_le.hex ] ; do \ - echo Wait for hex file ...; \ - ls > /dev/null ; \ - sleep 5 ; \ - done; \ - if [ -e $(DEBUGTESTER)_le.hex ] ; then \ - cp $(DEBUGTESTER)_le.hex ../../systems/mcu/rtl_sim/$(DEBUGTESTER)_le.hex ;\ - fi ;\ - fi ;\ - echo Copy $(DEBUGTESTER)_be.hex ;\ - if [ -e $(DEBUGTESTER)_be.hex ] ; then \ - cp $(DEBUGTESTER)_be.hex ../../systems/mcu/rtl_sim/$(DEBUGTESTER)_be.hex ;\ - else \ - while [ ! -e $(DEBUGTESTER)_be.hex ] ; do \ - echo Wait for hex file ...;\ - ls > /dev/null ;\ - sleep 5 ; \ - done; \ - if [ -e $(DEBUGTESTER)_be.hex ] ; then \ - cp $(DEBUGTESTER)_be.hex ../../systems/mcu/rtl_sim/$(DEBUGTESTER)_be.hex ;\ - fi ;\ - fi ;\ - if [ ! -e $(DEBUGTESTER)_le.hex ] && [ ! -e $(DEBUGTESTER)_be.hex ] ; then \ - echo Problem reading hex file ;\ - exit 1 ;\ - fi ;\ - cd ../../systems/mcu/rtl_sim ) - -# Compile all software including boot ROM -compile_all_code: bootrom debugtester - for thistest in $(TEST_LIST) ; do \ - echo Compiling $$thistest ; \ - echo Removing old image.hex ;\ - rm -f image.hex ;\ - make testcode TESTNAME=$$thistest;\ - if [ -e image.hex ] ; then \ - echo OK - image.hex created for test $$thistest ;\ - else \ - echo ERROR - image.hex NOT created for test $$thistest ;\ - exit 1; \ - fi ; \ - done - -# ------- document with v2html ----------- - -# -# rm -Rf * -# ../tools/v2html -f ../verilog/v2html_M0.vc -ht cmsdk_mcu_system -# cp -p tb_cmsdk_mcu.v.html hierarchy.html - -v2html: - echo building HTML tree - @if [ ! -d ../v2html_doc ] ; then \ - mkdir ../v2html_doc; \ - fi - @(cd ../v2html_doc; \ - rm *.html; rm *.gif; rm *.gz; \ - ~/tools/v2html -f ../verilog/v2html_M0.vc -ht nanosoc_chip ; \ - cp -p tb_nanosoc.v.html hierarchy.html ; \ - cd ../rtl_sim ; ) - gtar zcvf ../v2html_doc.tgz ../v2html_doc - - -# Remove all software compilation results -clean_all_code: - @(cd ../../../software/debug_tester ; make clean; cd ../../systems/mcu/rtl_sim; ) - @(cd ../testcodes/$(BOOTLOADER) ; make clean; cd ../../rtl_sim; ) - for thistest in $(TEST_LIST) ; do \ - echo Cleaning $$thistest ... ; \ - cd ../testcodes/$$thistest ; \ - make clean; \ - cd ../../rtl_sim; \ - done - -# Remove only bootloader and default selected test -clean_code: - @(cd ../testcodes/$(BOOTLOADER) ; make clean; cd ../../rtl_sim; ) - @(cd ../testcodes/$(TESTNAME) ; make clean; cd ../../rtl_sim; ) - -# ----- verification ------ -verify: - @python3 $(PROJECT_DIR)/flow/adp_verify.py - -# ------- clean ----------- - -# Remove RTL compile files, log files, software compile files -clean : clean_all_code - @if [ -d work ] ; then \ - rm -rf work ; \ - fi - @if [ -e tarmac0.log ] ; then \ - rm tarmac0.log ; \ - fi - @if [ -e tarmac1.log ] ; then \ - rm tarmac1.log ; \ - fi - @if [ -e tarmac0track.log ] ; then \ - rm tarmac0track.log ; \ - fi - @if [ -e ft1248_ip.log ] ; then \ - rm ft1248_ip.log ; \ - fi - @if [ -e ft1248_op.log ] ; then \ - rm ft1248_op.log ; \ - fi - @if [ -e uart.log ] ; then \ - rm uart.log ; \ - fi - @if [ -e uart0.log ] ; then \ - rm uart0.log ; \ - fi - @if [ -e uart1.log ] ; then \ - rm uart1.log ; \ - fi - @if [ -e uart2.log ] ; then \ - rm uart2.log ; \ - fi - @if [ -e uart3.log ] ; then \ - rm uart3.log ; \ - fi - @if [ -e vsim.wlf ] ; then \ - rm vsim.wlf ; \ - fi - @if [ -e image.hex ] ; then \ - rm image.hex ; \ - fi - @if [ -e date_file ] ; then \ - rm date_file ; \ - fi - @if [ -e bootrom.v ] ; then \ - rm bootrom.v ; \ - fi - @if [ -e bootrom.bintxt ] ; then \ - rm bootrom.bintxt ; \ - fi - @if [ -e bootloader.hex ] ; then \ - rm bootloader.hex ; \ - fi - @if [ -e debugtester_le.hex ] ; then \ - rm debugtester_le.hex ; \ - fi - @if [ -e debugtester_be.hex ] ; then \ - rm debugtester_be.hex ; \ - fi - @if [ -e compile_mti.log ] ; then \ - rm compile_mti.log ; \ - fi - @if [ -e vish_stacktrace.vstf ] ; then \ - rm vish_stacktrace.vstf ; \ - fi - @if [ -e compile_vcs.log ] ; then \ - rm compile_vcs.log ; \ - fi - @if [ -e compile_xm.log ] ; then \ - rm compile_xm.log ; \ - fi - @if [ -e transcript ] ; then \ - rm transcript ; \ - fi - @if [ -e simv ] ; then \ - rm simv ; \ - fi - @if [ -d csrc ] ; then \ - rm -rf csrc ; \ - fi - @if [ -d simv.daidir ] ; then \ - rm -rf simv.daidir ; \ - fi - @if [ -e inter.vpd ] ; then \ - rm -rf inter.vpd ; \ - fi - @if [ -e quit.do ] ; then \ - rm -rf quit.do ; \ - fi - @if [ -e ucli.key ] ; then \ - rm -rf ucli.key ; \ - fi - @if [ -d DVEfiles ] ; then \ - rm -rf DVEfiles ; \ - fi - @if [ -d INCA_libs ] ; then \ - rm -rf INCA_libs ; \ - fi - @if [ -d cov_work ] ; then \ - rm -rf cov_work ; \ - fi - @if [ -e hdl.var ] ; then \ - rm -rf hdl.var ; \ - fi - @if [ -e xmelab.args ] ; then \ - rm -rf xmelab.args ; \ - fi - @if [ -e xmvlog.args ] ; then \ - rm -rf xmvlog.args ; \ - fi - @if [ -e xmsim.args ] ; then \ - rm -rf xmsim.args ; \ - fi - @if [ -e xmprep.log ] ; then \ - rm -rf xmprep.log ; \ - fi - @if [ -e xmelab.log ] ; then \ - rm -rf xmelab.log ; \ - fi - @if [ -e xmvlog.log ] ; then \ - rm -rf xmvlog.log ; \ - fi - @if [ -e xmsim.log ] ; then \ - rm -rf xmsim.log ; \ - fi - @if [ -e run.tcl ] ; then \ - rm -rf run.tcl ; \ - fi - @if [ -e RUN_XM ] ; then \ - rm -rf RUN_XM ; \ - fi - @if [ -e xmsim.key ] ; then \ - rm -rf xmsim.key ; \ - fi - @if [ -e cds.lib ] ; then \ - rm -rf cds.lib ; \ - fi - @if [ -e logs ] ; then \ - rm -rf logs ; \ - fi - @if [ -e ../v2html_doc ] ; then \ - rm -rf ../v2html_doc ; \ - fi diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/aes128.h b/Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/aes128.h deleted file mode 100644 index 202adf4..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/aes128.h +++ /dev/null @@ -1,71 +0,0 @@ -#ifndef _AES128_H_ -#define _AES128_H_ - -#include <stdint.h> - -// define the API addresses here. - -#define AES128_BASE (0x60000000) - -// byte address I/O buffers -#define AES128_BUF_SIZE (0x4000) - -typedef struct { - __I uint32_t CORE_NAME[2]; /* 0x0000-0007 */ - __I uint32_t CORE_VERSION; /* 0x0008-000B */ - uint32_t RESRV0C; /* 0x000C */ - __IO uint32_t CTRL; /* 0x0010 */ - __O uint32_t CTRL_SET; /* 0x0014 */ - __O uint32_t CTRLL_CLR; /* 0x0018 */ - __I uint32_t STATUS; /* 0x001c */ - __IO uint32_t QUAL; /* 0x0020 */ - uint32_t RESRV24[3]; /* 0x0024 - 2F*/ - __IO uint32_t DRQ_MSK; /* 0x0030 */ - __O uint32_t DRQ_MSK_SET; /* 0x0034 */ - __O uint32_t DRQ_MSK_CLR; /* 0x0038 */ - __I uint32_t DRQ_STATUS; /* 0x003C */ - __IO uint32_t IRQ_MSK; /* 0x0040 */ - __O uint32_t IRQ_MSK_SET; /* 0x0044 */ - __O uint32_t IRQ_MSK_CLR; /* 0x0048 */ - __I uint32_t IRQ_STATUS; /* 0x004C */ - uint8_t RESRV50[AES128_BUF_SIZE - 0x50];/* 0x0050-0x3FFC (4096-20 words) */ - __IO uint8_t KEY128[AES128_BUF_SIZE]; /* 0x4000-7FFF (0x3FFF is last alias) */ - __IO uint8_t TXTIP128[AES128_BUF_SIZE]; /* 0x8000-BFFF (0x3FFF is last alias) */ - __I uint8_t TXTOP128[AES128_BUF_SIZE]; /* 0xC000-FFFF (0x3FFF is last alias) */ -} AES128_TypeDef; - -#define AES128 ((AES128_TypeDef *) AES128_BASE ) - -#define AES_BLOCK_SIZE 16 - -#define AES_KEY_LEN_128 16 - -#define HW32_REG(ADDRESS) (*((volatile unsigned long *)(ADDRESS))) - -#define AES128_CTRL_REG_WIDTH ( 8) -#define AES128_CTRL_BIT_MAX ( (CTRL_REG_WIDTH-1) -#define AES128_CTRL_KEY_REQ_BIT (1<<0) -#define AES128_CTRL_IP_REQ_BIT (1<<1) -#define AES128_CTRL_OP_REQ_BIT (1<<2) -#define AES128_CTRL_ERR_REQ_BIT (1<<3) -#define AES128_CTRL_BYPASS_BIT (1<<6) -#define AES128_CTRL_ENCODE_BIT (1<<7) -#define AES128_STAT_REG_WIDTH ( 8) -#define AES128_STAT_KEY_REQ_BIT (1<<0) -#define AES128_STAT_IP_REQ_BIT (1<<1) -#define AES128_STAT_OP_REQ_BIT (1<<2) -#define AES128_STAT_ERR_REQ_BIT (1<<3) -#define AES128_STAT_KEYOK_BIT (1<<4) -#define AES128_STAT_VALID_BIT (1<<5) -#define AES128_STAT_BYPASS_BIT (1<<6) -#define AES128_STAT_ENCODE_BIT (1<<7) -#define AES128_KEY_REQ_BIT (1<<0) -#define AES128_IP_REQ_BIT (1<<1) -#define AES128_OP_REQ_BIT (1<<2) -#define AES128_ERR_REQ_BIT (1<<3) -#define AES128_KEYOK_BIT (1<<4) -#define AES128_VALID_BIT (1<<5) -#define AES128_BYPASS_BIT (1<<6) -#define AES128_ENCODE_BIT (1<<7) - -#endif // _AES128_H_ diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/aes128_tests.c b/Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/aes128_tests.c deleted file mode 100644 index 3dbccad..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/aes128_tests.c +++ /dev/null @@ -1,688 +0,0 @@ -#include "CMSDK_CM0.h" -#include "aes128.h" -#include <string.h> -#include "uart_stdout.h" -#include <stdio.h> -// memcopy implememtation -#define os_memcpy memcpy -#define os_memset memset -// PL230DMA implementation -#include "dma_pl230_driver.h" - - -static volatile dma_pl230_channel_data aes_ip_chain[2]; -static volatile dma_pl230_channel_data aes_op_chain[2]; - -// associate DMA channel numbers -#define DMA_CHAN_AES128_IP (0) -#define DMA_CHAN_AES128_OP (1) - -volatile int dma_done_irq_occurred; -volatile int dma_done_irq_expected; -volatile int dma_error_irq_occurred; -volatile int dma_error_irq_expected; -volatile int aes_key_irq_occurred; -volatile int aes_key_irq_expected; -volatile int aes_ip_irq_occurred; -volatile int aes_ip_irq_expected; -volatile int aes_op_irq_occurred; -volatile int aes_op_irq_expected; -volatile int aes_err_irq_occurred; -volatile int aes_err_irq_expected; - - uint8_t _test_key128[AES_KEY_LEN_128] = { - 0x75, 0x46, 0x20, 0x67, - 0x6e, 0x75, 0x4b, 0x20, - 0x79, 0x6d, 0x20, 0x73, - 0x74, 0x61, 0x68, 0x54 }; - - uint8_t test_key128[AES_KEY_LEN_128] = { - 0x54, 0x68, 0x61, 0x74, - 0x73, 0x20, 0x6d, 0x79, - 0x20, 0x4b, 0x75, 0x6e, - 0x67, 0x20, 0x46, 0x75 }; - - uint8_t buf128[AES_BLOCK_SIZE] = { - 0x00, 0x00, 0x00, 0x00, - 0x00, 0x00, 0x00, 0x00, - 0x00, 0x00, 0x00, 0x00, - 0x00, 0x00, 0x00, 0x00 }; - - uint8_t _test_text128[AES_BLOCK_SIZE] = { - 0x6f, 0x77, 0x54, 0x20, - 0x65, 0x6e, 0x69, 0x4e, - 0x20, 0x65, 0x6e, 0x4f, - 0x20, 0x6f, 0x77, 0x54 }; - - uint8_t test_text128[AES_BLOCK_SIZE] = { - 0x54, 0x77, 0x6f, 0x20, - 0x4f, 0x6e, 0x65, 0x20, - 0x4e, 0x69, 0x6e, 0x65, - 0x20, 0x54, 0x77, 0x6f }; - - uint8_t test_exp128[AES_BLOCK_SIZE] = { - 0x29, 0xc3, 0x50, 0x5f, - 0x57, 0x14, 0x20, 0xf6, - 0x40, 0x22, 0x99, 0xb3, - 0x1a, 0x02, 0xd7, 0x3a }; - -// add extra block[128] with all zeros to toggle bits low - uint8_t shift_patt[129*16] = { - 0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xc0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xe0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xf0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xf8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xfc,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xfe,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xc0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xe0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xf0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xf8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xfc,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xfe,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xc0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xe0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xf0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xf8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xfc,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xfe,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xc0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xe0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xf0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xf8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xfc,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xfe,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xc0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xe0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xf0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xf8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xfc,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xfe,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xc0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xe0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xf0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xf8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xfc,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xfe,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xc0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xe0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xf0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xf8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xfc,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xc0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xe0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf0,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf8,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfc,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x80,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xc0,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xe0,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf0,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf8,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfc,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x00,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x80,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xc0,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xe0,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf0,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf8,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfc,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x00,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x80,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xc0,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xe0,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf0,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf8,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfc,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x00,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x80,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xc0,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xe0,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf0,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf8,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfc,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x00,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x80,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xc0,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xe0,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf0,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf8,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfc,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x00,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x80,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xc0,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xe0,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf0,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf8,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfc,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x00,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x80,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xc0,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xe0,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf0,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf8,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfc,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfe,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x00, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0x80, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xc0, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xe0, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf0, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xf8, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfc, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xfe, - 0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,0xff,//127 - 0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,0x00,//128 - }; - - uint8_t shift_buf1[sizeof(shift_patt)]; - uint8_t shift_buf2[sizeof(shift_patt)]; - - -/* Note: Hardware supports byte, half-word or word accesses - So memcpy() can be used to load/save data - And memset() can be used to pad out data-in to 128-bits - mode =0 (bypass), =1 (encode) or =2 (decode) -*/ -void aes128_driver_memcpy(uint8_t *key, uint32_t nbytes, uint8_t *input, - uint8_t *result, uint8_t mode) -{ - // Reset engine - AES128->DRQ_MSK = 0; - AES128->IRQ_MSK = 0; - AES128->QUAL = 0; - AES128->CTRL = AES128_CTRL_KEY_REQ_BIT | AES128_CTRL_IP_REQ_BIT | AES128_CTRL_OP_REQ_BIT | AES128_CTRL_ERR_REQ_BIT; - - // Set up parameters - if (mode == 1) - AES128->CTRL_SET = AES128_ENCODE_BIT; // ENCODE mode - if (mode == 0) - AES128->CTRL_SET = AES128_BYPASS_BIT; // BYPASS mode - - AES128->IRQ_MSK_SET = (AES128_ERR_REQ_BIT | AES128_KEY_REQ_BIT | AES128_IP_REQ_BIT | AES128_OP_REQ_BIT); - - // Program Key - os_memcpy((uint8_t *)AES128->KEY128, key, AES_KEY_LEN_128); - while (!(AES128->STATUS & AES128_KEYOK_BIT)) - ; - - /* payload */ - while(nbytes) { - uint8_t len = (nbytes > AES_BLOCK_SIZE) ? AES_BLOCK_SIZE : nbytes; - /* Align/pad input and load into hardware */ - os_memcpy((uint8_t *)AES128->TXTIP128, input, len); - //patch up any zero-padding - if (len < AES_BLOCK_SIZE) - os_memset((uint8_t *)&(AES128->TXTIP128[len]), 0, AES_BLOCK_SIZE-len); - /* Auto-started! - no need for manual start */ - /* Poll until completed */ - while (!(AES128->STATUS & AES128_VALID_BIT)) - ; - os_memcpy(result, (uint8_t *)AES128->TXTOP128, AES_BLOCK_SIZE); - /* Accounting */ - input += len; - result += len; - nbytes -= len; - AES128->IRQ_MSK_SET = (AES128_IP_REQ_BIT | AES128_OP_REQ_BIT); - } - AES128->CTRL = 0; -} - -// wrapper functions - -void aes128_bypass_memcpy(uint8_t *key, uint32_t nbytes, uint8_t *input, uint8_t *result) - { aes128_driver_memcpy(key, nbytes, input, result, 0); } - -void aes128_encrypt_memcpy(uint8_t *key, uint32_t nbytes, uint8_t *input, uint8_t *result) - { aes128_driver_memcpy(key, nbytes, input, result, 1); } - -void aes128_decrypt_memcpy(uint8_t *key, uint32_t nbytes, uint8_t *input, uint8_t *result) - { aes128_driver_memcpy(key, nbytes, input, result, 2); } - - -int aes128_buffer_verify(uint32_t buflen, uint8_t *buf_A, uint8_t *buf_B) -{ - int i, j, fail = 0; - for (i=0 ; i < buflen; i++) { - if (buf_A[i] != buf_B[i]){ - fail = 1; - break; - } - } - if (fail) { - j=i; // print offending block - for (i=(j - (j%16)) ; i < (j-(j%16)+16); i++) { - if (i%16==0) - printf(" //%03d\n", (i>>4)); - printf("0x%02x,", buf_A[i]); - } - } - if (fail){ - i=j; - printf("Verify compare FAIL\n EXPECTED_RESULT[%2d]= 0x%02x, ACTUAL_RESULT= 0x%02x \n",i, buf_B[i], buf_A[i]); - return(-1); - } - return(0); -} - -void aes128_driver_aligned_dma32(uint8_t *key, uint32_t nbytes, uint8_t *input, - uint8_t *result, uint8_t mode) -{ - int c; - // Reset engine - AES128->DRQ_MSK = 0; - AES128->IRQ_MSK = 0; - AES128->QUAL = 0; - AES128->CTRL = AES128_CTRL_KEY_REQ_BIT | AES128_CTRL_IP_REQ_BIT | AES128_CTRL_OP_REQ_BIT; - - // Set up parameters - if (mode == 1) - AES128->CTRL_SET = AES128_ENCODE_BIT; // ENCODE mode - if (mode == 0) - AES128->CTRL_SET = AES128_BYPASS_BIT; // BYPASS mode - - dma_pl230_data_struct_init(); // initialize - - // program DMA transfers in multiples of 4 words (nbytes scaled >>2 for words) - aes_ip_chain[0].SrcEndPointer = DMA_PL230_PTR_END(key,PL230_XFER_W,4); - aes_ip_chain[0].DstEndPointer = DMA_PL230_PTR_END(&(AES128->KEY128[AES128_BUF_SIZE-16]),PL230_XFER_W,4); - aes_ip_chain[0].Control = DMA_PL230_CTRL(PL230_CTRL_CYCLE_DEV_CHAIN_ALT,PL230_XFER_W,4,PL230_CTRL_RPWR_4); - - aes_ip_chain[1].SrcEndPointer = DMA_PL230_PTR_END(input,PL230_XFER_W,(nbytes>>2)); - aes_ip_chain[1].DstEndPointer = DMA_PL230_PTR_END(&(AES128->TXTIP128[AES128_BUF_SIZE-nbytes]),PL230_XFER_W,(nbytes>>2)); - aes_ip_chain[1].Control = DMA_PL230_CTRL(PL230_CTRL_CYCLE_BASIC,PL230_XFER_W,(nbytes>>2),PL230_CTRL_RPWR_4); - - c=DMA_CHAN_AES128_IP; - dma_pl230_table->Primary[c].SrcEndPointer = DMA_PL230_PTR_END(&(aes_ip_chain[0].SrcEndPointer), PL230_XFER_W,(2*4)); - dma_pl230_table->Primary[c].DstEndPointer = DMA_PL230_PTR_END(&(dma_pl230_table->Alternate[c]), PL230_XFER_W,(1*4)); - dma_pl230_table->Primary[c].Control= DMA_PL230_CTRL_DSTFIX(PL230_CTRL_CYCLE_DEV_CHAIN_PRI,PL230_XFER_W,(2*4),PL230_CTRL_RPWR_4); - - aes_op_chain[0].SrcEndPointer = DMA_PL230_PTR_END(&(AES128->TXTOP128[AES128_BUF_SIZE-nbytes]),PL230_XFER_W,(nbytes>>2)); - aes_op_chain[0].DstEndPointer = DMA_PL230_PTR_END(result,PL230_XFER_W,(nbytes>>2)); - aes_op_chain[0].Control = DMA_PL230_CTRL(PL230_CTRL_CYCLE_BASIC,PL230_XFER_W,(nbytes>>2),PL230_CTRL_RPWR_4); - - c=DMA_CHAN_AES128_OP; - dma_pl230_table->Primary[c].SrcEndPointer = DMA_PL230_PTR_END(&(aes_op_chain[0].SrcEndPointer), PL230_XFER_W,(1*4)); - dma_pl230_table->Primary[c].DstEndPointer = DMA_PL230_PTR_END(&(dma_pl230_table->Alternate[c]), PL230_XFER_W,(1*4)); - dma_pl230_table->Primary[c].Control= DMA_PL230_CTRL_DSTFIX(PL230_CTRL_CYCLE_DEV_CHAIN_PRI,PL230_XFER_W,(1*4),PL230_CTRL_RPWR_4); - - // enable DMA controller channels - dma_pl230_init((1<<DMA_CHAN_AES128_OP) | (1<<DMA_CHAN_AES128_IP)); // two active - - // and enable DMA requests - AES128->DRQ_MSK_SET = (AES128_KEY_REQ_BIT | AES128_IP_REQ_BIT | AES128_OP_REQ_BIT); - AES128->IRQ_MSK_SET = (AES128_ERR_REQ_BIT | AES128_KEY_REQ_BIT | AES128_IP_REQ_BIT | AES128_OP_REQ_BIT); - // test to ensure output DMA has started - while (!(dma_pl230_channel_active((1<<DMA_CHAN_AES128_OP)))) - ; - while (dma_pl230_channel_active((1<<DMA_CHAN_AES128_OP))) - ; - while (dma_pl230_channel_active((1<<DMA_CHAN_AES128_OP))) - ; - AES128->DRQ_MSK = 0; - AES128->IRQ_MSK = 0; - DMA_PL230_DMAC->DMA_CFG = 0; /* Disable DMA controller for initialization */ - dma_pl230_init(0); // none active - return; -} - -void aes128_driver_dma8(uint8_t *key, uint32_t nbytes, uint8_t *input, - uint8_t *result, uint8_t mode) -{ - int c; - // Reset engine - AES128->DRQ_MSK = 0; - AES128->IRQ_MSK = 0; - AES128->QUAL = 0; - AES128->CTRL = AES128_CTRL_KEY_REQ_BIT | AES128_CTRL_IP_REQ_BIT | AES128_CTRL_OP_REQ_BIT; - - // Set up parameters - if (mode == 1) - AES128->CTRL_SET = AES128_ENCODE_BIT; // ENCODE mode - if (mode == 0) - AES128->CTRL_SET = AES128_BYPASS_BIT; // BYPASS mode - - dma_pl230_data_struct_init(); // initialize - - // program DMA transfers in multiples of 16 bytes - aes_ip_chain[0].SrcEndPointer = DMA_PL230_PTR_END(key,PL230_XFER_B,16); - aes_ip_chain[0].DstEndPointer = DMA_PL230_PTR_END(&(AES128->KEY128[AES128_BUF_SIZE-16]),PL230_XFER_B,16); - aes_ip_chain[0].Control = DMA_PL230_CTRL(PL230_CTRL_CYCLE_DEV_CHAIN_ALT,PL230_XFER_B,16,PL230_CTRL_RPWR_16); - - aes_ip_chain[1].SrcEndPointer = DMA_PL230_PTR_END(input,PL230_XFER_B,(nbytes)); - aes_ip_chain[1].DstEndPointer = DMA_PL230_PTR_END(&(AES128->TXTIP128[AES128_BUF_SIZE-nbytes]),PL230_XFER_B,(nbytes)); - aes_ip_chain[1].Control = DMA_PL230_CTRL(PL230_CTRL_CYCLE_BASIC,PL230_XFER_B,(nbytes),PL230_CTRL_RPWR_16); - - c=DMA_CHAN_AES128_IP; - dma_pl230_table->Primary[c].SrcEndPointer = DMA_PL230_PTR_END(&(aes_ip_chain[0].SrcEndPointer), PL230_XFER_W, (2*4)); - dma_pl230_table->Primary[c].DstEndPointer = DMA_PL230_PTR_END(&(dma_pl230_table->Alternate[c]), PL230_XFER_W, (1*4)); - dma_pl230_table->Primary[c].Control= DMA_PL230_CTRL_DSTFIX(PL230_CTRL_CYCLE_DEV_CHAIN_PRI,PL230_XFER_W,(2*4),PL230_CTRL_RPWR_4); - - aes_op_chain[0].SrcEndPointer = DMA_PL230_PTR_END(&(AES128->TXTOP128[AES128_BUF_SIZE-nbytes]),PL230_XFER_B,(nbytes)); - aes_op_chain[0].DstEndPointer = DMA_PL230_PTR_END(result,PL230_XFER_B,(nbytes)); - aes_op_chain[0].Control = DMA_PL230_CTRL(PL230_CTRL_CYCLE_BASIC,PL230_XFER_B,(nbytes),PL230_CTRL_RPWR_16); - - c=DMA_CHAN_AES128_OP; - dma_pl230_table->Primary[c].SrcEndPointer = DMA_PL230_PTR_END(&(aes_op_chain[0].SrcEndPointer), PL230_XFER_W,(1*4)); - dma_pl230_table->Primary[c].DstEndPointer = DMA_PL230_PTR_END(&(dma_pl230_table->Alternate[c]), PL230_XFER_W,(1*4)); - dma_pl230_table->Primary[c].Control= DMA_PL230_CTRL_DSTFIX(PL230_CTRL_CYCLE_DEV_CHAIN_PRI,PL230_XFER_W,(1*4),PL230_CTRL_RPWR_4); - - // enable DMA controller channels - dma_pl230_init((1<<DMA_CHAN_AES128_OP) | (1<<DMA_CHAN_AES128_IP)); // two active - - // and enable DMA requests - AES128->DRQ_MSK_SET = (AES128_KEY_REQ_BIT | AES128_IP_REQ_BIT | AES128_OP_REQ_BIT); - AES128->IRQ_MSK_SET = (AES128_ERR_REQ_BIT | AES128_KEY_REQ_BIT | AES128_IP_REQ_BIT | AES128_OP_REQ_BIT); - // test to ensure output DMA has started - while (!(dma_pl230_channel_active(1<<DMA_CHAN_AES128_OP))) - ; - while (dma_pl230_channel_active(1<<DMA_CHAN_AES128_OP)) - ; - while (dma_pl230_channel_active(1<<DMA_CHAN_AES128_OP)) - ; - AES128->DRQ_MSK = 0; - AES128->IRQ_MSK = 0; - DMA_PL230_DMAC->DMA_CFG = 0; /* Disable DMA controller for initialization */ - dma_pl230_init(0); // none active - return; -} - -// wrapper functions - -void aes128_alignchk_block_dma(uint8_t *key, uint32_t nbytes, uint8_t *input, uint8_t *result, uint8_t mode) - { uint32_t dma_max = DMA_PL230_MAX_XFERS; // default to 1 K bytes - if (((((long)key) & 3)==0) && ((((long)input) & 3)==0) && ((((long)result) & 3)==0)) dma_max=(DMA_PL230_MAX_XFERS<<2); - while (nbytes >dma_max) { - if (dma_max == DMA_PL230_MAX_XFERS) // 1K bytes DMA - aes128_driver_dma8(key, dma_max, input, result, mode); - else // 1K words DMA - aes128_driver_aligned_dma32(key, dma_max, input, result, mode); - nbytes -= dma_max; input += dma_max; result += dma_max; - } - if (dma_max == DMA_PL230_MAX_XFERS) // up to 1K bytes remaining - aes128_driver_dma8(key, nbytes, input, result, mode); - else // up to 1K words remaining - aes128_driver_aligned_dma32(key, nbytes, input, result, mode); - } - -void aes128_bypass_dma(uint8_t *key, uint32_t nbytes, uint8_t *input, uint8_t *result) - { aes128_alignchk_block_dma (key, nbytes, input, result, 0); } - -void aes128_encrypt_dma(uint8_t *key, uint32_t nbytes, uint8_t *input, uint8_t *result) - { aes128_alignchk_block_dma (key, nbytes, input, result, 1); } - -void aes128_decrypt_dma(uint8_t *key, uint32_t nbytes, uint8_t *input, uint8_t *result) - { aes128_alignchk_block_dma (key, nbytes, input, result, 2); } - - -int main(void) { - char rx_char [256] = "soclabs AES128v1"; // init to 0 - unsigned char id_string [16] = {0}; - int i, fail=0; - unsigned char * p; - - UartStdOutInit(); - printf("%s\n",rx_char); - printf("AES128 test program\n"); - printf(" AES128 ID: "); - // iterate over 3 32-bit fields - p = (unsigned char *)AES128->CORE_NAME; - for (i = 0; i < 12; i++) { - id_string[i^3]=*p; // fix byte ordering per word - p+=1; - } - id_string[12] = 0; - printf("%s\n",id_string); - - aes_key_irq_occurred = 0; - aes_key_irq_expected = 1; - NVIC_ClearPendingIRQ(EXP0_IRQn); - NVIC_EnableIRQ(EXP0_IRQn); - aes_ip_irq_occurred = 0; - aes_ip_irq_expected = 1; - NVIC_ClearPendingIRQ(EXP1_IRQn); - NVIC_EnableIRQ(EXP1_IRQn); - aes_op_irq_occurred = 0; - aes_op_irq_expected = 1; - NVIC_ClearPendingIRQ(EXP2_IRQn); - NVIC_EnableIRQ(EXP2_IRQn); - aes_err_irq_occurred = 0; - aes_err_irq_expected = 1; - NVIC_ClearPendingIRQ(EXP3_IRQn); - NVIC_EnableIRQ(EXP3_IRQn); - - printf("AES128 SW (memcpy) tests...\n"); - printf(" AES128 reference pattern test\n"); - - printf(" AES128 input/output bypass test\n"); - aes128_bypass_memcpy(test_key128, sizeof(test_text128), test_text128, buf128); - fail += aes128_buffer_verify(AES_BLOCK_SIZE, buf128, test_text128); - - if (aes_key_irq_occurred != 1){ fail++; - printf(" ++ AES key request IRQ count = %d\n", aes_key_irq_occurred); } - if (aes_ip_irq_occurred != 2){ fail++; - printf(" ++ AES inp request missing: IRQ count = %d\n", aes_ip_irq_occurred); } - if (aes_op_irq_occurred != 1){ fail++; - printf(" ++ AES out request missing: IRQ count = %d\n", aes_op_irq_occurred); } - if (aes_err_irq_occurred != 0){ fail++; - printf(" ++ AES err request missing: IRQ count = %d\n", aes_err_irq_occurred); } - - printf(" AES128 encrypt test\n"); - aes128_encrypt_memcpy(test_key128, sizeof(test_text128), test_text128, buf128); - fail += aes128_buffer_verify(AES_BLOCK_SIZE, buf128, test_exp128); - - printf(" AES128 decrypt test\n"); - aes128_decrypt_memcpy(test_key128, sizeof(buf128), buf128, buf128); - fail += aes128_buffer_verify(AES_BLOCK_SIZE, buf128, test_text128); - - aes_key_irq_occurred = 0; - aes_ip_irq_occurred = 0; - aes_op_irq_occurred = 0; - aes_err_irq_occurred = 0; - - printf(" AES128 logic toggle test\n"); - printf(" AES128 input/output pattern test\n"); - aes128_bypass_memcpy(test_key128, sizeof(shift_patt), shift_patt, shift_buf1); - fail += aes128_buffer_verify(sizeof(shift_patt), shift_buf1, shift_patt); - - if (aes_key_irq_occurred != 1){ fail++; - printf(" ++ AES key request IRQ count = %d\n", aes_key_irq_occurred); } - if (aes_ip_irq_occurred != (129+1)){ fail++; - printf(" ++ AES inp request missing: IRQ count = %d\n", aes_ip_irq_occurred); } - if (aes_op_irq_occurred != 129){ fail++; - printf(" ++ AES out request missing: IRQ count = %d\n", aes_op_irq_occurred); } - if (aes_err_irq_occurred != 0){ fail++; - printf(" ++ AES err request missing: IRQ count = %d\n", aes_err_irq_occurred); } - - printf(" AES128 pattern encrypt test\n"); - aes128_encrypt_memcpy(test_key128, sizeof(shift_patt), shift_patt, shift_buf1); - printf(" AES128 pattern decrypt test\n"); - aes128_decrypt_memcpy(test_key128, sizeof(shift_patt), shift_buf1, shift_buf2); - fail += aes128_buffer_verify(sizeof(shift_patt), shift_buf2, shift_patt); - - printf("AES128 DMA tests...\n"); - - aes_key_irq_occurred = 0; - aes_ip_irq_occurred = 0; - aes_op_irq_occurred = 0; - aes_err_irq_occurred = 0; - dma_error_irq_expected = 0; - dma_error_irq_occurred = 0; - dma_done_irq_expected = 1; - dma_done_irq_occurred = 0; - NVIC_ClearPendingIRQ(DMA_IRQn); - NVIC_EnableIRQ(DMA_IRQn); - - printf(" AES128 dma input/output bypass test\n"); - aes128_bypass_dma(test_key128, sizeof(test_text128), test_text128, buf128); - fail += aes128_buffer_verify(AES_BLOCK_SIZE, buf128, test_text128); - - if (dma_done_irq_occurred < 2){ - puts ("ERROR: DMA err IRQ missing"); - fail++; - } else - printf(" ++ DMA_DONE IRQ count = %d\n", dma_done_irq_occurred); - - printf(" AES128 dma encrypt test\n"); - aes128_encrypt_dma(test_key128, sizeof(test_text128), test_text128, buf128); - fail += aes128_buffer_verify(AES_BLOCK_SIZE, buf128, test_exp128); - - printf(" AES128 dma decrypt test\n"); - aes128_decrypt_dma(test_key128, sizeof(buf128), buf128, buf128); - fail += aes128_buffer_verify(AES_BLOCK_SIZE, buf128, test_text128); - - if (dma_done_irq_occurred < 6){ - puts ("ERROR: DMA err IRQ missing"); - fail++; - } else - printf(" ++ DMA_DONE IRQ count = %d\n", dma_done_irq_occurred); - - printf(" AES128 dma unaligned pattern test\n"); - aes128_bypass_dma(test_key128,(16*63), shift_patt, shift_buf1+3); - fail += aes128_buffer_verify((16*63), shift_buf1+3, shift_patt); - - printf(" AES128 dma input/output pattern test\n"); - aes128_bypass_dma(test_key128, sizeof(shift_patt), shift_patt, shift_buf1); - fail += aes128_buffer_verify(sizeof(shift_patt), shift_buf1, shift_patt); - printf(" AES128 dma pattern encrypt test\n"); - aes128_encrypt_dma(test_key128, sizeof(shift_patt), shift_patt, shift_buf1); - printf(" AES128 dma pattern decrypt test\n"); - aes128_decrypt_dma(test_key128, sizeof(shift_patt), shift_buf1, shift_buf2); - fail += aes128_buffer_verify(sizeof(shift_patt), shift_buf2, shift_patt); - - if (dma_done_irq_occurred < (2*7)){ - puts ("ERROR: DMA err IRQ missing"); - fail++; - } else - printf(" ++ DMA_DONE IRQ count = %d\n", dma_done_irq_occurred); - - // check IRQ masked by DRQs - except when Iinput buffer empty after DMA done - if (aes_key_irq_occurred != 0){ fail++; - printf(" ++ AES key request IRQ count = %d\n", aes_key_irq_occurred); } - if (aes_ip_irq_occurred != 7){ fail++; - printf(" ++ AES inp request missing: IRQ count = %d\n", aes_ip_irq_occurred); } - if (aes_op_irq_occurred != 0){ fail++; - printf(" ++ AES out request missing: IRQ count = %d\n", aes_op_irq_occurred); } - if (aes_err_irq_occurred != 0){ fail++; - printf(" ++ AES err request missing: IRQ count = %d\n", aes_err_irq_occurred); } - - NVIC_DisableIRQ(DMA_IRQn); - NVIC_DisableIRQ(EXP0_IRQn); - NVIC_DisableIRQ(EXP1_IRQn); - NVIC_DisableIRQ(EXP2_IRQn); - NVIC_DisableIRQ(EXP3_IRQn); - - printf ("Data retrieved from the AES is: %s\n", id_string); - printf ("Data expected from the AES is: %s\n", rx_char); - if (fail >0) - printf("** AES TESTS FAILED (%d) **\n", fail); - else - printf("** AES TEST PASSED **\n"); - // End simulation - - - UartEndSimulation(); - - return 0; - -} - -/* --------------------------------------------------------------- */ -/* Interrupt handlers */ -/* --------------------------------------------------------------- */ - -void DMA_Handler(void) -{ -if ((DMA_PL230_DMAC->ERR_CLR & 1) != 0) { - /* DMA interrupt is caused by DMA error */ - dma_error_irq_occurred ++; - DMA_PL230_DMAC->ERR_CLR = 1; /* Clear dma_err */ - if (dma_error_irq_expected==0) { - puts ("ERROR : Unexpected DMA error interrupt occurred.\n"); - UartEndSimulation(); - while (1); - } - } -else { - // DMA interrupt is caused by DMA done - dma_done_irq_occurred ++; - if (dma_done_irq_expected==0) { - puts ("ERROR : Unexpected DMA done interrupt occurred.\n"); - UartEndSimulation(); - while (1); - } - } -} - -void EXP0_Handler(void) -{ - // AES128 interrupt is caused by Key buffer empty IRQ - aes_key_irq_occurred ++; - AES128->IRQ_MSK_CLR = AES128_KEY_REQ_BIT; - if (aes_key_irq_expected==0) { - puts ("ERROR : Unexpected AES128 Key buffer empty request interrupt occurred.\n"); - UartEndSimulation(); - while (1); - } -} - -void EXP1_Handler(void) -{ - // AES128 interrupt is caused by Input buffer empty IRQ - aes_ip_irq_occurred ++; - AES128->IRQ_MSK_CLR = AES128_IP_REQ_BIT; - if (aes_ip_irq_expected==0) { - puts ("ERROR : Unexpected AES128 Input buffer empty reqest interrupt occurred.\n"); - UartEndSimulation(); - while (1); - } -} - -void EXP2_Handler(void) -{ - // AES128 interrupt is caused by Output buffer full IRQ - aes_op_irq_occurred ++; - AES128->IRQ_MSK_CLR = AES128_OP_REQ_BIT; - if (aes_op_irq_expected==0) { - puts ("ERROR : Unexpected AES128 Output buffer full reqest interrupt occurred.\n"); - UartEndSimulation(); - while (1); - } -} - -void EXP3_Handler(void) -{ - // AES128 interrupt is caused by Error IRQ - aes_err_irq_occurred ++; - AES128->IRQ_MSK_CLR = AES128_ERR_REQ_BIT; - if (aes_err_irq_expected==0) { - puts ("ERROR : Unexpected AES128 Error interrupt occurred.\n"); - UartEndSimulation(); - while (1); - } -} - diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/dma_pl230_driver.c b/Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/dma_pl230_driver.c deleted file mode 100644 index 8781465..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/dma_pl230_driver.c +++ /dev/null @@ -1,163 +0,0 @@ -#include <stdio.h> -#include <string.h> -#include "dma_pl230_driver.h" - -#define DEBUG_PRINTF(...) do {} while(0); -//#define cpu_to_be32(__x) __x -//#define be32_to_cpu(__x) __x - -#ifdef __cplusplus -extern "C" { -#endif - -static int g_dma_pl230_initialised = 0; - -static dma_pl230_data_structure priv_dma __attribute__((aligned(256))); -// -dma_pl230_data_structure *dma_pl230_table = &priv_dma; - -/* --------------------------------------------------------------- */ -/* Initialize DMA data structure */ -/* --------------------------------------------------------------- */ -void dma_pl230_data_struct_init(void) -{ - int i; /* loop counter */ - -// printf ("dma structure block address = %x\n", dma_pl230_table); - for (i=0; i<MAX_NUM_OF_DMA_CHANNELS; i++) { - dma_pl230_table->Primary[i].SrcEndPointer = 0; - dma_pl230_table->Primary[i].DstEndPointer = 0; - dma_pl230_table->Primary[i].Control = 0; - dma_pl230_table->Alternate[i].SrcEndPointer = 0; - dma_pl230_table->Alternate[i].DstEndPointer = 0; - dma_pl230_table->Alternate[i].Control = 0; - } - g_dma_pl230_initialised = 1; - return; -} - -void dma_pl230_data_struct_init_dbg(void) -{ - int i; /* loop counter */ - unsigned int ptr; - - int ch_num; /* number of channels */ - unsigned int blksize; /* Size of DMA data structure in bytes */ - unsigned int blkmask; /* address mask */ - - - ch_num = (((DMA_PL230_DMAC->DMA_STATUS) >> 16) & 0x1F)+1; - blksize = ch_num * 32; - if (ch_num > 16) blkmask = 0x3FF; /* 17 to 32 */ - else if (ch_num > 8) blkmask = 0x1FF; /* 9 to 16 */ - else if (ch_num > 4) blkmask = 0x0FF; /* 5 to 8 */ - else if (ch_num > 2) blkmask = 0x07F; /* 3 to 4 */ - else if (ch_num > 1) blkmask = 0x03F; /* 2 */ - else blkmask = 0x01F; /* 1 */ - - - /* Create DMA data structure in RAM after stack - In the linker script, a 1KB memory stack above stack is reserved - so we can use this space for putting the DMA data structure. - */ - -// ptr = HW32_REG(0); /* Read Top of Stack */ - ptr = (0x80000000); // force for now as no reserved RAM available - - /* the DMA data structure must be aligned to the size of the data structure */ - if ((ptr & blkmask) != 0x0) - ptr = (ptr + blksize) & ~blkmask; - -/// if ((ptr + blksize) > (RAM_ADDRESS_MAX + 1)) { -/// puts ("ERROR : Not enough RAM space for DMA data structure."); -/// UartEndSimulation(); -/// } - - /* Set pointer to the reserved space */ - dma_pl230_table = (dma_pl230_data_structure *) ptr; - ptr = (unsigned long) &(dma_pl230_table->Primary[0].SrcEndPointer); - - printf ("dma structure block address = %x\n", ptr); - - for (i=0; i<MAX_NUM_OF_DMA_CHANNELS; i++) { - dma_pl230_table->Primary[i].SrcEndPointer = 0; - dma_pl230_table->Primary[i].DstEndPointer = 0; - dma_pl230_table->Primary[i].Control = 0; - dma_pl230_table->Alternate[i].SrcEndPointer = 0; - dma_pl230_table->Alternate[i].DstEndPointer = 0; - dma_pl230_table->Alternate[i].Control = 0; - } - g_dma_pl230_initialised = 1; - return; -} -/* --------------------------------------------------------------- */ -/* Initialize DMA PL230 */ -/* --------------------------------------------------------------- */ -void dma_pl230_init_dbg(unsigned int chan_mask) -{ - unsigned int current_state; - puts ("Initialize PL230"); - current_state = DMA_PL230_DMAC->DMA_STATUS; - printf ("- # of channels allowed : %d\n",(((current_state) >> 16) & 0x1F)+1); - /* Debugging printfs: */ - printf ("- Current status : %x\n",(((current_state) >> 4) & 0xF)); - printf ("- Current master enable : %x\n",(((current_state) >> 0) & 0x1)); - - /* Wait until current DMA complete */ - current_state = (DMA_PL230_DMAC->DMA_STATUS >> 4) & 0xF; - if (!((current_state==0) || (current_state==0x8) || (current_state==0x9))) { - puts ("- wait for DMA IDLE/STALLED/DONE"); - current_state = (DMA_PL230_DMAC->DMA_STATUS >> 4) & 0xF; - printf ("- Current status : %x\n",(((current_state) >> 4) & 0xF)); - - } - while (!((current_state==0) || (current_state==0x8) || (current_state==0x9))){ - /* Wait if not IDLE/STALLED/DONE */ - current_state = (DMA_PL230_DMAC->DMA_STATUS >> 4) & 0xF; - printf ("- Current status : %x\n",(((current_state) >> 4) & 0xF)); - } - DMA_PL230_DMAC->DMA_CFG = 0; /* Disable DMA controller for initialization */ - DMA_PL230_DMAC->CTRL_BASE_PTR = (unsigned long) &(dma_pl230_table->Primary->SrcEndPointer); - /* Set DMA data structure address */ - DMA_PL230_DMAC->CHNL_ENABLE_CLR = 0xFFFFFFFF; /* Disable all channels */ - DMA_PL230_DMAC->CHNL_PRI_ALT_CLR = ((1<<MAX_NUM_OF_DMA_CHANNELS)-1); /* Disable all alt channels */ - DMA_PL230_DMAC->CHNL_ENABLE_SET = (chan_mask & ((1<<MAX_NUM_OF_DMA_CHANNELS)-1)); /* Enable channel */ - DMA_PL230_DMAC->CHNL_USEBURST_SET = (chan_mask & ((1<<MAX_NUM_OF_DMA_CHANNELS)-1)); /* Enable bursts */ - if (chan_mask) - DMA_PL230_DMAC->DMA_CFG = 1; /* Enable DMA controller if enabled channel*/ - return; -} - -void dma_pl230_init(unsigned int chan_mask) -{ - unsigned int current_state; - if (g_dma_pl230_initialised ==0) - dma_pl230_data_struct_init(); - /* Wait until current DMA complete */ - current_state = (DMA_PL230_DMAC->DMA_STATUS >> 4) & 0xF; - while (!((current_state==0) || (current_state==0x8) || (current_state==0x9))){ - /* Wait if not IDLE/STALLED/DONE */ - puts ("- wait for DMA IDLE/STALLED/DONE"); - current_state = (DMA_PL230_DMAC->DMA_STATUS >> 4) & 0xF; - } - DMA_PL230_DMAC->DMA_CFG = 0; /* Disable DMA controller for initialization */ - DMA_PL230_DMAC->CTRL_BASE_PTR = (unsigned long) &(dma_pl230_table->Primary->SrcEndPointer); - /* Set DMA data structure address */ - DMA_PL230_DMAC->CHNL_ENABLE_CLR = ((1<<MAX_NUM_OF_DMA_CHANNELS)-1); /* Disable all channels */ - DMA_PL230_DMAC->CHNL_PRI_ALT_CLR = ((1<<MAX_NUM_OF_DMA_CHANNELS)-1); /* Disable all alt channels */ - DMA_PL230_DMAC->CHNL_ENABLE_SET = (chan_mask & ((1<<MAX_NUM_OF_DMA_CHANNELS)-1)); /* Enable channel */ - DMA_PL230_DMAC->CHNL_USEBURST_SET = (chan_mask & ((1<<MAX_NUM_OF_DMA_CHANNELS)-1)); /* Enable bursts */ - g_dma_pl230_initialised = 2; - if (chan_mask) - DMA_PL230_DMAC->DMA_CFG = 1; /* Enable DMA controller if enabled channel*/ - return; -} - -unsigned int dma_pl230_channel_active(unsigned int chan_mask) -{ - return(DMA_PL230_DMAC->CHNL_ENABLE_SET & chan_mask & ((1<<MAX_NUM_OF_DMA_CHANNELS)-1)); /* Enabled channels */ -} - -#ifdef __cplusplus -} -#endif diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/dma_pl230_driver.h b/Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/dma_pl230_driver.h deleted file mode 100644 index 082b196..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/dma_pl230_driver.h +++ /dev/null @@ -1,198 +0,0 @@ -#ifndef __DMA_PL230_MCU_H -#define __DMA_PL230_MCU_H - -#ifdef __cplusplus -extern "C" { -#endif -#include "CMSDK_CM0.h" - -#define DMA_PL230_BASE (CMSDK_APB_BASE + 0xF000UL) - -#define MAX_NUM_OF_DMA_CHANNELS 2 - -/*------------- PL230 uDMA (PL230) --------------------------------------*/ -/** @addtogroup DMA_PL230 CMSDK uDMA controller - @{ -*/ -typedef struct -{ - __I uint32_t DMA_STATUS; /*!< Offset: 0x000 DMA status Register (R/W) */ - __O uint32_t DMA_CFG; /*!< Offset: 0x004 DMA configuration Register ( /W) */ - __IO uint32_t CTRL_BASE_PTR; /*!< Offset: 0x008 Channel Control Data Base Pointer Register (R/W) */ - __I uint32_t ALT_CTRL_BASE_PTR; /*!< Offset: 0x00C Channel Alternate Control Data Base Pointer Register (R/ ) */ - __I uint32_t DMA_WAITONREQ_STATUS; /*!< Offset: 0x010 Channel Wait On Request Status Register (R/ ) */ - __O uint32_t CHNL_SW_REQUEST; /*!< Offset: 0x014 Channel Software Request Register ( /W) */ - __IO uint32_t CHNL_USEBURST_SET; /*!< Offset: 0x018 Channel UseBurst Set Register (R/W) */ - __O uint32_t CHNL_USEBURST_CLR; /*!< Offset: 0x01C Channel UseBurst Clear Register ( /W) */ - __IO uint32_t CHNL_REQ_MASK_SET; /*!< Offset: 0x020 Channel Request Mask Set Register (R/W) */ - __O uint32_t CHNL_REQ_MASK_CLR; /*!< Offset: 0x024 Channel Request Mask Clear Register ( /W) */ - __IO uint32_t CHNL_ENABLE_SET; /*!< Offset: 0x028 Channel Enable Set Register (R/W) */ - __O uint32_t CHNL_ENABLE_CLR; /*!< Offset: 0x02C Channel Enable Clear Register ( /W) */ - __IO uint32_t CHNL_PRI_ALT_SET; /*!< Offset: 0x030 Channel Primary-Alterante Set Register (R/W) */ - __O uint32_t CHNL_PRI_ALT_CLR; /*!< Offset: 0x034 Channel Primary-Alterante Clear Register ( /W) */ - __IO uint32_t CHNL_PRIORITY_SET; /*!< Offset: 0x038 Channel Priority Set Register (R/W) */ - __O uint32_t CHNL_PRIORITY_CLR; /*!< Offset: 0x03C Channel Priority Clear Register ( /W) */ - uint32_t RESERVED0[3]; - __IO uint32_t ERR_CLR; /*!< Offset: 0x04C Bus Error Clear Register (R/W) */ - -} DMA_PL230_TypeDef; - -#define PL230_DMA_CHNL_BITS 0 - -#define DMA_PL230_DMA_STATUS_MSTREN_Pos 0 /*!< DMA_PL230 DMA STATUS: MSTREN Position */ -#define DMA_PL230_DMA_STATUS_MSTREN_Msk (0x00000001ul << DMA_PL230_DMA_STATUS_MSTREN_Pos) /*!< DMA_PL230 DMA STATUS: MSTREN Mask */ - -#define DMA_PL230_DMA_STATUS_STATE_Pos 0 /*!< DMA_PL230 DMA STATUS: STATE Position */ -#define DMA_PL230_DMA_STATUS_STATE_Msk (0x0000000Ful << DMA_PL230_DMA_STATUS_STATE_Pos) /*!< DMA_PL230 DMA STATUS: STATE Mask */ - -#define DMA_PL230_DMA_STATUS_CHNLS_MINUS1_Pos 0 /*!< DMA_PL230 DMA STATUS: CHNLS_MINUS1 Position */ -#define DMA_PL230_DMA_STATUS_CHNLS_MINUS1_Msk (0x0000001Ful << DMA_PL230_DMA_STATUS_CHNLS_MINUS1_Pos) /*!< DMA_PL230 DMA STATUS: CHNLS_MINUS1 Mask */ - -#define DMA_PL230_DMA_STATUS_TEST_STATUS_Pos 0 /*!< DMA_PL230 DMA STATUS: TEST_STATUS Position */ -#define DMA_PL230_DMA_STATUS_TEST_STATUS_Msk (0x00000001ul << DMA_PL230_DMA_STATUS_TEST_STATUS_Pos) /*!< DMA_PL230 DMA STATUS: TEST_STATUS Mask */ - -#define DMA_PL230_DMA_CFG_MSTREN_Pos 0 /*!< DMA_PL230 DMA CFG: MSTREN Position */ -#define DMA_PL230_DMA_CFG_MSTREN_Msk (0x00000001ul << DMA_PL230_DMA_CFG_MSTREN_Pos) /*!< DMA_PL230 DMA CFG: MSTREN Mask */ - -#define DMA_PL230_DMA_CFG_CPCCACHE_Pos 2 /*!< DMA_PL230 DMA CFG: CPCCACHE Position */ -#define DMA_PL230_DMA_CFG_CPCCACHE_Msk (0x00000001ul << DMA_PL230_DMA_CFG_CPCCACHE_Pos) /*!< DMA_PL230 DMA CFG: CPCCACHE Mask */ - -#define DMA_PL230_DMA_CFG_CPCBUF_Pos 1 /*!< DMA_PL230 DMA CFG: CPCBUF Position */ -#define DMA_PL230_DMA_CFG_CPCBUF_Msk (0x00000001ul << DMA_PL230_DMA_CFG_CPCBUF_Pos) /*!< DMA_PL230 DMA CFG: CPCBUF Mask */ - -#define DMA_PL230_DMA_CFG_CPCPRIV_Pos 0 /*!< DMA_PL230 DMA CFG: CPCPRIV Position */ -#define DMA_PL230_DMA_CFG_CPCPRIV_Msk (0x00000001ul << DMA_PL230_DMA_CFG_CPCPRIV_Pos) /*!< DMA_PL230 DMA CFG: CPCPRIV Mask */ - -#define DMA_PL230_CTRL_BASE_PTR_Pos PL230_DMA_CHNL_BITS + 5 /*!< DMA_PL230 STATUS: BASE_PTR Position */ -#define DMA_PL230_CTRL_BASE_PTR_Msk (0x0FFFFFFFul << DMA_PL230_CTRL_BASE_PTR_Pos) /*!< DMA_PL230 STATUS: BASE_PTR Mask */ - -#define DMA_PL230_ALT_CTRL_BASE_PTR_Pos 0 /*!< DMA_PL230 STATUS: MSTREN Position */ -#define DMA_PL230_ALT_CTRL_BASE_PTR_Msk (0xFFFFFFFFul << DMA_PL230_ALT_CTRL_BASE_PTR_Pos) /*!< DMA_PL230 STATUS: MSTREN Mask */ - -#define DMA_PL230_DMA_WAITONREQ_STATUS_Pos 0 /*!< DMA_PL230 DMA_WAITONREQ_STATUS: DMA_WAITONREQ_STATUS Position */ -#define DMA_PL230_DMA_WAITONREQ_STATUS_Msk (0xFFFFFFFFul << DMA_PL230_DMA_WAITONREQ_STATUS_Pos) /*!< DMA_PL230 DMA_WAITONREQ_STATUS: DMA_WAITONREQ_STATUS Mask */ - -#define DMA_PL230_CHNL_SW_REQUEST_Pos 0 /*!< DMA_PL230 CHNL_SW_REQUEST: CHNL_SW_REQUEST Position */ -#define DMA_PL230_CHNL_SW_REQUEST_Msk (0xFFFFFFFFul << DMA_PL230_CHNL_SW_REQUEST_Pos) /*!< DMA_PL230 CHNL_SW_REQUEST: CHNL_SW_REQUEST Mask */ - -#define DMA_PL230_CHNL_USEBURST_SET_Pos 0 /*!< DMA_PL230 CHNL_USEBURST: SET Position */ -#define DMA_PL230_CHNL_USEBURST_SET_Msk (0xFFFFFFFFul << DMA_PL230_CHNL_USEBURST_SET_Pos) /*!< DMA_PL230 CHNL_USEBURST: SET Mask */ - -#define DMA_PL230_CHNL_USEBURST_CLR_Pos 0 /*!< DMA_PL230 CHNL_USEBURST: CLR Position */ -#define DMA_PL230_CHNL_USEBURST_CLR_Msk (0xFFFFFFFFul << DMA_PL230_CHNL_USEBURST_CLR_Pos) /*!< DMA_PL230 CHNL_USEBURST: CLR Mask */ - -#define DMA_PL230_CHNL_REQ_MASK_SET_Pos 0 /*!< DMA_PL230 CHNL_REQ_MASK: SET Position */ -#define DMA_PL230_CHNL_REQ_MASK_SET_Msk (0xFFFFFFFFul << DMA_PL230_CHNL_REQ_MASK_SET_Pos) /*!< DMA_PL230 CHNL_REQ_MASK: SET Mask */ - -#define DMA_PL230_CHNL_REQ_MASK_CLR_Pos 0 /*!< DMA_PL230 CHNL_REQ_MASK: CLR Position */ -#define DMA_PL230_CHNL_REQ_MASK_CLR_Msk (0xFFFFFFFFul << DMA_PL230_CHNL_REQ_MASK_CLR_Pos) /*!< DMA_PL230 CHNL_REQ_MASK: CLR Mask */ - -#define DMA_PL230_CHNL_ENABLE_SET_Pos 0 /*!< DMA_PL230 CHNL_ENABLE: SET Position */ -#define DMA_PL230_CHNL_ENABLE_SET_Msk (0xFFFFFFFFul << DMA_PL230_CHNL_ENABLE_SET_Pos) /*!< DMA_PL230 CHNL_ENABLE: SET Mask */ - -#define DMA_PL230_CHNL_ENABLE_CLR_Pos 0 /*!< DMA_PL230 CHNL_ENABLE: CLR Position */ -#define DMA_PL230_CHNL_ENABLE_CLR_Msk (0xFFFFFFFFul << DMA_PL230_CHNL_ENABLE_CLR_Pos) /*!< DMA_PL230 CHNL_ENABLE: CLR Mask */ - -#define DMA_PL230_CHNL_PRI_ALT_SET_Pos 0 /*!< DMA_PL230 CHNL_PRI_ALT: SET Position */ -#define DMA_PL230_CHNL_PRI_ALT_SET_Msk (0xFFFFFFFFul << DMA_PL230_CHNL_PRI_ALT_SET_Pos) /*!< DMA_PL230 CHNL_PRI_ALT: SET Mask */ - -#define DMA_PL230_CHNL_PRI_ALT_CLR_Pos 0 /*!< DMA_PL230 CHNL_PRI_ALT: CLR Position */ -#define DMA_PL230_CHNL_PRI_ALT_CLR_Msk (0xFFFFFFFFul << DMA_PL230_CHNL_PRI_ALT_CLR_Pos) /*!< DMA_PL230 CHNL_PRI_ALT: CLR Mask */ - -#define DMA_PL230_CHNL_PRIORITY_SET_Pos 0 /*!< DMA_PL230 CHNL_PRIORITY: SET Position */ -#define DMA_PL230_CHNL_PRIORITY_SET_Msk (0xFFFFFFFFul << DMA_PL230_CHNL_PRIORITY_SET_Pos) /*!< DMA_PL230 CHNL_PRIORITY: SET Mask */ - -#define DMA_PL230_CHNL_PRIORITY_CLR_Pos 0 /*!< DMA_PL230 CHNL_PRIORITY: CLR Position */ -#define DMA_PL230_CHNL_PRIORITY_CLR_Msk (0xFFFFFFFFul << DMA_PL230_CHNL_PRIORITY_CLR_Pos) /*!< DMA_PL230 CHNL_PRIORITY: CLR Mask */ - -#define DMA_PL230_ERR_CLR_Pos 0 /*!< DMA_PL230 ERR: CLR Position */ -#define DMA_PL230_ERR_CLR_Msk (0x00000001ul << DMA_PL230_ERR_CLR_Pos) /*!< DMA_PL230 ERR: CLR Mask */ - - -#define HW32_REG(ADDRESS) (*((volatile unsigned long *)(ADDRESS))) - - /* Maximum to 32 DMA channel */ - /* SRAM in example system is 64K bytes */ -#define RAM_ADDRESS_MAX 0x80001fff - -typedef struct /* 4 words */ -{ - volatile unsigned char* SrcEndPointer; - volatile unsigned char* DstEndPointer; - volatile unsigned long Control; - volatile unsigned long unused; -} dma_pl230_channel_data; - - -typedef struct /* 8 words per channel */ -{ /* was one channel in the example uDMA setup */ - volatile dma_pl230_channel_data Primary[MAX_NUM_OF_DMA_CHANNELS]; - volatile dma_pl230_channel_data Alternate[MAX_NUM_OF_DMA_CHANNELS]; -} dma_pl230_data_structure; - - -extern dma_pl230_data_structure *dma_pl230_table; - -#define DMA_PL230_DMAC ((DMA_PL230_TypeDef *) DMA_PL230_BASE) - -#define DMA_PL230_PTR_END(__ptr, __siz, __num) \ - ((unsigned char *) __ptr + ((1<<__siz)*(__num-1))) - -#define DMA_PL230_CTRL(__cyc, __siz, __num, __rpwr) \ - (((unsigned long) __siz << 30)|(__siz << 28)|(__siz << 26)|(__siz << 24)| \ - (1 << 21)|(1 << 18)|(__rpwr << 14)|(((__num-1)&0x3ff)<<4)| \ - (1 << 3)|(__cyc << 0) ) - -#define DMA_PL230_CTRL_SRCFIX(__cyc, __siz, __num, __rpwr) \ - (((unsigned long) __siz << 30)|(__siz << 28)|(0x0c000000UL)|(__siz << 24)| \ - (1 << 21)|(1 << 18)|(__rpwr << 14)|(((__num-1)&0x3ff)<<4)| \ - (1 << 3)|(__cyc << 0) ) - -#define DMA_PL230_CTRL_DSTFIX(__cyc, __siz, __num, __rpwr) \ - ((0xc0000000UL)|(__siz << 28)|(__siz << 26)|(__siz << 24)| \ - (1 << 21)|(1 << 18)|(__rpwr << 14)|(((__num-1)&0x3ff)<<4)| \ - (1 << 3)|(__cyc << 0) ) - -#define DMA_PL230_MAX_XFERS (0x400) - -#define PL230_CTRL_CYCLE_STOP 0 -#define PL230_CTRL_CYCLE_BASIC 1 -#define PL230_CTRL_CYCLE_AUTO 2 -#define PL230_CTRL_CYCLE_PPONG 3 -#define PL230_CTRL_CYCLE_MEM_CHAIN_PRI 4 -#define PL230_CTRL_CYCLE_MEM_CHAIN_ALT 5 -#define PL230_CTRL_CYCLE_DEV_CHAIN_PRI 6 -#define PL230_CTRL_CYCLE_DEV_CHAIN_ALT 7 - -#define PL230_CTRL_RPWR_1 0 -#define PL230_CTRL_RPWR_2 1 -#define PL230_CTRL_RPWR_4 2 -#define PL230_CTRL_RPWR_8 3 -#define PL230_CTRL_RPWR_16 4 - -#define PL230_XFER_B 0 -#define PL230_XFER_H 1 -#define PL230_XFER_W 2 - -/* --------------------------------------------------------------- */ -/* Initialize DMA data structure */ -/* --------------------------------------------------------------- */ -void dma_pl230_data_struct_init(void); - -/* --------------------------------------------------------------- */ -/* Initialize DMA PL230 */ -/* --------------------------------------------------------------- */ -void dma_pl230_init_dbg(unsigned int chan_mask); -void dma_pl230_init(unsigned int chan_mask); - -/* --------------------------------------------------------------- */ -/* Check DMA PL230 DMA channel(s) active (return 0 when finishes) */ -/* --------------------------------------------------------------- */ -unsigned int dma_pl230_channel_active(unsigned int chan_mask); - -#ifdef __cplusplus -} -#endif - -#endif /* __DMA_PL230_MCU_H */ - diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/makefile deleted file mode 100644 index 4467920..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/aes128_tests/makefile +++ /dev/null @@ -1,262 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -# -# Revision : $Revision: 371321 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0 - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = aes128_tests - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -#ARM_CC_OPTIONS = -c -O3 -g -Otime -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ -# -I $(SOFTWARE_DIR)/common/retarget $(USER_DEFINE) -#ARM_ASM_OPTIONS = -g -#ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ -# --rw_base 0x30000000 --ro_base 0x00000000 --map --info sizes - -ARM_CC_OPTIONS = -c -O3 -Ospace -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget $(USER_DEFINE) -ARM_ASM_OPTIONS = -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --no_debug --rw_base 0x30000000 --ro_base 0x00000000 --map --info sizes - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst $(TESTNAME).bin - -$(TESTNAME).o : $(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -dma_pl230_driver.o : dma_pl230_driver.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o dma_pl230_driver.o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - armlink $(ARM_LINK_OPTIONS) -o $@ $(TESTNAME).o dma_pl230_driver.o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - -$(TESTNAME).lst : $(TESTNAME).ELF - fromelf -c -d -e -s -z -v $< --output $@ - -$(TESTNAME).bin : $(TESTNAME).ELF - fromelf --bin $< --output $@ - - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvopt deleted file mode 100644 index 59bbba0..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvopt +++ /dev/null @@ -1,261 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\apb_mux_tests.c</PathWithFileName> - <FilenameWithoutPath>apb_mux_tests.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvproj deleted file mode 100644 index 9b96ead..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0.uvproj +++ /dev/null @@ -1,435 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>apb_mux_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output apb_mux_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>apb_mux_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\apb_mux_tests.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvopt deleted file mode 100644 index e735ca8..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvopt +++ /dev/null @@ -1,244 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\apb_mux_tests.c</PathWithFileName> - <FilenameWithoutPath>apb_mux_tests.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvproj deleted file mode 100644 index f19bc04..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/apb_mux_tests_cm0p.uvproj +++ /dev/null @@ -1,432 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>apb_mux_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output apb_mux_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>apb_mux_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\apb_mux_tests.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/makefile deleted file mode 100644 index dd1c491..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/apb_mux_tests/makefile +++ /dev/null @@ -1,251 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -# -# Revision : $Revision: 371321 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = apb_mux_tests - -# Endian Option -COMPILE_BIGEND = 0 - - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# D5-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget $(USER_DEFINE) -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 - -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - armlink $(ARM_LINK_OPTIONS) -o $@ $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - -$(TESTNAME).lst : $(TESTNAME).ELF makefile - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean - -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0.uvopt deleted file mode 100644 index 54e406f..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0.uvopt +++ /dev/null @@ -1,229 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\bootloader\bootloader.c</PathWithFileName> - <FilenameWithoutPath>bootloader.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0.uvproj deleted file mode 100644 index cadb326..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0.uvproj +++ /dev/null @@ -1,425 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>bootloader</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output bootloader.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x1000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>bootloader.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\bootloader\bootloader.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0p.uvopt deleted file mode 100644 index d7a2948..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0p.uvopt +++ /dev/null @@ -1,216 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\bootloader\bootloader.c</PathWithFileName> - <FilenameWithoutPath>bootloader.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0p.uvproj deleted file mode 100644 index ba95d12..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/bootloader_cm0p.uvproj +++ /dev/null @@ -1,422 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>bootloader</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output bootloader.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x1000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>bootloader.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\bootloader\bootloader.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/makefile deleted file mode 100644 index c62e321..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/bootloader/makefile +++ /dev/null @@ -1,254 +0,0 @@ -#//----------------------------------------------------------------------------- -#// customised Cortex-M0 'nanosoc' controller -#// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -#// -#// Contributors -#// -#// David Flynn (d.w.flynn@soton.ac.uk) -#// -#// Copyright � 2021-3, SoC Labs (www.soclabs.org) -#//----------------------------------------------------------------------------- - -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -# -# Revision : $Revision: 371321 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0 - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -BOOTLOADER = bootloader - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime -I $(DEVICE_DIR)/Include -I $(CORE_DIR) $(USER_DEFINE) -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x10000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_bootloader.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 -all_ds5 : $(BOOTLOADER).hex $(BOOTLOADER).lst - -$(BOOTLOADER).o : $(SOFTWARE_DIR)/common/bootloader/$(BOOTLOADER).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(BOOTLOADER).ELF : $(BOOTLOADER).o $(SYSTEM_FILE).o $(STARTUP_FILE).o $(DEPS_LIST) - armlink $(ARM_LINK_OPTIONS) $(BOOTLOADER).o $(SYSTEM_FILE).o $(STARTUP_FILE).o -o $@ - -$(BOOTLOADER).hex : $(BOOTLOADER).ELF $(DEPS_LIST) - fromelf --vhx --8x1 $< --output $@ - -$(BOOTLOADER).lst : $(BOOTLOADER).ELF $(DEPS_LIST) - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc - -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/bootloader/$(BOOTLOADER).c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(BOOTLOADER).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(BOOTLOADER).o > $(BOOTLOADER).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(BOOTLOADER).o -O binary $(BOOTLOADER).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(BOOTLOADER).o --adjust-vma -0x10000000 -O verilog $(BOOTLOADER).hex - -# Note: -# Objcopy use --adjust-vma so that the Verilog hex address start at address 0 instead of actual address 0x10000000 -# -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(BOOTLOADER).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(BOOTLOADER).bin > $(BOOTLOADER).hex - -# --------------------------------------------------------------------------------------- -# Clean -clean : - @rm -rf *.o - @if [ -e $(BOOTLOADER).hex ] ; then \ - rm -rf $(BOOTLOADER).hex ; \ - fi - @if [ -e $(BOOTLOADER).lst ] ; then \ - rm -rf $(BOOTLOADER).lst ; \ - fi - @if [ -e $(BOOTLOADER).ELF ] ; then \ - rm -rf $(BOOTLOADER).ELF ; \ - fi - @if [ -e $(BOOTLOADER).bin ] ; then \ - rm -rf $(BOOTLOADER).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia - diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0.uvopt deleted file mode 100644 index c834b4c..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0.uvopt +++ /dev/null @@ -1,293 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\debug_tests\debug_tests.c</PathWithFileName> - <FilenameWithoutPath>debug_tests.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\generic\mcu_debugtester_interface.c</PathWithFileName> - <FilenameWithoutPath>mcu_debugtester_interface.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>7</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\CMSDK_driver.c</PathWithFileName> - <FilenameWithoutPath>CMSDK_driver.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0.uvproj deleted file mode 100644 index 4571bb5..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0.uvproj +++ /dev/null @@ -1,445 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>debug_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output debug_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget; ..\..\..\..\software\debug_tester; ..\..\..\..\software\common\debug_tests; ..\generic</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>debug_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\debug_tests\debug_tests.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>mcu_debugtester_interface.c</FileName> - <FileType>1</FileType> - <FilePath>..\generic\mcu_debugtester_interface.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - <File> - <FileName>CMSDK_driver.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\CMSDK_driver.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0p.uvopt deleted file mode 100644 index 80d160b..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0p.uvopt +++ /dev/null @@ -1,272 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\debug_tests\debug_tests.c</PathWithFileName> - <FilenameWithoutPath>debug_tests.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\generic\mcu_debugtester_interface.c</PathWithFileName> - <FilenameWithoutPath>mcu_debugtester_interface.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>7</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\CMSDK_driver.c</PathWithFileName> - <FilenameWithoutPath>CMSDK_driver.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0p.uvproj deleted file mode 100644 index ab2bfbb..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/debug_tests_cm0p.uvproj +++ /dev/null @@ -1,442 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>debug_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output debug_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget; ..\..\..\..\software\debug_tester; ..\..\..\..\software\common\debug_tests; ..\generic</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>debug_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\debug_tests\debug_tests.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>mcu_debugtester_interface.c</FileName> - <FileType>1</FileType> - <FilePath>..\generic\mcu_debugtester_interface.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - <File> - <FileName>CMSDK_driver.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\CMSDK_driver.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/makefile deleted file mode 100644 index 1c0d5f1..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/debug_tests/makefile +++ /dev/null @@ -1,265 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2010-08-06 12:28:21 +0100 (Fri, 06 Aug 2010) $ -# -# Revision : $Revision: 145378 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0 - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include -GENERIC_DIR = ../generic - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = debug_tests - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# Configuration ID values -GENERIC_FILE = $(GENERIC_DIR)/config_id.h - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime $(USER_DEFINE) -I $(GENERIC_DIR) \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) -I $(SOFTWARE_DIR)/common/retarget \ - -I $(SOFTWARE_DIR)/debug_tester -ARM_ASM_OPTIONS = -g - -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/debug_tests/$(TESTNAME).c $(SOFTWARE_DIR)/common/debug_tests/$(TESTNAME).h $(GENERIC_FILE) $(SOFTWARE_DIR)/debug_tester/debugtester_functions.h $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -mcu_debugtester_interface.o : $(GENERIC_DIR)/mcu_debugtester_interface.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -CMSDK_driver.o : $(DEVICE_DIR)/Source/CMSDK_driver.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF: $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o CMSDK_driver.o mcu_debugtester_interface.o - armlink $(ARM_LINK_OPTIONS) -o $@ $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o CMSDK_driver.o mcu_debugtester_interface.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - -$(TESTNAME).lst : $(TESTNAME).ELF - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/debug_tests/$(TESTNAME).c \ - $(GENERIC_DIR)/mcu_debugtester_interface.c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/CMSDK_driver.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(GENERIC_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -I $(SOFTWARE_DIR)/debug_tester \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean - -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvopt deleted file mode 100644 index cd7bd16..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvopt +++ /dev/null @@ -1,261 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\default_slaves_tests.c</PathWithFileName> - <FilenameWithoutPath>default_slaves_tests.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvproj deleted file mode 100644 index dc2614c..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0.uvproj +++ /dev/null @@ -1,435 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>default_slaves_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output default_slaves_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>default_slaves_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\default_slaves_tests.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvopt deleted file mode 100644 index 5b56980..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvopt +++ /dev/null @@ -1,244 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\default_slaves_tests.c</PathWithFileName> - <FilenameWithoutPath>default_slaves_tests.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvproj deleted file mode 100644 index 9b1eb99..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/default_slaves_tests_cm0p.uvproj +++ /dev/null @@ -1,432 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>default_slaves_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output default_slaves_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>default_slaves_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\default_slaves_tests.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/makefile deleted file mode 100644 index 2437c5c..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/default_slaves_tests/makefile +++ /dev/null @@ -1,251 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -# -# Revision : $Revision: 371321 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = default_slaves_tests - -# Endian Option -COMPILE_BIGEND = 0 - - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime $(USER_DEFINE) \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) -I $(SOFTWARE_DIR)/common/retarget -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 - -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - armlink $(ARM_LINK_OPTIONS) -o $@ $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - -$(TESTNAME).lst : $(TESTNAME).ELF makefile - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean - -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0.uvopt deleted file mode 100644 index 41e4c6c..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0.uvopt +++ /dev/null @@ -1,277 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\dhry\dhry_1.c</PathWithFileName> - <FilenameWithoutPath>dhry_1.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\dhry\dhry_2.c</PathWithFileName> - <FilenameWithoutPath>dhry_2.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0.uvproj deleted file mode 100644 index ecbc35d..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0.uvproj +++ /dev/null @@ -1,440 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>dhry</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output dhry.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>1</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls>-Ono_inline --no_inline --no_multifile -Oloopref </MiscControls> - <Define>CORTEX_M0 USE_PRINT ITERATIONS=200 MSC_CLOCK EXPECTED_SYST</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>dhry_1.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\dhry\dhry_1.c</FilePath> - </File> - <File> - <FileName>dhry_2.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\dhry\dhry_2.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0p.uvopt deleted file mode 100644 index 0eea87d..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0p.uvopt +++ /dev/null @@ -1,258 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\dhry\dhry_1.c</PathWithFileName> - <FilenameWithoutPath>dhry_1.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\dhry\dhry_2.c</PathWithFileName> - <FilenameWithoutPath>dhry_2.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0p.uvproj deleted file mode 100644 index b5b42b1..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/dhry_cm0p.uvproj +++ /dev/null @@ -1,437 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>dhry</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output dhry.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>1</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls>-Ono_inline --no_inline --no_multifile -Oloopref </MiscControls> - <Define>CORTEX_M0PLUS USE_PRINT ITERATIONS=200 MSC_CLOCK EXPECTED_SYST</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>dhry_1.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\dhry\dhry_1.c</FilePath> - </File> - <File> - <FileName>dhry_2.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\dhry\dhry_2.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/makefile deleted file mode 100644 index 70c1b5d..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/makefile +++ /dev/null @@ -1,260 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -# -# Revision : $Revision: 371321 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = dhry - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -DITERATIONS=200 -else - USER_DEFINE = -DCORTEX_M0 -DITERATIONS=200 -endif - -DEPS_LIST = makefile -DHRY_ARMCC_OPTION = -Ono_inline --no_inline --no_multifile -Oloopref - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime \ - -I $(CORE_DIR) -I $(DEVICE_DIR)/Include -I $(SOFTWARE_DIR)/common/retarget \ - -I $(SOFTWARE_DIR)/common/dhry \ - $(DHRY_ARMCC_OPTION) $(USER_DEFINE) -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) -fno-inline -fno-common -Wl,-Map -Wl,$(TESTNAME).map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 - -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -dhry_1.o : $(SOFTWARE_DIR)/common/dhry/dhry_1.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -dhry_2.o : $(SOFTWARE_DIR)/common/dhry/dhry_2.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - - -$(TESTNAME).ELF : dhry_1.o dhry_2.o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - armlink $(ARM_LINK_OPTIONS) -o $@ dhry_1.o dhry_2.o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - - -$(TESTNAME).lst : $(TESTNAME).ELF - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/dhry/dhry_1.c \ - $(SOFTWARE_DIR)/common/dhry/dhry_2.c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) -I $(SOFTWARE_DIR)/common/retarget \ - -I $(SOFTWARE_DIR)/common/dhry \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean - -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/transcript b/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/transcript deleted file mode 100644 index 58d44cd..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dhry/transcript +++ /dev/null @@ -1,14 +0,0 @@ -# // Questa Sim-64 -# // Version 10.7c linux_x86_64 Aug 17 2018 -# // -# // Copyright 1991-2018 Mentor Graphics Corporation -# // All Rights Reserved. -# // -# // QuestaSim and its associated documentation contain trade -# // secrets and commercial or financial information that are the property of -# // Mentor Graphics Corporation and are privileged, confidential, -# // and exempt from disclosure under the Freedom of Information Act, -# // 5 U.S.C. Section 552. Furthermore, this information -# // is prohibited from disclosure under the Trade Secrets Act, -# // 18 U.S.C. Section 1905. -# // diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0.uvopt deleted file mode 100644 index ad90f6c..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0.uvopt +++ /dev/null @@ -1,261 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\dma_tests.c</PathWithFileName> - <FilenameWithoutPath>dma_tests.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0.uvproj deleted file mode 100644 index 7487889..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0.uvproj +++ /dev/null @@ -1,435 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>dma_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output dma_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget; ..\generic</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>dma_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\dma_tests.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0p.uvopt deleted file mode 100644 index 617768f..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0p.uvopt +++ /dev/null @@ -1,244 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\dma_tests.c</PathWithFileName> - <FilenameWithoutPath>dma_tests.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0p.uvproj deleted file mode 100644 index b453d95..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/dma_tests_cm0p.uvproj +++ /dev/null @@ -1,432 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>dma_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output dma_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget; ..\generic</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>dma_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\dma_tests.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/makefile deleted file mode 100644 index c4aff4d..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dma_tests/makefile +++ /dev/null @@ -1,253 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -# -# Revision : $Revision: 371321 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include -GENERIC_DIR = ../generic - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = dma_tests - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# Configuration ID values -GENERIC_FILE = $(GENERIC_DIR)/config_id.h - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime $(USER_DEFINE) -I $(GENERIC_DIR) \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) -I $(SOFTWARE_DIR)/common/retarget -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 - -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c $(GENERIC_FILE) $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - armlink $(ARM_LINK_OPTIONS) -o $@ $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - -$(TESTNAME).lst : $(TESTNAME).ELF makefile - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) -I $(GENERIC_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean - -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvopt deleted file mode 100644 index 32eab05..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvopt +++ /dev/null @@ -1,261 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\demos\dualtimer_demo.c</PathWithFileName> - <FilenameWithoutPath>dualtimer_demo.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvproj deleted file mode 100644 index 19d2564..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0.uvproj +++ /dev/null @@ -1,435 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>dualtimer_demo</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output dualtimer_demo.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>dualtimer_demo.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\demos\dualtimer_demo.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvopt deleted file mode 100644 index 97f2811..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvopt +++ /dev/null @@ -1,244 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\demos\dualtimer_demo.c</PathWithFileName> - <FilenameWithoutPath>dualtimer_demo.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvproj deleted file mode 100644 index 22abff1..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/dualtimer_demo_cm0p.uvproj +++ /dev/null @@ -1,432 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>dualtimer_demo</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output dualtimer_demo.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>dualtimer_demo.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\demos\dualtimer_demo.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/makefile deleted file mode 100644 index 6863911..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/dualtimer_demo/makefile +++ /dev/null @@ -1,250 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -# -# Revision : $Revision: 371321 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = dualtimer_demo - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option (DS-5) -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget $(USER_DEFINE) -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/demos/$(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - armlink $(ARM_LINK_OPTIONS) $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o -o $@ - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - - -$(TESTNAME).lst : $(TESTNAME).ELF - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/demos/$(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/generic/config_id.h b/Cortex-M0/nanosoc/systems/mcu/testcodes/generic/config_id.h deleted file mode 100644 index 3a4f01b..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/generic/config_id.h +++ /dev/null @@ -1,408 +0,0 @@ -//----------------------------------------------------------------------------- -// The confidential and proprietary information contained in this file may -// only be used by a person authorised under and to the extent permitted -// by a subsisting licensing agreement from Arm Limited or its affiliates. -// -// (C) COPYRIGHT 2013 Arm Limited or its affiliates. -// ALL RIGHTS RESERVED -// -// This entire notice must be reproduced on all copies of this file -// and copies of this file may only be made by a person if such person is -// permitted to do so under the terms of a subsisting license agreement -// from Arm Limited or its affiliates. -// -// SVN Information -// -// Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -// -// Revision : $Revision: 371321 $ -// -// Release Information : Cortex-M System Design Kit-r1p1-00rel0 -//----------------------------------------------------------------------------- -// - -#define TEST_PASS 0 -#define TEST_FAIL 1 -//============================================================================== -// Cortex-M0+ & Cortex-M0 IDs header File -//============================================================================== - -// Values used by generic tests, such as debug_tests, romtable_tests - -#ifdef CORTEX_M0PLUS -#define MCU_CPU_NAME "Cortex-M0+" -#define MCU_CPU_ID_VALUE 0x410cc601 -#define MCU_DP_IDR_VALUE 0x0BC11477 -#define MCU_AP_IDR_VALUE 0x04770031 -#define MCU_AP_BASE_VALUE 0xF0000003 -#else -#define MCU_CPU_NAME "Cortex-M0" -#define MCU_CPU_ID_VALUE 0x410cc200 -#define MCU_DP_IDR_VALUE 0x0BB11477 -#define MCU_AP_IDR_VALUE 0x04770021 -#define MCU_AP_BASE_VALUE 0xE00FF003 -#endif - -//============================================================================== -// -// GenericID values - DO NOT MODIFY -// - -// CoreSight Component Identifier for Peripheral classes -#define CORESIGHT_CID0 0x0D -#define CORESIGHT_CID1_tbl 0x10 -#define CORESIGHT_CID1_dbg 0x90 -#define CORESIGHT_CID1_mem 0xE0 -#define CORESIGHT_CID1_prm 0xF0 -#define CORESIGHT_CID2 0x05 -#define CORESIGHT_CID3 0xB1 - -// Component Part Numbers -#define ARM_JEP_ID 0x3B -#define ARM_JEP_CONT 0x4 - -//============================================================================== -// -// Cortex-M0 ID values -#define CORTEXM0_CPUID 0x410cc200 - -#define CM0_CPU_PART 0x471 -#define CM0_SCS_PART 0x008 -#define CM0_DWT_PART 0x00A -#define CM0_BPU_PART 0x00B -#define CM0_CPU_PART 0x471 -#define CM0_CSI_PART 0x4C2 -#define CS_CTI_PART 0x906 -#define CM0_DAP_DP_PART 0xBB - -// Revision Codes -#define CM0_ROM_REV 0x0 -#define CM0_SCS_REV 0x0 -#define CM0_DWT_REV 0x0 -#define CM0_BPU_REV 0x0 - -// Revand -#define CM0_ROM_ECO 0x0 -#define CM0_SCS_ECO 0x0 -#define CM0_DWT_ECO 0x0 -#define CM0_BPU_ECO 0x0 - -#define CORTEXM0DAP_JTAG_TAPID 0x0BA01477 -#define CORTEXM0DAP_JTAG_DPIDR 0x0BB11477 -#define CORTEXM0DAP_SW_DPIDR 0x0BB11477 -#define CORTEXM0DAP_AP_IDR 0x04770021 - -#define CORTEXM0_ROM_PID3 0x00 -#define CORTEXM0_SCS_PID3 0x00 -#define CORTEXM0_DWT_PID3 0x00 -#define CORTEXM0_BPU_PID3 0x00 - -//============================================================================== -// -// Cortex-M0+ ID values -#define CORTEXM0PLUS_CPUID (0x410cc601 ^ (EXPECTED_ECOREVNUM & 0x0000000F)) - -#define CM0P_CPU_PART 0x4C0 -#define CM0P_SCS_PART 0x008 -#define CM0P_DWT_PART 0x00A -#define CM0P_BPU_PART 0x00B -#define CM0P_MTB_PART 0x932 -#define CM0P_CSI_PART 0x4C1 -#define CM0P_CTI_PART 0x9A6 -#define CM0P_DAP_DP_PART 0xBC - -// Revision Codes -#define CM0P_ROM_REV 0x0 -#define CM0P_SCS_REV 0x0 -#define CM0P_DWT_REV 0x0 -#define CM0P_BPU_REV 0x0 -#define CM0P_MTB_REV 0x1 -#define CM0P_DAP_DP_REV (0x0 ^ ((EXPECTED_ECOREVNUM & 0x0F000000) >> 24)) -#define CM0P_DAP_AP_REV (0x0 ^ ((EXPECTED_ECOREVNUM & 0x00F00000) >> 20)) - -// Revand -#define CM0P_ROM_ECO ((EXPECTED_ECOREVNUM & 0x000F0000) >> 16) -#define CM0P_SCS_ECO ((EXPECTED_ECOREVNUM & 0x0000F000) >> 12) -#define CM0P_DWT_ECO ((EXPECTED_ECOREVNUM & 0x00000F00) >> 8) -#define CM0P_BPU_ECO ((EXPECTED_ECOREVNUM & 0x000000F0) >> 4) -#define CM0P_MTB_ECO ((EXPECTED_ECOREVNUM & 0xF0000000) >> 28) - -// DAP Registers -#define CM0P_DAP_JTAG_TAPID (0x0BA01477 | (CM0P_DAP_DP_REV << 28)) -#define CM0P_DAP_JTAG_DPIDR (0x0BC11477 | (CM0P_DAP_DP_REV << 28)) -#define CM0P_DAP_SW_DPIDR (0x0BC11477 | (CM0P_DAP_DP_REV << 28)) -#define CM0P_DAP_SWMD_DPIDR (0x0BC12477 | (CM0P_DAP_DP_REV << 28)) -#define CM0P_DAP_APIDR (0x04770031 | (CM0P_DAP_AP_REV << 28)) - -//============================================================================== - -//===================================================================== -// Cortex-M0 & Cortex-M0+ Configuration -//===================================================================== - -//////////////////////////////////////////////////////////////////////////////// -// -// Processor configuration options. -// These must match the expected hardware configuration of the processor. -// -// - EXPECTED_BE : Expected Endianness (0-1) -// - EXPECTED_BKPT : Expected number of Breakpoint Comparators (0-4) -// - EXPECTED_DBG : Expected Debug config (0-1) -// - EXPECTED_NUMIRQ : Expected number of IRQ lines (0-32) -// - EXPECTED_SMUL : Expected Multiplier config (0-1) -// - EXPECTED_SYST : Expected SysTick extension (0-1) -// - EXPECTED_WPT : Expected number of Watchpoint Comparators (0-2) -// -// Processor Tie-offs -// -// - EXPECTED_STCALIB : Expected value of STCALIB (0-0x3FFFFFF) -// -//////////////////////////////////////////////////////////////////////////////// -// -// System ROM Table options. -// These must match the values in the System ROM Table. -// -// - EXPECTED_CUST_JEP_ID : Expected JEDEC JEP-106 identity code (0-0x7F) -// - EXPECTED_CUST_JEP_CONT : Expected JEDEC JEP-106 continuation code (0-0xF) -// - EXPECTED_CUST_PART : Expected Part number (0-0xFFF) -// - EXPECTED_CUST_REV : Expected Revision number (0-0xF) -// - EXPECTED_CUST_REVAND : Expected Manufacturer Revision number (0-0xF) -// -//////////////////////////////////////////////////////////////////////////////// -// -// Engineering Change Order and Revision Number Tie-off. -// -// - EXPECTED_ECOREVNUM : Expected Engineering Change Order and Revision number (0-0xFFFFFFFF) -// -//////////////////////////////////////////////////////////////////////////////// - -// <h> Processor configuration options -// <o> EXPECTED_BE: Expected Endianness <0=> Little Endian <1=> Big Endian -#define EXPECTED_BE 0 - - -// <o> EXPECTED_BKPT: Expected number of Breakpoint Comparators <0-4> -#define EXPECTED_BKPT 4 - - -// <o> EXPECTED_DBG: Expected Debug config <0=> Absent <1=> Present -#define EXPECTED_DBG 1 - - -// <o> EXPECTED_NUMIRQ: Expected number of IRQ lines <0-32> -#define EXPECTED_NUMIRQ 32 - - -// <o> EXPECTED_SMUL: Expected Small Multiplier config <0=> Absent (Fast Multiplier) <1=> Present (Small Multiplier) -#define EXPECTED_SMUL 0 - - -// <o> EXPECTED_SYST: Expected SysTick extension <0=> Absent <1=> Present -#define EXPECTED_SYST 1 - - -// <o> EXPECTED_WPT: Expected number of Watchpoint Comparators <0-2> -#define EXPECTED_WPT 2 - - -// <o> EXPECTED_SIMPLE_CHECK: <1=> only run the simple CPUID check <0=> more complex check included -// like Lockup, Sleep, other ID check etc -#define EXPECTED_SIMPLE_CHECK 0 - - -// <h> Processor port Tie-Offs -// <o> EXPECTED_STCALIB: Expected value of STCALIB[25:0] at CORTEXM0INTEGRATION or CORTEXM0 <0x0-0x3FFFFFF> -#define EXPECTED_STCALIB 0x1028B0A - - -// <o> EXPECTED_BASEADDR: Expected value of BASEADDR[31:0] at CORTEXM0DAP <0x0-0xFFFFFFFF> -#ifdef CORTEX_M0 -#define EXPECTED_BASEADDR 0xE00FF003 -#else -#define EXPECTED_BASEADDR 0xF0000003 -#endif - -// <o> EXPECTED_JTAGnSW: Expected Cortex M0(+) DAP Protocol <0=> Serial Wire <1=> JTAG -#define EXPECTED_JTAGnSW 0 - -// <h> System ROM Table ID values -// <o> EXPECTED_CUST_JEP_ID: Expected JEDEC JEP-106 identity code (0-0x7F) -#define EXPECTED_CUST_JEP_ID 0x00 - - -// <o> EXPECTED_CUST_JEP_CONT: Expected JEDEC JEP-106 continuation code (0-0xF) -#define EXPECTED_CUST_JEP_CONT 0x0 - - -// <o> EXPECTED_CUST_PART: Expected Part number (0-0xFFF) -#define EXPECTED_CUST_PART 0x000 - - -// <o> EXPECTED_CUST_REV: Expected Revision number (0-0xF) -#define EXPECTED_CUST_REV 0x0 - - -// <o> EXPECTED_CUST_REVAND: Expected Manufacturer Revision number (0-0xF) -#define EXPECTED_CUST_REVAND 0x0 - -// </h> - -// <h> EXPECTED_ECOREVNUM -// <o> EXPECTED_ECOREVNUM: Expected Engineering Change Order and Revision number (0-0xFFFFFFFF) -#define EXPECTED_ECOREVNUM 0x00000000 - -// </h> - -// <h> CSI and CTI - Used by romtable test only -// <o> EXPECTED_CSI: Expected CoreSight Integration Level <0=> Absent <1=> Present -#define EXPECTED_CSI 0 - -// <o> EXPECTED_CTI: Expected Cross Trigger Interface <0=> Absent <1=> Present -#define EXPECTED_CTI 0 -// </h> - -//===================================================================== -// Cortex-M0+ Configuration -//===================================================================== - -//////////////////////////////////////////////////////////////////////////////// -// -// Processor configuration options. -// These must match the expected hardware configuration of the processor. -// -// - EXPECTED_BE : Expected Endianness (0-1) -// - EXPECTED_BKPT : Expected number of Breakpoint Comparators (0-4) -// - EXPECTED_DBG : Expected Debug config (0-1) -// - EXPECTED_IOP : Expected IOP config (0-1) -// - EXPECTED_IRQDIS : Expected IRQ disable (0-0xFFFFFFFF) -// - EXPECTED_MPU : Expected MPU config (0,8) -// - EXPECTED_NUMIRQ : Expected number of IRQ lines (0-32) -// - EXPECTED_SMUL : Expected Multiplier config (0-1) -// - EXPECTED_SYST : Expected SysTick extension (0-1) -// - EXPECTED_USER : Expected User config (0-1) -// - EXPECTED_VTOR : Expected VTOR config (0-1) -// - EXPECTED_WIC : Expected Wake-up interrupt controller support <0-1> -// - EXPECTED_WICLINES : Expected number of supported WIC lines <2-34> -// - EXPECTED_WPT : Expected number of Watchpoint Comparators (0-2) -// -// Processor Tie-offs -// -// - EXPECTED_STCALIB : Expected value of STCALIB (0-0x3FFFFFF) -// -//////////////////////////////////////////////////////////////////////////////// -// -// DAP configuration options. -// These must match the expected hardware configuration of the DAP. -// -// - EXPECTED_BASEADDR : Expected BASEADDR at Cortex M0+ DAP (0-0xFFFFFFFF) -// - EXPECTED_JTAGnSW : Expected Cortex M0+ DAP Protocol (0,1) -// - EXPECTED_SWMD : Expected SW Multi-Drop config (0-1) -// -// DAP Tie-offs -// -// - EXPECTED_INSTANCEID : Expected DAP instance number (0-0xF) -// - EXPECTED_TREVISION : Expected Revision number (0-0xF) -// - EXPECTED_TPARTNO : Expected Part number (0-0xFFFF) -// - EXPECTED_TDESIGNER : Expected Designer code (0-0x7FF) -// -//////////////////////////////////////////////////////////////////////////////// -// -// CoreSight MTB-M0+ configuration options. -// -// - EXPECTED_MTB : Expected CoreSight MTB-M0+ config (0-1) -// - EXPECTED_MTB_BASEADDR : Expected CoreSight MTB-M0+ BASEADDR (0-0xFFFFFFFF) -// - EXPECTED_MTB_AWIDTH : Expected CoreSight MTB-M0+ Address width (5-32) -// -// NOTE : When the MTB (EXPECTED_MTB = 1) is included, the RAM that is used for -// the data accesses is shared with the MTB, so if you set the address -// width to be smaller than the default (EXPECTED_MTB_AWIDTH = 16), the -// addresses that some tests are using to write data to will alias to -// lower addresses,so some tests will not work with smaller memory. -// -//////////////////////////////////////////////////////////////////////////////// -// -// System ROM Table options. -// These must match the values in the System ROM Table. -// -// - EXPECTED_CUST_JEP_ID : Expected JEDEC JEP-106 identity code (0-0x7F) -// - EXPECTED_CUST_JEP_CONT : Expected JEDEC JEP-106 continuation code (0-0xF) -// - EXPECTED_CUST_PART : Expected Part number (0-0xFFF) -// - EXPECTED_CUST_REV : Expected Revision number (0-0xF) -// - EXPECTED_CUST_REVAND : Expected Manufacturer Revision number (0-0xF) -// -//////////////////////////////////////////////////////////////////////////////// -// -// Engineering Change Order and Revision Number Tie-off. -// -// - EXPECTED_ECOREVNUM : Expected Engineering Change Order and Revision number (0-0xFFFFFFFF) -// -//////////////////////////////////////////////////////////////////////////////// - -// <h> Processor configuration options -// <o> EXPECTED_IOP: Expected IOP config <0=> Absent <1=> Present -#define EXPECTED_IOP 0 - - -// <o> EXPECTED_IRQDIS: Expected interrupt disable <0x0-0xFFFFFFFF> -#define EXPECTED_IRQDIS 0x00000000 - - -// <o> EXPECTED_MPU: Expected MPU config <0=> Absent <8=> Present (8 Regions) -#define EXPECTED_MPU 8 - - -// <o> EXPECTED_USER: Expected User config <0=> Absent (Privilege mode only) <1=> Present -#define EXPECTED_USER 1 - - -// <o> EXPECTED_VTOR: Expected VTOR config <0=> Absent <1=> Present -#define EXPECTED_VTOR 1 - - -// <o> EXPECTED_WIC: Expected Wake-up interrupt controller support <0-1> -#define EXPECTED_WIC 1 - - -// <o> EXPECTED_WICLINES : Expected number of supported WIC lines <2-34> -#define EXPECTED_WICLINES 34 - - -// <h> Processor port Tie-Offs -// <o> EXPECTED_SWMD: Expected SW Multi-Drop config <0=> Absent <1=> Present (Serial Wire Protocol 2) -#define EXPECTED_SWMD 0 - -// </h> - -// <h> DAP Tie-offs -// <o> EXPECTED_INSTANCEID: Expected DAP instance number (0-0xF) -#define EXPECTED_INSTANCEID 0x0 - - -// <o> EXPECTED_TREVISION: Expected Revision number (0-0xF) -#define EXPECTED_TREVISION 0x0 - - -// <o> EXPECTED_TPARTNO: Expected Part number (0-0xFFFF) -#define EXPECTED_TPARTNO 0x0000 - - -// <o> EXPECTED_TDESIGNER: Expected Designer code (0-0x7FF) -#define EXPECTED_TDESIGNER 0x000 - -// </h> - -// <h> CoreSight MTB-M0+ configuration options -// <o> EXPECTED_MTB: Expected CoreSight MTB-M0+ config <0=> Absent <1=> Present -#define EXPECTED_MTB 0 - - -// <o> EXPECTED_MTB_BASEADDR: Expected CoreSight MTB-M0+ BASEADDR <0x0-0xFFFFFFFF> -#define EXPECTED_MTB_BASEADDR 0xF0210000 - - -// <o> EXPECTED_MTB_AWIDTH: Expected CoreSight MTB-M0+ Address width <5-32> -#define EXPECTED_MTB_AWIDTH 16 - -// </h> - -// <<< end of configuration section >>> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/generic/mcu_debugtester_interface.c b/Cortex-M0/nanosoc/systems/mcu/testcodes/generic/mcu_debugtester_interface.c deleted file mode 100644 index 75f73a1..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/generic/mcu_debugtester_interface.c +++ /dev/null @@ -1,131 +0,0 @@ -/* - *----------------------------------------------------------------------------- - * The confidential and proprietary information contained in this file may - * only be used by a person authorised under and to the extent permitted - * by a subsisting licensing agreement from Arm Limited or its affiliates. - * - * (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. - * ALL RIGHTS RESERVED - * - * This entire notice must be reproduced on all copies of this file - * and copies of this file may only be made by a person if such person is - * permitted to do so under the terms of a subsisting license agreement - * from Arm Limited or its affiliates. - * - * SVN Information - * - * Checked In : $Date: $ - * - * Revision : $Revision: $ - * - * Release Information : Cortex-M System Design Kit-r1p1-00rel0 - *----------------------------------------------------------------------------- - */ - -#include "mcu_debugtester_interface.h" // For definition of interface to the debug tester - -void EnableDebugTester(void) -{ - uint32_t i; - - - // - // Initialise GPIO from MCU to Debug Tester - // - - //Initialize the GPIO0 higher 8 bits output value, set to 0 - CMSDK_GPIO0->DATAOUT = (0x00000000); //set GPIO output to 0 for default value, disable the function strobe - CMSDK_gpio_SetOutEnable (CMSDK_GPIO0, DEBUG_CMD); // set specified bit in out enable register - - - // - // Enable the Debug Tester in the testbench - // - - // send command to enable the connection to debug tester - UartPutc((char) DBG_ESCAPE); //send ESCAPE code - UartPutc((char) DBG_CONNECT_ENABLE); //send debug test enable command - puts("\nEnabling debug tester...\n"); - // If debug tester is not present, - if((CMSDK_GPIO0->DATA & DEBUG_ERROR) != 0) - { - puts("DBGERROR bit (debug error) asserted.\n"); - puts("Debug tester not available:\n"); - puts("1: The ARM_CMSDK_INCLUDE_DEBUG_TESTER macro is not defined, or\n"); - puts("2: Cortex-M0 DesignStart is used\n"); - puts("** TEST SKIPPED **\n"); - // End simulation - UartEndSimulation(); - } - - - // - // Initialise the Communication Region - // (Zero the 4 words above Stack Top) - // - - for(i=0; i<4 ; i++) - { - DEBUGTESTERDATA[i] = 0; - } -} - - -void DisableDebugTester(void) -{ - //send command to disable the connection to debug tester - UartPutc((char) DBG_ESCAPE); //send debug test disable command, - UartPutc((char) DBG_CONNECT_DISABLE); //send debug test disable command, - UartEndSimulation(); //stop simulation -} - - -//=========================================================================== -// Start a function running on the debug tester -//=========================================================================== -void StartDebugTester(uint32_t Function) -{ - // Write function onto function select pins - CMSDK_gpio_SetOutEnable (CMSDK_GPIO0, DEBUG_CMD); // set specified bit in out enable register - - //setup function select and function strobe - CMSDK_gpio_MaskedWrite(CMSDK_GPIO0, Function<<DEBUG_BIT_LOC | DEBUG_STROBE, DEBUG_CMD|DEBUG_STROBE); - - // Wait to see Running asserted - while((CMSDK_GPIO0->DATA & DEBUG_RUNNING) == 0); - - // Clear strobe - CMSDK_gpio_MaskedWrite(CMSDK_GPIO0, 0x0, DEBUG_STROBE); -} - - -//=========================================================================== -// Check that a debug tester function completed -// Return pass/fail accordingly -//=========================================================================== -uint32_t CheckDebugTester(void) -{ - // Wait to see Running deasserted - while((CMSDK_GPIO0->DATA & DEBUG_RUNNING)!= 0); - - // Return status, check ERROR bit - if((CMSDK_GPIO0->DATA & DEBUG_ERROR) == 0) - { - return TEST_PASS; - } - else - { - return TEST_FAIL; - } -} - - -//=========================================================================== -// Execute a debug tester function -//=========================================================================== -uint32_t CallDebugTester(uint32_t Function) -{ - StartDebugTester(Function); - return CheckDebugTester(); -} - diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/generic/mcu_debugtester_interface.h b/Cortex-M0/nanosoc/systems/mcu/testcodes/generic/mcu_debugtester_interface.h deleted file mode 100644 index 162672d..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/generic/mcu_debugtester_interface.h +++ /dev/null @@ -1,102 +0,0 @@ -//----------------------------------------------------------------------------- -// The confidential and proprietary information contained in this file may -// only be used by a person authorised under and to the extent permitted -// by a subsisting licensing agreement from Arm Limited or its affiliates. -// -// (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -// ALL RIGHTS RESERVED -// -// This entire notice must be reproduced on all copies of this file -// and copies of this file may only be made by a person if such person is -// permitted to do so under the terms of a subsisting license agreement -// from Arm Limited or its affiliates. -// -// SVN Information -// -// Checked In : $Date: 2013-01-18 17:35:18 +0000 (Fri, 18 Jan 2013) $ -// -// Revision : $Revision: 234195 $ -// -// Release Information : Cortex-M System Design Kit-r1p1-00rel0 -//----------------------------------------------------------------------------- -// - -// -// Definition of CMSDK example MCU to the Debug Tester -// -#ifdef CORTEX_M0 -#include "CMSDK_CM0.h" - -#else -#ifdef CORTEX_M0PLUS -#include "CMSDK_CM0plus.h" - -#else -#ifdef CORTEX_M3 -#include "CMSDK_CM3.h" - -#else -#ifdef CORTEX_M4 -#include "CMSDK_CM4.h" - -#endif -#endif -#endif -#endif - -#include <stdio.h> -#include "uart_stdout.h" // for stdout -#include "CMSDK_driver.h" -#include "config_id.h" // general defines such as test_pass - - -// Functions used by tests that communicate with the Debug Tester -extern void EnableDebugTester(void); -extern void DisableDebugTester(void); -extern uint32_t CallDebugTester(uint32_t); -extern void StartDebugTester(uint32_t); -extern uint32_t CheckDebugTester(void); - - -//Test command sequence definition -#define DBG_ESCAPE 0x1B -#define DBG_CONNECT_ENABLE 0x11 -#define DBG_CONNECT_DISABLE 0x12 -#define DBG_SIM_STOP 0x4 - - -// GPIO0 bit allocation -// -// CM0_MCU GPIO0 -------------------------------------- Debug Tester -// -// GPIO[15] 7 <----------------------------------------< Running -// GPIO[14] 6 <----------------------------------------< Error -// GPIO[13] 5 >----------------------------------------> Function Strobe -// GPIO[12] 4 >----------------------------------------> Function Select bit 4 -// GPIO[11] 3 >----------------------------------------> Function Select bit 3 -// GPIO[10] 2 >----------------------------------------> Function Select bit 2 -// GPIO[9] 1 >----------------------------------------> Function Select bit 1 -// GPIO[8] 0 >----------------------------------------> Function Select bit 0 -// -#define DEBUG_BIT_LOC 8 //GPIO[8] is the least bit of Function Select -#define DEBUG_CMD 0x3f<<8 //GPIO [13:8] -#define DEBUG_STROBE 0x00002000 //GPIO [13] -#define DEBUG_ERROR 0x00004000 //GPIO [14] -#define DEBUG_RUNNING 0x00008000 //GPIO [15] - -// GPIO1[7] 7 -// GPIO1[6] 6 -// GPIO1[5] 5 -// GPIO1[4] 4 -// GPIO1[3] 3 -// GPIO1[2] 2 -// GPIO1[1] 1 -// GPIO1[0] 0 - - -// CMSDK example MCU's view of the memory shared with the debugtester -// (4 words above stack top) -// This macro uses the SP value from the vector table as stacktop -// The stacktop cannot be set to the top of the memory. -#define DEBUGTESTERDATA ((volatile uint32_t *) *((uint32_t *) 0x0)) - diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvopt deleted file mode 100644 index 3b1eeed..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvopt +++ /dev/null @@ -1,277 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\CMSDK_driver.c</PathWithFileName> - <FilenameWithoutPath>CMSDK_driver.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\gpio_driver_tests.c</PathWithFileName> - <FilenameWithoutPath>gpio_driver_tests.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvproj deleted file mode 100644 index 6262fae..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0.uvproj +++ /dev/null @@ -1,440 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>gpio_driver_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output gpio_driver_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>CMSDK_driver.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\CMSDK_driver.c</FilePath> - </File> - <File> - <FileName>gpio_driver_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\gpio_driver_tests.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvopt deleted file mode 100644 index c0fca7a..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvopt +++ /dev/null @@ -1,258 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\CMSDK_driver.c</PathWithFileName> - <FilenameWithoutPath>CMSDK_driver.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\gpio_driver_tests.c</PathWithFileName> - <FilenameWithoutPath>gpio_driver_tests.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvproj deleted file mode 100644 index bdd4ec2..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/gpio_driver_tests_cm0p.uvproj +++ /dev/null @@ -1,437 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>gpio_driver_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output gpio_driver_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>CMSDK_driver.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\CMSDK_driver.c</FilePath> - </File> - <File> - <FileName>gpio_driver_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\gpio_driver_tests.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/makefile deleted file mode 100644 index d4bde33..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_driver_tests/makefile +++ /dev/null @@ -1,255 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2011-01-25 10:05:56 +0000 (Tue, 25 Jan 2011) $ -# -# Revision : $Revision: 159421 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = gpio_driver_tests - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime $(USER_DEFINE) \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) -I $(SOFTWARE_DIR)/common/retarget -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 - -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -CMSDK_driver.o : $(DEVICE_DIR)/Source/CMSDK_driver.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o CMSDK_driver.o - armlink $(ARM_LINK_OPTIONS) -o $@ $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o CMSDK_driver.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - -$(TESTNAME).lst : $(TESTNAME).ELF makefile - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/CMSDK_driver.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean - -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0.uvopt deleted file mode 100644 index 39dfcaa..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0.uvopt +++ /dev/null @@ -1,261 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\gpio_tests.c</PathWithFileName> - <FilenameWithoutPath>gpio_tests.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0.uvproj deleted file mode 100644 index 284bc45..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0.uvproj +++ /dev/null @@ -1,435 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>gpio_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output gpio_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>gpio_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\gpio_tests.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0p.uvopt deleted file mode 100644 index 44d9aed..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0p.uvopt +++ /dev/null @@ -1,244 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\gpio_tests.c</PathWithFileName> - <FilenameWithoutPath>gpio_tests.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0p.uvproj deleted file mode 100644 index 8e0ea89..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/gpio_tests_cm0p.uvproj +++ /dev/null @@ -1,432 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>gpio_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output gpio_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>gpio_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\gpio_tests.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/makefile deleted file mode 100644 index 74e83c0..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/gpio_tests/makefile +++ /dev/null @@ -1,253 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2011-01-25 10:05:56 +0000 (Tue, 25 Jan 2011) $ -# -# Revision : $Revision: 159421 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = gpio_tests - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime $(USER_DEFINE) \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) -I $(SOFTWARE_DIR)/common/retarget -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 - -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - armlink $(ARM_LINK_OPTIONS) -o $@ $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - -$(TESTNAME).lst : $(TESTNAME).ELF makefile - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean - -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/.project b/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/.project deleted file mode 100644 index b4807ed..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/.project +++ /dev/null @@ -1,11 +0,0 @@ -<?xml version="1.0" encoding="UTF-8"?> -<projectDescription> - <name>hello</name> - <comment></comment> - <projects> - </projects> - <buildSpec> - </buildSpec> - <natures> - </natures> -</projectDescription> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello.c b/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello.c deleted file mode 100644 index ab9d771..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello.c +++ /dev/null @@ -1,52 +0,0 @@ -/* - *----------------------------------------------------------------------------- - * The confidential and proprietary information contained in this file may - * only be used by a person authorised under and to the extent permitted - * by a subsisting licensing agreement from Arm Limited or its affiliates. - * - * (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. - * ALL RIGHTS RESERVED - * - * This entire notice must be reproduced on all copies of this file - * and copies of this file may only be made by a person if such person is - * permitted to do so under the terms of a subsisting license agreement - * from Arm Limited or its affiliates. - * - * SVN Information - * - * Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ - * - * Revision : $Revision: 371321 $ - * - * Release Information : Cortex-M System Design Kit-r1p1-00rel0 - *----------------------------------------------------------------------------- - */ - -#ifdef CORTEX_M0 -#include "CMSDK_CM0.h" -#include "core_cm0.h" -#endif - -#ifdef CORTEX_M0PLUS -#include "CMSDK_CM0plus.h" -#include "core_cm0plus.h" -#endif - -#include <stdio.h> -#include "uart_stdout.h" - -int main (void) -{ - // UART init - UartStdOutInit(); - - printf("Hello world\n"); - - printf("** TEST PASSED **\n"); - - // End simulation - UartEndSimulation(); - - return 0; -} - diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0.uvopt deleted file mode 100644 index 5145b64..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0.uvopt +++ /dev/null @@ -1,261 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>.\hello.c</PathWithFileName> - <FilenameWithoutPath>hello.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0.uvproj deleted file mode 100644 index 6baba57..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0.uvproj +++ /dev/null @@ -1,435 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>hello</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output hello.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>1</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3>"" ()</Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>hello.c</FileName> - <FileType>1</FileType> - <FilePath>.\hello.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0p.uvopt deleted file mode 100644 index c39e058..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0p.uvopt +++ /dev/null @@ -1,244 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>.\hello.c</PathWithFileName> - <FilenameWithoutPath>hello.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0p.uvproj deleted file mode 100644 index 38048cf..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/hello_cm0p.uvproj +++ /dev/null @@ -1,432 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>hello</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output hello.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>1</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3>"" ()</Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>hello.c</FileName> - <FileType>1</FileType> - <FilePath>.\hello.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/makefile deleted file mode 100644 index e8ac4ee..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/hello/makefile +++ /dev/null @@ -1,255 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -# -# Revision : $Revision: 371321 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0 - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = hello - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -#ARM_CC_OPTIONS = -c -O3 -g -Otime -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ -# -I $(SOFTWARE_DIR)/common/retarget $(USER_DEFINE) -#ARM_ASM_OPTIONS = -g -#ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ -# --rw_base 0x30000000 --ro_base 0x00000000 --map --info sizes - -ARM_CC_OPTIONS = -c -O3 -Ospace -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget $(USER_DEFINE) -ARM_ASM_OPTIONS = -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --no_debug --rw_base 0x30000000 --ro_base 0x00000000 --map --info sizes - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - armlink $(ARM_LINK_OPTIONS) $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o -o $@ - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - -$(TESTNAME).lst : $(TESTNAME).ELF - fromelf -c -d -e -s -z -v $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0.uvopt deleted file mode 100644 index 08449be..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0.uvopt +++ /dev/null @@ -1,277 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\demos\interrupt_demo.c</PathWithFileName> - <FilenameWithoutPath>interrupt_demo.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\CMSDK_driver.c</PathWithFileName> - <FilenameWithoutPath>CMSDK_driver.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0.uvproj deleted file mode 100644 index ea6f928..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0.uvproj +++ /dev/null @@ -1,440 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>interrupt_demo</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output interrupt_demo.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>interrupt_demo.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\demos\interrupt_demo.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>CMSDK_driver.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\CMSDK_driver.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0p.uvopt deleted file mode 100644 index d09b1c7..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0p.uvopt +++ /dev/null @@ -1,258 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\demos\interrupt_demo.c</PathWithFileName> - <FilenameWithoutPath>interrupt_demo.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\CMSDK_driver.c</PathWithFileName> - <FilenameWithoutPath>CMSDK_driver.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0p.uvproj deleted file mode 100644 index 58b9dd6..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/interrupt_demo_cm0p.uvproj +++ /dev/null @@ -1,437 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>interrupt_demo</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output interrupt_demo.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>interrupt_demo.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\demos\interrupt_demo.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>CMSDK_driver.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\CMSDK_driver.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/makefile deleted file mode 100644 index 7966b80..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/interrupt_demo/makefile +++ /dev/null @@ -1,255 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2011-01-25 10:05:56 +0000 (Tue, 25 Jan 2011) $ -# -# Revision : $Revision: 159421 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = interrupt_demo - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime $(USER_DEFINE) \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) -I $(SOFTWARE_DIR)/common/retarget -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 - -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/demos/$(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -CMSDK_driver.o : $(DEVICE_DIR)/Source/CMSDK_driver.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o CMSDK_driver.o - armlink $(ARM_LINK_OPTIONS) -o $@ $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o CMSDK_driver.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - -$(TESTNAME).lst : $(TESTNAME).ELF makefile - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/demos/$(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/CMSDK_driver.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean - -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/makefile deleted file mode 100644 index 0f01637..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/makefile +++ /dev/null @@ -1,251 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -# -# Revision : $Revision: 371321 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = memory_tests - -# Endian Option -COMPILE_BIGEND = 0 - - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime $(USER_DEFINE) \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) -I $(SOFTWARE_DIR)/common/retarget -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 - -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - armlink $(ARM_LINK_OPTIONS) -o $@ $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - -$(TESTNAME).lst : $(TESTNAME).ELF makefile - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean - -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0.uvopt deleted file mode 100644 index e832157..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0.uvopt +++ /dev/null @@ -1,261 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\memory_tests.c</PathWithFileName> - <FilenameWithoutPath>memory_tests.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0.uvproj deleted file mode 100644 index f724aba..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0.uvproj +++ /dev/null @@ -1,435 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>memory_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output memory_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>memory_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\memory_tests.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0p.uvopt deleted file mode 100644 index 9a16501..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0p.uvopt +++ /dev/null @@ -1,244 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\memory_tests.c</PathWithFileName> - <FilenameWithoutPath>memory_tests.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0p.uvproj deleted file mode 100644 index a339a79..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/memory_tests/memory_tests_cm0p.uvproj +++ /dev/null @@ -1,432 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>memory_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output memory_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>memory_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\memory_tests.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/makefile deleted file mode 100644 index b1b195a..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/makefile +++ /dev/null @@ -1,266 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -# -# Revision : $Revision: 371321 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include -GENERIC_DIR = ../generic - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = romtable_tests - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# Configuration ID values -GENERIC_FILE = $(GENERIC_DIR)/config_id.h - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime -I $(CORE_DIR) -I $(DEVICE_DIR)/Include -I $(GENERIC_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget -I $(SOFTWARE_DIR)/debug_tester $(USER_DEFINE) - -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply take 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/romtable_tests/$(TESTNAME).c $(SOFTWARE_DIR)/common/romtable_tests/$(TESTNAME).h $(GENERIC_FILE) $(SOFTWARE_DIR)/debug_tester/debugtester_functions.h $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -mcu_debugtester_interface.o : $(GENERIC_DIR)/mcu_debugtester_interface.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -CMSDK_driver.o : $(DEVICE_DIR)/Source/CMSDK_driver.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF: $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o CMSDK_driver.o mcu_debugtester_interface.o - armlink $(ARM_LINK_OPTIONS) -o $@ $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o CMSDK_driver.o mcu_debugtester_interface.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - - -$(TESTNAME).lst : $(TESTNAME).ELF - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/romtable_tests/$(TESTNAME).c \ - $(GENERIC_DIR)/mcu_debugtester_interface.c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/CMSDK_driver.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(GENERIC_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -I $(SOFTWARE_DIR)/debug_tester \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0.uvopt deleted file mode 100644 index f5deaa3..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0.uvopt +++ /dev/null @@ -1,293 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\romtable_tests\romtable_tests.c</PathWithFileName> - <FilenameWithoutPath>romtable_tests.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\generic\mcu_debugtester_interface.c</PathWithFileName> - <FilenameWithoutPath>mcu_debugtester_interface.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>7</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\CMSDK_driver.c</PathWithFileName> - <FilenameWithoutPath>CMSDK_driver.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0.uvproj deleted file mode 100644 index 94e86e6..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0.uvproj +++ /dev/null @@ -1,445 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>romtable_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output romtable_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget; ..\..\..\..\software\debug_tester; ..\generic</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>romtable_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\romtable_tests\romtable_tests.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>mcu_debugtester_interface.c</FileName> - <FileType>1</FileType> - <FilePath>..\generic\mcu_debugtester_interface.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - <File> - <FileName>CMSDK_driver.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\CMSDK_driver.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0p.uvopt deleted file mode 100644 index 1819e74..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0p.uvopt +++ /dev/null @@ -1,272 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\romtable_tests\romtable_tests.c</PathWithFileName> - <FilenameWithoutPath>romtable_tests.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\generic\mcu_debugtester_interface.c</PathWithFileName> - <FilenameWithoutPath>mcu_debugtester_interface.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>7</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\CMSDK_driver.c</PathWithFileName> - <FilenameWithoutPath>CMSDK_driver.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0p.uvproj deleted file mode 100644 index b3ed42c..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/romtable_tests/romtable_tests_cm0p.uvproj +++ /dev/null @@ -1,442 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>romtable_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output romtable_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget; ..\..\..\..\software\debug_tester; ..\generic</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>romtable_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\romtable_tests\romtable_tests.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>mcu_debugtester_interface.c</FileName> - <FileType>1</FileType> - <FilePath>..\generic\mcu_debugtester_interface.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - <File> - <FileName>CMSDK_driver.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\CMSDK_driver.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/RTX_Config.c b/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/RTX_Config.c deleted file mode 100644 index 262cc79..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/RTX_Config.c +++ /dev/null @@ -1,187 +0,0 @@ -/* - *----------------------------------------------------------------------------- - * The confidential and proprietary information contained in this file may - * only be used by a person authorised under and to the extent permitted - * by a subsisting licensing agreement from Arm Limited or its affiliates. - * - * (C) COPYRIGHT 2010-2011 Arm Limited or its affiliates. - * ALL RIGHTS RESERVED - * - * This entire notice must be reproduced on all copies of this file - * and copies of this file may only be made by a person if such person is - * permitted to do so under the terms of a subsisting license agreement - * from Arm Limited or its affiliates. - * - * SVN Information - * - * Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ - * - * Revision : $Revision: 371321 $ - * - * Release Information : Cortex-M System Design Kit-r1p1-00rel0 - *----------------------------------------------------------------------------- - */ - -/*---------------------------------------------------------------------------- - * R T L - K e r n e l - *---------------------------------------------------------------------------- - * Name: RTX_CONFIG.C - * Purpose: Configuration of RTX Kernel for Cortex-M - * Rev.: V3.40 - *---------------------------------------------------------------------------- -*/ - -#include <RTL.h> - -/*---------------------------------------------------------------------------- - * RTX User configuration part BEGIN - *---------------------------------------------------------------------------*/ - -//-------- <<< Use Configuration Wizard in Context Menu >>> ----------------- -// -// <h>Task Definitions -// =================== -// -// <o>Number of concurrent running tasks <0-250> -// <i> Define max. number of tasks that will run at the same time. -// <i> Default: 6 -#ifndef OS_TASKCNT - #define OS_TASKCNT 6 -#endif - -// <o>Number of tasks with user-provided stack <0-250> -// <i> Define the number of tasks that will use a bigger stack. -// <i> The memory space for the stack is provided by the user. -// <i> Default: 0 -#ifndef OS_PRIVCNT - #define OS_PRIVCNT 0 -#endif - -// <o>Task stack size [bytes] <20-4096:8><#/4> -// <i> Set the stack size for tasks which is assigned by the system. -// <i> Default: 200 -#ifndef OS_STKSIZE - #define OS_STKSIZE 200 -#endif - -// <q>Check for the stack overflow -// =============================== -// <i> Include the stack checking code for a stack overflow. -// <i> Note that additional code reduces the Kernel performance. -#ifndef OS_STKCHECK - #define OS_STKCHECK 1 -#endif - -// <q>Run in privileged mode -// ========================= -// <i> Run all Tasks in privileged mode. -// <i> Default: Unprivileged -#ifndef OS_RUNPRIV - #define OS_RUNPRIV 0 -#endif - -// <o>Number of user timers <0-250> -// <i> Define max. number of user timers that will run at the same time. -// <i> Default: 0 (User timers disabled) -#ifndef OS_TIMERCNT - #define OS_TIMERCNT 0 -#endif - -// </h> -// <h>SysTick Timer Configuration -// ============================= -// <o>Timer clock value [Hz] <1-1000000000> -// <i> Set the timer clock value for selected timer. -// <i> Default: 6000000 (6MHz) -#ifndef OS_CLOCK - #define OS_CLOCK 50000000 -#endif - -// <o>Timer tick value [us] <1-1000000> -// <i> Set the timer tick value for selected timer. -// <i> Default: 10000 (10ms) -#ifndef OS_TICK - #define OS_TICK 200 -#endif - -// </h> -// <e>Round-Robin Task switching -// ============================= -// <i> Enable Round-Robin Task switching. -#ifndef OS_ROBIN - #define OS_ROBIN 1 -#endif - -// <o>Round-Robin Timeout [ticks] <1-1000> -// <i> Define how long a task will execute before a task switch. -// <i> Default: 5 -#ifndef OS_ROBINTOUT - #define OS_ROBINTOUT 5 -#endif - -// </e> - -//------------- <<< end of configuration section >>> ----------------------- - -// Standard library system mutexes -// =============================== -// Define max. number system mutexes that are used to protect -// the arm standard runtime library. For microlib they are not used. -#ifndef OS_MUTEXCNT - #define OS_MUTEXCNT 8 -#endif - -/*---------------------------------------------------------------------------- - * RTX User configuration part END - *---------------------------------------------------------------------------*/ - -#define OS_TRV ((U32)(((double)OS_CLOCK*(double)OS_TICK)/1E6)-1) - -/*---------------------------------------------------------------------------- - * Global Functions - *---------------------------------------------------------------------------*/ - -/*--------------------------- os_idle_demon ---------------------------------*/ - -__task void os_idle_demon (void) { - /* The idle demon is a system task, running when no other task is ready */ - /* to run. The 'os_xxx' function calls are not allowed from this task. */ - - for (;;) { - /* HERE: include optional user code to be executed when no task runs.*/ - } -} - - -/*--------------------------- os_tmr_call -----------------------------------*/ - -void os_tmr_call (U16 info) { - /* This function is called when the user timer has expired. Parameter */ - /* 'info' holds the value, defined when the timer was created. */ - - /* HERE: include optional user code to be executed on timeout. */ -} - - -/*--------------------------- os_stk_overflow -------------------------------*/ - -void os_stk_overflow (OS_TID task_id) { - /* This function is called when a stack overflow is detected. Parameter */ - /* 'task_id' holds the id of this task. You can use 'RTX Kernel' dialog,*/ - /* page 'Active Tasks' to check, which task needs a bigger stack. */ - - /* HERE: include optional code to be executed on stack overflow. */ - for (;;); -} - - -/*---------------------------------------------------------------------------- - * RTX Configuration Functions - *---------------------------------------------------------------------------*/ - -#include <RTX_lib.c> - -/*---------------------------------------------------------------------------- - * end of file - *---------------------------------------------------------------------------*/ - diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/makefile deleted file mode 100644 index b1edfa2..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/makefile +++ /dev/null @@ -1,101 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -# -# Revision : $Revision: 371321 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 -TESTNAME = rtx_demo - -CPU_PRODUCT = CORTEX_M0PLUS -HEXFILE = rtx_demo_cm0.hex - -ifeq ($(CPU_PRODUCT),CORTEX_M0) - HEXFILE = rtx_demo_cm0.hex -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - HEXFILE = rtx_demo_cm0p.hex -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -hexfile_select: - @if [ -e $(HEXFILE) ] ; then \ - cp $(HEXFILE) rtx_demo.hex ; \ - fi - -# --------------------------------------------------------------------------------------- -# DS-5 -all_ds5 : hexfile_select - @if [ -e $(TESTNAME).hex ] ; then \ - echo "Found rtx_demo.hex ... use existing hex file. Continue ..." ; \ - else \ - echo "RTX demo compilation not available for DS-5." ; \ - echo "Please compile RTX demo in Keil MDK and press ENTER when ready" ; \ - read dummy ; \ - fi - -# --------------------------------------------------------------------------------------- -# gcc -# -all_gcc: hexfile_select - @if [ -e $(TESTNAME).hex ] ; then \ - echo "Found rtx_demo.hex ... use existing hex file. Continue ..." ; \ - else \ - echo "RTX demo compilation not available for gcc." ;\ - echo "Please compile RTX demo in Keil MDK and press ENTER when ready" ; \ - read dummy ; \ - fi - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - cp $(HEXFILE) rtx_demo.hex - -# --------------------------------------------------------------------------------------- -# Clean -clean : - @rm -rf *.o - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.uvgui.* diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo.c b/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo.c deleted file mode 100644 index 9e885b7..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo.c +++ /dev/null @@ -1,86 +0,0 @@ -/* - *----------------------------------------------------------------------------- - * The confidential and proprietary information contained in this file may - * only be used by a person authorised under and to the extent permitted - * by a subsisting licensing agreement from Arm Limited or its affiliates. - * - * (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. - * ALL RIGHTS RESERVED - * - * This entire notice must be reproduced on all copies of this file - * and copies of this file may only be made by a person if such person is - * permitted to do so under the terms of a subsisting license agreement - * from Arm Limited or its affiliates. - * - * SVN Information - * - * Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ - * - * Revision : $Revision: 371321 $ - * - * Release Information : Cortex-M System Design Kit-r1p1-00rel0 - *----------------------------------------------------------------------------- - */ - -/* Simple demonstration of booting up an RTOS : Keil RTX */ - -#include <RTL.h> -#ifdef CORTEX_M0 -#include "CMSDK_CM0.h" -#endif -#ifdef CORTEX_M0PLUS -#include "CMSDK_CM0plus.h" -#endif -#include <stdio.h> -#include "uart_stdout.h" - -OS_TID t_task1; // Declare a task ID for task1 : Event generator -OS_TID t_task2; // Declare a task ID for task2 : Event receiver -int num = 0; // Counter - -__task void task1(void) { // Task 1 - Event generator - while (1) { - os_dly_wait(1); - puts("task 1 ->"); - os_evt_set (0x0001, t_task2); // Send a event 0x0001 to task 2 - } - } - -__task void task2(void) { // Task 2 - Event receiver - while(1) { - os_evt_wait_and (0x0001, 0xffff); // wait for an event flag 0x0001 - num ++; - printf (" task 2, %d\n", num); - if (num>=3) { /* Execute 3 times and stop simulation */ - puts("Tasks ran 3 times."); - puts("** TEST PASSED ** \n"); - UartEndSimulation(); - } - } - } - -/* Initialize tasks */ -__task void init (void) { - t_task1 = os_tsk_create (task1, 1); // Create a task "task1" with priority 1 - t_task2 = os_tsk_create (task2, 1); // Create a task "task2" with priority 1 - os_tsk_delete_self (); -} - - -int main(void) -{ - // Starting from CMSIS 1.3, - // CMSIS System Initialization function SystemInit() is called from startup code. - // So there is no need to call it in here. (This test is based on CMSIS 2.0). - - SysTick->VAL=0; /* Initialize SysTick timer value */ - - // UART init - UartStdOutInit(); - - // Test banner message and revision number - puts("\nCortex Microcontroller System Design Kit - RTX Demo - revision $Revision: 371321 $\n"); - puts("- Execute task 1 -> task 2 sequence three times\n"); - - os_sys_init(init); // Initialize OS -} // end main diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.hex b/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.hex deleted file mode 100644 index 1ab6c31..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.hex +++ /dev/null @@ -1,4996 +0,0 @@ -60 -1E -00 -20 -01 -03 -00 -00 -09 -03 -00 -00 -0B -03 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -E9 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -8D -02 -00 -00 -ED -02 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -00 -00 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -00 -F0 -02 -F8 -00 -F0 -48 -F8 -0C -A0 -30 -C8 -08 -38 -24 -18 -2D -18 -A2 -46 -67 -1E -AB -46 -54 -46 -5D -46 -AC -42 -01 -D1 -00 -F0 -3A -F8 -7E -46 -0F -3E -0F -CC -B6 -46 -01 -26 -33 -42 -00 -D0 -FB -1A -A2 -46 -AB -46 -33 -43 -18 -47 -28 -12 -00 -00 -48 -12 -00 -00 -10 -3A -02 -D3 -78 -C8 -78 -C1 -FA -D8 -52 -07 -01 -D3 -30 -C8 -30 -C1 -01 -D5 -04 -68 -0C -60 -70 -47 -00 -00 -00 -23 -00 -24 -00 -25 -00 -26 -10 -3A -01 -D3 -78 -C1 -FB -D8 -52 -07 -00 -D3 -30 -C1 -00 -D5 -0B -60 -70 -47 -10 -B5 -64 -29 -02 -D1 -00 -F0 -73 -FA -10 -BD -00 -20 -10 -BD -1F -B5 -C0 -46 -C0 -46 -1F -BD -10 -B5 -10 -BD -00 -F0 -50 -FB -11 -46 -FF -F7 -F5 -FF -00 -F0 -25 -F9 -00 -F0 -68 -FB -03 -B4 -FF -F7 -F2 -FF -03 -BC -00 -F0 -BA -F9 -00 -00 -80 -F3 -09 -88 -70 -47 -EF -F3 -09 -80 -70 -47 -68 -46 -80 -F3 -09 -88 -06 -48 -00 -78 -C0 -07 -03 -D1 -03 -20 -80 -F3 -14 -88 -70 -47 -02 -20 -80 -F3 -14 -88 -70 -47 -00 -00 -0C -13 -00 -00 -06 -4B -9C -46 -EF -F3 -05 -83 -1B -06 -05 -D1 -EF -F3 -14 -83 -DB -07 -01 -D0 -00 -DF -70 -47 -60 -47 -00 -00 -C3 -08 -00 -00 -06 -4B -9C -46 -EF -F3 -05 -83 -1B -06 -05 -D1 -EF -F3 -14 -83 -DB -07 -01 -D0 -00 -DF -70 -47 -60 -47 -00 -00 -09 -0B -00 -00 -EF -F3 -09 -80 -81 -69 -89 -1E -09 -78 -00 -29 -33 -D1 -A6 -46 -1F -C8 -A4 -46 -74 -46 -E0 -47 -1F -4B -06 -CB -91 -42 -24 -D0 -08 -3B -00 -29 -10 -D0 -0C -B4 -20 -31 -01 -23 -4B -70 -EF -F3 -09 -83 -20 -3B -4B -60 -F0 -C3 -44 -46 -4D -46 -56 -46 -5F -46 -F0 -C3 -00 -F0 -BB -FE -0C -BC -1A -60 -20 -32 -53 -68 -10 -33 -F0 -CB -A0 -46 -A9 -46 -B2 -46 -BB -46 -83 -F3 -09 -88 -20 -3B -F0 -CB -50 -78 -00 -28 -03 -D0 -10 -78 -EF -F3 -09 -83 -18 -60 -02 -23 -DB -43 -18 -47 -10 -B5 -08 -4A -12 -68 -91 -42 -09 -D8 -07 -48 -89 -00 -40 -58 -86 -46 -1F -C8 -A4 -46 -F0 -47 -EF -F3 -09 -84 -0F -C4 -10 -BD -00 -00 -1C -00 -00 -20 -48 -08 -00 -00 -48 -08 -00 -00 -00 -F0 -A0 -FD -15 -4B -06 -CB -91 -42 -23 -D0 -08 -3B -0C -B4 -20 -31 -00 -23 -4B -70 -EF -F3 -09 -83 -20 -3B -4B -60 -F0 -C3 -44 -46 -4D -46 -56 -46 -5F -46 -F0 -C3 -00 -F0 -75 -FE -0C -BC -1A -60 -20 -32 -53 -68 -10 -33 -F0 -CB -A0 -46 -A9 -46 -B2 -46 -BB -46 -83 -F3 -09 -88 -20 -3B -F0 -CB -50 -78 -00 -28 -01 -D0 -10 -78 -18 -61 -02 -23 -DB -43 -18 -47 -00 -00 -1C -00 -00 -20 -00 -F0 -0A -FF -CE -E7 -00 -00 -00 -F0 -E4 -FA -00 -F0 -04 -FF -C8 -E7 -00 -00 -07 -48 -80 -47 -07 -48 -00 -47 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -04 -48 -05 -49 -05 -4A -06 -4B -70 -47 -00 -00 -85 -05 -00 -00 -C1 -00 -00 -00 -60 -1B -00 -20 -60 -1E -00 -20 -60 -1C -00 -20 -60 -1C -00 -20 -28 -4C -29 -4F -01 -20 -BC -46 -00 -DF -28 -A0 -00 -F0 -A8 -F9 -29 -4F -01 -20 -BC -46 -A1 -68 -00 -DF -F2 -E7 -27 -4D -21 -4C -27 -4F -01 -22 -29 -46 -10 -46 -BC -46 -00 -DF -21 -68 -25 -A0 -49 -1C -21 -60 -00 -F0 -18 -F9 -20 -68 -03 -28 -F0 -DB -25 -A0 -00 -F0 -8E -F9 -28 -A0 -00 -F0 -8B -F9 -00 -F0 -97 -F8 -E7 -E7 -00 -23 -2B -4F -1A -46 -01 -21 -28 -48 -BC -46 -00 -DF -11 -4C -00 -23 -60 -60 -1A -46 -01 -21 -26 -48 -BC -46 -00 -DF -26 -4F -A0 -60 -00 -20 -BC -46 -00 -DF -80 -B5 -24 -49 -00 -20 -88 -61 -00 -F0 -66 -F8 -22 -48 -00 -F0 -6B -F9 -22 -A0 -00 -F0 -68 -F9 -FF -F7 -DC -FE -2D -4F -00 -22 -11 -46 -2B -48 -BC -46 -00 -DF -00 -20 -80 -BD -00 -00 -00 -20 -15 -0A -00 -00 -74 -61 -73 -6B -20 -31 -20 -2D -3E -00 -00 -00 -6D -0A -00 -00 -FF -FF -00 -00 -C9 -0A -00 -00 -20 -20 -74 -61 -73 -6B -20 -32 -2C -20 -25 -64 -0A -00 -00 -00 -54 -61 -73 -6B -73 -20 -72 -61 -6E -20 -33 -20 -74 -69 -6D -65 -73 -2E -00 -00 -2A -2A -20 -54 -45 -53 -54 -20 -50 -41 -53 -53 -45 -44 -20 -2A -2A -20 -0A -00 -39 -03 -00 -00 -81 -11 -00 -00 -55 -03 -00 -00 -FD -11 -00 -00 -00 -E0 -00 -E0 -A8 -12 -00 -00 -2D -20 -45 -78 -65 -63 -75 -74 -65 -20 -74 -61 -73 -6B -20 -31 -20 -2D -3E -20 -74 -61 -73 -6B -20 -32 -20 -73 -65 -71 -75 -65 -6E -63 -65 -20 -74 -68 -72 -65 -65 -20 -74 -69 -6D -65 -73 -0A -00 -00 -00 -00 -89 -03 -00 -00 -DD -0F -00 -00 -0C -48 -10 -21 -01 -61 -41 -21 -81 -60 -0B -49 -20 -20 -88 -61 -70 -47 -08 -49 -4A -68 -D2 -07 -FC -D1 -08 -60 -70 -47 -05 -48 -41 -68 -89 -07 -FC -D5 -00 -68 -C0 -B2 -70 -47 -04 -20 -FF -F7 -F0 -FF -FE -E7 -00 -60 -00 -40 -00 -10 -01 -40 -10 -B5 -C0 -B2 -FF -F7 -E7 -FF -10 -BD -10 -B5 -FF -F7 -E9 -FF -FF -F7 -E1 -FF -10 -BD -00 -20 -C0 -43 -70 -47 -10 -B5 -C0 -B2 -FF -F7 -D9 -FF -10 -BD -FE -E7 -FE -E7 -70 -47 -FE -E7 -10 -B5 -00 -F0 -D0 -FE -00 -28 -05 -D0 -60 -21 -48 -43 -17 -49 -40 -18 -60 -38 -10 -BD -16 -48 -10 -BD -10 -B5 -16 -4A -11 -68 -08 -29 -00 -D3 -FE -E7 -0C -23 -4B -43 -13 -4C -49 -1C -1B -19 -03 -60 -18 -46 -11 -60 -00 -F0 -C0 -FB -01 -20 -10 -BD -B0 -B5 -04 -46 -00 -F0 -B0 -FE -00 -28 -04 -D0 -0D -4F -0C -49 -BC -46 -20 -68 -00 -DF -B0 -BD -B0 -B5 -04 -46 -00 -F0 -A4 -FE -00 -28 -03 -D0 -08 -4F -20 -68 -BC -46 -00 -DF -B0 -BD -00 -00 -FC -17 -00 -20 -FC -1A -00 -20 -14 -00 -00 -20 -3C -1A -00 -20 -FF -FF -00 -00 -65 -0D -00 -00 -BD -0C -00 -00 -04 -49 -03 -48 -08 -60 -70 -47 -02 -49 -01 -48 -08 -60 -70 -47 -00 -E1 -F5 -05 -18 -00 -00 -20 -00 -48 -70 -47 -FC -1A -00 -20 -70 -47 -00 -00 -0F -B4 -05 -49 -10 -B5 -03 -AA -02 -98 -00 -F0 -E7 -F8 -10 -BC -08 -BC -04 -B0 -18 -47 -00 -00 -0C -00 -00 -20 -F8 -B5 -04 -46 -0E -46 -00 -20 -2D -E0 -E1 -68 -20 -46 -88 -47 -00 -28 -2A -D0 -25 -28 -02 -D0 -62 -68 -A1 -68 -20 -E0 -E1 -68 -20 -46 -00 -27 -88 -47 -05 -00 -1F -D0 -28 -46 -41 -38 -19 -28 -02 -D8 -01 -27 -FF -02 -20 -35 -32 -46 -20 -46 -27 -60 -29 -46 -FF -F7 -9E -FD -00 -28 -08 -D0 -01 -28 -04 -D0 -F6 -1D -F6 -08 -F6 -00 -08 -36 -D9 -E7 -36 -1D -D7 -E7 -62 -68 -28 -46 -A1 -68 -90 -47 -20 -6A -40 -1C -20 -62 -CF -E7 -20 -6A -F8 -BD -00 -00 -F7 -B5 -00 -26 -75 -29 -10 -68 -00 -99 -14 -A5 -11 -D0 -C0 -46 -C0 -46 -00 -28 -02 -DA -40 -42 -11 -A5 -08 -E0 -00 -99 -09 -68 -8A -07 -01 -D5 -0F -A5 -02 -E0 -49 -07 -04 -D5 -0E -A5 -01 -26 -01 -E0 -C0 -46 -C0 -46 -00 -9F -00 -24 -24 -37 -04 -E0 -00 -F0 -9B -F8 -30 -31 -39 -55 -64 -1C -00 -28 -F8 -D1 -33 -46 -2A -46 -21 -46 -00 -98 -00 -F0 -23 -F8 -FE -BD -00 -00 -00 -00 -00 -00 -2D -00 -00 -00 -2B -00 -00 -00 -20 -00 -00 -00 -10 -B5 -04 -46 -03 -E0 -FF -F7 -11 -FF -40 -1C -08 -D0 -20 -78 -05 -49 -64 -1C -00 -28 -F6 -D1 -0A -20 -FF -F7 -07 -FF -10 -BD -00 -20 -C0 -43 -10 -BD -00 -00 -0C -00 -00 -20 -70 -47 -70 -47 -70 -47 -FF -B5 -04 -46 -0D -46 -81 -B0 -24 -30 -00 -90 -21 -68 -88 -06 -04 -D5 -10 -22 -E0 -69 -91 -43 -21 -60 -00 -E0 -01 -20 -A8 -42 -01 -DD -47 -1B -00 -E0 -00 -27 -04 -98 -A1 -69 -7A -19 -10 -18 -08 -1A -A0 -61 -20 -68 -C0 -06 -02 -D4 -20 -46 -C0 -46 -C0 -46 -00 -26 -08 -E0 -03 -98 -62 -68 -A1 -68 -80 -5D -90 -47 -20 -6A -40 -1C -76 -1C -20 -62 -04 -98 -86 -42 -F3 -DB -20 -68 -C0 -06 -0A -D5 -20 -46 -C0 -46 -C0 -46 -06 -E0 -62 -68 -A1 -68 -30 -20 -90 -47 -20 -6A -40 -1C -20 -62 -38 -46 -7F -1E -00 -28 -F4 -DC -07 -E0 -00 -98 -62 -68 -A1 -68 -40 -5D -90 -47 -20 -6A -40 -1C -20 -62 -28 -46 -6D -1E -00 -28 -F3 -DC -20 -46 -C0 -46 -C0 -46 -20 -68 -00 -06 -02 -D5 -02 -20 -05 -B0 -F0 -BD -01 -20 -FB -E7 -00 -00 -08 -4B -70 -B5 -0C -46 -7B -44 -00 -F0 -27 -F8 -05 -46 -20 -46 -FF -F7 -A5 -FE -00 -28 -02 -D0 -00 -20 -C0 -43 -70 -BD -28 -46 -70 -BD -00 -00 -3F -FD -FF -FF -01 -46 -80 -08 -08 -1A -02 -09 -10 -18 -02 -0A -10 -18 -02 -0C -10 -18 -C0 -08 -82 -00 -12 -18 -52 -00 -89 -1A -01 -E0 -0A -39 -40 -1C -0A -29 -FB -D2 -70 -47 -01 -69 -4A -1C -02 -61 -08 -78 -70 -47 -00 -B5 -8F -B0 -02 -91 -00 -21 -05 -91 -05 -49 -01 -93 -79 -44 -03 -91 -11 -46 -04 -90 -68 -46 -FF -F7 -E5 -FE -0F -B0 -00 -BD -00 -00 -E5 -FF -FF -FF -75 -46 -FF -F7 -C9 -FE -AE -46 -05 -00 -69 -46 -53 -46 -C0 -08 -C0 -00 -85 -46 -18 -B0 -20 -B5 -FF -F7 -7E -FD -60 -BC -00 -27 -49 -08 -B6 -46 -00 -26 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -40 -3D -49 -00 -8D -46 -70 -47 -04 -46 -C0 -46 -C0 -46 -20 -46 -FF -F7 -92 -FC -00 -00 -00 -00 -00 -00 -10 -B5 -00 -2A -06 -DA -52 -00 -52 -08 -D2 -1D -D3 -08 -DB -00 -10 -22 -03 -E0 -D2 -1C -93 -08 -9B -00 -0C -22 -00 -2B -02 -D0 -9C -18 -8C -42 -01 -D9 -01 -20 -10 -BD -82 -18 -83 -60 -41 -18 -02 -60 -41 -60 -C9 -1A -D0 -18 -88 -42 -02 -D8 -10 -60 -02 -46 -F9 -E7 -00 -20 -10 -60 -10 -BD -07 -48 -01 -68 -07 -48 -41 -61 -00 -21 -81 -61 -07 -21 -01 -61 -05 -48 -01 -6A -FF -22 -12 -06 -11 -43 -01 -62 -40 -17 -70 -47 -08 -13 -00 -00 -00 -E0 -00 -E0 -00 -ED -00 -E0 -70 -47 -01 -46 -EF -F3 -10 -80 -C2 -07 -D2 -0F -72 -B6 -08 -68 -00 -28 -01 -D0 -03 -68 -0B -60 -00 -2A -00 -D1 -62 -B6 -70 -47 -70 -B5 -0D -46 -00 -28 -0F -D0 -08 -49 -09 -4C -88 -42 -04 -D2 -22 -68 -01 -46 -10 -46 -00 -F0 -A3 -FA -20 -68 -45 -70 -05 -48 -00 -F0 -15 -F9 -00 -F0 -62 -FB -70 -BD -00 -00 -FF -FF -00 -00 -1C -00 -00 -20 -CC -1A -00 -20 -10 -B5 -0E -48 -0C -49 -42 -68 -0D -48 -0B -68 -00 -68 -93 -42 -04 -D0 -0A -60 -CB -88 -42 -1E -9A -18 -8A -80 -8A -88 -80 -B2 -82 -42 -08 -D1 -00 -20 -08 -60 -04 -48 -00 -F0 -F4 -F8 -01 -46 -02 -48 -00 -F0 -A1 -FA -10 -BD -30 -00 -00 -20 -CC -1A -00 -20 -24 -00 -00 -20 -70 -B5 -1B -4D -E8 -68 -00 -28 -31 -D0 -A8 -8A -40 -1E -A8 -82 -00 -26 -26 -E0 -A0 -68 -00 -28 -08 -D0 -61 -68 -41 -60 -60 -68 -00 -28 -02 -D0 -A1 -68 -81 -60 -66 -60 -A6 -60 -10 -48 -21 -46 -18 -38 -00 -F0 -7F -FA -A0 -8A -A8 -82 -60 -78 -04 -28 -04 -D1 -0C -49 -E0 -8A -09 -68 -40 -18 -A0 -82 -01 -20 -60 -70 -20 -21 -08 -55 -E0 -68 -E8 -60 -E0 -68 -00 -28 -01 -D0 -05 -61 -E6 -60 -26 -61 -A8 -8A -00 -28 -02 -D1 -EC -68 -00 -2C -D2 -D1 -70 -BD -E4 -1A -00 -20 -24 -00 -00 -20 -70 -B5 -04 -00 -0E -D0 -0C -4D -A1 -78 -28 -68 -01 -26 -82 -78 -91 -42 -0B -D9 -00 -F0 -70 -FA -28 -68 -46 -70 -20 -46 -00 -F0 -EB -FA -70 -BD -05 -48 -00 -F0 -98 -F8 -F8 -E7 -66 -70 -21 -46 -02 -48 -00 -F0 -43 -FA -70 -BD -1C -00 -00 -20 -CC -1A -00 -20 -10 -B5 -03 -21 -FF -F7 -62 -FF -10 -BD -00 -00 -10 -B5 -04 -46 -00 -8B -08 -43 -20 -83 -62 -78 -61 -8B -06 -2A -15 -D0 -05 -2A -12 -D1 -0A -46 -10 -42 -0F -D0 -02 -40 -62 -83 -88 -43 -20 -83 -20 -46 -00 -F0 -63 -FA -01 -20 -60 -70 -02 -21 -20 -20 -01 -55 -21 -46 -04 -48 -00 -F0 -1A -FA -10 -BD -0A -46 -82 -43 -ED -D0 -10 -BD -00 -00 -CC -1A -00 -20 -02 -46 -88 -00 -70 -B5 -14 -49 -40 -18 -40 -38 -C4 -6B -00 -2C -1D -D0 -20 -8B -10 -43 -20 -83 -61 -78 -65 -8B -06 -29 -17 -D0 -05 -29 -14 -D1 -29 -46 -08 -42 -11 -D0 -01 -40 -61 -83 -A8 -43 -20 -83 -20 -46 -00 -F0 -36 -FA -20 -8B -02 -21 -A8 -43 -20 -83 -01 -20 -60 -70 -20 -20 -01 -55 -20 -46 -FF -F7 -8D -FF -70 -BD -29 -46 -81 -43 -EB -D0 -70 -BD -E4 -17 -00 -20 -70 -B5 -03 -46 -0D -48 -0D -46 -04 -68 -00 -2A -20 -8B -08 -D0 -19 -46 -81 -43 -01 -D0 -06 -20 -09 -E0 -98 -43 -20 -83 -02 -20 -70 -BD -02 -46 -1A -40 -01 -D0 -62 -83 -F6 -E7 -05 -20 -C1 -B2 -63 -83 -28 -46 -FF -F7 -F0 -FE -01 -20 -70 -BD -1C -00 -00 -20 -81 -42 -02 -D3 -42 -68 -8A -42 -01 -D8 -01 -20 -70 -47 -EF -F3 -10 -82 -D2 -07 -D2 -0F -72 -B6 -03 -68 -0B -60 -01 -60 -00 -D1 -62 -B6 -00 -20 -70 -47 -01 -46 -40 -68 -42 -68 -4A -60 -0B -78 -00 -22 -02 -2B -05 -D0 -01 -2B -03 -D0 -03 -2B -01 -D0 -42 -60 -70 -47 -43 -68 -00 -2B -01 -D0 -99 -60 -42 -60 -82 -60 -70 -47 -70 -B5 -04 -46 -15 -46 -00 -20 -20 -70 -01 -22 -62 -70 -A1 -70 -60 -60 -A0 -60 -E0 -60 -20 -61 -A0 -82 -E0 -82 -20 -83 -23 -46 -60 -83 -20 -33 -18 -70 -58 -70 -60 -8C -00 -28 -03 -D1 -04 -48 -FF -F7 -9B -FE -A0 -62 -29 -46 -20 -46 -00 -F0 -0F -F8 -70 -BD -70 -01 -00 -20 -03 -48 -00 -21 -01 -60 -03 -49 -09 -68 -C1 -80 -70 -47 -00 -00 -30 -00 -00 -20 -04 -13 -00 -00 -30 -B5 -42 -8C -92 -08 -03 -D1 -0E -4A -12 -68 -12 -04 -92 -0C -83 -6A -92 -00 -9A -18 -53 -07 -00 -D5 -12 -1F -40 -3A -01 -23 -1B -06 -D3 -63 -00 -23 -91 -63 -1C -46 -9D -00 -5B -1C -54 -51 -0E -2B -FA -D3 -C3 -69 -13 -62 -42 -62 -C1 -62 -80 -6A -02 -49 -01 -60 -30 -BD -00 -13 -00 -00 -A5 -2E -5A -E2 -F8 -B5 -04 -46 -40 -68 -00 -26 -0F -46 -00 -28 -05 -D0 -60 -78 -02 -28 -18 -D0 -A0 -89 -00 -28 -2F -D0 -A0 -89 -E1 -89 -88 -42 -3E -D2 -20 -89 -80 -00 -00 -19 -07 -61 -72 -B6 -A0 -89 -40 -1C -A0 -81 -62 -B6 -20 -89 -40 -1C -80 -B2 -20 -81 -E1 -89 -88 -42 -00 -D1 -26 -81 -F8 -BD -66 -70 -20 -46 -FF -F7 -70 -FF -05 -46 -20 -30 -06 -70 -21 -89 -E8 -69 -89 -00 -09 -19 -08 -61 -72 -B6 -A0 -89 -40 -1C -A0 -81 -62 -B6 -20 -89 -40 -1C -80 -B2 -20 -81 -E1 -89 -88 -42 -0A -D1 -26 -81 -08 -E0 -20 -46 -FF -F7 -57 -FF -05 -46 -C0 -69 -04 -21 -07 -60 -20 -20 -41 -55 -01 -20 -68 -70 -28 -46 -00 -F0 -3D -F9 -29 -46 -03 -48 -00 -F0 -F9 -F8 -F8 -BD -03 -20 -FF -F7 -25 -FC -F8 -BD -CC -1A -00 -20 -03 -21 -01 -70 -00 -21 -41 -70 -41 -80 -41 -60 -81 -60 -70 -47 -F8 -B5 -05 -46 -40 -88 -00 -28 -04 -D0 -25 -4C -A9 -68 -22 -68 -91 -42 -01 -D0 -FF -20 -F8 -BD -40 -1E -00 -04 -00 -0C -68 -80 -3B -D1 -21 -68 -68 -78 -88 -70 -6A -68 -01 -27 -00 -2A -28 -D0 -28 -46 -FF -F7 -1E -FF -06 -46 -05 -21 -20 -30 -01 -70 -30 -46 -00 -F0 -08 -F9 -6F -80 -AE -60 -B0 -78 -68 -70 -15 -48 -21 -68 -40 -68 -8A -78 -80 -78 -82 -42 -01 -D3 -30 -46 -0A -E0 -11 -48 -00 -F0 -B8 -F8 -31 -46 -0F -48 -00 -F0 -B4 -F8 -20 -68 -47 -70 -77 -70 -10 -E0 -FF -F7 -50 -FE -21 -68 -00 -20 -20 -31 -08 -70 -0B -E0 -08 -4A -52 -68 -92 -78 -82 -42 -06 -D9 -05 -48 -00 -F0 -A1 -F8 -20 -68 -47 -70 -00 -20 -EC -E7 -00 -20 -F8 -BD -00 -00 -1C -00 -00 -20 -CC -1A -00 -20 -70 -B5 -0E -46 -18 -4D -04 -46 -41 -88 -28 -68 -00 -29 -07 -D0 -A1 -68 -81 -42 -08 -D1 -60 -88 -40 -1C -60 -80 -00 -20 -70 -BD -A0 -60 -80 -78 -60 -70 -F6 -E7 -00 -2E -0F -D0 -62 -78 -80 -78 -82 -42 -03 -D2 -88 -70 -A0 -68 -00 -F0 -A0 -F8 -60 -68 -00 -28 -06 -D0 -20 -46 -29 -68 -00 -F0 -71 -F8 -07 -E0 -01 -20 -70 -BD -28 -68 -60 -60 -28 -68 -00 -21 -84 -60 -41 -60 -09 -21 -30 -46 -FF -F7 -8C -FD -F2 -E7 -00 -00 -1C -00 -00 -20 -70 -B5 -18 -48 -01 -21 -00 -68 -41 -70 -00 -F0 -77 -F8 -16 -4C -65 -78 -13 -E0 -E8 -00 -01 -19 -48 -68 -02 -78 -00 -2A -17 -D0 -01 -2A -1A -D0 -00 -F0 -BC -F8 -E0 -78 -6D -1C -85 -42 -00 -D1 -00 -25 -72 -B6 -A0 -78 -40 -1E -A0 -70 -62 -B6 -A0 -78 -00 -28 -E8 -D1 -65 -70 -09 -48 -FF -F7 -8A -FE -00 -F0 -D7 -F8 -70 -BD -89 -68 -89 -B2 -FF -F7 -FC -FD -E6 -E7 -89 -68 -FF -F7 -E8 -FE -E2 -E7 -00 -00 -1C -00 -00 -20 -60 -17 -00 -20 -CC -1A -00 -20 -10 -B5 -12 -4A -D3 -68 -00 -2B -17 -D0 -13 -46 -9B -8A -04 -E0 -00 -2C -12 -D0 -22 -46 -A4 -8A -E3 -18 -D4 -68 -8B -42 -F7 -D3 -C4 -60 -D0 -60 -02 -61 -C4 -68 -00 -2C -00 -D0 -20 -61 -59 -1A -81 -82 -90 -8A -40 -1A -90 -82 -10 -BD -00 -24 -C4 -60 -D0 -60 -C9 -1A -02 -61 -91 -82 -84 -82 -10 -BD -00 -00 -E4 -1A -00 -20 -30 -B5 -02 -78 -00 -23 -02 -2A -03 -D0 -01 -2A -01 -D0 -03 -2A -00 -D1 -01 -23 -8C -78 -00 -E0 -10 -46 -42 -68 -00 -2A -02 -D0 -95 -78 -A5 -42 -F8 -D2 -4A -60 -41 -60 -00 -2B -04 -D0 -00 -2A -00 -D0 -91 -60 -88 -60 -30 -BD -00 -20 -FB -E7 -03 -49 -4A -68 -42 -60 -00 -22 -82 -60 -48 -60 -70 -47 -00 -00 -CC -1A -00 -20 -10 -B5 -83 -68 -04 -46 -00 -2B -05 -D1 -60 -78 -01 -28 -0C -D1 -06 -4B -03 -E0 -9B -68 -18 -78 -00 -28 -FB -D0 -20 -46 -00 -F0 -1D -F8 -21 -46 -18 -46 -FF -F7 -C3 -FF -10 -BD -CC -1A -00 -20 -10 -B5 -01 -69 -00 -29 -0F -D0 -C2 -68 -CA -60 -C3 -68 -00 -22 -00 -2B -07 -D0 -8B -8A -84 -8A -1B -19 -8B -82 -C3 -68 -19 -61 -C2 -60 -00 -E0 -8A -82 -02 -61 -10 -BD -00 -00 -81 -68 -00 -29 -07 -D0 -42 -68 -4A -60 -41 -68 -00 -29 -01 -D0 -80 -68 -88 -60 -70 -47 -06 -49 -06 -E0 -4A -68 -82 -42 -02 -D1 -40 -68 -48 -60 -70 -47 -11 -46 -00 -29 -F6 -D1 -70 -47 -00 -00 -CC -1A -00 -20 -10 -B5 -41 -68 -00 -29 -0E -D0 -FF -F7 -D9 -FD -04 -46 -FF -F7 -C7 -FF -01 -20 -60 -70 -03 -21 -20 -20 -01 -55 -21 -46 -04 -48 -FF -F7 -7E -FF -10 -BD -41 -88 -49 -1C -41 -80 -10 -BD -00 -00 -CC -1A -00 -20 -10 -B5 -07 -48 -00 -68 -41 -6A -80 -6A -81 -42 -03 -D3 -00 -68 -04 -49 -88 -42 -02 -D0 -01 -20 -FF -F7 -98 -FA -10 -BD -00 -00 -1C -00 -00 -20 -A5 -2E -5A -E2 -02 -49 -48 -60 -02 -21 -41 -70 -70 -47 -00 -00 -1C -00 -00 -20 -F7 -B5 -06 -46 -00 -20 -04 -46 -33 -4A -34 -49 -02 -E0 -83 -00 -D4 -50 -40 -1C -0B -88 -98 -42 -F9 -D3 -31 -48 -30 -22 -01 -88 -30 -48 -FF -F7 -25 -FC -30 -48 -01 -21 -00 -68 -C9 -07 -80 -B2 -42 -18 -2E -48 -01 -68 -2E -48 -FF -F7 -1A -FC -2D -48 -08 -22 -01 -88 -2D -48 -00 -68 -FF -F7 -13 -FC -2C -4F -FF -25 -FD -70 -7C -84 -2B -4A -00 -21 -38 -46 -FF -F7 -90 -FD -29 -48 -04 -21 -01 -70 -44 -60 -28 -48 -01 -70 -C4 -60 -04 -61 -84 -82 -78 -6A -20 -30 -FF -F7 -93 -F8 -25 -48 -26 -49 -07 -60 -02 -20 -78 -70 -23 -48 -04 -70 -44 -70 -09 -78 -C1 -70 -FF -F7 -99 -FD -22 -48 -01 -6A -2A -04 -11 -43 -01 -62 -C1 -69 -02 -6A -52 -02 -92 -0E -92 -06 -11 -43 -C1 -61 -FF -F7 -07 -FC -1C -49 -00 -28 -08 -60 -0B -DB -1B -49 -41 -18 -0D -70 -C2 -06 -D2 -0E -01 -21 -91 -40 -40 -11 -18 -4A -80 -00 -80 -18 -01 -60 -00 -23 -30 -46 -02 -9A -01 -99 -00 -F0 -67 -F8 -FE -BD -E4 -17 -00 -20 -FE -12 -00 -00 -18 -13 -00 -00 -40 -00 -00 -20 -00 -13 -00 -00 -1C -13 -00 -00 -70 -01 -00 -20 -22 -13 -00 -00 -10 -00 -00 -20 -9C -1A -00 -20 -ED -04 -00 -00 -CC -1A -00 -20 -E4 -1A -00 -20 -1C -00 -00 -20 -60 -17 -00 -20 -20 -13 -00 -00 -00 -ED -00 -E0 -2C -00 -00 -20 -00 -E4 -00 -E0 -00 -E1 -00 -E0 -10 -B5 -0B -48 -01 -21 -00 -68 -41 -70 -FF -F7 -DD -FE -FF -F7 -01 -FC -08 -48 -01 -68 -49 -1C -01 -60 -FF -F7 -1D -FC -00 -F0 -0D -F8 -05 -48 -FF -F7 -01 -FD -FF -F7 -4E -FF -10 -BD -00 -00 -1C -00 -00 -20 -24 -00 -00 -20 -CC -1A -00 -20 -70 -B5 -0D -4C -20 -68 -00 -28 -15 -D0 -A0 -88 -0B -4E -40 -1E -A0 -80 -0A -E0 -E8 -88 -FF -F7 -CA -F9 -A8 -88 -A0 -80 -28 -68 -20 -60 -29 -46 -30 -68 -FF -F7 -CF -FC -A0 -88 -00 -28 -02 -D1 -25 -68 -00 -2D -EE -D1 -70 -BD -38 -00 -00 -20 -10 -00 -00 -20 -FF -B5 -08 -06 -81 -B0 -0C -46 -16 -46 -1F -46 -00 -0E -00 -D1 -4C -1C -16 -48 -FF -F7 -95 -FB -05 -00 -25 -D0 -20 -02 -00 -0C -AE -62 -68 -84 -EF -61 -E1 -B2 -28 -46 -01 -9A -FF -F7 -D4 -FC -01 -24 -0F -48 -0F -49 -06 -E0 -A2 -00 -12 -18 -40 -3A -D2 -6B -00 -2A -04 -D0 -64 -1C -0A -88 -94 -42 -F5 -D9 -00 -24 -A1 -00 -08 -18 -40 -38 -C5 -63 -EC -70 -28 -46 -FF -F7 -FB -FB -06 -48 -00 -68 -20 -30 -04 -70 -20 -46 -05 -B0 -F0 -BD -40 -00 -00 -20 -E4 -17 -00 -20 -FE -12 -00 -00 -1C -00 -00 -20 -70 -B5 -21 -4C -00 -25 -21 -4E -21 -68 -00 -28 -02 -D0 -CA -78 -82 -42 -1A -D1 -4D -70 -FE -F7 -B4 -FF -21 -68 -48 -62 -FF -F7 -C3 -FE -20 -68 -C1 -78 -89 -00 -89 -19 -40 -39 -CD -63 -81 -6A -17 -48 -FF -F7 -6B -FC -21 -68 -16 -48 -8D -62 -FF -F7 -66 -FC -00 -20 -25 -60 -FF -F7 -C8 -FB -19 -E0 -13 -49 -09 -88 -88 -42 -17 -D8 -80 -00 -86 -19 -40 -3E -F4 -6B -00 -2C -11 -D0 -20 -46 -FF -F7 -6E -FE -20 -46 -FF -F7 -55 -FE -F5 -63 -08 -48 -A1 -6A -FF -F7 -4C -FC -21 -46 -07 -48 -A5 -62 -FF -F7 -47 -FC -00 -20 -70 -BD -FF -20 -70 -BD -00 -00 -1C -00 -00 -20 -E4 -17 -00 -20 -70 -01 -00 -20 -40 -00 -00 -20 -FE -12 -00 -00 -02 -48 -00 -68 -00 -28 -00 -D0 -C0 -78 -70 -47 -1C -00 -00 -20 -0A -43 -6F -72 -74 -65 -78 -20 -4D -69 -63 -72 -6F -63 -6F -6E -74 -72 -6F -6C -6C -65 -72 -20 -53 -79 -73 -74 -65 -6D -20 -44 -65 -73 -69 -67 -6E -20 -4B -69 -74 -20 -2D -20 -52 -54 -58 -20 -44 -65 -6D -6F -20 -2D -20 -72 -65 -76 -69 -73 -69 -6F -6E -20 -24 -52 -65 -76 -69 -73 -69 -6F -6E -3A -20 -32 -31 -34 -35 -35 -37 -20 -24 -0A -00 -00 -06 -00 -20 -03 -00 -01 -05 -00 -01 -00 -0F -27 -00 -00 -00 -00 -00 -00 -C8 -00 -00 -00 -00 -00 -00 -00 -2C -01 -00 -00 -F0 -15 -00 -00 -10 -00 -00 -00 -44 -13 -00 -00 -00 -00 -00 -20 -40 -00 -00 -00 -04 -01 -00 -00 -84 -13 -00 -00 -40 -00 -00 -20 -20 -1E -00 -00 -20 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -E1 -F5 -05 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.uvopt deleted file mode 100644 index ff858fa..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.uvopt +++ /dev/null @@ -1,277 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>26</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>30</TopLine> - <CurrentLine>51</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>.\rtx_demo.c</PathWithFileName> - <FilenameWithoutPath>rtx_demo.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>.\RTX_Config.c</PathWithFileName> - <FilenameWithoutPath>RTX_Config.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.uvproj deleted file mode 100644 index 6c7674d..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0.uvproj +++ /dev/null @@ -1,440 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>rtx_demo</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output rtx_demo_cm0.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>1</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>rtx_demo.c</FileName> - <FileType>1</FileType> - <FilePath>.\rtx_demo.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>RTX_Config.c</FileName> - <FileType>1</FileType> - <FilePath>.\RTX_Config.c</FilePath> - </File> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.hex b/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.hex deleted file mode 100644 index 1ab6c31..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.hex +++ /dev/null @@ -1,4996 +0,0 @@ -60 -1E -00 -20 -01 -03 -00 -00 -09 -03 -00 -00 -0B -03 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -E9 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -8D -02 -00 -00 -ED -02 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -00 -00 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -13 -03 -00 -00 -00 -F0 -02 -F8 -00 -F0 -48 -F8 -0C -A0 -30 -C8 -08 -38 -24 -18 -2D -18 -A2 -46 -67 -1E -AB -46 -54 -46 -5D -46 -AC -42 -01 -D1 -00 -F0 -3A -F8 -7E -46 -0F -3E -0F -CC -B6 -46 -01 -26 -33 -42 -00 -D0 -FB -1A -A2 -46 -AB -46 -33 -43 -18 -47 -28 -12 -00 -00 -48 -12 -00 -00 -10 -3A -02 -D3 -78 -C8 -78 -C1 -FA -D8 -52 -07 -01 -D3 -30 -C8 -30 -C1 -01 -D5 -04 -68 -0C -60 -70 -47 -00 -00 -00 -23 -00 -24 -00 -25 -00 -26 -10 -3A -01 -D3 -78 -C1 -FB -D8 -52 -07 -00 -D3 -30 -C1 -00 -D5 -0B -60 -70 -47 -10 -B5 -64 -29 -02 -D1 -00 -F0 -73 -FA -10 -BD -00 -20 -10 -BD -1F -B5 -C0 -46 -C0 -46 -1F -BD -10 -B5 -10 -BD -00 -F0 -50 -FB -11 -46 -FF -F7 -F5 -FF -00 -F0 -25 -F9 -00 -F0 -68 -FB -03 -B4 -FF -F7 -F2 -FF -03 -BC -00 -F0 -BA -F9 -00 -00 -80 -F3 -09 -88 -70 -47 -EF -F3 -09 -80 -70 -47 -68 -46 -80 -F3 -09 -88 -06 -48 -00 -78 -C0 -07 -03 -D1 -03 -20 -80 -F3 -14 -88 -70 -47 -02 -20 -80 -F3 -14 -88 -70 -47 -00 -00 -0C -13 -00 -00 -06 -4B -9C -46 -EF -F3 -05 -83 -1B -06 -05 -D1 -EF -F3 -14 -83 -DB -07 -01 -D0 -00 -DF -70 -47 -60 -47 -00 -00 -C3 -08 -00 -00 -06 -4B -9C -46 -EF -F3 -05 -83 -1B -06 -05 -D1 -EF -F3 -14 -83 -DB -07 -01 -D0 -00 -DF -70 -47 -60 -47 -00 -00 -09 -0B -00 -00 -EF -F3 -09 -80 -81 -69 -89 -1E -09 -78 -00 -29 -33 -D1 -A6 -46 -1F -C8 -A4 -46 -74 -46 -E0 -47 -1F -4B -06 -CB -91 -42 -24 -D0 -08 -3B -00 -29 -10 -D0 -0C -B4 -20 -31 -01 -23 -4B -70 -EF -F3 -09 -83 -20 -3B -4B -60 -F0 -C3 -44 -46 -4D -46 -56 -46 -5F -46 -F0 -C3 -00 -F0 -BB -FE -0C -BC -1A -60 -20 -32 -53 -68 -10 -33 -F0 -CB -A0 -46 -A9 -46 -B2 -46 -BB -46 -83 -F3 -09 -88 -20 -3B -F0 -CB -50 -78 -00 -28 -03 -D0 -10 -78 -EF -F3 -09 -83 -18 -60 -02 -23 -DB -43 -18 -47 -10 -B5 -08 -4A -12 -68 -91 -42 -09 -D8 -07 -48 -89 -00 -40 -58 -86 -46 -1F -C8 -A4 -46 -F0 -47 -EF -F3 -09 -84 -0F -C4 -10 -BD -00 -00 -1C -00 -00 -20 -48 -08 -00 -00 -48 -08 -00 -00 -00 -F0 -A0 -FD -15 -4B -06 -CB -91 -42 -23 -D0 -08 -3B -0C -B4 -20 -31 -00 -23 -4B -70 -EF -F3 -09 -83 -20 -3B -4B -60 -F0 -C3 -44 -46 -4D -46 -56 -46 -5F -46 -F0 -C3 -00 -F0 -75 -FE -0C -BC -1A -60 -20 -32 -53 -68 -10 -33 -F0 -CB -A0 -46 -A9 -46 -B2 -46 -BB -46 -83 -F3 -09 -88 -20 -3B -F0 -CB -50 -78 -00 -28 -01 -D0 -10 -78 -18 -61 -02 -23 -DB -43 -18 -47 -00 -00 -1C -00 -00 -20 -00 -F0 -0A -FF -CE -E7 -00 -00 -00 -F0 -E4 -FA -00 -F0 -04 -FF -C8 -E7 -00 -00 -07 -48 -80 -47 -07 -48 -00 -47 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -FE -E7 -04 -48 -05 -49 -05 -4A -06 -4B -70 -47 -00 -00 -85 -05 -00 -00 -C1 -00 -00 -00 -60 -1B -00 -20 -60 -1E -00 -20 -60 -1C -00 -20 -60 -1C -00 -20 -28 -4C -29 -4F -01 -20 -BC -46 -00 -DF -28 -A0 -00 -F0 -A8 -F9 -29 -4F -01 -20 -BC -46 -A1 -68 -00 -DF -F2 -E7 -27 -4D -21 -4C -27 -4F -01 -22 -29 -46 -10 -46 -BC -46 -00 -DF -21 -68 -25 -A0 -49 -1C -21 -60 -00 -F0 -18 -F9 -20 -68 -03 -28 -F0 -DB -25 -A0 -00 -F0 -8E -F9 -28 -A0 -00 -F0 -8B -F9 -00 -F0 -97 -F8 -E7 -E7 -00 -23 -2B -4F -1A -46 -01 -21 -28 -48 -BC -46 -00 -DF -11 -4C -00 -23 -60 -60 -1A -46 -01 -21 -26 -48 -BC -46 -00 -DF -26 -4F -A0 -60 -00 -20 -BC -46 -00 -DF -80 -B5 -24 -49 -00 -20 -88 -61 -00 -F0 -66 -F8 -22 -48 -00 -F0 -6B -F9 -22 -A0 -00 -F0 -68 -F9 -FF -F7 -DC -FE -2D -4F -00 -22 -11 -46 -2B -48 -BC -46 -00 -DF -00 -20 -80 -BD -00 -00 -00 -20 -15 -0A -00 -00 -74 -61 -73 -6B -20 -31 -20 -2D -3E -00 -00 -00 -6D -0A -00 -00 -FF -FF -00 -00 -C9 -0A -00 -00 -20 -20 -74 -61 -73 -6B -20 -32 -2C -20 -25 -64 -0A -00 -00 -00 -54 -61 -73 -6B -73 -20 -72 -61 -6E -20 -33 -20 -74 -69 -6D -65 -73 -2E -00 -00 -2A -2A -20 -54 -45 -53 -54 -20 -50 -41 -53 -53 -45 -44 -20 -2A -2A -20 -0A -00 -39 -03 -00 -00 -81 -11 -00 -00 -55 -03 -00 -00 -FD -11 -00 -00 -00 -E0 -00 -E0 -A8 -12 -00 -00 -2D -20 -45 -78 -65 -63 -75 -74 -65 -20 -74 -61 -73 -6B -20 -31 -20 -2D -3E -20 -74 -61 -73 -6B -20 -32 -20 -73 -65 -71 -75 -65 -6E -63 -65 -20 -74 -68 -72 -65 -65 -20 -74 -69 -6D -65 -73 -0A -00 -00 -00 -00 -89 -03 -00 -00 -DD -0F -00 -00 -0C -48 -10 -21 -01 -61 -41 -21 -81 -60 -0B -49 -20 -20 -88 -61 -70 -47 -08 -49 -4A -68 -D2 -07 -FC -D1 -08 -60 -70 -47 -05 -48 -41 -68 -89 -07 -FC -D5 -00 -68 -C0 -B2 -70 -47 -04 -20 -FF -F7 -F0 -FF -FE -E7 -00 -60 -00 -40 -00 -10 -01 -40 -10 -B5 -C0 -B2 -FF -F7 -E7 -FF -10 -BD -10 -B5 -FF -F7 -E9 -FF -FF -F7 -E1 -FF -10 -BD -00 -20 -C0 -43 -70 -47 -10 -B5 -C0 -B2 -FF -F7 -D9 -FF -10 -BD -FE -E7 -FE -E7 -70 -47 -FE -E7 -10 -B5 -00 -F0 -D0 -FE -00 -28 -05 -D0 -60 -21 -48 -43 -17 -49 -40 -18 -60 -38 -10 -BD -16 -48 -10 -BD -10 -B5 -16 -4A -11 -68 -08 -29 -00 -D3 -FE -E7 -0C -23 -4B -43 -13 -4C -49 -1C -1B -19 -03 -60 -18 -46 -11 -60 -00 -F0 -C0 -FB -01 -20 -10 -BD -B0 -B5 -04 -46 -00 -F0 -B0 -FE -00 -28 -04 -D0 -0D -4F -0C -49 -BC -46 -20 -68 -00 -DF -B0 -BD -B0 -B5 -04 -46 -00 -F0 -A4 -FE -00 -28 -03 -D0 -08 -4F -20 -68 -BC -46 -00 -DF -B0 -BD -00 -00 -FC -17 -00 -20 -FC -1A -00 -20 -14 -00 -00 -20 -3C -1A -00 -20 -FF -FF -00 -00 -65 -0D -00 -00 -BD -0C -00 -00 -04 -49 -03 -48 -08 -60 -70 -47 -02 -49 -01 -48 -08 -60 -70 -47 -00 -E1 -F5 -05 -18 -00 -00 -20 -00 -48 -70 -47 -FC -1A -00 -20 -70 -47 -00 -00 -0F -B4 -05 -49 -10 -B5 -03 -AA -02 -98 -00 -F0 -E7 -F8 -10 -BC -08 -BC -04 -B0 -18 -47 -00 -00 -0C -00 -00 -20 -F8 -B5 -04 -46 -0E -46 -00 -20 -2D -E0 -E1 -68 -20 -46 -88 -47 -00 -28 -2A -D0 -25 -28 -02 -D0 -62 -68 -A1 -68 -20 -E0 -E1 -68 -20 -46 -00 -27 -88 -47 -05 -00 -1F -D0 -28 -46 -41 -38 -19 -28 -02 -D8 -01 -27 -FF -02 -20 -35 -32 -46 -20 -46 -27 -60 -29 -46 -FF -F7 -9E -FD -00 -28 -08 -D0 -01 -28 -04 -D0 -F6 -1D -F6 -08 -F6 -00 -08 -36 -D9 -E7 -36 -1D -D7 -E7 -62 -68 -28 -46 -A1 -68 -90 -47 -20 -6A -40 -1C -20 -62 -CF -E7 -20 -6A -F8 -BD -00 -00 -F7 -B5 -00 -26 -75 -29 -10 -68 -00 -99 -14 -A5 -11 -D0 -C0 -46 -C0 -46 -00 -28 -02 -DA -40 -42 -11 -A5 -08 -E0 -00 -99 -09 -68 -8A -07 -01 -D5 -0F -A5 -02 -E0 -49 -07 -04 -D5 -0E -A5 -01 -26 -01 -E0 -C0 -46 -C0 -46 -00 -9F -00 -24 -24 -37 -04 -E0 -00 -F0 -9B -F8 -30 -31 -39 -55 -64 -1C -00 -28 -F8 -D1 -33 -46 -2A -46 -21 -46 -00 -98 -00 -F0 -23 -F8 -FE -BD -00 -00 -00 -00 -00 -00 -2D -00 -00 -00 -2B -00 -00 -00 -20 -00 -00 -00 -10 -B5 -04 -46 -03 -E0 -FF -F7 -11 -FF -40 -1C -08 -D0 -20 -78 -05 -49 -64 -1C -00 -28 -F6 -D1 -0A -20 -FF -F7 -07 -FF -10 -BD -00 -20 -C0 -43 -10 -BD -00 -00 -0C -00 -00 -20 -70 -47 -70 -47 -70 -47 -FF -B5 -04 -46 -0D -46 -81 -B0 -24 -30 -00 -90 -21 -68 -88 -06 -04 -D5 -10 -22 -E0 -69 -91 -43 -21 -60 -00 -E0 -01 -20 -A8 -42 -01 -DD -47 -1B -00 -E0 -00 -27 -04 -98 -A1 -69 -7A -19 -10 -18 -08 -1A -A0 -61 -20 -68 -C0 -06 -02 -D4 -20 -46 -C0 -46 -C0 -46 -00 -26 -08 -E0 -03 -98 -62 -68 -A1 -68 -80 -5D -90 -47 -20 -6A -40 -1C -76 -1C -20 -62 -04 -98 -86 -42 -F3 -DB -20 -68 -C0 -06 -0A -D5 -20 -46 -C0 -46 -C0 -46 -06 -E0 -62 -68 -A1 -68 -30 -20 -90 -47 -20 -6A -40 -1C -20 -62 -38 -46 -7F -1E -00 -28 -F4 -DC -07 -E0 -00 -98 -62 -68 -A1 -68 -40 -5D -90 -47 -20 -6A -40 -1C -20 -62 -28 -46 -6D -1E -00 -28 -F3 -DC -20 -46 -C0 -46 -C0 -46 -20 -68 -00 -06 -02 -D5 -02 -20 -05 -B0 -F0 -BD -01 -20 -FB -E7 -00 -00 -08 -4B -70 -B5 -0C -46 -7B -44 -00 -F0 -27 -F8 -05 -46 -20 -46 -FF -F7 -A5 -FE -00 -28 -02 -D0 -00 -20 -C0 -43 -70 -BD -28 -46 -70 -BD -00 -00 -3F -FD -FF -FF -01 -46 -80 -08 -08 -1A -02 -09 -10 -18 -02 -0A -10 -18 -02 -0C -10 -18 -C0 -08 -82 -00 -12 -18 -52 -00 -89 -1A -01 -E0 -0A -39 -40 -1C -0A -29 -FB -D2 -70 -47 -01 -69 -4A -1C -02 -61 -08 -78 -70 -47 -00 -B5 -8F -B0 -02 -91 -00 -21 -05 -91 -05 -49 -01 -93 -79 -44 -03 -91 -11 -46 -04 -90 -68 -46 -FF -F7 -E5 -FE -0F -B0 -00 -BD -00 -00 -E5 -FF -FF -FF -75 -46 -FF -F7 -C9 -FE -AE -46 -05 -00 -69 -46 -53 -46 -C0 -08 -C0 -00 -85 -46 -18 -B0 -20 -B5 -FF -F7 -7E -FD -60 -BC -00 -27 -49 -08 -B6 -46 -00 -26 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -C0 -C5 -40 -3D -49 -00 -8D -46 -70 -47 -04 -46 -C0 -46 -C0 -46 -20 -46 -FF -F7 -92 -FC -00 -00 -00 -00 -00 -00 -10 -B5 -00 -2A -06 -DA -52 -00 -52 -08 -D2 -1D -D3 -08 -DB -00 -10 -22 -03 -E0 -D2 -1C -93 -08 -9B -00 -0C -22 -00 -2B -02 -D0 -9C -18 -8C -42 -01 -D9 -01 -20 -10 -BD -82 -18 -83 -60 -41 -18 -02 -60 -41 -60 -C9 -1A -D0 -18 -88 -42 -02 -D8 -10 -60 -02 -46 -F9 -E7 -00 -20 -10 -60 -10 -BD -07 -48 -01 -68 -07 -48 -41 -61 -00 -21 -81 -61 -07 -21 -01 -61 -05 -48 -01 -6A -FF -22 -12 -06 -11 -43 -01 -62 -40 -17 -70 -47 -08 -13 -00 -00 -00 -E0 -00 -E0 -00 -ED -00 -E0 -70 -47 -01 -46 -EF -F3 -10 -80 -C2 -07 -D2 -0F -72 -B6 -08 -68 -00 -28 -01 -D0 -03 -68 -0B -60 -00 -2A -00 -D1 -62 -B6 -70 -47 -70 -B5 -0D -46 -00 -28 -0F -D0 -08 -49 -09 -4C -88 -42 -04 -D2 -22 -68 -01 -46 -10 -46 -00 -F0 -A3 -FA -20 -68 -45 -70 -05 -48 -00 -F0 -15 -F9 -00 -F0 -62 -FB -70 -BD -00 -00 -FF -FF -00 -00 -1C -00 -00 -20 -CC -1A -00 -20 -10 -B5 -0E -48 -0C -49 -42 -68 -0D -48 -0B -68 -00 -68 -93 -42 -04 -D0 -0A -60 -CB -88 -42 -1E -9A -18 -8A -80 -8A -88 -80 -B2 -82 -42 -08 -D1 -00 -20 -08 -60 -04 -48 -00 -F0 -F4 -F8 -01 -46 -02 -48 -00 -F0 -A1 -FA -10 -BD -30 -00 -00 -20 -CC -1A -00 -20 -24 -00 -00 -20 -70 -B5 -1B -4D -E8 -68 -00 -28 -31 -D0 -A8 -8A -40 -1E -A8 -82 -00 -26 -26 -E0 -A0 -68 -00 -28 -08 -D0 -61 -68 -41 -60 -60 -68 -00 -28 -02 -D0 -A1 -68 -81 -60 -66 -60 -A6 -60 -10 -48 -21 -46 -18 -38 -00 -F0 -7F -FA -A0 -8A -A8 -82 -60 -78 -04 -28 -04 -D1 -0C -49 -E0 -8A -09 -68 -40 -18 -A0 -82 -01 -20 -60 -70 -20 -21 -08 -55 -E0 -68 -E8 -60 -E0 -68 -00 -28 -01 -D0 -05 -61 -E6 -60 -26 -61 -A8 -8A -00 -28 -02 -D1 -EC -68 -00 -2C -D2 -D1 -70 -BD -E4 -1A -00 -20 -24 -00 -00 -20 -70 -B5 -04 -00 -0E -D0 -0C -4D -A1 -78 -28 -68 -01 -26 -82 -78 -91 -42 -0B -D9 -00 -F0 -70 -FA -28 -68 -46 -70 -20 -46 -00 -F0 -EB -FA -70 -BD -05 -48 -00 -F0 -98 -F8 -F8 -E7 -66 -70 -21 -46 -02 -48 -00 -F0 -43 -FA -70 -BD -1C -00 -00 -20 -CC -1A -00 -20 -10 -B5 -03 -21 -FF -F7 -62 -FF -10 -BD -00 -00 -10 -B5 -04 -46 -00 -8B -08 -43 -20 -83 -62 -78 -61 -8B -06 -2A -15 -D0 -05 -2A -12 -D1 -0A -46 -10 -42 -0F -D0 -02 -40 -62 -83 -88 -43 -20 -83 -20 -46 -00 -F0 -63 -FA -01 -20 -60 -70 -02 -21 -20 -20 -01 -55 -21 -46 -04 -48 -00 -F0 -1A -FA -10 -BD -0A -46 -82 -43 -ED -D0 -10 -BD -00 -00 -CC -1A -00 -20 -02 -46 -88 -00 -70 -B5 -14 -49 -40 -18 -40 -38 -C4 -6B -00 -2C -1D -D0 -20 -8B -10 -43 -20 -83 -61 -78 -65 -8B -06 -29 -17 -D0 -05 -29 -14 -D1 -29 -46 -08 -42 -11 -D0 -01 -40 -61 -83 -A8 -43 -20 -83 -20 -46 -00 -F0 -36 -FA -20 -8B -02 -21 -A8 -43 -20 -83 -01 -20 -60 -70 -20 -20 -01 -55 -20 -46 -FF -F7 -8D -FF -70 -BD -29 -46 -81 -43 -EB -D0 -70 -BD -E4 -17 -00 -20 -70 -B5 -03 -46 -0D -48 -0D -46 -04 -68 -00 -2A -20 -8B -08 -D0 -19 -46 -81 -43 -01 -D0 -06 -20 -09 -E0 -98 -43 -20 -83 -02 -20 -70 -BD -02 -46 -1A -40 -01 -D0 -62 -83 -F6 -E7 -05 -20 -C1 -B2 -63 -83 -28 -46 -FF -F7 -F0 -FE -01 -20 -70 -BD -1C -00 -00 -20 -81 -42 -02 -D3 -42 -68 -8A -42 -01 -D8 -01 -20 -70 -47 -EF -F3 -10 -82 -D2 -07 -D2 -0F -72 -B6 -03 -68 -0B -60 -01 -60 -00 -D1 -62 -B6 -00 -20 -70 -47 -01 -46 -40 -68 -42 -68 -4A -60 -0B -78 -00 -22 -02 -2B -05 -D0 -01 -2B -03 -D0 -03 -2B -01 -D0 -42 -60 -70 -47 -43 -68 -00 -2B -01 -D0 -99 -60 -42 -60 -82 -60 -70 -47 -70 -B5 -04 -46 -15 -46 -00 -20 -20 -70 -01 -22 -62 -70 -A1 -70 -60 -60 -A0 -60 -E0 -60 -20 -61 -A0 -82 -E0 -82 -20 -83 -23 -46 -60 -83 -20 -33 -18 -70 -58 -70 -60 -8C -00 -28 -03 -D1 -04 -48 -FF -F7 -9B -FE -A0 -62 -29 -46 -20 -46 -00 -F0 -0F -F8 -70 -BD -70 -01 -00 -20 -03 -48 -00 -21 -01 -60 -03 -49 -09 -68 -C1 -80 -70 -47 -00 -00 -30 -00 -00 -20 -04 -13 -00 -00 -30 -B5 -42 -8C -92 -08 -03 -D1 -0E -4A -12 -68 -12 -04 -92 -0C -83 -6A -92 -00 -9A -18 -53 -07 -00 -D5 -12 -1F -40 -3A -01 -23 -1B -06 -D3 -63 -00 -23 -91 -63 -1C -46 -9D -00 -5B -1C -54 -51 -0E -2B -FA -D3 -C3 -69 -13 -62 -42 -62 -C1 -62 -80 -6A -02 -49 -01 -60 -30 -BD -00 -13 -00 -00 -A5 -2E -5A -E2 -F8 -B5 -04 -46 -40 -68 -00 -26 -0F -46 -00 -28 -05 -D0 -60 -78 -02 -28 -18 -D0 -A0 -89 -00 -28 -2F -D0 -A0 -89 -E1 -89 -88 -42 -3E -D2 -20 -89 -80 -00 -00 -19 -07 -61 -72 -B6 -A0 -89 -40 -1C -A0 -81 -62 -B6 -20 -89 -40 -1C -80 -B2 -20 -81 -E1 -89 -88 -42 -00 -D1 -26 -81 -F8 -BD -66 -70 -20 -46 -FF -F7 -70 -FF -05 -46 -20 -30 -06 -70 -21 -89 -E8 -69 -89 -00 -09 -19 -08 -61 -72 -B6 -A0 -89 -40 -1C -A0 -81 -62 -B6 -20 -89 -40 -1C -80 -B2 -20 -81 -E1 -89 -88 -42 -0A -D1 -26 -81 -08 -E0 -20 -46 -FF -F7 -57 -FF -05 -46 -C0 -69 -04 -21 -07 -60 -20 -20 -41 -55 -01 -20 -68 -70 -28 -46 -00 -F0 -3D -F9 -29 -46 -03 -48 -00 -F0 -F9 -F8 -F8 -BD -03 -20 -FF -F7 -25 -FC -F8 -BD -CC -1A -00 -20 -03 -21 -01 -70 -00 -21 -41 -70 -41 -80 -41 -60 -81 -60 -70 -47 -F8 -B5 -05 -46 -40 -88 -00 -28 -04 -D0 -25 -4C -A9 -68 -22 -68 -91 -42 -01 -D0 -FF -20 -F8 -BD -40 -1E -00 -04 -00 -0C -68 -80 -3B -D1 -21 -68 -68 -78 -88 -70 -6A -68 -01 -27 -00 -2A -28 -D0 -28 -46 -FF -F7 -1E -FF -06 -46 -05 -21 -20 -30 -01 -70 -30 -46 -00 -F0 -08 -F9 -6F -80 -AE -60 -B0 -78 -68 -70 -15 -48 -21 -68 -40 -68 -8A -78 -80 -78 -82 -42 -01 -D3 -30 -46 -0A -E0 -11 -48 -00 -F0 -B8 -F8 -31 -46 -0F -48 -00 -F0 -B4 -F8 -20 -68 -47 -70 -77 -70 -10 -E0 -FF -F7 -50 -FE -21 -68 -00 -20 -20 -31 -08 -70 -0B -E0 -08 -4A -52 -68 -92 -78 -82 -42 -06 -D9 -05 -48 -00 -F0 -A1 -F8 -20 -68 -47 -70 -00 -20 -EC -E7 -00 -20 -F8 -BD -00 -00 -1C -00 -00 -20 -CC -1A -00 -20 -70 -B5 -0E -46 -18 -4D -04 -46 -41 -88 -28 -68 -00 -29 -07 -D0 -A1 -68 -81 -42 -08 -D1 -60 -88 -40 -1C -60 -80 -00 -20 -70 -BD -A0 -60 -80 -78 -60 -70 -F6 -E7 -00 -2E -0F -D0 -62 -78 -80 -78 -82 -42 -03 -D2 -88 -70 -A0 -68 -00 -F0 -A0 -F8 -60 -68 -00 -28 -06 -D0 -20 -46 -29 -68 -00 -F0 -71 -F8 -07 -E0 -01 -20 -70 -BD -28 -68 -60 -60 -28 -68 -00 -21 -84 -60 -41 -60 -09 -21 -30 -46 -FF -F7 -8C -FD -F2 -E7 -00 -00 -1C -00 -00 -20 -70 -B5 -18 -48 -01 -21 -00 -68 -41 -70 -00 -F0 -77 -F8 -16 -4C -65 -78 -13 -E0 -E8 -00 -01 -19 -48 -68 -02 -78 -00 -2A -17 -D0 -01 -2A -1A -D0 -00 -F0 -BC -F8 -E0 -78 -6D -1C -85 -42 -00 -D1 -00 -25 -72 -B6 -A0 -78 -40 -1E -A0 -70 -62 -B6 -A0 -78 -00 -28 -E8 -D1 -65 -70 -09 -48 -FF -F7 -8A -FE -00 -F0 -D7 -F8 -70 -BD -89 -68 -89 -B2 -FF -F7 -FC -FD -E6 -E7 -89 -68 -FF -F7 -E8 -FE -E2 -E7 -00 -00 -1C -00 -00 -20 -60 -17 -00 -20 -CC -1A -00 -20 -10 -B5 -12 -4A -D3 -68 -00 -2B -17 -D0 -13 -46 -9B -8A -04 -E0 -00 -2C -12 -D0 -22 -46 -A4 -8A -E3 -18 -D4 -68 -8B -42 -F7 -D3 -C4 -60 -D0 -60 -02 -61 -C4 -68 -00 -2C -00 -D0 -20 -61 -59 -1A -81 -82 -90 -8A -40 -1A -90 -82 -10 -BD -00 -24 -C4 -60 -D0 -60 -C9 -1A -02 -61 -91 -82 -84 -82 -10 -BD -00 -00 -E4 -1A -00 -20 -30 -B5 -02 -78 -00 -23 -02 -2A -03 -D0 -01 -2A -01 -D0 -03 -2A -00 -D1 -01 -23 -8C -78 -00 -E0 -10 -46 -42 -68 -00 -2A -02 -D0 -95 -78 -A5 -42 -F8 -D2 -4A -60 -41 -60 -00 -2B -04 -D0 -00 -2A -00 -D0 -91 -60 -88 -60 -30 -BD -00 -20 -FB -E7 -03 -49 -4A -68 -42 -60 -00 -22 -82 -60 -48 -60 -70 -47 -00 -00 -CC -1A -00 -20 -10 -B5 -83 -68 -04 -46 -00 -2B -05 -D1 -60 -78 -01 -28 -0C -D1 -06 -4B -03 -E0 -9B -68 -18 -78 -00 -28 -FB -D0 -20 -46 -00 -F0 -1D -F8 -21 -46 -18 -46 -FF -F7 -C3 -FF -10 -BD -CC -1A -00 -20 -10 -B5 -01 -69 -00 -29 -0F -D0 -C2 -68 -CA -60 -C3 -68 -00 -22 -00 -2B -07 -D0 -8B -8A -84 -8A -1B -19 -8B -82 -C3 -68 -19 -61 -C2 -60 -00 -E0 -8A -82 -02 -61 -10 -BD -00 -00 -81 -68 -00 -29 -07 -D0 -42 -68 -4A -60 -41 -68 -00 -29 -01 -D0 -80 -68 -88 -60 -70 -47 -06 -49 -06 -E0 -4A -68 -82 -42 -02 -D1 -40 -68 -48 -60 -70 -47 -11 -46 -00 -29 -F6 -D1 -70 -47 -00 -00 -CC -1A -00 -20 -10 -B5 -41 -68 -00 -29 -0E -D0 -FF -F7 -D9 -FD -04 -46 -FF -F7 -C7 -FF -01 -20 -60 -70 -03 -21 -20 -20 -01 -55 -21 -46 -04 -48 -FF -F7 -7E -FF -10 -BD -41 -88 -49 -1C -41 -80 -10 -BD -00 -00 -CC -1A -00 -20 -10 -B5 -07 -48 -00 -68 -41 -6A -80 -6A -81 -42 -03 -D3 -00 -68 -04 -49 -88 -42 -02 -D0 -01 -20 -FF -F7 -98 -FA -10 -BD -00 -00 -1C -00 -00 -20 -A5 -2E -5A -E2 -02 -49 -48 -60 -02 -21 -41 -70 -70 -47 -00 -00 -1C -00 -00 -20 -F7 -B5 -06 -46 -00 -20 -04 -46 -33 -4A -34 -49 -02 -E0 -83 -00 -D4 -50 -40 -1C -0B -88 -98 -42 -F9 -D3 -31 -48 -30 -22 -01 -88 -30 -48 -FF -F7 -25 -FC -30 -48 -01 -21 -00 -68 -C9 -07 -80 -B2 -42 -18 -2E -48 -01 -68 -2E -48 -FF -F7 -1A -FC -2D -48 -08 -22 -01 -88 -2D -48 -00 -68 -FF -F7 -13 -FC -2C -4F -FF -25 -FD -70 -7C -84 -2B -4A -00 -21 -38 -46 -FF -F7 -90 -FD -29 -48 -04 -21 -01 -70 -44 -60 -28 -48 -01 -70 -C4 -60 -04 -61 -84 -82 -78 -6A -20 -30 -FF -F7 -93 -F8 -25 -48 -26 -49 -07 -60 -02 -20 -78 -70 -23 -48 -04 -70 -44 -70 -09 -78 -C1 -70 -FF -F7 -99 -FD -22 -48 -01 -6A -2A -04 -11 -43 -01 -62 -C1 -69 -02 -6A -52 -02 -92 -0E -92 -06 -11 -43 -C1 -61 -FF -F7 -07 -FC -1C -49 -00 -28 -08 -60 -0B -DB -1B -49 -41 -18 -0D -70 -C2 -06 -D2 -0E -01 -21 -91 -40 -40 -11 -18 -4A -80 -00 -80 -18 -01 -60 -00 -23 -30 -46 -02 -9A -01 -99 -00 -F0 -67 -F8 -FE -BD -E4 -17 -00 -20 -FE -12 -00 -00 -18 -13 -00 -00 -40 -00 -00 -20 -00 -13 -00 -00 -1C -13 -00 -00 -70 -01 -00 -20 -22 -13 -00 -00 -10 -00 -00 -20 -9C -1A -00 -20 -ED -04 -00 -00 -CC -1A -00 -20 -E4 -1A -00 -20 -1C -00 -00 -20 -60 -17 -00 -20 -20 -13 -00 -00 -00 -ED -00 -E0 -2C -00 -00 -20 -00 -E4 -00 -E0 -00 -E1 -00 -E0 -10 -B5 -0B -48 -01 -21 -00 -68 -41 -70 -FF -F7 -DD -FE -FF -F7 -01 -FC -08 -48 -01 -68 -49 -1C -01 -60 -FF -F7 -1D -FC -00 -F0 -0D -F8 -05 -48 -FF -F7 -01 -FD -FF -F7 -4E -FF -10 -BD -00 -00 -1C -00 -00 -20 -24 -00 -00 -20 -CC -1A -00 -20 -70 -B5 -0D -4C -20 -68 -00 -28 -15 -D0 -A0 -88 -0B -4E -40 -1E -A0 -80 -0A -E0 -E8 -88 -FF -F7 -CA -F9 -A8 -88 -A0 -80 -28 -68 -20 -60 -29 -46 -30 -68 -FF -F7 -CF -FC -A0 -88 -00 -28 -02 -D1 -25 -68 -00 -2D -EE -D1 -70 -BD -38 -00 -00 -20 -10 -00 -00 -20 -FF -B5 -08 -06 -81 -B0 -0C -46 -16 -46 -1F -46 -00 -0E -00 -D1 -4C -1C -16 -48 -FF -F7 -95 -FB -05 -00 -25 -D0 -20 -02 -00 -0C -AE -62 -68 -84 -EF -61 -E1 -B2 -28 -46 -01 -9A -FF -F7 -D4 -FC -01 -24 -0F -48 -0F -49 -06 -E0 -A2 -00 -12 -18 -40 -3A -D2 -6B -00 -2A -04 -D0 -64 -1C -0A -88 -94 -42 -F5 -D9 -00 -24 -A1 -00 -08 -18 -40 -38 -C5 -63 -EC -70 -28 -46 -FF -F7 -FB -FB -06 -48 -00 -68 -20 -30 -04 -70 -20 -46 -05 -B0 -F0 -BD -40 -00 -00 -20 -E4 -17 -00 -20 -FE -12 -00 -00 -1C -00 -00 -20 -70 -B5 -21 -4C -00 -25 -21 -4E -21 -68 -00 -28 -02 -D0 -CA -78 -82 -42 -1A -D1 -4D -70 -FE -F7 -B4 -FF -21 -68 -48 -62 -FF -F7 -C3 -FE -20 -68 -C1 -78 -89 -00 -89 -19 -40 -39 -CD -63 -81 -6A -17 -48 -FF -F7 -6B -FC -21 -68 -16 -48 -8D -62 -FF -F7 -66 -FC -00 -20 -25 -60 -FF -F7 -C8 -FB -19 -E0 -13 -49 -09 -88 -88 -42 -17 -D8 -80 -00 -86 -19 -40 -3E -F4 -6B -00 -2C -11 -D0 -20 -46 -FF -F7 -6E -FE -20 -46 -FF -F7 -55 -FE -F5 -63 -08 -48 -A1 -6A -FF -F7 -4C -FC -21 -46 -07 -48 -A5 -62 -FF -F7 -47 -FC -00 -20 -70 -BD -FF -20 -70 -BD -00 -00 -1C -00 -00 -20 -E4 -17 -00 -20 -70 -01 -00 -20 -40 -00 -00 -20 -FE -12 -00 -00 -02 -48 -00 -68 -00 -28 -00 -D0 -C0 -78 -70 -47 -1C -00 -00 -20 -0A -43 -6F -72 -74 -65 -78 -20 -4D -69 -63 -72 -6F -63 -6F -6E -74 -72 -6F -6C -6C -65 -72 -20 -53 -79 -73 -74 -65 -6D -20 -44 -65 -73 -69 -67 -6E -20 -4B -69 -74 -20 -2D -20 -52 -54 -58 -20 -44 -65 -6D -6F -20 -2D -20 -72 -65 -76 -69 -73 -69 -6F -6E -20 -24 -52 -65 -76 -69 -73 -69 -6F -6E -3A -20 -32 -31 -34 -35 -35 -37 -20 -24 -0A -00 -00 -06 -00 -20 -03 -00 -01 -05 -00 -01 -00 -0F -27 -00 -00 -00 -00 -00 -00 -C8 -00 -00 -00 -00 -00 -00 -00 -2C -01 -00 -00 -F0 -15 -00 -00 -10 -00 -00 -00 -44 -13 -00 -00 -00 -00 -00 -20 -40 -00 -00 -00 -04 -01 -00 -00 -84 -13 -00 -00 -40 -00 -00 -20 -20 -1E -00 -00 -20 -01 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -E1 -F5 -05 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 -00 diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.uvopt deleted file mode 100644 index a123b28..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.uvopt +++ /dev/null @@ -1,258 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>26</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>30</TopLine> - <CurrentLine>51</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>.\rtx_demo.c</PathWithFileName> - <FilenameWithoutPath>rtx_demo.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>.\RTX_Config.c</PathWithFileName> - <FilenameWithoutPath>RTX_Config.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.uvproj deleted file mode 100644 index 2093cad..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/rtx_demo/rtx_demo_cm0p.uvproj +++ /dev/null @@ -1,437 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>rtx_demo</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output rtx_demo_cm0p.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>1</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>rtx_demo.c</FileName> - <FileType>1</FileType> - <FilePath>.\rtx_demo.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>RTX_Config.c</FileName> - <FileType>1</FileType> - <FilePath>.\RTX_Config.c</FilePath> - </File> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/makefile deleted file mode 100644 index c8c4fb6..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/makefile +++ /dev/null @@ -1,251 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -# -# Revision : $Revision: 371321 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = self_reset_demo - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option (DS-5) -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime -I $(CORE_DIR) -I $(DEVICE_DIR)/Include \ - -I $(SOFTWARE_DIR)/common/retarget $(USER_DEFINE) -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/demos/$(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - armlink $(ARM_LINK_OPTIONS) $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o -o $@ - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - - -$(TESTNAME).lst : $(TESTNAME).ELF - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/demos/$(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0.uvopt deleted file mode 100644 index 5b72456..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0.uvopt +++ /dev/null @@ -1,261 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\demos\self_reset_demo.c</PathWithFileName> - <FilenameWithoutPath>self_reset_demo.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0.uvproj deleted file mode 100644 index c4b4745..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0.uvproj +++ /dev/null @@ -1,435 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>self_reset_demo</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output self_reset_demo.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>self_reset_demo.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\demos\self_reset_demo.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0p.uvopt deleted file mode 100644 index 549db58..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0p.uvopt +++ /dev/null @@ -1,244 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\demos\self_reset_demo.c</PathWithFileName> - <FilenameWithoutPath>self_reset_demo.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0p.uvproj deleted file mode 100644 index d891cfc..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/self_reset_demo/self_reset_demo_cm0p.uvproj +++ /dev/null @@ -1,432 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>self_reset_demo</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output self_reset_demo.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>3</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>self_reset_demo.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\demos\self_reset_demo.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/makefile deleted file mode 100644 index 504d509..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/makefile +++ /dev/null @@ -1,256 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2010-08-06 12:28:21 +0100 (Fri, 06 Aug 2010) $ -# -# Revision : $Revision: 145378 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = sleep_demo - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option (DS-5) -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime $(USER_DEFINE) \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) -I $(SOFTWARE_DIR)/common/retarget -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 - -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/demos/$(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -CMSDK_driver.o : $(DEVICE_DIR)/Source/CMSDK_driver.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o CMSDK_driver.o - armlink $(ARM_LINK_OPTIONS) -o $@ $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o CMSDK_driver.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - -$(TESTNAME).lst : $(TESTNAME).ELF makefile - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/demos/$(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/CMSDK_driver.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - - -# --------------------------------------------------------------------------------------- -# Clean - -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0.uvopt deleted file mode 100644 index e742bfa..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0.uvopt +++ /dev/null @@ -1,277 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\demos\sleep_demo.c</PathWithFileName> - <FilenameWithoutPath>sleep_demo.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\CMSDK_driver.c</PathWithFileName> - <FilenameWithoutPath>CMSDK_driver.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0.uvproj deleted file mode 100644 index e2c4d05..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0.uvproj +++ /dev/null @@ -1,440 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>sleep_demo</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output sleep_demo.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>sleep_demo.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\demos\sleep_demo.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>CMSDK_driver.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\CMSDK_driver.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0p.uvopt deleted file mode 100644 index f87fdd6..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0p.uvopt +++ /dev/null @@ -1,258 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\demos\sleep_demo.c</PathWithFileName> - <FilenameWithoutPath>sleep_demo.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\CMSDK_driver.c</PathWithFileName> - <FilenameWithoutPath>CMSDK_driver.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0p.uvproj deleted file mode 100644 index 02c40bb..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/sleep_demo/sleep_demo_cm0p.uvproj +++ /dev/null @@ -1,437 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>sleep_demo</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output sleep_demo.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>sleep_demo.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\demos\sleep_demo.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>CMSDK_driver.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\CMSDK_driver.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/makefile deleted file mode 100644 index 7fa0fa3..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/makefile +++ /dev/null @@ -1,254 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2011-01-25 10:05:56 +0000 (Tue, 25 Jan 2011) $ -# -# Revision : $Revision: 159421 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = timer_driver_tests - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime $(USER_DEFINE) \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) -I $(SOFTWARE_DIR)/common/retarget -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 - -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -CMSDK_driver.o : $(DEVICE_DIR)/Source/CMSDK_driver.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o CMSDK_driver.o - armlink $(ARM_LINK_OPTIONS) -o $@ $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o CMSDK_driver.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - -$(TESTNAME).lst : $(TESTNAME).ELF makefile - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/CMSDK_driver.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean - -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvopt deleted file mode 100644 index 6663e83..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvopt +++ /dev/null @@ -1,277 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\timer_driver_tests.c</PathWithFileName> - <FilenameWithoutPath>timer_driver_tests.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\CMSDK_driver.c</PathWithFileName> - <FilenameWithoutPath>CMSDK_driver.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvproj deleted file mode 100644 index 8fe263e..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0.uvproj +++ /dev/null @@ -1,440 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>timer_driver_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output timer_driver_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>timer_driver_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\timer_driver_tests.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>CMSDK_driver.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\CMSDK_driver.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvopt deleted file mode 100644 index a7ff673..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvopt +++ /dev/null @@ -1,258 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\timer_driver_tests.c</PathWithFileName> - <FilenameWithoutPath>timer_driver_tests.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\CMSDK_driver.c</PathWithFileName> - <FilenameWithoutPath>CMSDK_driver.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvproj deleted file mode 100644 index 2d1ed68..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_driver_tests/timer_driver_tests_cm0p.uvproj +++ /dev/null @@ -1,437 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>timer_driver_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output timer_driver_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>timer_driver_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\timer_driver_tests.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>CMSDK_driver.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\CMSDK_driver.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/makefile deleted file mode 100644 index 7133f7f..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/makefile +++ /dev/null @@ -1,250 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -# -# Revision : $Revision: 371321 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = timer_tests - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime $(USER_DEFINE) \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) -I $(SOFTWARE_DIR)/common/retarget -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 - -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - armlink $(ARM_LINK_OPTIONS) -o $@ $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - -$(TESTNAME).lst : $(TESTNAME).ELF makefile - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean - -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0.uvopt deleted file mode 100644 index 173dc40..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0.uvopt +++ /dev/null @@ -1,261 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\timer_tests.c</PathWithFileName> - <FilenameWithoutPath>timer_tests.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0.uvproj deleted file mode 100644 index b12e58d..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0.uvproj +++ /dev/null @@ -1,435 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>timer_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output timer_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>timer_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\timer_tests.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0p.uvopt deleted file mode 100644 index c4485d5..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0p.uvopt +++ /dev/null @@ -1,244 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\timer_tests.c</PathWithFileName> - <FilenameWithoutPath>timer_tests.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0p.uvproj deleted file mode 100644 index ce4d631..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/timer_tests/timer_tests_cm0p.uvproj +++ /dev/null @@ -1,432 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>timer_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output timer_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>timer_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\timer_tests.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/makefile deleted file mode 100644 index 1d02777..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/makefile +++ /dev/null @@ -1,255 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2011-01-25 10:05:56 +0000 (Tue, 25 Jan 2011) $ -# -# Revision : $Revision: 159421 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = uart_driver_tests - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime $(USER_DEFINE) \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) -I $(SOFTWARE_DIR)/common/retarget -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 - -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -CMSDK_driver.o : $(DEVICE_DIR)/Source/CMSDK_driver.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o CMSDK_driver.o - armlink $(ARM_LINK_OPTIONS) -o $@ $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o CMSDK_driver.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - -$(TESTNAME).lst : $(TESTNAME).ELF makefile - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/CMSDK_driver.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean - -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvopt deleted file mode 100644 index dde1fbb..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvopt +++ /dev/null @@ -1,277 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\uart_driver_tests.c</PathWithFileName> - <FilenameWithoutPath>uart_driver_tests.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\CMSDK_driver.c</PathWithFileName> - <FilenameWithoutPath>CMSDK_driver.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvproj deleted file mode 100644 index 3c667aa..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0.uvproj +++ /dev/null @@ -1,440 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>uart_driver_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output uart_driver_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>uart_driver_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\uart_driver_tests.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>CMSDK_driver.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\CMSDK_driver.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvopt deleted file mode 100644 index c2f595c..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvopt +++ /dev/null @@ -1,258 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\uart_driver_tests.c</PathWithFileName> - <FilenameWithoutPath>uart_driver_tests.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\CMSDK_driver.c</PathWithFileName> - <FilenameWithoutPath>CMSDK_driver.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>6</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvproj deleted file mode 100644 index cf69fa2..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_driver_tests/uart_driver_tests_cm0p.uvproj +++ /dev/null @@ -1,437 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>uart_driver_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output uart_driver_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>uart_driver_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\uart_driver_tests.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>CMSDK_driver.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\CMSDK_driver.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/makefile deleted file mode 100644 index e645bc4..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/makefile +++ /dev/null @@ -1,251 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2011-01-25 10:05:56 +0000 (Tue, 25 Jan 2011) $ -# -# Revision : $Revision: 159421 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = uart_tests - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime $(USER_DEFINE) \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) -I $(SOFTWARE_DIR)/common/retarget -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 - -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - armlink $(ARM_LINK_OPTIONS) -o $@ $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - -$(TESTNAME).lst : $(TESTNAME).ELF makefile - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/validation/$(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean - -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0.uvopt deleted file mode 100644 index 2ae0b81..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0.uvopt +++ /dev/null @@ -1,261 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\uart_tests.c</PathWithFileName> - <FilenameWithoutPath>uart_tests.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0.uvproj deleted file mode 100644 index 627ff29..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0.uvproj +++ /dev/null @@ -1,435 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>uart_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output uart_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>uart_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\uart_tests.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0p.uvopt deleted file mode 100644 index 583a77a..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0p.uvopt +++ /dev/null @@ -1,244 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\validation\uart_tests.c</PathWithFileName> - <FilenameWithoutPath>uart_tests.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0p.uvproj deleted file mode 100644 index cbe308e..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/uart_tests/uart_tests_cm0p.uvproj +++ /dev/null @@ -1,432 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>uart_tests</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output uart_tests.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - <File> - <FileName>uart_tests.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\validation\uart_tests.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/makefile b/Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/makefile deleted file mode 100644 index d7c2ba5..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/makefile +++ /dev/null @@ -1,250 +0,0 @@ -#----------------------------------------------------------------------------- -# The confidential and proprietary information contained in this file may -# only be used by a person authorised under and to the extent permitted -# by a subsisting licensing agreement from Arm Limited or its affiliates. -# -# (C) COPYRIGHT 2010-2013 Arm Limited or its affiliates. -# ALL RIGHTS RESERVED -# -# This entire notice must be reproduced on all copies of this file -# and copies of this file may only be made by a person if such person is -# permitted to do so under the terms of a subsisting license agreement -# from Arm Limited or its affiliates. -# -# SVN Information -# -# Checked In : $Date: 2017-10-10 15:55:38 +0100 (Tue, 10 Oct 2017) $ -# -# Revision : $Revision: 371321 $ -# -# Release Information : Cortex-M System Design Kit-r1p1-00rel0 -#----------------------------------------------------------------------------- -# -# Cortex-M System Design Kit software compilation make file -# -#----------------------------------------------------------------------------- -# -# Configurations -# -# Choose the core instantiated, can be -# - CORTEX_M0 -# - CORTEX_M0PLUS -CPU_PRODUCT = CORTEX_M0PLUS - -# Shared software directory -SOFTWARE_DIR = ../../../../software -CMSIS_DIR = $(SOFTWARE_DIR)/cmsis -CORE_DIR = $(CMSIS_DIR)/CMSIS/Include - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0plus -else - DEVICE_DIR = $(CMSIS_DIR)/Device/ARM/CMSDK_CM0 -endif - -# Program file -TESTNAME = watchdog_demo - -# Endian Option -COMPILE_BIGEND = 0 - -# Configuration -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - USER_DEFINE = -DCORTEX_M0PLUS -else - USER_DEFINE = -DCORTEX_M0 -endif - -DEPS_LIST = makefile - -# Tool chain : ds5 / gcc / keil -TOOL_CHAIN = ds5 - -ifeq ($(TOOL_CHAIN),ds5) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = --cpu Cortex-M0plus - else - CPU_TYPE = --cpu Cortex-M0 - endif -endif - -ifeq ($(TOOL_CHAIN),gcc) - ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - CPU_TYPE = -mcpu=cortex-m0plus - else - CPU_TYPE = -mcpu=cortex-m0 - endif -endif - -# Startup code directory for DS-5 -ifeq ($(TOOL_CHAIN),ds5) - STARTUP_DIR = $(DEVICE_DIR)/Source/ARM -endif - -# Startup code directory for gcc -ifeq ($(TOOL_CHAIN),gcc) - STARTUP_DIR = $(DEVICE_DIR)/Source/GCC -endif - -ifeq ($(CPU_PRODUCT),CORTEX_M0PLUS) - STARTUP_FILE = startup_CMSDK_CM0plus - SYSTEM_FILE = system_CMSDK_CM0plus -else - STARTUP_FILE = startup_CMSDK_CM0 - SYSTEM_FILE = system_CMSDK_CM0 -endif - -# --------------------------------------------------------------------------------------- -# DS-5 options - -# MicroLIB option (DS-5) -COMPILE_MICROLIB = 0 - -# Small Multiply (Cortex-M0/M0+ has small multiplier option) -COMPILE_SMALLMUL = 0 - -ARM_CC_OPTIONS = -c -O3 -g -Otime -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget $(USER_DEFINE) -ARM_ASM_OPTIONS = -g -ARM_LINK_OPTIONS = "--keep=$(STARTUP_FILE).o(RESET)" "--first=$(STARTUP_FILE).o(RESET)" \ - --rw_base 0x30000000 --ro_base 0x00000000 --map - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - ARM_CC_OPTIONS += --bigend - ARM_ASM_OPTIONS += --bigend - ARM_LINK_OPTIONS += --be8 -endif - -ifeq ($(COMPILE_MICROLIB),1) - # MicroLIB - ARM_CC_OPTIONS += --library_type=microlib - ARM_ASM_OPTIONS += --library_type=microlib --pd "__MICROLIB SETA 1" - ARM_LINK_OPTIONS += --library_type=microlib -endif - -ifeq ($(COMPILE_SMALLMUL),1) - # In Cortex-M0, small multiply takes 32 cycles - ARM_CC_OPTIONS += --multiply_latency=32 -endif - -# --------------------------------------------------------------------------------------- -# gcc options - -GNG_CC = arm-none-eabi-gcc -GNU_OBJDUMP = arm-none-eabi-objdump -GNU_OBJCOPY = arm-none-eabi-objcopy - -LINKER_SCRIPT_PATH = $(SOFTWARE_DIR)/common/scripts -LINKER_SCRIPT = $(LINKER_SCRIPT_PATH)/cmsdk_cm0.ld - -GNU_CC_FLAGS = -g -O3 -mthumb $(CPU_TYPE) - -ifeq ($(COMPILE_BIGEND),1) - # Big Endian - GNU_CC_FLAGS += -mbig-endian -endif - -# --------------------------------------------------------------------------------------- -all: all_$(TOOL_CHAIN) - -# --------------------------------------------------------------------------------------- -# DS-5 -all_ds5 : $(TESTNAME).hex $(TESTNAME).lst - -$(TESTNAME).o : $(SOFTWARE_DIR)/common/demos/$(TESTNAME).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(SYSTEM_FILE).o : $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -retarget.o : $(SOFTWARE_DIR)/common/retarget/retarget.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -uart_stdout.o : $(SOFTWARE_DIR)/common/retarget/uart_stdout.c $(DEPS_LIST) - armcc $(ARM_CC_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(STARTUP_FILE).o : $(STARTUP_DIR)/$(STARTUP_FILE).s $(DEPS_LIST) - armasm $(ARM_ASM_OPTIONS) $(CPU_TYPE) $< -o $@ - -$(TESTNAME).ELF : $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o - armlink $(ARM_LINK_OPTIONS) $(TESTNAME).o $(SYSTEM_FILE).o $(STARTUP_FILE).o retarget.o uart_stdout.o -o $@ - -$(TESTNAME).hex : $(TESTNAME).ELF - fromelf --vhx --8x1 $< --output $@ - - -$(TESTNAME).lst : $(TESTNAME).ELF - fromelf -c -d -e -s $< --output $@ - -# --------------------------------------------------------------------------------------- -# gcc -all_gcc: - $(GNG_CC) $(GNU_CC_FLAGS) $(STARTUP_DIR)/$(STARTUP_FILE).s \ - $(SOFTWARE_DIR)/common/demos/$(TESTNAME).c \ - $(SOFTWARE_DIR)/common/retarget/retarget.c \ - $(SOFTWARE_DIR)/common/retarget/uart_stdout.c \ - $(DEVICE_DIR)/Source/$(SYSTEM_FILE).c \ - -I $(DEVICE_DIR)/Include -I $(CORE_DIR) \ - -I $(SOFTWARE_DIR)/common/retarget \ - -L $(LINKER_SCRIPT_PATH) \ - -D__STACK_SIZE=0x200 \ - -D__HEAP_SIZE=0x1000 \ - $(USER_DEFINE) -T $(LINKER_SCRIPT) -o $(TESTNAME).o - # Generate disassembly code - $(GNU_OBJDUMP) -S $(TESTNAME).o > $(TESTNAME).lst - # Generate binary file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O binary $(TESTNAME).bin - # Generate hex file - $(GNU_OBJCOPY) -S $(TESTNAME).o -O verilog $(TESTNAME).hex - -# Note: -# If the version of object copy you are using does not support verilog hex file output, -# you can generate the hex file from binary file using the following command -# od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - - -# --------------------------------------------------------------------------------------- -# Keil MDK - -all_keil: - @echo "Please compile your project code and press ENTER when ready" - @read dummy - -# --------------------------------------------------------------------------------------- -# Binary - -all_bin: $(TESTNAME).bin - # Generate hex file from binary - od -v -A n -t x1 --width=1 $(TESTNAME).bin > $(TESTNAME).hex - -# --------------------------------------------------------------------------------------- -# Clean -clean : - @rm -rf *.o - @if [ -e $(TESTNAME).hex ] ; then \ - rm -rf $(TESTNAME).hex ; \ - fi - @if [ -e $(TESTNAME).lst ] ; then \ - rm -rf $(TESTNAME).lst ; \ - fi - @if [ -e $(TESTNAME).ELF ] ; then \ - rm -rf $(TESTNAME).ELF ; \ - fi - @if [ -e $(TESTNAME).bin ] ; then \ - rm -rf $(TESTNAME).bin ; \ - fi - @rm -rf *.crf - @rm -rf *.plg - @rm -rf *.tra - @rm -rf *.htm - @rm -rf *.map - @rm -rf *.dep - @rm -rf *.d - @rm -rf *.lnp - @rm -rf *.bak - @rm -rf *.lst - @rm -rf *.axf - @rm -rf *.sct - @rm -rf *.__i - @rm -rf *._ia diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0.uvopt deleted file mode 100644 index d037b58..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0.uvopt +++ /dev/null @@ -1,261 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <tRtrace>0</tRtrace> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <Tracepoint> - <THDelay>0</THDelay> - </Tracepoint> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0.s</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\demos\watchdog_demo.c</PathWithFileName> - <FilenameWithoutPath>watchdog_demo.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <RteFlg>0</RteFlg> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0.c</FilenameWithoutPath> - <RteFlg>0</RteFlg> - <bShared>0</bShared> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0.uvproj deleted file mode 100644 index 1c90f5d..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0.uvproj +++ /dev/null @@ -1,435 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>4803</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>watchdog_demo</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output watchdog_demo.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <RestoreTracepoints>0</RestoreTracepoints> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <uSurpInc>0</uSurpInc> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\ARM\startup_CMSDK_CM0.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>watchdog_demo.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\demos\watchdog_demo.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0\Source\system_CMSDK_CM0.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0p.uvopt b/Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0p.uvopt deleted file mode 100644 index 5b4434b..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0p.uvopt +++ /dev/null @@ -1,244 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<ProjectOpt xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_opt.xsd"> - - <SchemaVersion>1.0</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Extensions> - <cExt>*.c</cExt> - <aExt>*.s*; *.src; *.a*</aExt> - <oExt>*.obj</oExt> - <lExt>*.lib</lExt> - <tExt>*.txt; *.h; *.inc</tExt> - <pExt>*.plm</pExt> - <CppX>*.cpp</CppX> - </Extensions> - - <DaveTm> - <dwLowDateTime>0</dwLowDateTime> - <dwHighDateTime>0</dwHighDateTime> - </DaveTm> - - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <CLKADS>50000000</CLKADS> - <OPTTT> - <gFlags>1</gFlags> - <BeepAtEnd>1</BeepAtEnd> - <RunSim>1</RunSim> - <RunTarget>0</RunTarget> - </OPTTT> - <OPTHX> - <HexSelection>1</HexSelection> - <FlashByte>65535</FlashByte> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - </OPTHX> - <OPTLEX> - <PageWidth>79</PageWidth> - <PageLength>66</PageLength> - <TabStop>8</TabStop> - <ListingPath>.\</ListingPath> - </OPTLEX> - <ListingPage> - <CreateCListing>1</CreateCListing> - <CreateAListing>1</CreateAListing> - <CreateLListing>1</CreateLListing> - <CreateIListing>0</CreateIListing> - <AsmCond>1</AsmCond> - <AsmSymb>1</AsmSymb> - <AsmXref>0</AsmXref> - <CCond>1</CCond> - <CCode>0</CCode> - <CListInc>0</CListInc> - <CSymb>0</CSymb> - <LinkerCodeListing>0</LinkerCodeListing> - </ListingPage> - <OPTXL> - <LMap>1</LMap> - <LComments>1</LComments> - <LGenerateSymbols>1</LGenerateSymbols> - <LLibSym>1</LLibSym> - <LLines>1</LLines> - <LLocSym>1</LLocSym> - <LPubSym>1</LPubSym> - <LXref>0</LXref> - <LExpSel>0</LExpSel> - </OPTXL> - <OPTFL> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <IsCurrentTarget>1</IsCurrentTarget> - </OPTFL> - <CpuCode>255</CpuCode> - <DllOpt> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDllName>DARMCM1.DLL</SimDlgDllName> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDllName>TARMCM1.DLL</TargetDlgDllName> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOpt> - <DebugOpt> - <uSim>1</uSim> - <uTrg>0</uTrg> - <sLdApp>1</sLdApp> - <sGomain>1</sGomain> - <sRbreak>1</sRbreak> - <sRwatch>1</sRwatch> - <sRmem>1</sRmem> - <sRfunc>1</sRfunc> - <sRbox>1</sRbox> - <tLdApp>1</tLdApp> - <tGomain>0</tGomain> - <tRbreak>1</tRbreak> - <tRwatch>1</tRwatch> - <tRmem>1</tRmem> - <tRfunc>0</tRfunc> - <tRbox>1</tRbox> - <sRunDeb>0</sRunDeb> - <sLrtime>0</sLrtime> - <nTsel>-1</nTsel> - <sDll></sDll> - <sDllPa></sDllPa> - <sDlgDll></sDlgDll> - <sDlgPa></sDlgPa> - <sIfile></sIfile> - <tDll></tDll> - <tDllPa></tDllPa> - <tDlgDll></tDlgDll> - <tDlgPa></tDlgPa> - <tIfile></tIfile> - <pMon></pMon> - </DebugOpt> - <Breakpoint/> - <DebugFlag> - <trace>0</trace> - <periodic>1</periodic> - <aLwin>0</aLwin> - <aCover>0</aCover> - <aSer1>0</aSer1> - <aSer2>0</aSer2> - <aPa>0</aPa> - <viewmode>0</viewmode> - <vrSel>0</vrSel> - <aSym>0</aSym> - <aTbox>0</aTbox> - <AscS1>0</AscS1> - <AscS2>0</AscS2> - <AscS3>0</AscS3> - <aSer3>0</aSer3> - <eProf>0</eProf> - <aLa>0</aLa> - <aPa1>0</aPa1> - <AscS4>0</AscS4> - <aSer4>0</aSer4> - <StkLoc>0</StkLoc> - <TrcWin>0</TrcWin> - <newCpu>0</newCpu> - <uProt>0</uProt> - </DebugFlag> - <LintExecutable></LintExecutable> - <LintConfigFile></LintConfigFile> - </TargetOption> - </Target> - - <Group> - <GroupName>Startup</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>1</GroupNumber> - <FileNumber>1</FileNumber> - <FileType>2</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</PathWithFileName> - <FilenameWithoutPath>startup_CMSDK_CM0plus.s</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>Application</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>2</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\demos\watchdog_demo.c</PathWithFileName> - <FilenameWithoutPath>watchdog_demo.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>3</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\uart_stdout.c</PathWithFileName> - <FilenameWithoutPath>uart_stdout.c</FilenameWithoutPath> - </File> - <File> - <GroupNumber>2</GroupNumber> - <FileNumber>4</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\common\retarget\retarget.c</PathWithFileName> - <FilenameWithoutPath>retarget.c</FilenameWithoutPath> - </File> - </Group> - - <Group> - <GroupName>System</GroupName> - <tvExp>1</tvExp> - <tvExpOptDlg>0</tvExpOptDlg> - <cbSel>0</cbSel> - <File> - <GroupNumber>3</GroupNumber> - <FileNumber>5</FileNumber> - <FileType>1</FileType> - <tvExp>0</tvExp> - <Focus>0</Focus> - <ColumnNumber>0</ColumnNumber> - <tvExpOptDlg>0</tvExpOptDlg> - <TopLine>0</TopLine> - <CurrentLine>0</CurrentLine> - <bDave2>0</bDave2> - <PathWithFileName>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</PathWithFileName> - <FilenameWithoutPath>system_CMSDK_CM0plus.c</FilenameWithoutPath> - </File> - </Group> - -</ProjectOpt> diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0p.uvproj b/Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0p.uvproj deleted file mode 100644 index 82c742c..0000000 --- a/Cortex-M0/nanosoc/systems/mcu/testcodes/watchdog_demo/watchdog_demo_cm0p.uvproj +++ /dev/null @@ -1,432 +0,0 @@ -<?xml version="1.0" encoding="UTF-8" standalone="no" ?> -<Project xmlns:xsi="http://www.w3.org/2001/XMLSchema-instance" xsi:noNamespaceSchemaLocation="project_proj.xsd"> - - <SchemaVersion>1.1</SchemaVersion> - - <Header>### uVision Project, (C) Keil Software</Header> - - <Targets> - <Target> - <TargetName>Debug</TargetName> - <ToolsetNumber>0x4</ToolsetNumber> - <ToolsetName>ARM-ADS</ToolsetName> - <TargetOption> - <TargetCommonOption> - <Device>Cortex-M0+</Device> - <Vendor>ARM</Vendor> - <Cpu>CLOCK(12000000) CPUTYPE("Cortex-M0+") ESEL ELITTLE</Cpu> - <FlashUtilSpec></FlashUtilSpec> - <StartupFile></StartupFile> - <FlashDriverDll></FlashDriverDll> - <DeviceId>6268</DeviceId> - <RegisterFile></RegisterFile> - <MemoryEnv></MemoryEnv> - <Cmp></Cmp> - <Asm></Asm> - <Linker></Linker> - <OHString></OHString> - <InfinionOptionDll></InfinionOptionDll> - <SLE66CMisc></SLE66CMisc> - <SLE66AMisc></SLE66AMisc> - <SLE66LinkerMisc></SLE66LinkerMisc> - <SFDFile></SFDFile> - <UseEnv>0</UseEnv> - <BinPath></BinPath> - <IncludePath></IncludePath> - <LibPath></LibPath> - <RegisterFilePath></RegisterFilePath> - <DBRegisterFilePath></DBRegisterFilePath> - <TargetStatus> - <Error>0</Error> - <ExitCodeStop>0</ExitCodeStop> - <ButtonStop>0</ButtonStop> - <NotGenerated>0</NotGenerated> - <InvalidFlash>1</InvalidFlash> - </TargetStatus> - <OutputDirectory>.\</OutputDirectory> - <OutputName>watchdog_demo</OutputName> - <CreateExecutable>1</CreateExecutable> - <CreateLib>0</CreateLib> - <CreateHexFile>0</CreateHexFile> - <DebugInformation>1</DebugInformation> - <BrowseInformation>1</BrowseInformation> - <ListingPath>.\</ListingPath> - <HexFormatSelection>1</HexFormatSelection> - <Merge32K>0</Merge32K> - <CreateBatchFile>0</CreateBatchFile> - <BeforeCompile> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - <nStopU1X>0</nStopU1X> - <nStopU2X>0</nStopU2X> - </BeforeCompile> - <BeforeMake> - <RunUserProg1>0</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name></UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </BeforeMake> - <AfterMake> - <RunUserProg1>1</RunUserProg1> - <RunUserProg2>0</RunUserProg2> - <UserProg1Name>$K\ARM\ARMCC\BIN\fromelf.exe #L --vhx --8x1 --output watchdog_demo.hex</UserProg1Name> - <UserProg2Name></UserProg2Name> - <UserProg1Dos16Mode>0</UserProg1Dos16Mode> - <UserProg2Dos16Mode>0</UserProg2Dos16Mode> - </AfterMake> - <SelectedForBatchBuild>0</SelectedForBatchBuild> - <SVCSIdString></SVCSIdString> - </TargetCommonOption> - <CommonProperty> - <UseCPPCompiler>0</UseCPPCompiler> - <RVCTCodeConst>0</RVCTCodeConst> - <RVCTZI>0</RVCTZI> - <RVCTOtherData>0</RVCTOtherData> - <ModuleSelection>0</ModuleSelection> - <IncludeInBuild>1</IncludeInBuild> - <AlwaysBuild>0</AlwaysBuild> - <GenerateAssemblyFile>0</GenerateAssemblyFile> - <AssembleAssemblyFile>0</AssembleAssemblyFile> - <PublicsOnly>0</PublicsOnly> - <StopOnExitCode>3</StopOnExitCode> - <CustomArgument></CustomArgument> - <IncludeLibraryModules></IncludeLibraryModules> - </CommonProperty> - <DllOption> - <SimDllName>SARMCM3.DLL</SimDllName> - <SimDllArguments></SimDllArguments> - <SimDlgDll>DARMCM1.DLL</SimDlgDll> - <SimDlgDllArguments></SimDlgDllArguments> - <TargetDllName>SARMCM3.DLL</TargetDllName> - <TargetDllArguments></TargetDllArguments> - <TargetDlgDll>TARMCM1.DLL</TargetDlgDll> - <TargetDlgDllArguments></TargetDlgDllArguments> - </DllOption> - <DebugOption> - <OPTHX> - <HexSelection>1</HexSelection> - <HexRangeLowAddress>0</HexRangeLowAddress> - <HexRangeHighAddress>0</HexRangeHighAddress> - <HexOffset>0</HexOffset> - <Oh166RecLen>16</Oh166RecLen> - </OPTHX> - <Simulator> - <UseSimulator>1</UseSimulator> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>1</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>1</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - <LimitSpeedToRealTime>0</LimitSpeedToRealTime> - </Simulator> - <Target> - <UseTarget>0</UseTarget> - <LoadApplicationAtStartup>1</LoadApplicationAtStartup> - <RunToMain>0</RunToMain> - <RestoreBreakpoints>1</RestoreBreakpoints> - <RestoreWatchpoints>1</RestoreWatchpoints> - <RestoreMemoryDisplay>1</RestoreMemoryDisplay> - <RestoreFunctions>0</RestoreFunctions> - <RestoreToolbox>1</RestoreToolbox> - </Target> - <RunDebugAfterBuild>0</RunDebugAfterBuild> - <TargetSelection>-1</TargetSelection> - <SimDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - </SimDlls> - <TargetDlls> - <CpuDll></CpuDll> - <CpuDllArguments></CpuDllArguments> - <PeripheralDll></PeripheralDll> - <PeripheralDllArguments></PeripheralDllArguments> - <InitializationFile></InitializationFile> - <Driver></Driver> - </TargetDlls> - </DebugOption> - <Utilities> - <Flash1> - <UseTargetDll>0</UseTargetDll> - <UseExternalTool>0</UseExternalTool> - <RunIndependent>0</RunIndependent> - <UpdateFlashBeforeDebugging>0</UpdateFlashBeforeDebugging> - <Capability>0</Capability> - <DriverSelection>-1</DriverSelection> - </Flash1> - <Flash2></Flash2> - <Flash3></Flash3> - <Flash4></Flash4> - </Utilities> - <TargetArmAds> - <ArmAdsMisc> - <GenerateListings>0</GenerateListings> - <asHll>1</asHll> - <asAsm>1</asAsm> - <asMacX>1</asMacX> - <asSyms>1</asSyms> - <asFals>1</asFals> - <asDbgD>1</asDbgD> - <asForm>1</asForm> - <ldLst>0</ldLst> - <ldmm>1</ldmm> - <ldXref>1</ldXref> - <BigEnd>0</BigEnd> - <AdsALst>1</AdsALst> - <AdsACrf>1</AdsACrf> - <AdsANop>0</AdsANop> - <AdsANot>0</AdsANot> - <AdsLLst>1</AdsLLst> - <AdsLmap>1</AdsLmap> - <AdsLcgr>1</AdsLcgr> - <AdsLsym>1</AdsLsym> - <AdsLszi>1</AdsLszi> - <AdsLtoi>1</AdsLtoi> - <AdsLsun>1</AdsLsun> - <AdsLven>1</AdsLven> - <AdsLsxf>1</AdsLsxf> - <RvctClst>0</RvctClst> - <GenPPlst>0</GenPPlst> - <AdsCpuType>"Cortex-M0+"</AdsCpuType> - <RvctDeviceName></RvctDeviceName> - <mOS>0</mOS> - <uocRom>0</uocRom> - <uocRam>0</uocRam> - <hadIROM>0</hadIROM> - <hadIRAM>0</hadIRAM> - <hadXRAM>0</hadXRAM> - <uocXRam>0</uocXRam> - <RvdsVP>0</RvdsVP> - <hadIRAM2>0</hadIRAM2> - <hadIROM2>0</hadIROM2> - <StupSel>1</StupSel> - <useUlib>0</useUlib> - <EndSel>1</EndSel> - <uLtcg>0</uLtcg> - <RoSelD>0</RoSelD> - <RwSelD>5</RwSelD> - <CodeSel>0</CodeSel> - <OptFeed>0</OptFeed> - <NoZi1>0</NoZi1> - <NoZi2>0</NoZi2> - <NoZi3>0</NoZi3> - <NoZi4>0</NoZi4> - <NoZi5>0</NoZi5> - <Ro1Chk>1</Ro1Chk> - <Ro2Chk>0</Ro2Chk> - <Ro3Chk>0</Ro3Chk> - <Ir1Chk>0</Ir1Chk> - <Ir2Chk>0</Ir2Chk> - <Ra1Chk>1</Ra1Chk> - <Ra2Chk>0</Ra2Chk> - <Ra3Chk>0</Ra3Chk> - <Im1Chk>0</Im1Chk> - <Im2Chk>0</Im2Chk> - <OnChipMemories> - <Ocm1> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm1> - <Ocm2> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm2> - <Ocm3> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm3> - <Ocm4> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm4> - <Ocm5> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm5> - <Ocm6> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </Ocm6> - <IRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IRAM> - <IROM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </IROM> - <XRAM> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </XRAM> - <OCR_RVCT1> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT1> - <OCR_RVCT2> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT2> - <OCR_RVCT3> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT3> - <OCR_RVCT4> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT4> - <OCR_RVCT5> - <Type>1</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT5> - <OCR_RVCT6> - <Type>0</Type> - <StartAddress>0x20000000</StartAddress> - <Size>0x10000</Size> - </OCR_RVCT6> - <OCR_RVCT7> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT7> - <OCR_RVCT8> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT8> - <OCR_RVCT9> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT9> - <OCR_RVCT10> - <Type>0</Type> - <StartAddress>0x0</StartAddress> - <Size>0x0</Size> - </OCR_RVCT10> - </OnChipMemories> - <RvctStartVector></RvctStartVector> - </ArmAdsMisc> - <Cads> - <interw>1</interw> - <Optim>4</Optim> - <oTime>0</oTime> - <SplitLS>0</SplitLS> - <OneElfS>0</OneElfS> - <Strict>0</Strict> - <EnumInt>0</EnumInt> - <PlainCh>0</PlainCh> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <wLevel>0</wLevel> - <uThumb>0</uThumb> - <VariousControls> - <MiscControls></MiscControls> - <Define>CORTEX_M0PLUS</Define> - <Undefine></Undefine> - <IncludePath>..\..\..\..\software\cmsis\CMSIS\Include; ..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Include; ..\..\..\..\software\common\retarget</IncludePath> - </VariousControls> - </Cads> - <Aads> - <interw>1</interw> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <thumb>0</thumb> - <SplitLS>0</SplitLS> - <SwStkChk>0</SwStkChk> - <NoWarn>0</NoWarn> - <VariousControls> - <MiscControls></MiscControls> - <Define></Define> - <Undefine></Undefine> - <IncludePath></IncludePath> - </VariousControls> - </Aads> - <LDads> - <umfTarg>1</umfTarg> - <Ropi>0</Ropi> - <Rwpi>0</Rwpi> - <noStLib>0</noStLib> - <RepFail>1</RepFail> - <useFile>0</useFile> - <TextAddressRange>0x00000000</TextAddressRange> - <DataAddressRange>0x00000000</DataAddressRange> - <ScatterFile></ScatterFile> - <IncludeLibs></IncludeLibs> - <IncludeLibsPath></IncludeLibsPath> - <Misc></Misc> - <LinkerInputFile></LinkerInputFile> - <DisabledWarnings></DisabledWarnings> - </LDads> - </TargetArmAds> - </TargetOption> - <Groups> - <Group> - <GroupName>Startup</GroupName> - <Files> - <File> - <FileName>startup_CMSDK_CM0plus.s</FileName> - <FileType>2</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\ARM\startup_CMSDK_CM0plus.s</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>Application</GroupName> - <Files> - <File> - <FileName>watchdog_demo.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\demos\watchdog_demo.c</FilePath> - </File> - <File> - <FileName>uart_stdout.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\uart_stdout.c</FilePath> - </File> - <File> - <FileName>retarget.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\common\retarget\retarget.c</FilePath> - </File> - </Files> - </Group> - <Group> - <GroupName>System</GroupName> - <Files> - <File> - <FileName>system_CMSDK_CM0plus.c</FileName> - <FileType>1</FileType> - <FilePath>..\..\..\..\software\cmsis\Device\ARM\CMSDK_CM0plus\Source\system_CMSDK_CM0plus.c</FilePath> - </File> - </Files> - </Group> - </Groups> - </Target> - </Targets> - -</Project> diff --git a/FPGALIB/pads/verilog/PAD_INOUT8MA_NOE.v b/FPGALIB/pads/verilog/PAD_INOUT8MA_NOE.v deleted file mode 100644 index fa92fec..0000000 --- a/FPGALIB/pads/verilog/PAD_INOUT8MA_NOE.v +++ /dev/null @@ -1,37 +0,0 @@ -// from GLIB_PADLIB.v -//----------------------------------------------------------------------------- -// soclabs generic IO pad model -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2022, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - -module PAD_INOUT8MA_NOE ( - // Inouts - PAD, - // Outputs - I, - // Inputs - O, - NOE - ); - inout PAD; - output I; - input O; - input NOE; - - IOBUF #( - .IOSTANDARD ("LVCMOS33"), - .DRIVE(8) - ) IOBUF3V3 ( - .O(I), - .IO(PAD), - .I(O), - .T(NOE) - ); - -endmodule // PAD_INOUT8MA_NOE diff --git a/FPGALIB/pads/verilog/PAD_VDDIO.v b/FPGALIB/pads/verilog/PAD_VDDIO.v deleted file mode 100644 index 4fd7137..0000000 --- a/FPGALIB/pads/verilog/PAD_VDDIO.v +++ /dev/null @@ -1,29 +0,0 @@ -// from GLIB_PADLIB.v -//----------------------------------------------------------------------------- -// soclabs generic IO pad model -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2022, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - -module PAD_VDDIO ( - // Inouts - PAD - ); - inout PAD; - - IOBUF #( - .IOSTANDARD ("LVCMOS33"), - .DRIVE(8) - ) IOBUF3V3 ( - .O( ), - .IO(PAD), - .I(1'b1), - .T(1'b1) - ); - -endmodule // PAD_VDDIO diff --git a/FPGALIB/pads/verilog/PAD_VDDSOC.v b/FPGALIB/pads/verilog/PAD_VDDSOC.v deleted file mode 100644 index 80f6a72..0000000 --- a/FPGALIB/pads/verilog/PAD_VDDSOC.v +++ /dev/null @@ -1,29 +0,0 @@ -// from GLIB_PADLIB.v -//----------------------------------------------------------------------------- -// soclabs generic IO pad model -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2022, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - -module PAD_VDDSOC ( - // Inouts - PAD - ); - inout PAD; - - IOBUF #( - .IOSTANDARD ("LVCMOS33"), - .DRIVE(8) - ) IOBUF3V3 ( - .O( ), - .IO(PAD), - .I(1'b1), - .T(1'b1) - ); - -endmodule // PAD_VDDSOC diff --git a/FPGALIB/pads/verilog/PAD_VSS.v b/FPGALIB/pads/verilog/PAD_VSS.v deleted file mode 100644 index 046a389..0000000 --- a/FPGALIB/pads/verilog/PAD_VSS.v +++ /dev/null @@ -1,29 +0,0 @@ -// from GLIB_PADLIB.v -//----------------------------------------------------------------------------- -// soclabs generic IO pad model -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2022, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - -module PAD_VSS ( - // Inouts - PAD - ); - inout PAD; - - IOBUF #( - .IOSTANDARD ("LVCMOS33"), - .DRIVE(8) - ) IOBUF3V3 ( - .O( ), - .IO(PAD), - .I(1'b1), - .T(1'b1) - ); - -endmodule // PAD_VSS diff --git a/FPGALIB/pads/verilog/PAD_VSSIO.v b/FPGALIB/pads/verilog/PAD_VSSIO.v deleted file mode 100644 index 6bfb333..0000000 --- a/FPGALIB/pads/verilog/PAD_VSSIO.v +++ /dev/null @@ -1,29 +0,0 @@ -// from GLIB_PADLIB.v -//----------------------------------------------------------------------------- -// soclabs generic IO pad model -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2022, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - -module PAD_VSSIO ( - // Inouts - PAD - ); - inout PAD; - - IOBUF #( - .IOSTANDARD ("LVCMOS33"), - .DRIVE(8) - ) IOBUF3V3 ( - .O( ), - .IO(PAD), - .I(1'b1), - .T(1'b1) - ); - -endmodule // PAD_VSSIO diff --git a/GLIB/mem/verilog/SROM_Ax32.v b/GLIB/mem/verilog/SROM_Ax32.v deleted file mode 100644 index f2c3a9a..0000000 --- a/GLIB/mem/verilog/SROM_Ax32.v +++ /dev/null @@ -1,127 +0,0 @@ -//----------------------------------------------------------------------------- -// -// Synthesizable byte-write addressible R/W (random-access) memory -// -// Synchronous data write, flow-though (non-pipeline registered) read data -// -// Auto-gernerates a synthesizable verilog ROM design -// and binary text file for custom ROM via programming -// -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2021-3, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - -module SROM_Ax32 - #(parameter ADDRWIDTH = 10, - parameter filename = "rom32.hex", - parameter romgen = 0 - ) - (input wire CLK, - input wire [ADDRWIDTH-1:0] ADDR, //Address Input - input wire SEL, //Select (active-high) - output wire [31:0] RDATA); //Read Data - - localparam MEMDEPTH = (1 << (ADDRWIDTH)-1)-1; - localparam romgenfile = "bootrom.v"; - localparam bingenfile = "bootrom.bintxt"; - - // Reg declarations - reg [7:0] rombyte0 [0:MEMDEPTH]; - reg [7:0] rombyte1 [0:MEMDEPTH]; - reg [7:0] rombyte2 [0:MEMDEPTH]; - reg [7:0] rombyte3 [0:MEMDEPTH]; - - reg [ADDRWIDTH-1:0] addr_r; // registered Address for read access - -// optional simulation RAM_INIT option to suppress 'X' initial contents -`ifdef MEM_INIT - reg [7:0] fileimage [((4<<ADDRWIDTH)-1):0]; - function [31:0] NoX32; input [31:0] n; NoX32 = (((^n) === 1'bx) ? 32'h0 : n); endfunction - integer fd; // file descriptor for file output - integer fd2; // file descriptor for file 2 output - integer i; - reg [39:0] today [0:1]; - -initial - begin - $system("date +%y%m%d%H%M >date_file"); //format yymmdd - $readmemh("date_file", today); - $display("data_file: %x", today[0]); - - for (i=0; i<= MEMDEPTH; i=i+1) begin - rombyte0[i] <= 8'he5; - rombyte1[i] <= 8'he5; - rombyte2[i] <= 8'he5; - rombyte3[i] <= 8'he5; - end - if (filename != "") begin - $readmemh(filename, fileimage); - for (i = 0; i <= MEMDEPTH; i=i+1) begin - rombyte0[i] <= fileimage[(i<<2)+0]; - rombyte1[i] <= fileimage[(i<<2)+1]; - rombyte2[i] <= fileimage[(i<<2)+2]; - rombyte3[i] <= fileimage[(i<<2)+3]; - end - end - if (romgen != 0) - begin - fd = $fopen(romgenfile); - fd2 = $fopen(bingenfile); - if ((fd == 0) || (fd2 == 0)) begin - $display("rom32gen: Error, zero returned in response to $fopen\n"); - end - else begin - $display(fd,"rom32gen: Generating output file\n"); - $fwrite(fd,"//------------------------------------------------------------------------------------\n"); - $fwrite(fd,"// customised auto-generated synthesizable ROM module abstraction\n"); - $fwrite(fd,"// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license.\n"); - $fwrite(fd,"//\n"); - $fwrite(fd,"// Contributors\n"); - $fwrite(fd,"//\n"); - $fwrite(fd,"// David Flynn (d.w.flynn@soton.ac.uk)\n"); - $fwrite(fd,"// Date: %x\n", today[0]); - $fwrite(fd,"// Copyright (c) 2021-2, SoC Labs (www.soclabs.org)\n"); - $fwrite(fd,"//------------------------------------------------------------------------------------\n"); - $fwrite(fd,"module bootrom (\n"); - $fwrite(fd," input wire CLK,\n"); - $fwrite(fd," input wire EN,\n"); - $fwrite(fd," input wire [%0d:2] ADDR,\n", ADDRWIDTH+1); - $fwrite(fd," output reg [31:0] RDATA );\n"); - $fwrite(fd,"reg [%0d:2] addr_r;\n", ADDRWIDTH+1); - $fwrite(fd,"always @(posedge CLK) if (EN) addr_r <= ADDR;\n"); - $fwrite(fd,"always @(addr_r)"); - $fwrite(fd," case(addr_r[%0d:2]) \n", ADDRWIDTH+1); - if (ADDRWIDTH > 8) - for (i = 0; i < 4 << (ADDRWIDTH); i=i+4) begin - $fwrite(fd," %2d'h%3x : RDATA <= 32'h%8x; // 0x%04x\n", ADDRWIDTH, i>>2, NoX32({fileimage[i+3],fileimage[i+2],fileimage[i+1],fileimage[i+0]}), i ); - $fwrite(fd2,"%32b\n",NoX32({fileimage[i+3],fileimage[i+2],fileimage[i+1],fileimage[i+0]})); - end - else - for (i = 0; i < 4 << (ADDRWIDTH); i=i+4) begin - $fwrite(fd," %2d'h%2x : RDATA <= 32'h%8x; // 0x%04x\n", ADDRWIDTH, i>>2, NoX32({fileimage[i+3],fileimage[i+2],fileimage[i+1],fileimage[i+0]}), i ); - $fwrite(fd2,"%32b\n",NoX32({fileimage[i+3],fileimage[i+2],fileimage[i+1],fileimage[i+0]})); - end - $fwrite(fd," default : RDATA <=32'h0;\n"); - $fwrite(fd," endcase\n"); - $fwrite(fd,"endmodule\n"); - $fclose(fd); - $fclose(fd2); - end - end - end -`endif - -// synchonous address and control - - always @(posedge CLK) // update on any byte lane read - if (SEL) - addr_r <= ADDR[ADDRWIDTH-1:0]; - - assign RDATA = {rombyte3[addr_r],rombyte2[addr_r],rombyte1[addr_r],rombyte0[addr_r]}; - -endmodule diff --git a/GLIB/pads/verilog/GLIB_PADLIB.v b/GLIB/pads/verilog/GLIB_PADLIB.v deleted file mode 100755 index bc10d2b..0000000 --- a/GLIB/pads/verilog/GLIB_PADLIB.v +++ /dev/null @@ -1,139 +0,0 @@ -// GLIB_PADLIB.v -//----------------------------------------------------------------------------- -// soclabs generic IO pad model -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2022, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - -module PAD_INOUT8MA_NOE ( - // Inouts - PAD, - // Outputs - O, - // Inputs - I, - NOE - ); - inout PAD; - output I; - input O; - input NOE; - -`ifdef BEHAVIORAL_PADS - assign I = PAD; - assign PAD = ~NOE ? O : 1'bz; -`else - bufif1 #2 (PAD, O, ~NOE); - buf #1 (I, PAD); - always @(PAD) - begin - if (($countdrivers(PAD) > 1) && (PAD === 1'bx)) - $display("%t ++BUS CONFLICT++ : %m", $realtime); - end -`endif // ifdef BEHAVIORAL_PADS -endmodule // PAD_INOUT8MA_NOE - -module PAD_INOUT8MA_OE ( - // Inouts - PAD, - // Outputs - O, - // Inputs - I, - OE - ); - inout PAD; - output I; - input O; - input OE; -`ifdef BEHAVIORAL_PADS - assign I = PAD; - assign PAD = OE ? O : 1'bz; -`else - bufif1 #2 (PAD, O, OE); - buf #1 (I, PAD); - - always @(PAD) - begin - if (($countdrivers(PAD) > 1) && (PAD === 1'bx)) - $display("%t ++BUS CONFLICT++ : %m", $realtime); - end -`endif // ifdef BEHAVIORAL_PADS -endmodule // PAD_INOUT8MA_OE - -module PAD_VDDIO ( - PAD - ); - inout PAD; -endmodule // PAD_VDDIO - -module PAD_VSSIO ( - PAD - ); - inout PAD; -endmodule // PAD_VSSSIO - -// core logic supply rails (1V0, 0V) -module PAD_VDDSOC ( - PAD - ); - inout PAD; -endmodule // PAD_VDDSOC - -module PAD_VSS ( - PAD - ); - inout PAD; -endmodule // PAD_VSS - -// VDDISOL -module PAD_ANALOG ( - PAD - ); - inout PAD; -endmodule // PAD_ANALOG - -`ifdef TSMC_PADS - -// VDDSOC -module PVDD1CDG ( - inout wire VDD - ); -endmodule // PVDD1CDG - -//VDDIO -module PVDD2CDG ( - inout wire VDDPST - ); -endmodule // PVDD2CDG - -module PVDD2POC ( - inout wire VDDPST - ); -endmodule // PVDD2CDG - -module PVSS3CDG ( - inout wire VSS - ); -endmodule // PVSS3CDG - -// VDDISOL -module PVDD1ANA ( - inout wire AVDD - ); -endmodule // PVDD1ANA - - -module PCORNER ( ); endmodule -module PFILLER20 ( ); endmodule -module PFILLER1 ( ); endmodule -module PFILLER0005 ( ); endmodule - -module PAD60LU ( ); endmodule - -`endif diff --git a/GLIB/pads/verilog/PAD_ANALOG.v b/GLIB/pads/verilog/PAD_ANALOG.v deleted file mode 100644 index 2715fb3..0000000 --- a/GLIB/pads/verilog/PAD_ANALOG.v +++ /dev/null @@ -1,18 +0,0 @@ -// from GLIB_PADLIB.v -//----------------------------------------------------------------------------- -// soclabs generic IO pad model -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2022, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - -// VDDISOL -module PAD_ANALOG ( - PAD - ); - inout PAD; -endmodule // PAD_ANALOG diff --git a/GLIB/pads/verilog/PAD_INOUT8MA_NOE.v b/GLIB/pads/verilog/PAD_INOUT8MA_NOE.v deleted file mode 100644 index 42123e8..0000000 --- a/GLIB/pads/verilog/PAD_INOUT8MA_NOE.v +++ /dev/null @@ -1,39 +0,0 @@ -// from GLIB_PADLIB.v -//----------------------------------------------------------------------------- -// soclabs generic IO pad model -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2022, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - -module PAD_INOUT8MA_NOE ( - // Inouts - PAD, - // Outputs - O, - // Inputs - I, - NOE - ); - inout PAD; - output I; - input O; - input NOE; - -`ifdef BEHAVIORAL_PADS - assign I = PAD; - assign PAD = ~NOE ? O : 1'bz; -`else - bufif1 #2 (PAD, O, ~NOE); - buf #1 (I, PAD); - always @(PAD) - begin - if (($countdrivers(PAD) > 1) && (PAD === 1'bx)) - $display("%t ++BUS CONFLICT++ : %m", $realtime); - end -`endif // ifdef BEHAVIORAL_PADS -endmodule // PAD_INOUT8MA_NOE diff --git a/GLIB/pads/verilog/PAD_INOUT8MA_OE.v b/GLIB/pads/verilog/PAD_INOUT8MA_OE.v deleted file mode 100644 index 12d3009..0000000 --- a/GLIB/pads/verilog/PAD_INOUT8MA_OE.v +++ /dev/null @@ -1,39 +0,0 @@ -// from GLIB_PADLIB.v -//----------------------------------------------------------------------------- -// soclabs generic IO pad model -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2022, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - -module PAD_INOUT8MA_OE ( - // Inouts - PAD, - // Outputs - O, - // Inputs - I, - OE - ); - inout PAD; - output I; - input O; - input OE; -`ifdef BEHAVIORAL_PADS - assign I = PAD; - assign PAD = OE ? O : 1'bz; -`else - bufif1 #2 (PAD, O, OE); - buf #1 (I, PAD); - - always @(PAD) - begin - if (($countdrivers(PAD) > 1) && (PAD === 1'bx)) - $display("%t ++BUS CONFLICT++ : %m", $realtime); - end -`endif // ifdef BEHAVIORAL_PADS -endmodule // PAD_INOUT8MA_OE diff --git a/GLIB/pads/verilog/PAD_VDDIO.v b/GLIB/pads/verilog/PAD_VDDIO.v deleted file mode 100644 index e8eda59..0000000 --- a/GLIB/pads/verilog/PAD_VDDIO.v +++ /dev/null @@ -1,18 +0,0 @@ -// from GLIB_PADLIB.v -//----------------------------------------------------------------------------- -// soclabs generic IO pad model -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2022, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - -module PAD_VDDIO ( - PAD - ); - inout PAD; - assign PAD = 1'b1; - endmodule // PAD_VDDIO diff --git a/GLIB/pads/verilog/PAD_VDDSOC.v b/GLIB/pads/verilog/PAD_VDDSOC.v deleted file mode 100644 index 02de9e9..0000000 --- a/GLIB/pads/verilog/PAD_VDDSOC.v +++ /dev/null @@ -1,19 +0,0 @@ -// from GLIB_PADLIB.v -//----------------------------------------------------------------------------- -// soclabs generic IO pad model -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2022, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - -// core logic supply rails (1V0, 0V) -module PAD_VDDSOC ( - PAD - ); - inout PAD; - assign PAD = 1'b1; -endmodule // PAD_VDDSOC diff --git a/GLIB/pads/verilog/PAD_VSS.v b/GLIB/pads/verilog/PAD_VSS.v deleted file mode 100644 index ea07cca..0000000 --- a/GLIB/pads/verilog/PAD_VSS.v +++ /dev/null @@ -1,18 +0,0 @@ -// from GLIB_PADLIB.v -//----------------------------------------------------------------------------- -// soclabs generic IO pad model -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2022, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - -module PAD_VSS ( - PAD - ); - inout PAD; - assign PAD = 1'b0; -endmodule // PAD_VSS diff --git a/GLIB/pads/verilog/PAD_VSSIO.v b/GLIB/pads/verilog/PAD_VSSIO.v deleted file mode 100644 index 42d9edb..0000000 --- a/GLIB/pads/verilog/PAD_VSSIO.v +++ /dev/null @@ -1,19 +0,0 @@ -// from GLIB_PADLIB.v -//----------------------------------------------------------------------------- -// soclabs generic IO pad model -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright � 2022, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - -module PAD_VSSIO ( - PAD - ); - inout PAD; - assign PAD = 1'b0; -endmodule // PAD_VSSIO - diff --git a/GLIB/sync/verilog/SYNCHRONIZER_EDGES.v b/GLIB/sync/verilog/SYNCHRONIZER_EDGES.v deleted file mode 100644 index c669ec1..0000000 --- a/GLIB/sync/verilog/SYNCHRONIZER_EDGES.v +++ /dev/null @@ -1,42 +0,0 @@ -// A joint work commissioned on behalf of SoC Labs, under Arm Academic Access license. -// -// Contributors -// -// David Flynn (d.w.flynn@soton.ac.uk) -// -// Copyright © 2022, SoC Labs (www.soclabs.org) -//----------------------------------------------------------------------------- - -module SYNCHRONIZER_EDGES ( - input wire testmode_i - ,input wire clk_i - ,input wire reset_n_i - ,input wire asyn_i - ,output wire syn_o - ,output wire syn_del_o - ,output wire posedge_o - ,output wire negedge_o - ); - -reg sync_stage1; -reg sync_stage2; -reg sync_stage3; - - always @(posedge clk_i or negedge reset_n_i) - if(~reset_n_i) begin - sync_stage1 <= 1'b0; - sync_stage2 <= 1'b0; - sync_stage3 <= 1'b0; - end - else begin - sync_stage1 <= asyn_i; - sync_stage2 <= sync_stage1; - sync_stage3 <= sync_stage2; - end - -assign syn_o = (testmode_i) ? asyn_i : sync_stage2; -assign syn_del_o = (testmode_i) ? asyn_i : sync_stage3; -assign posedge_o = (testmode_i) ? asyn_i : ( sync_stage2 & !sync_stage3); -assign negedge_o = (testmode_i) ? asyn_i : (!sync_stage2 & sync_stage3); - -endmodule diff --git a/Cortex-M0/nanosoc/software/cmsis/CMSIS/Include/core_cm0.h b/software/cmsis/CMSIS/Include/core_cm0.h similarity index 100% rename from Cortex-M0/nanosoc/software/cmsis/CMSIS/Include/core_cm0.h rename to software/cmsis/CMSIS/Include/core_cm0.h diff --git a/Cortex-M0/nanosoc/software/cmsis/CMSIS/Include/core_cm0plus.h b/software/cmsis/CMSIS/Include/core_cm0plus.h similarity index 100% rename from Cortex-M0/nanosoc/software/cmsis/CMSIS/Include/core_cm0plus.h rename to software/cmsis/CMSIS/Include/core_cm0plus.h diff --git a/Cortex-M0/nanosoc/software/cmsis/CMSIS/Include/core_cmFunc.h b/software/cmsis/CMSIS/Include/core_cmFunc.h similarity index 100% rename from Cortex-M0/nanosoc/software/cmsis/CMSIS/Include/core_cmFunc.h rename to software/cmsis/CMSIS/Include/core_cmFunc.h diff --git a/Cortex-M0/nanosoc/software/cmsis/CMSIS/Include/core_cmInstr.h b/software/cmsis/CMSIS/Include/core_cmInstr.h similarity index 100% rename from Cortex-M0/nanosoc/software/cmsis/CMSIS/Include/core_cmInstr.h rename to software/cmsis/CMSIS/Include/core_cmInstr.h diff --git a/Cortex-M0/nanosoc/software/cmsis/Device/ARM/CMSDK_CM0/Include/CMSDK_CM0.h b/software/cmsis/Device/ARM/CMSDK_CM0/Include/CMSDK_CM0.h similarity index 100% rename from Cortex-M0/nanosoc/software/cmsis/Device/ARM/CMSDK_CM0/Include/CMSDK_CM0.h rename to software/cmsis/Device/ARM/CMSDK_CM0/Include/CMSDK_CM0.h diff --git a/Cortex-M0/nanosoc/software/cmsis/Device/ARM/CMSDK_CM0/Include/CMSDK_driver.h b/software/cmsis/Device/ARM/CMSDK_CM0/Include/CMSDK_driver.h similarity index 100% rename from Cortex-M0/nanosoc/software/cmsis/Device/ARM/CMSDK_CM0/Include/CMSDK_driver.h rename to software/cmsis/Device/ARM/CMSDK_CM0/Include/CMSDK_driver.h diff --git a/Cortex-M0/nanosoc/software/cmsis/Device/ARM/CMSDK_CM0/Include/system_CMSDK_CM0.h b/software/cmsis/Device/ARM/CMSDK_CM0/Include/system_CMSDK_CM0.h similarity index 100% rename from Cortex-M0/nanosoc/software/cmsis/Device/ARM/CMSDK_CM0/Include/system_CMSDK_CM0.h rename to software/cmsis/Device/ARM/CMSDK_CM0/Include/system_CMSDK_CM0.h diff --git a/Cortex-M0/nanosoc/software/cmsis/Device/ARM/CMSDK_CM0/Source/ARM/startup_CMSDK_CM0.s b/software/cmsis/Device/ARM/CMSDK_CM0/Source/ARM/startup_CMSDK_CM0.s similarity index 100% rename from Cortex-M0/nanosoc/software/cmsis/Device/ARM/CMSDK_CM0/Source/ARM/startup_CMSDK_CM0.s rename to software/cmsis/Device/ARM/CMSDK_CM0/Source/ARM/startup_CMSDK_CM0.s diff --git a/Cortex-M0/nanosoc/software/cmsis/Device/ARM/CMSDK_CM0/Source/CMSDK_driver.c b/software/cmsis/Device/ARM/CMSDK_CM0/Source/CMSDK_driver.c similarity index 100% rename from Cortex-M0/nanosoc/software/cmsis/Device/ARM/CMSDK_CM0/Source/CMSDK_driver.c rename to software/cmsis/Device/ARM/CMSDK_CM0/Source/CMSDK_driver.c diff --git a/Cortex-M0/nanosoc/software/cmsis/Device/ARM/CMSDK_CM0/Source/GCC/startup_CMSDK_CM0.s b/software/cmsis/Device/ARM/CMSDK_CM0/Source/GCC/startup_CMSDK_CM0.s similarity index 100% rename from Cortex-M0/nanosoc/software/cmsis/Device/ARM/CMSDK_CM0/Source/GCC/startup_CMSDK_CM0.s rename to software/cmsis/Device/ARM/CMSDK_CM0/Source/GCC/startup_CMSDK_CM0.s diff --git a/Cortex-M0/nanosoc/software/cmsis/Device/ARM/CMSDK_CM0/Source/system_CMSDK_CM0.c b/software/cmsis/Device/ARM/CMSDK_CM0/Source/system_CMSDK_CM0.c similarity index 100% rename from Cortex-M0/nanosoc/software/cmsis/Device/ARM/CMSDK_CM0/Source/system_CMSDK_CM0.c rename to software/cmsis/Device/ARM/CMSDK_CM0/Source/system_CMSDK_CM0.c diff --git a/Cortex-M0/nanosoc/software/common/bootloader/bootloader.c b/software/common/bootloader/bootloader.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/bootloader/bootloader.c rename to software/common/bootloader/bootloader.c diff --git a/Cortex-M0/nanosoc/software/common/debug_tests/debug_tests.c b/software/common/debug_tests/debug_tests.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/debug_tests/debug_tests.c rename to software/common/debug_tests/debug_tests.c diff --git a/Cortex-M0/nanosoc/software/common/debug_tests/debug_tests.h b/software/common/debug_tests/debug_tests.h similarity index 100% rename from Cortex-M0/nanosoc/software/common/debug_tests/debug_tests.h rename to software/common/debug_tests/debug_tests.h diff --git a/Cortex-M0/nanosoc/software/common/demos/dualtimer_demo.c b/software/common/demos/dualtimer_demo.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/demos/dualtimer_demo.c rename to software/common/demos/dualtimer_demo.c diff --git a/Cortex-M0/nanosoc/software/common/demos/interrupt_demo.c b/software/common/demos/interrupt_demo.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/demos/interrupt_demo.c rename to software/common/demos/interrupt_demo.c diff --git a/Cortex-M0/nanosoc/software/common/demos/self_reset_demo.c b/software/common/demos/self_reset_demo.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/demos/self_reset_demo.c rename to software/common/demos/self_reset_demo.c diff --git a/Cortex-M0/nanosoc/software/common/demos/sleep_demo.c b/software/common/demos/sleep_demo.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/demos/sleep_demo.c rename to software/common/demos/sleep_demo.c diff --git a/Cortex-M0/nanosoc/software/common/demos/watchdog_demo.c b/software/common/demos/watchdog_demo.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/demos/watchdog_demo.c rename to software/common/demos/watchdog_demo.c diff --git a/Cortex-M0/nanosoc/software/common/dhry/dhry.h b/software/common/dhry/dhry.h similarity index 100% rename from Cortex-M0/nanosoc/software/common/dhry/dhry.h rename to software/common/dhry/dhry.h diff --git a/Cortex-M0/nanosoc/software/common/dhry/dhry_1.c b/software/common/dhry/dhry_1.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/dhry/dhry_1.c rename to software/common/dhry/dhry_1.c diff --git a/Cortex-M0/nanosoc/software/common/dhry/dhry_2.c b/software/common/dhry/dhry_2.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/dhry/dhry_2.c rename to software/common/dhry/dhry_2.c diff --git a/Cortex-M0/nanosoc/software/common/retarget/retarget.c b/software/common/retarget/retarget.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/retarget/retarget.c rename to software/common/retarget/retarget.c diff --git a/Cortex-M0/nanosoc/software/common/retarget/uart_stdout.c b/software/common/retarget/uart_stdout.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/retarget/uart_stdout.c rename to software/common/retarget/uart_stdout.c diff --git a/Cortex-M0/nanosoc/software/common/retarget/uart_stdout.h b/software/common/retarget/uart_stdout.h similarity index 100% rename from Cortex-M0/nanosoc/software/common/retarget/uart_stdout.h rename to software/common/retarget/uart_stdout.h diff --git a/Cortex-M0/nanosoc/software/common/romtable_tests/romtable_tests.c b/software/common/romtable_tests/romtable_tests.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/romtable_tests/romtable_tests.c rename to software/common/romtable_tests/romtable_tests.c diff --git a/Cortex-M0/nanosoc/software/common/romtable_tests/romtable_tests.h b/software/common/romtable_tests/romtable_tests.h similarity index 100% rename from Cortex-M0/nanosoc/software/common/romtable_tests/romtable_tests.h rename to software/common/romtable_tests/romtable_tests.h diff --git a/Cortex-M0/nanosoc/software/common/scripts/cmsdk_bootloader.ld b/software/common/scripts/cmsdk_bootloader.ld similarity index 100% rename from Cortex-M0/nanosoc/software/common/scripts/cmsdk_bootloader.ld rename to software/common/scripts/cmsdk_bootloader.ld diff --git a/Cortex-M0/nanosoc/software/common/scripts/cmsdk_cm0.ld b/software/common/scripts/cmsdk_cm0.ld similarity index 100% rename from Cortex-M0/nanosoc/software/common/scripts/cmsdk_cm0.ld rename to software/common/scripts/cmsdk_cm0.ld diff --git a/Cortex-M0/nanosoc/software/common/scripts/debugtester.ld b/software/common/scripts/debugtester.ld similarity index 100% rename from Cortex-M0/nanosoc/software/common/scripts/debugtester.ld rename to software/common/scripts/debugtester.ld diff --git a/Cortex-M0/nanosoc/software/common/scripts/lib-nosys.ld b/software/common/scripts/lib-nosys.ld similarity index 100% rename from Cortex-M0/nanosoc/software/common/scripts/lib-nosys.ld rename to software/common/scripts/lib-nosys.ld diff --git a/Cortex-M0/nanosoc/software/common/scripts/lib-rdimon.ld b/software/common/scripts/lib-rdimon.ld similarity index 100% rename from Cortex-M0/nanosoc/software/common/scripts/lib-rdimon.ld rename to software/common/scripts/lib-rdimon.ld diff --git a/Cortex-M0/nanosoc/software/common/scripts/sections-nokeep.ld b/software/common/scripts/sections-nokeep.ld similarity index 100% rename from Cortex-M0/nanosoc/software/common/scripts/sections-nokeep.ld rename to software/common/scripts/sections-nokeep.ld diff --git a/Cortex-M0/nanosoc/software/common/scripts/sections.ld b/software/common/scripts/sections.ld similarity index 100% rename from Cortex-M0/nanosoc/software/common/scripts/sections.ld rename to software/common/scripts/sections.ld diff --git a/Cortex-M0/nanosoc/software/common/validation/apb_mux_tests.c b/software/common/validation/apb_mux_tests.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/validation/apb_mux_tests.c rename to software/common/validation/apb_mux_tests.c diff --git a/Cortex-M0/nanosoc/software/common/validation/bitband_tests.c b/software/common/validation/bitband_tests.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/validation/bitband_tests.c rename to software/common/validation/bitband_tests.c diff --git a/Cortex-M0/nanosoc/software/common/validation/default_slaves_tests.c b/software/common/validation/default_slaves_tests.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/validation/default_slaves_tests.c rename to software/common/validation/default_slaves_tests.c diff --git a/Cortex-M0/nanosoc/software/common/validation/dma_tests.c b/software/common/validation/dma_tests.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/validation/dma_tests.c rename to software/common/validation/dma_tests.c diff --git a/Cortex-M0/nanosoc/software/common/validation/ext_sys_tests.c b/software/common/validation/ext_sys_tests.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/validation/ext_sys_tests.c rename to software/common/validation/ext_sys_tests.c diff --git a/Cortex-M0/nanosoc/software/common/validation/gpio_driver_tests.c b/software/common/validation/gpio_driver_tests.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/validation/gpio_driver_tests.c rename to software/common/validation/gpio_driver_tests.c diff --git a/Cortex-M0/nanosoc/software/common/validation/gpio_tests.c b/software/common/validation/gpio_tests.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/validation/gpio_tests.c rename to software/common/validation/gpio_tests.c diff --git a/Cortex-M0/nanosoc/software/common/validation/memory_tests.c b/software/common/validation/memory_tests.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/validation/memory_tests.c rename to software/common/validation/memory_tests.c diff --git a/Cortex-M0/nanosoc/software/common/validation/timer_driver_tests.c b/software/common/validation/timer_driver_tests.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/validation/timer_driver_tests.c rename to software/common/validation/timer_driver_tests.c diff --git a/Cortex-M0/nanosoc/software/common/validation/timer_tests.c b/software/common/validation/timer_tests.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/validation/timer_tests.c rename to software/common/validation/timer_tests.c diff --git a/Cortex-M0/nanosoc/software/common/validation/uart_driver_tests.c b/software/common/validation/uart_driver_tests.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/validation/uart_driver_tests.c rename to software/common/validation/uart_driver_tests.c diff --git a/Cortex-M0/nanosoc/software/common/validation/uart_tests.c b/software/common/validation/uart_tests.c similarity index 100% rename from Cortex-M0/nanosoc/software/common/validation/uart_tests.c rename to software/common/validation/uart_tests.c diff --git a/Cortex-M0/nanosoc/software/debug_tester/Makefile b/software/debug_tester/Makefile similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/Makefile rename to software/debug_tester/Makefile diff --git a/Cortex-M0/nanosoc/software/debug_tester/cmsdk_debugtester.h b/software/debug_tester/cmsdk_debugtester.h similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/cmsdk_debugtester.h rename to software/debug_tester/cmsdk_debugtester.h diff --git a/Cortex-M0/nanosoc/software/debug_tester/debugtester.c b/software/debug_tester/debugtester.c similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/debugtester.c rename to software/debug_tester/debugtester.c diff --git a/Cortex-M0/nanosoc/software/debug_tester/debugtester.h b/software/debug_tester/debugtester.h similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/debugtester.h rename to software/debug_tester/debugtester.h diff --git a/Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0.sct b/software/debug_tester/debugtester_cm0.sct similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0.sct rename to software/debug_tester/debugtester_cm0.sct diff --git a/Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0_be.uvopt b/software/debug_tester/debugtester_cm0_be.uvopt similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0_be.uvopt rename to software/debug_tester/debugtester_cm0_be.uvopt diff --git a/Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0_be.uvproj b/software/debug_tester/debugtester_cm0_be.uvproj similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0_be.uvproj rename to software/debug_tester/debugtester_cm0_be.uvproj diff --git a/Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0_le.uvopt b/software/debug_tester/debugtester_cm0_le.uvopt similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0_le.uvopt rename to software/debug_tester/debugtester_cm0_le.uvopt diff --git a/Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0_le.uvproj b/software/debug_tester/debugtester_cm0_le.uvproj similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0_le.uvproj rename to software/debug_tester/debugtester_cm0_le.uvproj diff --git a/Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0plus.sct b/software/debug_tester/debugtester_cm0plus.sct similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0plus.sct rename to software/debug_tester/debugtester_cm0plus.sct diff --git a/Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0plus_be.uvopt b/software/debug_tester/debugtester_cm0plus_be.uvopt similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0plus_be.uvopt rename to software/debug_tester/debugtester_cm0plus_be.uvopt diff --git a/Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0plus_be.uvproj b/software/debug_tester/debugtester_cm0plus_be.uvproj similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0plus_be.uvproj rename to software/debug_tester/debugtester_cm0plus_be.uvproj diff --git a/Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0plus_le.uvopt b/software/debug_tester/debugtester_cm0plus_le.uvopt similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0plus_le.uvopt rename to software/debug_tester/debugtester_cm0plus_le.uvopt diff --git a/Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0plus_le.uvproj b/software/debug_tester/debugtester_cm0plus_le.uvproj similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/debugtester_cm0plus_le.uvproj rename to software/debug_tester/debugtester_cm0plus_le.uvproj diff --git a/Cortex-M0/nanosoc/software/debug_tester/debugtester_functions.h b/software/debug_tester/debugtester_functions.h similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/debugtester_functions.h rename to software/debug_tester/debugtester_functions.h diff --git a/Cortex-M0/nanosoc/software/debug_tester/retarget_cmsdk_debugtester.c b/software/debug_tester/retarget_cmsdk_debugtester.c similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/retarget_cmsdk_debugtester.c rename to software/debug_tester/retarget_cmsdk_debugtester.c diff --git a/Cortex-M0/nanosoc/software/debug_tester/system_cmsdk_debugtester.c b/software/debug_tester/system_cmsdk_debugtester.c similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/system_cmsdk_debugtester.c rename to software/debug_tester/system_cmsdk_debugtester.c diff --git a/Cortex-M0/nanosoc/software/debug_tester/system_cmsdk_debugtester.h b/software/debug_tester/system_cmsdk_debugtester.h similarity index 100% rename from Cortex-M0/nanosoc/software/debug_tester/system_cmsdk_debugtester.h rename to software/debug_tester/system_cmsdk_debugtester.h diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0.v b/systems/adp_control/verilog/ADPcontrol_v1_0.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0.v rename to systems/adp_control/verilog/ADPcontrol_v1_0.v diff --git a/IPLIB/ADPcontrol_v1_0/ADPmanager.v b/systems/adp_control/verilog/ADPmanager.v similarity index 100% rename from IPLIB/ADPcontrol_v1_0/ADPmanager.v rename to systems/adp_control/verilog/ADPmanager.v diff --git a/IPLIB/axi_stream_io_v1_0/axi_stream_io_v1_0.v b/systems/axi_stream_io/verilog/axi_stream_io_v1_0.v similarity index 100% rename from IPLIB/axi_stream_io_v1_0/axi_stream_io_v1_0.v rename to systems/axi_stream_io/verilog/axi_stream_io_v1_0.v diff --git a/IPLIB/axi_stream_io_v1_0/axi_stream_io_v1_0_axi_s.v b/systems/axi_stream_io/verilog/axi_stream_io_v1_0_axi_s.v similarity index 100% rename from IPLIB/axi_stream_io_v1_0/axi_stream_io_v1_0_axi_s.v rename to systems/axi_stream_io/verilog/axi_stream_io_v1_0_axi_s.v diff --git a/IPLIB/FT1248_streamio_v1_0/ft1248_streamio_v1_0.v b/systems/ft1248_stream_io/verilog/ft1248_stream_io_v1_0.v similarity index 96% rename from IPLIB/FT1248_streamio_v1_0/ft1248_streamio_v1_0.v rename to systems/ft1248_stream_io/verilog/ft1248_stream_io_v1_0.v index b5b8d4b..00cf6c4 100755 --- a/IPLIB/FT1248_streamio_v1_0/ft1248_streamio_v1_0.v +++ b/systems/ft1248_stream_io/verilog/ft1248_stream_io_v1_0.v @@ -6,10 +6,10 @@ // // David Flynn (d.w.flynn@soton.ac.uk) // -// Copyright � 2022, SoC Labs (www.soclabs.org) +// Copyright � 2022, SoC Labs (www.soclabs.org) //----------------------------------------------------------------------------- -module ft1248_streamio_v1_0 # +module ft1248_stream_io_v1_0 # ( // Users to add parameters here // FTDI Interface 1,2,4 width supported diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/CI_verification/.gitkeep b/systems/mcu/fpga_imp/CI_verification/.gitkeep similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/CI_verification/.gitkeep rename to systems/mcu/fpga_imp/CI_verification/.gitkeep diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/CI_verification/load_bitfile.py b/systems/mcu/fpga_imp/CI_verification/load_bitfile.py similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/CI_verification/load_bitfile.py rename to systems/mcu/fpga_imp/CI_verification/load_bitfile.py diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/CI_verification/load_file_output b/systems/mcu/fpga_imp/CI_verification/load_file_output similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/CI_verification/load_file_output rename to systems/mcu/fpga_imp/CI_verification/load_file_output diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/CI_verification/run_ADP_verification.py b/systems/mcu/fpga_imp/CI_verification/run_ADP_verification.py similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/CI_verification/run_ADP_verification.py rename to systems/mcu/fpga_imp/CI_verification/run_ADP_verification.py diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/build_fpga_pynq_z2.scr b/systems/mcu/fpga_imp/build_fpga_pynq_z2.scr similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/build_fpga_pynq_z2.scr rename to systems/mcu/fpga_imp/build_fpga_pynq_z2.scr diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/build_fpga_pynq_zcu104.scr b/systems/mcu/fpga_imp/build_fpga_pynq_zcu104.scr similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/build_fpga_pynq_zcu104.scr rename to systems/mcu/fpga_imp/build_fpga_pynq_zcu104.scr diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/clean_fpga.scr b/systems/mcu/fpga_imp/clean_fpga.scr similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/clean_fpga.scr rename to systems/mcu/fpga_imp/clean_fpga.scr diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/bd/bd.tcl b/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/bd/bd.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/bd/bd.tcl rename to systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/bd/bd.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/component.xml b/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/component.xml similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/component.xml rename to systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/component.xml diff --git a/IPLIB/ADPcontrol_v1_0/ADPcontrol_v1_0.v b/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0.v similarity index 100% rename from IPLIB/ADPcontrol_v1_0/ADPcontrol_v1_0.v rename to systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_rx.v b/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_rx.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_rx.v rename to systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_rx.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_tx.v b/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_tx.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_tx.v rename to systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_com_tx.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_rx.v b/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_rx.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_rx.v rename to systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_rx.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_tx.v b/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_tx.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_tx.v rename to systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/hdl/ADPcontrol_v1_0_stdio_tx.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/soclabs.org_user_ADPcontrol_1.0.zip b/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/soclabs.org_user_ADPcontrol_1.0.zip similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/soclabs.org_user_ADPcontrol_1.0.zip rename to systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/soclabs.org_user_ADPcontrol_1.0.zip diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPcontrol_v1_0.v b/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPcontrol_v1_0.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPcontrol_v1_0.v rename to systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPcontrol_v1_0.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmanager.v b/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmanager.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmanager.v rename to systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/src/ADPmanager.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/xgui/ADPcontrol_v1_0.tcl b/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/xgui/ADPcontrol_v1_0.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/xgui/ADPcontrol_v1_0.tcl rename to systems/mcu/fpga_imp/ip_repo/ADPcontrol_1.0/xgui/ADPcontrol_v1_0.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/bd/bd.tcl b/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/bd/bd.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/bd/bd.tcl rename to systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/bd/bd.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/component.xml b/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/component.xml similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/component.xml rename to systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/component.xml diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.mdd b/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.mdd similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.mdd rename to systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.mdd diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.tcl b/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.tcl rename to systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/data/axi_stream_io.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/Makefile b/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/Makefile similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/Makefile rename to systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/Makefile diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.c b/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.c similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.c rename to systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.c diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.h b/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.h similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.h rename to systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io.h diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io_selftest.c b/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io_selftest.c similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io_selftest.c rename to systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/drivers/axi_stream_io_v1_0/src/axi_stream_io_selftest.c diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/soclabs.org_user_axi_stream_io_1.0.zip b/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/soclabs.org_user_axi_stream_io_1.0.zip similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/soclabs.org_user_axi_stream_io_1.0.zip rename to systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/soclabs.org_user_axi_stream_io_1.0.zip diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/src/axi_stream_io_v1_0_axi_s.v b/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/src/axi_stream_io_v1_0_axi_s.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/src/axi_stream_io_v1_0_axi_s.v rename to systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/src/axi_stream_io_v1_0_axi_s.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/xgui/axi_stream_io_v1_0.tcl b/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/xgui/axi_stream_io_v1_0.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/xgui/axi_stream_io_v1_0.tcl rename to systems/mcu/fpga_imp/ip_repo/axi_stream_io_1.0/xgui/axi_stream_io_v1_0.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/bd/bd.tcl b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/bd/bd.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/bd/bd.tcl rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/bd/bd.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/component.xml b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/component.xml similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/component.xml rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/component.xml diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/ft1248x1_to_axi_streamio_0_2.xcix b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/ft1248x1_to_axi_streamio_0_2.xcix similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/ft1248x1_to_axi_streamio_0_2.xcix rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/ft1248x1_to_axi_streamio_0_2.xcix diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/SYNCHRONIZER_EDGES.v b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/SYNCHRONIZER_EDGES.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/SYNCHRONIZER_EDGES.v rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/SYNCHRONIZER_EDGES.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0.v b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0.v rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_rxd8.v b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_rxd8.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_rxd8.v rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_rxd8.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_txd8.v b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_txd8.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_txd8.v rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/hdl/ft1248x1_to_axi_streamio_v1_0_txd8.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/soclabs.org_user_ft1248x1_to_axi_streamio_1.0.zip b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/soclabs.org_user_ft1248x1_to_axi_streamio_1.0.zip similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/soclabs.org_user_ft1248x1_to_axi_streamio_1.0.zip rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/soclabs.org_user_ft1248x1_to_axi_streamio_1.0.zip diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/xgui/ft1248x1_to_axi_streamio_v1_0.tcl b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/xgui/ft1248x1_to_axi_streamio_v1_0.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/xgui/ft1248x1_to_axi_streamio_v1_0.tcl rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_axi_streamio_1.0/xgui/ft1248x1_to_axi_streamio_v1_0.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/bd/bd.tcl b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/bd/bd.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/bd/bd.tcl rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/bd/bd.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/component.xml b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/component.xml similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/component.xml rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/component.xml diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ft1248x1_to_stream8_0.xcix b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ft1248x1_to_stream8_0.xcix similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ft1248x1_to_stream8_0.xcix rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ft1248x1_to_stream8_0.xcix diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0.v b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0.v rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_RXD8.v b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_RXD8.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_RXD8.v rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_RXD8.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_TXD8.v b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_TXD8.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_TXD8.v rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/hdl/ft1248x1_to_stream8_v1_0_TXD8.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ip_project_archive.zip b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ip_project_archive.zip similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ip_project_archive.zip rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/ip_project_archive.zip diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/soclabs.org_user_ft1248x1_to_stream8_1.0.zip b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/soclabs.org_user_ft1248x1_to_stream8_1.0.zip similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/soclabs.org_user_ft1248x1_to_stream8_1.0.zip rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/soclabs.org_user_ft1248x1_to_stream8_1.0.zip diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/ft1248x1_to_stream8.v b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/ft1248x1_to_stream8.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/ft1248x1_to_stream8.v rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/ft1248x1_to_stream8.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/synclib.v b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/synclib.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/synclib.v rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/src/synclib.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/xgui/ft1248x1_to_stream8_v1_0.tcl b/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/xgui/ft1248x1_to_stream8_v1_0.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/xgui/ft1248x1_to_stream8_v1_0.tcl rename to systems/mcu/fpga_imp/ip_repo/ft1248x1_to_stream8_1.0/xgui/ft1248x1_to_stream8_v1_0.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/arm_tests/aes128_tests.bin b/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/arm_tests/aes128_tests.bin similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/arm_tests/aes128_tests.bin rename to systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/arm_tests/aes128_tests.bin diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/driver/uartlite.py b/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/driver/uartlite.py similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/driver/uartlite.py rename to systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/driver/uartlite.py diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb b/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb rename to systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb b/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb rename to systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb b/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb rename to systems/mcu/fpga_imp/pynq_export/pz104/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/driver/uartlite.py b/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/driver/uartlite.py similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/driver/uartlite.py rename to systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/driver/uartlite.py diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb b/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb rename to systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADP-validation.ipynb diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb b/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb rename to systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-ADPtest.ipynb diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb b/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb rename to systems/mcu/fpga_imp/pynq_export/pz2/jupyter_notebooks/soclabs/nanosoc-iotest.ipynb diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/build_mcu_fpga_batch.tcl b/systems/mcu/fpga_imp/scripts/build_mcu_fpga_batch.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/build_mcu_fpga_batch.tcl rename to systems/mcu/fpga_imp/scripts/build_mcu_fpga_batch.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/build_mcu_fpga_ip.tcl b/systems/mcu/fpga_imp/scripts/build_mcu_fpga_ip.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/build_mcu_fpga_ip.tcl rename to systems/mcu/fpga_imp/scripts/build_mcu_fpga_ip.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/build_mcu_fpga_pynq_z2.tcl b/systems/mcu/fpga_imp/scripts/build_mcu_fpga_pynq_z2.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/build_mcu_fpga_pynq_z2.tcl rename to systems/mcu/fpga_imp/scripts/build_mcu_fpga_pynq_z2.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/build_mcu_fpga_pynq_zcu104.tcl b/systems/mcu/fpga_imp/scripts/build_mcu_fpga_pynq_zcu104.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/build_mcu_fpga_pynq_zcu104.tcl rename to systems/mcu/fpga_imp/scripts/build_mcu_fpga_pynq_zcu104.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/rtl_source_cm0.tcl b/systems/mcu/fpga_imp/scripts/rtl_source_cm0.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/rtl_source_cm0.tcl rename to systems/mcu/fpga_imp/scripts/rtl_source_cm0.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/rtl_source_cmsdk.tcl b/systems/mcu/fpga_imp/scripts/rtl_source_cmsdk.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/rtl_source_cmsdk.tcl rename to systems/mcu/fpga_imp/scripts/rtl_source_cmsdk.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/rtl_source_dma230.tcl b/systems/mcu/fpga_imp/scripts/rtl_source_dma230.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/rtl_source_dma230.tcl rename to systems/mcu/fpga_imp/scripts/rtl_source_dma230.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/rtl_source_fpga_ip.tcl b/systems/mcu/fpga_imp/scripts/rtl_source_fpga_ip.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/rtl_source_fpga_ip.tcl rename to systems/mcu/fpga_imp/scripts/rtl_source_fpga_ip.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/rtl_source_soclabs_ip.tcl b/systems/mcu/fpga_imp/scripts/rtl_source_soclabs_ip.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/scripts/rtl_source_soclabs_ip.tcl rename to systems/mcu/fpga_imp/scripts/rtl_source_soclabs_ip.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_ac701/fpga_pinmap.xdc b/systems/mcu/fpga_imp/target_fpga_ac701/fpga_pinmap.xdc similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_ac701/fpga_pinmap.xdc rename to systems/mcu/fpga_imp/target_fpga_ac701/fpga_pinmap.xdc diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_ac701/fpga_synth.tcl b/systems/mcu/fpga_imp/target_fpga_ac701/fpga_synth.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_ac701/fpga_synth.tcl rename to systems/mcu/fpga_imp/target_fpga_ac701/fpga_synth.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_ac701/fpga_timing.xdc b/systems/mcu/fpga_imp/target_fpga_ac701/fpga_timing.xdc similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_ac701/fpga_timing.xdc rename to systems/mcu/fpga_imp/target_fpga_ac701/fpga_timing.xdc diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_pinmap.xdc b/systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_pinmap.xdc similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_pinmap.xdc rename to systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_pinmap.xdc diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_synth.tcl b/systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_synth.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_synth.tcl rename to systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_synth.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_timing.xdc b/systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_timing.xdc similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_timing.xdc rename to systems/mcu/fpga_imp/target_fpga_arm_mps3/fpga_timing.xdc diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1.tcl b/systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1.tcl rename to systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1_wrapper.v b/systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1_wrapper.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1_wrapper.v rename to systems/mcu/fpga_imp/target_fpga_pynq_z2/design_1_wrapper.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_pinmap.xdc b/systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_pinmap.xdc similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_pinmap.xdc rename to systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_pinmap.xdc diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_synth.tcl b/systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_synth.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_synth.tcl rename to systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_synth.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_timing.xdc b/systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_timing.xdc similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_timing.xdc rename to systems/mcu/fpga_imp/target_fpga_pynq_z2/fpga_timing.xdc diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_zcu104/design_1.tcl b/systems/mcu/fpga_imp/target_fpga_zcu104/design_1.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_zcu104/design_1.tcl rename to systems/mcu/fpga_imp/target_fpga_zcu104/design_1.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_zcu104/design_1_wrapper.v b/systems/mcu/fpga_imp/target_fpga_zcu104/design_1_wrapper.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_zcu104/design_1_wrapper.v rename to systems/mcu/fpga_imp/target_fpga_zcu104/design_1_wrapper.v diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_zcu104/fpga_pinmap.xdc b/systems/mcu/fpga_imp/target_fpga_zcu104/fpga_pinmap.xdc similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_zcu104/fpga_pinmap.xdc rename to systems/mcu/fpga_imp/target_fpga_zcu104/fpga_pinmap.xdc diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_zcu104/fpga_synth.tcl b/systems/mcu/fpga_imp/target_fpga_zcu104/fpga_synth.tcl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_zcu104/fpga_synth.tcl rename to systems/mcu/fpga_imp/target_fpga_zcu104/fpga_synth.tcl diff --git a/Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_zcu104/fpga_timing.xdc b/systems/mcu/fpga_imp/target_fpga_zcu104/fpga_timing.xdc similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/fpga_imp/target_fpga_zcu104/fpga_timing.xdc rename to systems/mcu/fpga_imp/target_fpga_zcu104/fpga_timing.xdc diff --git a/Cortex-M0/nanosoc/systems/mcu/testcodes/setup_ds5_tools.scr b/systems/mcu/testcodes/setup_ds5_tools.scr similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/testcodes/setup_ds5_tools.scr rename to systems/mcu/testcodes/setup_ds5_tools.scr diff --git a/Cortex-M0/nanosoc/systems/mcu/tools/setup_ds5_tools.scr b/systems/mcu/tools/setup_ds5_tools.scr similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/tools/setup_ds5_tools.scr rename to systems/mcu/tools/setup_ds5_tools.scr diff --git a/Cortex-M0/nanosoc/systems/mcu/v2html_doc.tgz b/systems/mcu/v2html_doc.tgz similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/v2html_doc.tgz rename to systems/mcu/v2html_doc.tgz diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/aes128_log_to_file.v b/systems/mcu/verilog/aes128_log_to_file.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/aes128_log_to_file.v rename to systems/mcu/verilog/aes128_log_to_file.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/ahb_bootrom.v b/systems/mcu/verilog/ahb_bootrom.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/ahb_bootrom.v rename to systems/mcu/verilog/ahb_bootrom.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/axi_streamio8_buffer.v b/systems/mcu/verilog/axi_streamio8_buffer.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/axi_streamio8_buffer.v rename to systems/mcu/verilog/axi_streamio8_buffer.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/axi_streamio8_rxd_to_file.v b/systems/mcu/verilog/axi_streamio8_rxd_to_file.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/axi_streamio8_rxd_to_file.v rename to systems/mcu/verilog/axi_streamio8_rxd_to_file.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/axi_streamio8_txd_from_file.v b/systems/mcu/verilog/axi_streamio8_txd_from_file.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/axi_streamio8_txd_from_file.v rename to systems/mcu/verilog/axi_streamio8_txd_from_file.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/bootrom.v b/systems/mcu/verilog/bootrom.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/bootrom.v rename to systems/mcu/verilog/bootrom.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_ahb_cs_rom_table.v b/systems/mcu/verilog/cmsdk_ahb_cs_rom_table.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_ahb_cs_rom_table.v rename to systems/mcu/verilog/cmsdk_ahb_cs_rom_table.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_apb_subsystem.v b/systems/mcu/verilog/cmsdk_apb_subsystem.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_apb_subsystem.v rename to systems/mcu/verilog/cmsdk_apb_subsystem.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_apb_usrt.v b/systems/mcu/verilog/cmsdk_apb_usrt.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_apb_usrt.v rename to systems/mcu/verilog/cmsdk_apb_usrt.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_clkreset.v b/systems/mcu/verilog/cmsdk_clkreset.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_clkreset.v rename to systems/mcu/verilog/cmsdk_clkreset.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_ft1248x1_adpio.v b/systems/mcu/verilog/cmsdk_ft1248x1_adpio.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_ft1248x1_adpio.v rename to systems/mcu/verilog/cmsdk_ft1248x1_adpio.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_mcu_clkctrl.v b/systems/mcu/verilog/cmsdk_mcu_clkctrl.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_mcu_clkctrl.v rename to systems/mcu/verilog/cmsdk_mcu_clkctrl.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_mcu_pin_mux.v b/systems/mcu/verilog/cmsdk_mcu_pin_mux.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_mcu_pin_mux.v rename to systems/mcu/verilog/cmsdk_mcu_pin_mux.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_mcu_stclkctrl.v b/systems/mcu/verilog/cmsdk_mcu_stclkctrl.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_mcu_stclkctrl.v rename to systems/mcu/verilog/cmsdk_mcu_stclkctrl.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_mcu_sysctrl.v b/systems/mcu/verilog/cmsdk_mcu_sysctrl.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_mcu_sysctrl.v rename to systems/mcu/verilog/cmsdk_mcu_sysctrl.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_uart_capture.v b/systems/mcu/verilog/cmsdk_uart_capture.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/cmsdk_uart_capture.v rename to systems/mcu/verilog/cmsdk_uart_capture.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/dma_log_to_file.v b/systems/mcu/verilog/dma_log_to_file.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/dma_log_to_file.v rename to systems/mcu/verilog/dma_log_to_file.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/ft1248x1_to_axi_streamio_v1_0.v b/systems/mcu/verilog/ft1248x1_to_axi_streamio_v1_0.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/ft1248x1_to_axi_streamio_v1_0.v rename to systems/mcu/verilog/ft1248x1_to_axi_streamio_v1_0.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/ft1248x1_track.v b/systems/mcu/verilog/ft1248x1_track.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/ft1248x1_track.v rename to systems/mcu/verilog/ft1248x1_track.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/README.txt b/systems/mcu/verilog/gen_ahb_busmatrix/README.txt similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/README.txt rename to systems/mcu/verilog/gen_ahb_busmatrix/README.txt diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/bin/BuildBusMatrix.pl b/systems/mcu/verilog/gen_ahb_busmatrix/bin/BuildBusMatrix.pl similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/bin/BuildBusMatrix.pl rename to systems/mcu/verilog/gen_ahb_busmatrix/bin/BuildBusMatrix.pl diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/bin/lib/xmlparser.pm b/systems/mcu/verilog/gen_ahb_busmatrix/bin/lib/xmlparser.pm similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/bin/lib/xmlparser.pm rename to systems/mcu/verilog/gen_ahb_busmatrix/bin/lib/xmlparser.pm diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/gen_soclabs_4x7_AhbMatrix.scr b/systems/mcu/verilog/gen_ahb_busmatrix/gen_soclabs_4x7_AhbMatrix.scr similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/gen_soclabs_4x7_AhbMatrix.scr rename to systems/mcu/verilog/gen_ahb_busmatrix/gen_soclabs_4x7_AhbMatrix.scr diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.xml b/systems/mcu/verilog/gen_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.xml similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.xml rename to systems/mcu/verilog/gen_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.xml diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.xml b/systems/mcu/verilog/gen_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.xml similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.xml rename to systems/mcu/verilog/gen_ahb_busmatrix/ipxact/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.xml diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_ipxact.xml b/systems/mcu/verilog/gen_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_ipxact.xml similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_ipxact.xml rename to systems/mcu/verilog/gen_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_ipxact.xml diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_lite_ipxact.xml b/systems/mcu/verilog/gen_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_lite_ipxact.xml similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_lite_ipxact.xml rename to systems/mcu/verilog/gen_ahb_busmatrix/ipxact/src/cmsdk_ahb_busmatrix_lite_ipxact.xml diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/soclabs_ahb32_4x7.log b/systems/mcu/verilog/gen_ahb_busmatrix/soclabs_ahb32_4x7.log similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/soclabs_ahb32_4x7.log rename to systems/mcu/verilog/gen_ahb_busmatrix/soclabs_ahb32_4x7.log diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_default_slave.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_default_slave.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_default_slave.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_default_slave.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_AhbMatrix_lite.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_Arbiter.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_Arbiter.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_Arbiter.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_Arbiter.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MasterInput.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MasterInput.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MasterInput.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MasterInput.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_adp.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_adp.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_adp.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_adp.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_cpu.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_cpu.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_cpu.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_cpu.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma2.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma2.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma2.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_MatrixDecode_dma2.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_SlaveOutput.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_SlaveOutput.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_SlaveOutput.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/built/soclabs_4x7_AhbMatrix/soclabs_4x7_SlaveOutput.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_burst_arb.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_burst_arb.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_burst_arb.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_burst_arb.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_decode.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_decode.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_decode.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_decode.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_default_slave.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_default_slave.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_default_slave.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_default_slave.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_fixed_arb.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_fixed_arb.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_fixed_arb.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_fixed_arb.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_input_stage.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_input_stage.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_input_stage.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_input_stage.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_output_stage.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_output_stage.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_output_stage.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_output_stage.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_round_arb.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_round_arb.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_round_arb.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_round_arb.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_arb.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_arb.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_arb.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_arb.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_output_stage.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_output_stage.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_output_stage.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_bm_single_output_stage.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix_lite.v b/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix_lite.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix_lite.v rename to systems/mcu/verilog/gen_ahb_busmatrix/verilog/src/cmsdk_ahb_busmatrix_lite.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/xml/example2x3_full.xml b/systems/mcu/verilog/gen_ahb_busmatrix/xml/example2x3_full.xml similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/xml/example2x3_full.xml rename to systems/mcu/verilog/gen_ahb_busmatrix/xml/example2x3_full.xml diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/xml/example2x3_sparse.xml b/systems/mcu/verilog/gen_ahb_busmatrix/xml/example2x3_sparse.xml similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/xml/example2x3_sparse.xml rename to systems/mcu/verilog/gen_ahb_busmatrix/xml/example2x3_sparse.xml diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/xml/soclabs_ahb32_4x7.xml b/systems/mcu/verilog/gen_ahb_busmatrix/xml/soclabs_ahb32_4x7.xml similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/gen_ahb_busmatrix/xml/soclabs_ahb32_4x7.xml rename to systems/mcu/verilog/gen_ahb_busmatrix/xml/soclabs_ahb32_4x7.xml diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/nanosoc_chip.v b/systems/mcu/verilog/nanosoc_chip.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/nanosoc_chip.v rename to systems/mcu/verilog/nanosoc_chip.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/nanosoc_chip_pads.v b/systems/mcu/verilog/nanosoc_chip_pads.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/nanosoc_chip_pads.v rename to systems/mcu/verilog/nanosoc_chip_pads.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/nanosoc_cpu.v b/systems/mcu/verilog/nanosoc_cpu.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/nanosoc_cpu.v rename to systems/mcu/verilog/nanosoc_cpu.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/nanosoc_sys_ahb_decode.v b/systems/mcu/verilog/nanosoc_sys_ahb_decode.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/nanosoc_sys_ahb_decode.v rename to systems/mcu/verilog/nanosoc_sys_ahb_decode.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/nanosoc_sysio.v b/systems/mcu/verilog/nanosoc_sysio.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/nanosoc_sysio.v rename to systems/mcu/verilog/nanosoc_sysio.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/pl230_defs.v b/systems/mcu/verilog/pl230_defs.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/pl230_defs.v rename to systems/mcu/verilog/pl230_defs.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/soclabs_ahb_aes128_ctrl.v b/systems/mcu/verilog/soclabs_ahb_aes128_ctrl.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/soclabs_ahb_aes128_ctrl.v rename to systems/mcu/verilog/soclabs_ahb_aes128_ctrl.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/tb_nanosoc.v b/systems/mcu/verilog/tb_nanosoc.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/tb_nanosoc.v rename to systems/mcu/verilog/tb_nanosoc.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/tbench_M0.vc b/systems/mcu/verilog/tbench_M0.vc similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/tbench_M0.vc rename to systems/mcu/verilog/tbench_M0.vc diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/track_tb_iostream.v b/systems/mcu/verilog/track_tb_iostream.v similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/track_tb_iostream.v rename to systems/mcu/verilog/track_tb_iostream.v diff --git a/Cortex-M0/nanosoc/systems/mcu/verilog/v2html_M0.vc b/systems/mcu/verilog/v2html_M0.vc similarity index 100% rename from Cortex-M0/nanosoc/systems/mcu/verilog/v2html_M0.vc rename to systems/mcu/verilog/v2html_M0.vc -- GitLab